From 75de12268f917a22d9074a93488b27ec5657ec6a Mon Sep 17 00:00:00 2001 From: Michael McMaster Date: Thu, 3 Oct 2013 07:07:58 +1000 Subject: [PATCH] Creating new repository to prune history. --- COPYING | 674 + hardware/.gitignore | 5 + hardware/Makefile | 35 + hardware/bracket/3.5_hdd_mount.scad | 208 + hardware/bracket/3.5_hdd_mount.stl | 54616 ++++++++++++++++ hardware/gafrc | 7 + hardware/gerber/scsi2sd.GBL | 27881 ++++++++ hardware/gerber/scsi2sd.GBO | 13 + hardware/gerber/scsi2sd.GBS | 116 + hardware/gerber/scsi2sd.GTL | 45027 +++++++++++++ hardware/gerber/scsi2sd.GTO | 1617 + hardware/gerber/scsi2sd.GTS | 605 + hardware/gerber/scsi2sd.TXT | 206 + hardware/gerber/scsi2sd.outline | 25 + hardware/gerber/scsi2sd.stencil | 490 + hardware/scsi2sd.bom | 23 + hardware/scsi2sd.cmd | 434 + hardware/scsi2sd.net | 77 + hardware/scsi2sd.pcb | 3874 ++ hardware/scsi2sd.sch | 2458 + hardware/symbols/7406.sym | 176 + hardware/symbols/7406.tragesym | 74 + hardware/symbols/CY8C53.sym | 1126 + hardware/symbols/CY8C53.tragesym | 164 + hardware/symbols/DO-41-vert.fp | 10 + hardware/symbols/DO-41.fp | 10 + hardware/symbols/DPAK.fp | 20 + hardware/symbols/FCI-10067847.fp | 66 + hardware/symbols/FCI-10067847.sym | 156 + hardware/symbols/FCI-10067847.tragesym | 73 + hardware/symbols/FTSH-105-01-L-DV-K.fp | 25 + hardware/symbols/HEADER50_2_RA.fp | 64 + hardware/symbols/LD1117.sym | 55 + hardware/symbols/LD1117.tragesym | 64 + hardware/symbols/MOLEX8981.fp | 18 + hardware/symbols/MOLEX8981.sym | 64 + hardware/symbols/MOLEX8981.tragesym | 63 + hardware/symbols/SCDA7A0101.sym | 156 + hardware/symbols/SCDA7A0101.tragesym | 74 + hardware/symbols/SOT23_MOSFET.fp | 30 + hardware/symbols/SOT26_MOSFET.fp | 36 + hardware/symbols/TO220_TRANSISTOR.fp | 19 + hardware/symbols/cap_0402.fp | 11 + hardware/symbols/diode-DO-214AA-SMB.fp | 24 + hardware/symbols/fci-10118192-0001LF.fp | 23 + hardware/symbols/usbmini.sym | 51 + hardware/symbols/wurth-microsd.fp | 28 + hardware/symbols/wurth-microsd.sym | 132 + hardware/symbols/wurth-microsd.tragesym | 70 + parts.ods | Bin 0 -> 20160 bytes readme.txt | 33 + software/SCSI2SD/.gitignore | 1 + software/SCSI2SD/SCSI2SD.cydsn/.gitignore | 7 + .../Generated_Source/PSoC5/Cm3RealView.scat | 64 + .../Generated_Source/PSoC5/Cm3Start.c | 319 + .../Generated_Source/PSoC5/CyBootAsmGnu.s | 120 + .../Generated_Source/PSoC5/CyBootAsmRv.s | 110 + .../Generated_Source/PSoC5/CyDmac.c | 1089 + .../Generated_Source/PSoC5/CyDmac.h | 212 + .../Generated_Source/PSoC5/CyFlash.c | 732 + .../Generated_Source/PSoC5/CyFlash.h | 311 + .../Generated_Source/PSoC5/CyLib.c | 2846 + .../Generated_Source/PSoC5/CyLib.h | 1201 + .../Generated_Source/PSoC5/CySpc.c | 562 + .../Generated_Source/PSoC5/CySpc.h | 159 + .../Generated_Source/PSoC5/LED1.c | 137 + .../Generated_Source/PSoC5/LED1.h | 130 + .../Generated_Source/PSoC5/LED1_aliases.h | 32 + .../Generated_Source/PSoC5/PARITY_EN.c | 137 + .../Generated_Source/PSoC5/PARITY_EN.h | 130 + .../PSoC5/PARITY_EN_aliases.h | 32 + .../Generated_Source/PSoC5/SCSI_ID_aliases.h | 34 + .../Generated_Source/PSoC5/SCSI_In_DBx.c | 144 + .../Generated_Source/PSoC5/SCSI_In_DBx.h | 130 + .../PSoC5/SCSI_In_DBx_aliases.h | 48 + .../Generated_Source/PSoC5/SCSI_In_aliases.h | 52 + .../Generated_Source/PSoC5/SCSI_Out_DBx.c | 144 + .../Generated_Source/PSoC5/SCSI_Out_DBx.h | 130 + .../PSoC5/SCSI_Out_DBx_aliases.h | 48 + .../Generated_Source/PSoC5/SCSI_Out_aliases.h | 52 + .../SCSI2SD.cydsn/Generated_Source/PSoC5/SD.c | 1155 + .../SCSI2SD.cydsn/Generated_Source/PSoC5/SD.h | 389 + .../Generated_Source/PSoC5/SDCard.c | 1155 + .../Generated_Source/PSoC5/SDCard.h | 389 + .../Generated_Source/PSoC5/SDCard_INT.c | 189 + .../Generated_Source/PSoC5/SDCard_PM.c | 180 + .../Generated_Source/PSoC5/SDCard_PVT.h | 53 + .../Generated_Source/PSoC5/SD_CD.c | 137 + .../Generated_Source/PSoC5/SD_CD.h | 130 + .../Generated_Source/PSoC5/SD_CD_aliases.h | 32 + .../Generated_Source/PSoC5/SD_CS.c | 137 + .../Generated_Source/PSoC5/SD_CS.h | 130 + .../Generated_Source/PSoC5/SD_CS_aliases.h | 32 + .../Generated_Source/PSoC5/SD_Clk_Ctl.c | 63 + .../Generated_Source/PSoC5/SD_Clk_Ctl.h | 42 + .../Generated_Source/PSoC5/SD_DAT1.c | 137 + .../Generated_Source/PSoC5/SD_DAT1.h | 130 + .../Generated_Source/PSoC5/SD_DAT1_aliases.h | 32 + .../Generated_Source/PSoC5/SD_DAT2.c | 137 + .../Generated_Source/PSoC5/SD_DAT2.h | 130 + .../Generated_Source/PSoC5/SD_DAT2_aliases.h | 32 + .../Generated_Source/PSoC5/SD_Data_Clk.c | 521 + .../Generated_Source/PSoC5/SD_Data_Clk.h | 124 + .../Generated_Source/PSoC5/SD_INT.c | 189 + .../Generated_Source/PSoC5/SD_Init_Clk.c | 521 + .../Generated_Source/PSoC5/SD_Init_Clk.h | 124 + .../Generated_Source/PSoC5/SD_IntClock.c | 521 + .../Generated_Source/PSoC5/SD_IntClock.h | 124 + .../Generated_Source/PSoC5/SD_MISO.c | 137 + .../Generated_Source/PSoC5/SD_MISO.h | 130 + .../Generated_Source/PSoC5/SD_MISO_aliases.h | 32 + .../Generated_Source/PSoC5/SD_MOSI.c | 137 + .../Generated_Source/PSoC5/SD_MOSI.h | 130 + .../Generated_Source/PSoC5/SD_MOSI_aliases.h | 32 + .../Generated_Source/PSoC5/SD_PM.c | 180 + .../Generated_Source/PSoC5/SD_PVT.h | 53 + .../Generated_Source/PSoC5/SD_SCK.c | 137 + .../Generated_Source/PSoC5/SD_SCK.h | 130 + .../Generated_Source/PSoC5/SD_SCK_aliases.h | 32 + .../Generated_Source/PSoC5/SD_WP.c | 137 + .../Generated_Source/PSoC5/SD_WP.h | 130 + .../Generated_Source/PSoC5/SD_WP_aliases.h | 32 + .../Generated_Source/PSoC5/cm3gcc.ld | 223 + .../Generated_Source/PSoC5/config.hex | 30 + .../Generated_Source/PSoC5/core_cm3.c | 784 + .../Generated_Source/PSoC5/core_cm3.h | 1818 + .../Generated_Source/PSoC5/core_cm3_psoc5.h | 43 + .../Generated_Source/PSoC5/cyPm.c | 2459 + .../Generated_Source/PSoC5/cyPm.h | 779 + .../Generated_Source/PSoC5/cydevice.h | 5359 ++ .../Generated_Source/PSoC5/cydevice_trm.h | 5359 ++ .../Generated_Source/PSoC5/cydevicegnu.inc | 5356 ++ .../PSoC5/cydevicegnu_trm.inc | 5356 ++ .../Generated_Source/PSoC5/cydevicerv.inc | 16039 +++++ .../Generated_Source/PSoC5/cydevicerv_trm.inc | 16039 +++++ .../Generated_Source/PSoC5/cyfitter.h | 1875 + .../Generated_Source/PSoC5/cyfitter_cfg.c | 412 + .../Generated_Source/PSoC5/cyfitter_cfg.h | 28 + .../Generated_Source/PSoC5/cyfittergnu.inc | 1867 + .../Generated_Source/PSoC5/cyfitterrv.inc | 1868 + .../Generated_Source/PSoC5/cypins.h | 295 + .../Generated_Source/PSoC5/cytypes.h | 411 + .../Generated_Source/PSoC5/cyutils.c | 87 + .../Generated_Source/PSoC5/post_link.bat | 19 + .../Generated_Source/PSoC5/project.h | 64 + .../Generated_Source/PSoC5/protect.hex | 5 + software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cycdx | 33 + software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cydwr | Bin 0 -> 121200 bytes software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyfit | Bin 0 -> 184817 bytes software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyprj | 1565 + .../SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyversion | 1 + software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.svd | 31 + .../SCSI2SD.cydsn/SCSI2SD_PSoC5lib.uvopt | 280 + .../SCSI2SD.cydsn/SCSI2SD_PSoC5lib.uvproj | 1404 + .../SCSI2SD.cydsn/TopDesign/TopDesign.cysch | Bin 0 -> 90538 bytes software/SCSI2SD/SCSI2SD.cydsn/bits.c | 47 + software/SCSI2SD/SCSI2SD.cydsn/bits.h | 27 + software/SCSI2SD/SCSI2SD.cydsn/blinky.c | 31 + software/SCSI2SD/SCSI2SD.cydsn/blinky.h | 25 + software/SCSI2SD/SCSI2SD.cydsn/device.h | 18 + software/SCSI2SD/SCSI2SD.cydsn/diagnostic.c | 132 + software/SCSI2SD/SCSI2SD.cydsn/diagnostic.h | 23 + software/SCSI2SD/SCSI2SD.cydsn/disk.c | 697 + software/SCSI2SD/SCSI2SD.cydsn/disk.h | 59 + software/SCSI2SD/SCSI2SD.cydsn/geometry.c | 168 + software/SCSI2SD/SCSI2SD.cydsn/geometry.h | 51 + software/SCSI2SD/SCSI2SD.cydsn/inquiry.c | 160 + software/SCSI2SD/SCSI2SD.cydsn/inquiry.h | 22 + software/SCSI2SD/SCSI2SD.cydsn/led.h | 25 + software/SCSI2SD/SCSI2SD.cydsn/loopback.c | 122 + software/SCSI2SD/SCSI2SD.cydsn/loopback.h | 30 + software/SCSI2SD/SCSI2SD.cydsn/main.c | 53 + software/SCSI2SD/SCSI2SD.cydsn/mode.c | 322 + software/SCSI2SD/SCSI2SD.cydsn/mode.h | 22 + software/SCSI2SD/SCSI2SD.cydsn/scsi.c | 683 + software/SCSI2SD/SCSI2SD.cydsn/scsi.h | 114 + software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.c | 150 + software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.h | 41 + software/SCSI2SD/SCSI2SD.cydsn/sense.h | 176 + 179 files changed, 231870 insertions(+) create mode 100644 COPYING create mode 100644 hardware/.gitignore create mode 100644 hardware/Makefile create mode 100644 hardware/bracket/3.5_hdd_mount.scad create mode 100644 hardware/bracket/3.5_hdd_mount.stl create mode 100644 hardware/gafrc create mode 100644 hardware/gerber/scsi2sd.GBL create mode 100644 hardware/gerber/scsi2sd.GBO create mode 100644 hardware/gerber/scsi2sd.GBS create mode 100644 hardware/gerber/scsi2sd.GTL create mode 100644 hardware/gerber/scsi2sd.GTO create mode 100644 hardware/gerber/scsi2sd.GTS create mode 100644 hardware/gerber/scsi2sd.TXT create mode 100644 hardware/gerber/scsi2sd.outline create mode 100644 hardware/gerber/scsi2sd.stencil create mode 100644 hardware/scsi2sd.bom create mode 100644 hardware/scsi2sd.cmd create mode 100644 hardware/scsi2sd.net create mode 100644 hardware/scsi2sd.pcb create mode 100644 hardware/scsi2sd.sch create mode 100644 hardware/symbols/7406.sym create mode 100644 hardware/symbols/7406.tragesym create mode 100644 hardware/symbols/CY8C53.sym create mode 100644 hardware/symbols/CY8C53.tragesym create mode 100644 hardware/symbols/DO-41-vert.fp create mode 100644 hardware/symbols/DO-41.fp create mode 100644 hardware/symbols/DPAK.fp create mode 100644 hardware/symbols/FCI-10067847.fp create mode 100644 hardware/symbols/FCI-10067847.sym create mode 100644 hardware/symbols/FCI-10067847.tragesym create mode 100644 hardware/symbols/FTSH-105-01-L-DV-K.fp create mode 100644 hardware/symbols/HEADER50_2_RA.fp create mode 100644 hardware/symbols/LD1117.sym create mode 100644 hardware/symbols/LD1117.tragesym create mode 100644 hardware/symbols/MOLEX8981.fp create mode 100644 hardware/symbols/MOLEX8981.sym create mode 100644 hardware/symbols/MOLEX8981.tragesym create mode 100644 hardware/symbols/SCDA7A0101.sym create mode 100644 hardware/symbols/SCDA7A0101.tragesym create mode 100644 hardware/symbols/SOT23_MOSFET.fp create mode 100644 hardware/symbols/SOT26_MOSFET.fp create mode 100644 hardware/symbols/TO220_TRANSISTOR.fp create mode 100644 hardware/symbols/cap_0402.fp create mode 100644 hardware/symbols/diode-DO-214AA-SMB.fp create mode 100644 hardware/symbols/fci-10118192-0001LF.fp create mode 100644 hardware/symbols/usbmini.sym create mode 100644 hardware/symbols/wurth-microsd.fp create mode 100644 hardware/symbols/wurth-microsd.sym create mode 100644 hardware/symbols/wurth-microsd.tragesym create mode 100644 parts.ods create mode 100644 readme.txt create mode 100644 software/SCSI2SD/.gitignore create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/.gitignore create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/Cm3RealView.scat create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/Cm3Start.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyBootAsmGnu.s create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyBootAsmRv.s create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyDmac.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyDmac.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyFlash.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyFlash.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyLib.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyLib.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CySpc.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CySpc.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/LED1.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/LED1.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/LED1_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/PARITY_EN.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/PARITY_EN.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/PARITY_EN_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_ID_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_DBx.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_DBx.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_DBx_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard_INT.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard_PM.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard_PVT.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CD.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CD.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CD_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CS.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CS.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CS_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Clk_Ctl.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Clk_Ctl.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT1.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT1.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT1_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT2.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT2.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT2_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Data_Clk.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Data_Clk.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_INT.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Init_Clk.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Init_Clk.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_IntClock.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_IntClock.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MISO.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MISO.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MISO_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MOSI.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MOSI.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MOSI_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_PM.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_PVT.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_SCK.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_SCK.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_SCK_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_WP.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_WP.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_WP_aliases.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cm3gcc.ld create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/config.hex create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/core_cm3.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/core_cm3.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyPm.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyPm.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice_trm.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu.inc create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv.inc create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv_trm.inc create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cypins.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cytypes.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyutils.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/post_link.bat create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/project.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/protect.hex create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cycdx create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cydwr create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyfit create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyprj create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyversion create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.svd create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD_PSoC5lib.uvopt create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD_PSoC5lib.uvproj create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/TopDesign/TopDesign.cysch create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/bits.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/bits.h create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/blinky.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/blinky.h create mode 100644 software/SCSI2SD/SCSI2SD.cydsn/device.h create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/diagnostic.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/diagnostic.h create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/disk.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/disk.h create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/geometry.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/geometry.h create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/inquiry.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/inquiry.h create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/led.h create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/loopback.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/loopback.h create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/main.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/mode.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/mode.h create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/scsi.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/scsi.h create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.c create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.h create mode 100755 software/SCSI2SD/SCSI2SD.cydsn/sense.h diff --git a/COPYING b/COPYING new file mode 100644 index 0000000..94a9ed0 --- /dev/null +++ b/COPYING @@ -0,0 +1,674 @@ + GNU GENERAL PUBLIC LICENSE + Version 3, 29 June 2007 + + Copyright (C) 2007 Free Software Foundation, Inc. + Everyone is permitted to copy and distribute verbatim copies + of this license document, but changing it is not allowed. + + Preamble + + The GNU General Public License is a free, copyleft license for +software and other kinds of works. + + The licenses for most software and other practical works are designed +to take away your freedom to share and change the works. By contrast, +the GNU General Public License is intended to guarantee your freedom to +share and change all versions of a program--to make sure it remains free +software for all its users. We, the Free Software Foundation, use the +GNU General Public License for most of our software; it applies also to +any other work released this way by its authors. You can apply it to +your programs, too. + + When we speak of free software, we are referring to freedom, not +price. Our General Public Licenses are designed to make sure that you +have the freedom to distribute copies of free software (and charge for +them if you wish), that you receive source code or can get it if you +want it, that you can change the software or use pieces of it in new +free programs, and that you know you can do these things. + + To protect your rights, we need to prevent others from denying you +these rights or asking you to surrender the rights. Therefore, you have +certain responsibilities if you distribute copies of the software, or if +you modify it: responsibilities to respect the freedom of others. + + For example, if you distribute copies of such a program, whether +gratis or for a fee, you must pass on to the recipients the same +freedoms that you received. You must make sure that they, too, receive +or can get the source code. And you must show them these terms so they +know their rights. + + Developers that use the GNU GPL protect your rights with two steps: +(1) assert copyright on the software, and (2) offer you this License +giving you legal permission to copy, distribute and/or modify it. + + For the developers' and authors' protection, the GPL clearly explains +that there is no warranty for this free software. For both users' and +authors' sake, the GPL requires that modified versions be marked as +changed, so that their problems will not be attributed erroneously to +authors of previous versions. + + Some devices are designed to deny users access to install or run +modified versions of the software inside them, although the manufacturer +can do so. This is fundamentally incompatible with the aim of +protecting users' freedom to change the software. The systematic +pattern of such abuse occurs in the area of products for individuals to +use, which is precisely where it is most unacceptable. Therefore, we +have designed this version of the GPL to prohibit the practice for those +products. If such problems arise substantially in other domains, we +stand ready to extend this provision to those domains in future versions +of the GPL, as needed to protect the freedom of users. + + Finally, every program is threatened constantly by software patents. +States should not allow patents to restrict development and use of +software on general-purpose computers, but in those that do, we wish to +avoid the special danger that patents applied to a free program could +make it effectively proprietary. To prevent this, the GPL assures that +patents cannot be used to render the program non-free. + + The precise terms and conditions for copying, distribution and +modification follow. + + TERMS AND CONDITIONS + + 0. Definitions. + + "This License" refers to version 3 of the GNU General Public License. + + "Copyright" also means copyright-like laws that apply to other kinds of +works, such as semiconductor masks. + + "The Program" refers to any copyrightable work licensed under this +License. Each licensee is addressed as "you". "Licensees" and +"recipients" may be individuals or organizations. + + To "modify" a work means to copy from or adapt all or part of the work +in a fashion requiring copyright permission, other than the making of an +exact copy. The resulting work is called a "modified version" of the +earlier work or a work "based on" the earlier work. + + A "covered work" means either the unmodified Program or a work based +on the Program. + + To "propagate" a work means to do anything with it that, without +permission, would make you directly or secondarily liable for +infringement under applicable copyright law, except executing it on a +computer or modifying a private copy. Propagation includes copying, +distribution (with or without modification), making available to the +public, and in some countries other activities as well. + + To "convey" a work means any kind of propagation that enables other +parties to make or receive copies. Mere interaction with a user through +a computer network, with no transfer of a copy, is not conveying. + + An interactive user interface displays "Appropriate Legal Notices" +to the extent that it includes a convenient and prominently visible +feature that (1) displays an appropriate copyright notice, and (2) +tells the user that there is no warranty for the work (except to the +extent that warranties are provided), that licensees may convey the +work under this License, and how to view a copy of this License. If +the interface presents a list of user commands or options, such as a +menu, a prominent item in the list meets this criterion. + + 1. Source Code. + + The "source code" for a work means the preferred form of the work +for making modifications to it. "Object code" means any non-source +form of a work. + + A "Standard Interface" means an interface that either is an official +standard defined by a recognized standards body, or, in the case of +interfaces specified for a particular programming language, one that +is widely used among developers working in that language. + + The "System Libraries" of an executable work include anything, other +than the work as a whole, that (a) is included in the normal form of +packaging a Major Component, but which is not part of that Major +Component, and (b) serves only to enable use of the work with that +Major Component, or to implement a Standard Interface for which an +implementation is available to the public in source code form. A +"Major Component", in this context, means a major essential component +(kernel, window system, and so on) of the specific operating system +(if any) on which the executable work runs, or a compiler used to +produce the work, or an object code interpreter used to run it. + + The "Corresponding Source" for a work in object code form means all +the source code needed to generate, install, and (for an executable +work) run the object code and to modify the work, including scripts to +control those activities. However, it does not include the work's +System Libraries, or general-purpose tools or generally available free +programs which are used unmodified in performing those activities but +which are not part of the work. For example, Corresponding Source +includes interface definition files associated with source files for +the work, and the source code for shared libraries and dynamically +linked subprograms that the work is specifically designed to require, +such as by intimate data communication or control flow between those +subprograms and other parts of the work. + + The Corresponding Source need not include anything that users +can regenerate automatically from other parts of the Corresponding +Source. + + The Corresponding Source for a work in source code form is that +same work. + + 2. Basic Permissions. + + All rights granted under this License are granted for the term of +copyright on the Program, and are irrevocable provided the stated +conditions are met. This License explicitly affirms your unlimited +permission to run the unmodified Program. The output from running a +covered work is covered by this License only if the output, given its +content, constitutes a covered work. This License acknowledges your +rights of fair use or other equivalent, as provided by copyright law. + + You may make, run and propagate covered works that you do not +convey, without conditions so long as your license otherwise remains +in force. You may convey covered works to others for the sole purpose +of having them make modifications exclusively for you, or provide you +with facilities for running those works, provided that you comply with +the terms of this License in conveying all material for which you do +not control copyright. Those thus making or running the covered works +for you must do so exclusively on your behalf, under your direction +and control, on terms that prohibit them from making any copies of +your copyrighted material outside their relationship with you. + + Conveying under any other circumstances is permitted solely under +the conditions stated below. Sublicensing is not allowed; section 10 +makes it unnecessary. + + 3. Protecting Users' Legal Rights From Anti-Circumvention Law. + + No covered work shall be deemed part of an effective technological +measure under any applicable law fulfilling obligations under article +11 of the WIPO copyright treaty adopted on 20 December 1996, or +similar laws prohibiting or restricting circumvention of such +measures. + + When you convey a covered work, you waive any legal power to forbid +circumvention of technological measures to the extent such circumvention +is effected by exercising rights under this License with respect to +the covered work, and you disclaim any intention to limit operation or +modification of the work as a means of enforcing, against the work's +users, your or third parties' legal rights to forbid circumvention of +technological measures. + + 4. Conveying Verbatim Copies. + + You may convey verbatim copies of the Program's source code as you +receive it, in any medium, provided that you conspicuously and +appropriately publish on each copy an appropriate copyright notice; +keep intact all notices stating that this License and any +non-permissive terms added in accord with section 7 apply to the code; +keep intact all notices of the absence of any warranty; and give all +recipients a copy of this License along with the Program. + + You may charge any price or no price for each copy that you convey, +and you may offer support or warranty protection for a fee. + + 5. Conveying Modified Source Versions. + + You may convey a work based on the Program, or the modifications to +produce it from the Program, in the form of source code under the +terms of section 4, provided that you also meet all of these conditions: + + a) The work must carry prominent notices stating that you modified + it, and giving a relevant date. + + b) The work must carry prominent notices stating that it is + released under this License and any conditions added under section + 7. This requirement modifies the requirement in section 4 to + "keep intact all notices". + + c) You must license the entire work, as a whole, under this + License to anyone who comes into possession of a copy. This + License will therefore apply, along with any applicable section 7 + additional terms, to the whole of the work, and all its parts, + regardless of how they are packaged. This License gives no + permission to license the work in any other way, but it does not + invalidate such permission if you have separately received it. + + d) If the work has interactive user interfaces, each must display + Appropriate Legal Notices; however, if the Program has interactive + interfaces that do not display Appropriate Legal Notices, your + work need not make them do so. + + A compilation of a covered work with other separate and independent +works, which are not by their nature extensions of the covered work, +and which are not combined with it such as to form a larger program, +in or on a volume of a storage or distribution medium, is called an +"aggregate" if the compilation and its resulting copyright are not +used to limit the access or legal rights of the compilation's users +beyond what the individual works permit. Inclusion of a covered work +in an aggregate does not cause this License to apply to the other +parts of the aggregate. + + 6. Conveying Non-Source Forms. + + You may convey a covered work in object code form under the terms +of sections 4 and 5, provided that you also convey the +machine-readable Corresponding Source under the terms of this License, +in one of these ways: + + a) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by the + Corresponding Source fixed on a durable physical medium + customarily used for software interchange. + + b) Convey the object code in, or embodied in, a physical product + (including a physical distribution medium), accompanied by a + written offer, valid for at least three years and valid for as + long as you offer spare parts or customer support for that product + model, to give anyone who possesses the object code either (1) a + copy of the Corresponding Source for all the software in the + product that is covered by this License, on a durable physical + medium customarily used for software interchange, for a price no + more than your reasonable cost of physically performing this + conveying of source, or (2) access to copy the + Corresponding Source from a network server at no charge. + + c) Convey individual copies of the object code with a copy of the + written offer to provide the Corresponding Source. This + alternative is allowed only occasionally and noncommercially, and + only if you received the object code with such an offer, in accord + with subsection 6b. + + d) Convey the object code by offering access from a designated + place (gratis or for a charge), and offer equivalent access to the + Corresponding Source in the same way through the same place at no + further charge. You need not require recipients to copy the + Corresponding Source along with the object code. If the place to + copy the object code is a network server, the Corresponding Source + may be on a different server (operated by you or a third party) + that supports equivalent copying facilities, provided you maintain + clear directions next to the object code saying where to find the + Corresponding Source. Regardless of what server hosts the + Corresponding Source, you remain obligated to ensure that it is + available for as long as needed to satisfy these requirements. + + e) Convey the object code using peer-to-peer transmission, provided + you inform other peers where the object code and Corresponding + Source of the work are being offered to the general public at no + charge under subsection 6d. + + A separable portion of the object code, whose source code is excluded +from the Corresponding Source as a System Library, need not be +included in conveying the object code work. + + A "User Product" is either (1) a "consumer product", which means any +tangible personal property which is normally used for personal, family, +or household purposes, or (2) anything designed or sold for incorporation +into a dwelling. In determining whether a product is a consumer product, +doubtful cases shall be resolved in favor of coverage. For a particular +product received by a particular user, "normally used" refers to a +typical or common use of that class of product, regardless of the status +of the particular user or of the way in which the particular user +actually uses, or expects or is expected to use, the product. A product +is a consumer product regardless of whether the product has substantial +commercial, industrial or non-consumer uses, unless such uses represent +the only significant mode of use of the product. + + "Installation Information" for a User Product means any methods, +procedures, authorization keys, or other information required to install +and execute modified versions of a covered work in that User Product from +a modified version of its Corresponding Source. The information must +suffice to ensure that the continued functioning of the modified object +code is in no case prevented or interfered with solely because +modification has been made. + + If you convey an object code work under this section in, or with, or +specifically for use in, a User Product, and the conveying occurs as +part of a transaction in which the right of possession and use of the +User Product is transferred to the recipient in perpetuity or for a +fixed term (regardless of how the transaction is characterized), the +Corresponding Source conveyed under this section must be accompanied +by the Installation Information. But this requirement does not apply +if neither you nor any third party retains the ability to install +modified object code on the User Product (for example, the work has +been installed in ROM). + + The requirement to provide Installation Information does not include a +requirement to continue to provide support service, warranty, or updates +for a work that has been modified or installed by the recipient, or for +the User Product in which it has been modified or installed. Access to a +network may be denied when the modification itself materially and +adversely affects the operation of the network or violates the rules and +protocols for communication across the network. + + Corresponding Source conveyed, and Installation Information provided, +in accord with this section must be in a format that is publicly +documented (and with an implementation available to the public in +source code form), and must require no special password or key for +unpacking, reading or copying. + + 7. Additional Terms. + + "Additional permissions" are terms that supplement the terms of this +License by making exceptions from one or more of its conditions. +Additional permissions that are applicable to the entire Program shall +be treated as though they were included in this License, to the extent +that they are valid under applicable law. If additional permissions +apply only to part of the Program, that part may be used separately +under those permissions, but the entire Program remains governed by +this License without regard to the additional permissions. + + When you convey a copy of a covered work, you may at your option +remove any additional permissions from that copy, or from any part of +it. (Additional permissions may be written to require their own +removal in certain cases when you modify the work.) You may place +additional permissions on material, added by you to a covered work, +for which you have or can give appropriate copyright permission. + + Notwithstanding any other provision of this License, for material you +add to a covered work, you may (if authorized by the copyright holders of +that material) supplement the terms of this License with terms: + + a) Disclaiming warranty or limiting liability differently from the + terms of sections 15 and 16 of this License; or + + b) Requiring preservation of specified reasonable legal notices or + author attributions in that material or in the Appropriate Legal + Notices displayed by works containing it; or + + c) Prohibiting misrepresentation of the origin of that material, or + requiring that modified versions of such material be marked in + reasonable ways as different from the original version; or + + d) Limiting the use for publicity purposes of names of licensors or + authors of the material; or + + e) Declining to grant rights under trademark law for use of some + trade names, trademarks, or service marks; or + + f) Requiring indemnification of licensors and authors of that + material by anyone who conveys the material (or modified versions of + it) with contractual assumptions of liability to the recipient, for + any liability that these contractual assumptions directly impose on + those licensors and authors. + + All other non-permissive additional terms are considered "further +restrictions" within the meaning of section 10. If the Program as you +received it, or any part of it, contains a notice stating that it is +governed by this License along with a term that is a further +restriction, you may remove that term. If a license document contains +a further restriction but permits relicensing or conveying under this +License, you may add to a covered work material governed by the terms +of that license document, provided that the further restriction does +not survive such relicensing or conveying. + + If you add terms to a covered work in accord with this section, you +must place, in the relevant source files, a statement of the +additional terms that apply to those files, or a notice indicating +where to find the applicable terms. + + Additional terms, permissive or non-permissive, may be stated in the +form of a separately written license, or stated as exceptions; +the above requirements apply either way. + + 8. Termination. + + You may not propagate or modify a covered work except as expressly +provided under this License. Any attempt otherwise to propagate or +modify it is void, and will automatically terminate your rights under +this License (including any patent licenses granted under the third +paragraph of section 11). + + However, if you cease all violation of this License, then your +license from a particular copyright holder is reinstated (a) +provisionally, unless and until the copyright holder explicitly and +finally terminates your license, and (b) permanently, if the copyright +holder fails to notify you of the violation by some reasonable means +prior to 60 days after the cessation. + + Moreover, your license from a particular copyright holder is +reinstated permanently if the copyright holder notifies you of the +violation by some reasonable means, this is the first time you have +received notice of violation of this License (for any work) from that +copyright holder, and you cure the violation prior to 30 days after +your receipt of the notice. + + Termination of your rights under this section does not terminate the +licenses of parties who have received copies or rights from you under +this License. If your rights have been terminated and not permanently +reinstated, you do not qualify to receive new licenses for the same +material under section 10. + + 9. Acceptance Not Required for Having Copies. + + You are not required to accept this License in order to receive or +run a copy of the Program. Ancillary propagation of a covered work +occurring solely as a consequence of using peer-to-peer transmission +to receive a copy likewise does not require acceptance. However, +nothing other than this License grants you permission to propagate or +modify any covered work. These actions infringe copyright if you do +not accept this License. Therefore, by modifying or propagating a +covered work, you indicate your acceptance of this License to do so. + + 10. Automatic Licensing of Downstream Recipients. + + Each time you convey a covered work, the recipient automatically +receives a license from the original licensors, to run, modify and +propagate that work, subject to this License. You are not responsible +for enforcing compliance by third parties with this License. + + An "entity transaction" is a transaction transferring control of an +organization, or substantially all assets of one, or subdividing an +organization, or merging organizations. If propagation of a covered +work results from an entity transaction, each party to that +transaction who receives a copy of the work also receives whatever +licenses to the work the party's predecessor in interest had or could +give under the previous paragraph, plus a right to possession of the +Corresponding Source of the work from the predecessor in interest, if +the predecessor has it or can get it with reasonable efforts. + + You may not impose any further restrictions on the exercise of the +rights granted or affirmed under this License. For example, you may +not impose a license fee, royalty, or other charge for exercise of +rights granted under this License, and you may not initiate litigation +(including a cross-claim or counterclaim in a lawsuit) alleging that +any patent claim is infringed by making, using, selling, offering for +sale, or importing the Program or any portion of it. + + 11. Patents. + + A "contributor" is a copyright holder who authorizes use under this +License of the Program or a work on which the Program is based. The +work thus licensed is called the contributor's "contributor version". + + A contributor's "essential patent claims" are all patent claims +owned or controlled by the contributor, whether already acquired or +hereafter acquired, that would be infringed by some manner, permitted +by this License, of making, using, or selling its contributor version, +but do not include claims that would be infringed only as a +consequence of further modification of the contributor version. For +purposes of this definition, "control" includes the right to grant +patent sublicenses in a manner consistent with the requirements of +this License. + + Each contributor grants you a non-exclusive, worldwide, royalty-free +patent license under the contributor's essential patent claims, to +make, use, sell, offer for sale, import and otherwise run, modify and +propagate the contents of its contributor version. + + In the following three paragraphs, a "patent license" is any express +agreement or commitment, however denominated, not to enforce a patent +(such as an express permission to practice a patent or covenant not to +sue for patent infringement). To "grant" such a patent license to a +party means to make such an agreement or commitment not to enforce a +patent against the party. + + If you convey a covered work, knowingly relying on a patent license, +and the Corresponding Source of the work is not available for anyone +to copy, free of charge and under the terms of this License, through a +publicly available network server or other readily accessible means, +then you must either (1) cause the Corresponding Source to be so +available, or (2) arrange to deprive yourself of the benefit of the +patent license for this particular work, or (3) arrange, in a manner +consistent with the requirements of this License, to extend the patent +license to downstream recipients. "Knowingly relying" means you have +actual knowledge that, but for the patent license, your conveying the +covered work in a country, or your recipient's use of the covered work +in a country, would infringe one or more identifiable patents in that +country that you have reason to believe are valid. + + If, pursuant to or in connection with a single transaction or +arrangement, you convey, or propagate by procuring conveyance of, a +covered work, and grant a patent license to some of the parties +receiving the covered work authorizing them to use, propagate, modify +or convey a specific copy of the covered work, then the patent license +you grant is automatically extended to all recipients of the covered +work and works based on it. + + A patent license is "discriminatory" if it does not include within +the scope of its coverage, prohibits the exercise of, or is +conditioned on the non-exercise of one or more of the rights that are +specifically granted under this License. You may not convey a covered +work if you are a party to an arrangement with a third party that is +in the business of distributing software, under which you make payment +to the third party based on the extent of your activity of conveying +the work, and under which the third party grants, to any of the +parties who would receive the covered work from you, a discriminatory +patent license (a) in connection with copies of the covered work +conveyed by you (or copies made from those copies), or (b) primarily +for and in connection with specific products or compilations that +contain the covered work, unless you entered into that arrangement, +or that patent license was granted, prior to 28 March 2007. + + Nothing in this License shall be construed as excluding or limiting +any implied license or other defenses to infringement that may +otherwise be available to you under applicable patent law. + + 12. No Surrender of Others' Freedom. + + If conditions are imposed on you (whether by court order, agreement or +otherwise) that contradict the conditions of this License, they do not +excuse you from the conditions of this License. If you cannot convey a +covered work so as to satisfy simultaneously your obligations under this +License and any other pertinent obligations, then as a consequence you may +not convey it at all. For example, if you agree to terms that obligate you +to collect a royalty for further conveying from those to whom you convey +the Program, the only way you could satisfy both those terms and this +License would be to refrain entirely from conveying the Program. + + 13. Use with the GNU Affero General Public License. + + Notwithstanding any other provision of this License, you have +permission to link or combine any covered work with a work licensed +under version 3 of the GNU Affero General Public License into a single +combined work, and to convey the resulting work. The terms of this +License will continue to apply to the part which is the covered work, +but the special requirements of the GNU Affero General Public License, +section 13, concerning interaction through a network will apply to the +combination as such. + + 14. Revised Versions of this License. + + The Free Software Foundation may publish revised and/or new versions of +the GNU General Public License from time to time. Such new versions will +be similar in spirit to the present version, but may differ in detail to +address new problems or concerns. + + Each version is given a distinguishing version number. If the +Program specifies that a certain numbered version of the GNU General +Public License "or any later version" applies to it, you have the +option of following the terms and conditions either of that numbered +version or of any later version published by the Free Software +Foundation. If the Program does not specify a version number of the +GNU General Public License, you may choose any version ever published +by the Free Software Foundation. + + If the Program specifies that a proxy can decide which future +versions of the GNU General Public License can be used, that proxy's +public statement of acceptance of a version permanently authorizes you +to choose that version for the Program. + + Later license versions may give you additional or different +permissions. However, no additional obligations are imposed on any +author or copyright holder as a result of your choosing to follow a +later version. + + 15. Disclaimer of Warranty. + + THERE IS NO WARRANTY FOR THE PROGRAM, TO THE EXTENT PERMITTED BY +APPLICABLE LAW. EXCEPT WHEN OTHERWISE STATED IN WRITING THE COPYRIGHT +HOLDERS AND/OR OTHER PARTIES PROVIDE THE PROGRAM "AS IS" WITHOUT WARRANTY +OF ANY KIND, EITHER EXPRESSED OR IMPLIED, INCLUDING, BUT NOT LIMITED TO, +THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +PURPOSE. THE ENTIRE RISK AS TO THE QUALITY AND PERFORMANCE OF THE PROGRAM +IS WITH YOU. SHOULD THE PROGRAM PROVE DEFECTIVE, YOU ASSUME THE COST OF +ALL NECESSARY SERVICING, REPAIR OR CORRECTION. + + 16. Limitation of Liability. + + IN NO EVENT UNLESS REQUIRED BY APPLICABLE LAW OR AGREED TO IN WRITING +WILL ANY COPYRIGHT HOLDER, OR ANY OTHER PARTY WHO MODIFIES AND/OR CONVEYS +THE PROGRAM AS PERMITTED ABOVE, BE LIABLE TO YOU FOR DAMAGES, INCLUDING ANY +GENERAL, SPECIAL, INCIDENTAL OR CONSEQUENTIAL DAMAGES ARISING OUT OF THE +USE OR INABILITY TO USE THE PROGRAM (INCLUDING BUT NOT LIMITED TO LOSS OF +DATA OR DATA BEING RENDERED INACCURATE OR LOSSES SUSTAINED BY YOU OR THIRD +PARTIES OR A FAILURE OF THE PROGRAM TO OPERATE WITH ANY OTHER PROGRAMS), +EVEN IF SUCH HOLDER OR OTHER PARTY HAS BEEN ADVISED OF THE POSSIBILITY OF +SUCH DAMAGES. + + 17. Interpretation of Sections 15 and 16. + + If the disclaimer of warranty and limitation of liability provided +above cannot be given local legal effect according to their terms, +reviewing courts shall apply local law that most closely approximates +an absolute waiver of all civil liability in connection with the +Program, unless a warranty or assumption of liability accompanies a +copy of the Program in return for a fee. + + END OF TERMS AND CONDITIONS + + How to Apply These Terms to Your New Programs + + If you develop a new program, and you want it to be of the greatest +possible use to the public, the best way to achieve this is to make it +free software which everyone can redistribute and change under these terms. + + To do so, attach the following notices to the program. It is safest +to attach them to the start of each source file to most effectively +state the exclusion of warranty; and each file should have at least +the "copyright" line and a pointer to where the full notice is found. + + + Copyright (C) + + This program is free software: you can redistribute it and/or modify + it under the terms of the GNU General Public License as published by + the Free Software Foundation, either version 3 of the License, or + (at your option) any later version. + + This program is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + GNU General Public License for more details. + + You should have received a copy of the GNU General Public License + along with this program. If not, see . + +Also add information on how to contact you by electronic and paper mail. + + If the program does terminal interaction, make it output a short +notice like this when it starts in an interactive mode: + + Copyright (C) + This program comes with ABSOLUTELY NO WARRANTY; for details type `show w'. + This is free software, and you are welcome to redistribute it + under certain conditions; type `show c' for details. + +The hypothetical commands `show w' and `show c' should show the appropriate +parts of the General Public License. Of course, your program's commands +might be different; for a GUI interface, you would use an "about box". + + You should also get your employer (if you work as a programmer) or school, +if any, to sign a "copyright disclaimer" for the program, if necessary. +For more information on this, and how to apply and follow the GNU GPL, see +. + + The GNU General Public License does not permit incorporating your program +into proprietary programs. If your program is a subroutine library, you +may consider it more useful to permit linking proprietary applications with +the library. If this is what you want to do, use the GNU Lesser General +Public License instead of this License. But first, please read +. diff --git a/hardware/.gitignore b/hardware/.gitignore new file mode 100644 index 0000000..241aa1f --- /dev/null +++ b/hardware/.gitignore @@ -0,0 +1,5 @@ +*.sch~ +*.bak +*.bak0 +*.pcb.old + diff --git a/hardware/Makefile b/hardware/Makefile new file mode 100644 index 0000000..eaba138 --- /dev/null +++ b/hardware/Makefile @@ -0,0 +1,35 @@ +JUNK =\ + scsi2sd.pcb.bak \ + scsi2sd.pcb.bak0 \ + scsi2sd.pcb.old \ + scsi2sd.sch~ \ + + +SYMBOLS =\ + symbols/7406.sym \ + symbols/CY8C53.sym \ + symbols/FCI-10067847.sym \ + symbols/LD1117.sym \ + symbols/MOLEX8981.sym \ + symbols/SCDA7A0101.sym \ + symbols/wurth-microsd.sym \ + + +all: $(SYMBOLS) scsi2sd.bom scsi2sd.pcb + +symbols/%.sym : symbols/%.tragesym + tragesym $< $@ + +scsi2sd.bom : scsi2sd.sch + gnetlist -g partslist3 -o scsi2sd.bom scsi2sd.sch + +scsi2sd.pcb : scsi2sd.sch + gsch2pcb -d symbols scsi2sd.sch + +clean: + rm $(JUNK) + +realclean: + rm $(JUNK) + rm $(SYMBOLS) + diff --git a/hardware/bracket/3.5_hdd_mount.scad b/hardware/bracket/3.5_hdd_mount.scad new file mode 100644 index 0000000..0b974fa --- /dev/null +++ b/hardware/bracket/3.5_hdd_mount.scad @@ -0,0 +1,208 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . + + +$fa = 3; // 4-times as many angles per circle. +$fs = 0.1; // 0.1mm accuracy + + // A* taken from SFF-8301 Specification for Form Factor of 3.5" Disk Drives + + A3 = 101.6; // width + A5 = 3.19; // Distance from side to bottom hole. + A6 = 44.45; // Distance from A7 to second bottom hole. + A7 = 41.28; // Distance from front to bottom holes. + A8 = 28.5; // Distance from front to side holes + A9 = 101.60; // Distance between side holes. + A10 = 6.35; // Height from base to site holes. + A13 = 76.2; // Distance from A7 to third bottom hole. + m3HoleRadius=2.667/2; // M3x0.50 minimum hole size (aluminium or softer material) + holeBulk=4; // Extra around holes + tmp = 10; + wallWidth = 1.3; + screwWidth = 3; + foo = 6; + bar = 4; // PSOC MOUNT + +PCB_DIFF=90.42; // Clearance line of "fat" via is 10mil from edge. +PCB_off = (A3 - PCB_DIFF) / 2; +// from front = A7 + foo = 47.28 +// second = 47.28 + A6 = 91.73. Perfect! +// Height between board and screw: +// screwWidth + 1.6mm pcb only = 3 + 1.6 =4.6. Not a problem! +// Width of PCB vs side hole bulk: 101.6 - 97.5360 = 4.064 +// only 2mm to spare on either side. +// TODO Made a NOTCH in the PCB to handle this! +// notch: A8 +- holeBulk = 28.5 - 4, 28.5 + 4 = 24 -> 33mm. 3mm in. + + + +module hdd_side() +{ + difference() + { + union() + { + cube([A8 + A9 + tmp, wallWidth, A10 + holeBulk]); + + // Bottom mount 1 + translate([A7 - (foo / 2), 0, 0]) + { + cube([foo, foo, screwWidth]); + } + + // Bottom mount 2 + translate([A6 + A7 - (foo / 2), 0, 0]) + { + cube([foo, foo, screwWidth]); + } + + // Bottom mount 3 + translate([A13 + A7 - (foo / 2), 0, 0]) + { + cube([foo, foo, screwWidth]); + } + + // psoc mount 1 + translate([A7 - (foo / 2) + foo, 0, 0]) + { + cube([foo, foo + bar, screwWidth]); + } + + // psoc mount 2 + translate([A6 + A7 - (foo / 2) + foo, 0, 0]) + { + cube([foo, foo + bar, screwWidth]); + } + + // Extra bulk behind side holes + translate([A8, 0, A10]) + { + rotate([270, 0, 0]) + { + cylinder(h=screwWidth, r=holeBulk); + } + } + + translate([A8 + A9, 0, A10]) + { + rotate([270, 0, 0]) + { + cylinder(h=screwWidth, r=holeBulk); + } + } + } + + // Remove excess material from the side + translate([-0.5, -0.5,screwWidth + wallWidth]) + { + cube([A8 - tmp + 0.5, wallWidth + 1, A10 + holeBulk]); + } + translate([A8 + tmp, -0.5, screwWidth + wallWidth]) + { + cube([A9 - (tmp * 2), wallWidth + 1, A10 + holeBulk]); + } + + + // SIDE HOLES + + translate([A8, -0.5, A10]) + { + rotate([270, 0, 0]) + { + cylinder(h=screwWidth + 1, r=m3HoleRadius); + } + } + + translate([A8 + A9, -0.5, A10]) + { + rotate([270, 0, 0]) + { + cylinder(h=screwWidth + 1, r=m3HoleRadius); + } + } + + // BOTTOM HOLES + // Bottom hole 1 + translate([A7, A5, -0.5]) + { + cylinder(h=screwWidth + 1, r = m3HoleRadius); + } + + // Bottom hole 2 + translate([A6 + A7, A5, -0.5]) + { + cylinder(h=screwWidth + 1, r = m3HoleRadius); + } + + // Bottom hole 3 + translate([A13 + A7, A5, -0.5]) + { + cylinder(h=screwWidth + 1, r = m3HoleRadius); + } + + // PSOC hole1 + translate([A7 + foo, PCB_off, -0.5]) + { + cylinder(h=screwWidth + 1, r = m3HoleRadius); + } + // PSOC hole2 + translate([A6 + A7 + foo, PCB_off, -0.5]) + { + cylinder(h=screwWidth + 1, r = m3HoleRadius); + } + } +} + +union() +{ + hdd_side(); + translate([0, A3, 0]) + { + mirror([0, 1, 0]) + { + hdd_side(); + } + } + + cube([wallWidth * 2, A3, wallWidth]); + + translate([A8 + A9 + tmp - wallWidth * 2, 0, 0]) + { + cube([wallWidth * 2, A3, wallWidth]); + } + + // Bottom hole 1 + translate([A7 + foo, foo + bar, 0]) + { + cube([wallWidth * 2, A3 - ((foo + bar) * 2), wallWidth]); + } + + // Bottom hole 2 + translate([A6 + A7 + foo, foo + bar, 0]) + { + cube([wallWidth * 2, A3 - ((foo + bar) * 2), wallWidth]); + } + + + for (i = [0:3]) + { + translate([0, (i * (A3 - wallWidth) / 3), 0]) + { + cube([A8 + A9 + tmp, wallWidth, wallWidth]); + } + } +} diff --git a/hardware/bracket/3.5_hdd_mount.stl b/hardware/bracket/3.5_hdd_mount.stl new file mode 100644 index 0000000..c9b8cd1 --- /dev/null +++ b/hardware/bracket/3.5_hdd_mount.stl @@ -0,0 +1,54616 @@ +solid OpenSCAD_Model + facet normal -1 0 0 + outer loop + vertex 0 100.3 1.3 + vertex 0 101.6 0 + vertex 0 1.3 1.3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 0 0 0 + vertex 0 0 4.3 + vertex 0 1.3 1.3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 0 0 0 + vertex 0 1.3 1.3 + vertex 0 101.6 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 0 101.6 0 + vertex 0 100.3 1.3 + vertex 0 100.3 4.3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 0 101.6 1.3 + vertex 0 100.3 4.3 + vertex 0 101.6 4.3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 0 101.6 0 + vertex 0 100.3 4.3 + vertex 0 101.6 1.3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 0 1.3 1.3 + vertex 0 0 4.3 + vertex 0 1.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.9181 0 2.37191 + vertex 0 0 0 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.7093 0 2.35548 + vertex 28.5 0 2.35 + vertex 0 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.5 0 6.35 + vertex 29.6018 0 7.10119 + vertex 29.6548 0 7.01675 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.7361 0 3.99886 + vertex 31.6086 0 3.83272 + vertex 140.1 0 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 24.5 0 6.35 + vertex 27.5225 0 7.25701 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.374 0 6.74306 + vertex 134.1 0 6.35 + vertex 131.341 0 6.83718 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.1 0 6.35 + vertex 128.781 0 6.54875 + vertex 128.8 0 6.64673 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.4 0 6.64673 + vertex 134.1 0 6.35 + vertex 131.374 0 6.74306 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 27.593 0 7.32752 + vertex 27.6686 0 7.39257 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.9872 0 7.59132 + vertex 29.0786 0 7.55144 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.9641 0 4.35 + vertex 31.8547 0 4.17144 + vertex 38.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 27.4574 0 7.18142 + vertex 27.5225 0 7.25701 + vertex 24.5 0 6.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.964 0 5.31472 + vertex 133.904 0 5.11393 + vertex 140.1 0 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.122 0 5.93189 + vertex 126.105 0 6.14066 + vertex 120.1 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.2512 0 7.45179 + vertex 29.3314 0 7.39257 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.1 0 6.35 + vertex 128.859 0 6.83718 + vertex 128.899 0 6.92858 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.1 0 6.35 + vertex 128.8 0 6.64673 + vertex 128.826 0 6.74306 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 27.3982 0 7.10119 + vertex 24.5 0 6.35 + vertex 27.3452 0 7.01675 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 27.4574 0 7.18142 + vertex 24.5 0 6.35 + vertex 27.3982 0 7.10119 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.5 0 7.6835 + vertex 28.5997 0 7.67977 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.419 0 6.54875 + vertex 134.1 0 6.35 + vertex 131.4 0 6.64673 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.43 0 6.44965 + vertex 134.1 0 6.35 + vertex 131.419 0 6.54875 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.433 0 6.35 + vertex 134.1 0 6.35 + vertex 131.43 0 6.44965 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.5997 0 7.67977 + vertex 28.6987 0 7.66861 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.3013 0 7.66861 + vertex 28.4003 0 7.67977 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.4003 0 7.67977 + vertex 28.5 0 7.6835 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.1667 0 7.50484 + vertex 29.2512 0 7.45179 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.6987 0 7.66861 + vertex 28.7967 0 7.65007 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.7967 0 7.65007 + vertex 28.8931 0 7.62426 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.8931 0 7.62426 + vertex 28.9872 0 7.59132 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.2033 0 7.65007 + vertex 28.3013 0 7.66861 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.0786 0 7.55144 + vertex 29.1667 0 7.50484 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.4775 0 7.25701 + vertex 29.5426 0 7.18142 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.1 0 6.35 + vertex 128.826 0 6.74306 + vertex 128.859 0 6.83718 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.3314 0 7.39257 + vertex 29.407 0 7.32752 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.407 0 7.32752 + vertex 29.4775 0 7.25701 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.4726 0 3.67348 + vertex 31.3284 0 3.52157 + vertex 140.1 0 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 28.2033 0 7.65007 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 28.1069 0 7.62426 + vertex 28.2033 0 7.65007 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 28.0128 0 7.59132 + vertex 28.1069 0 7.62426 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 27.9214 0 7.55144 + vertex 28.0128 0 7.59132 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 27.8332 0 7.50484 + vertex 27.9214 0 7.55144 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 27.7488 0 7.45179 + vertex 27.8332 0 7.50484 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 27.6686 0 7.39257 + vertex 27.7488 0 7.45179 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 27.5225 0 7.25701 + vertex 27.593 0 7.32752 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 24.5055 0 6.14066 + vertex 24.5 0 6.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.341 0 6.83718 + vertex 134.1 0 6.35 + vertex 131.301 0 6.92858 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.1 0 6.35 + vertex 128.77 0 6.44965 + vertex 128.781 0 6.54875 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 24.5219 0 5.93189 + vertex 24.5055 0 6.14066 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.5 0 6.35 + vertex 27.1702 0 6.44965 + vertex 27.1814 0 6.54875 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.5 0 6.35 + vertex 38.5 0 10.35 + vertex 29.5426 0 7.18142 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 24.5492 0 5.72426 + vertex 24.5219 0 5.93189 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.5 0 6.35 + vertex 29.5426 0 7.18142 + vertex 29.6018 0 7.10119 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.5 0 6.35 + vertex 27.2257 0 6.74306 + vertex 27.2587 0 6.83718 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.5 0 6.35 + vertex 27.2986 0 6.92858 + vertex 27.3452 0 7.01675 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.564 0 4.35 + vertex 133.455 0 4.17144 + vertex 140.1 0 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.5 0 6.35 + vertex 29.7413 0 6.83718 + vertex 29.7743 0 6.74306 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.5 0 6.35 + vertex 29.8001 0 6.64673 + vertex 29.8186 0 6.54875 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.5 0 6.35 + vertex 29.7743 0 6.74306 + vertex 29.8001 0 6.64673 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.5 0 6.35 + vertex 29.8186 0 6.54875 + vertex 29.8298 0 6.44965 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.2343 0 4.91653 + vertex 32.1542 0 4.72305 + vertex 38.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.1542 0 4.72305 + vertex 32.064 0 4.53404 + vertex 38.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.064 0 4.53404 + vertex 31.9641 0 4.35 + vertex 38.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 31.3284 0 3.52157 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.3284 0 3.52157 + vertex 31.1765 0 3.37742 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 25.5274 0 3.67348 + vertex 25.3914 0 3.83272 + vertex 0 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.0173 0 3.24142 + vertex 30.8511 0 3.11393 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.8511 0 3.11393 + vertex 30.6786 0 2.99532 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.6786 0 2.99532 + vertex 30.5 0 2.8859 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.5 0 2.8859 + vertex 30.316 0 2.78597 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.316 0 2.78597 + vertex 30.1269 0 2.69582 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.1269 0 2.69582 + vertex 29.9335 0 2.61568 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.9335 0 2.61568 + vertex 29.7361 0 2.54577 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.7361 0 2.54577 + vertex 29.5353 0 2.4863 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.5353 0 2.4863 + vertex 29.3316 0 2.43741 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.3316 0 2.43741 + vertex 29.1257 0 2.39925 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.1257 0 2.39925 + vertex 28.9181 0 2.37191 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.7093 0 2.35548 + vertex 0 0 0 + vertex 28.9181 0 2.37191 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.5 0 2.35 + vertex 28.2907 0 2.35548 + vertex 0 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.2907 0 2.35548 + vertex 28.0819 0 2.37191 + vertex 0 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.0819 0 2.37191 + vertex 27.8743 0 2.39925 + vertex 0 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 27.8743 0 2.39925 + vertex 27.6684 0 2.43741 + vertex 0 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 27.6684 0 2.43741 + vertex 27.4647 0 2.4863 + vertex 0 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 27.4647 0 2.4863 + vertex 27.2639 0 2.54577 + vertex 0 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 27.2639 0 2.54577 + vertex 27.0665 0 2.61568 + vertex 0 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 27.0665 0 2.61568 + vertex 26.8731 0 2.69582 + vertex 0 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 26.8731 0 2.69582 + vertex 26.684 0 2.78597 + vertex 0 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 26.684 0 2.78597 + vertex 26.5 0 2.8859 + vertex 0 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 25.8235 0 3.37742 + vertex 25.6716 0 3.52157 + vertex 0 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 0 0 4.3 + vertex 0 0 0 + vertex 26.5 0 2.8859 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 0 0 4.3 + vertex 26.5 0 2.8859 + vertex 26.3214 0 2.99532 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 0 0 4.3 + vertex 26.3214 0 2.99532 + vertex 26.1489 0 3.11393 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 0 0 4.3 + vertex 26.1489 0 3.11393 + vertex 25.9827 0 3.24142 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 0 0 4.3 + vertex 25.9827 0 3.24142 + vertex 25.8235 0 3.37742 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 25.6716 0 3.52157 + vertex 25.5274 0 3.67348 + vertex 0 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 25.2639 0 3.99886 + vertex 25.1453 0 4.17144 + vertex 18.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 25.1453 0 4.17144 + vertex 25.0359 0 4.35 + vertex 18.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 4.3 + vertex 0 0 4.3 + vertex 25.2639 0 3.99886 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 25.0359 0 4.35 + vertex 24.936 0 4.53404 + vertex 18.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.936 0 4.53404 + vertex 24.8458 0 4.72305 + vertex 18.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.8458 0 4.72305 + vertex 24.7657 0 4.91653 + vertex 18.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.202 0 7.10119 + vertex 134.1 0 6.35 + vertex 131.143 0 7.18142 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.5 0 6.35 + vertex 29.7014 0 6.92858 + vertex 29.7413 0 6.83718 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.5 0 6.35 + vertex 29.6548 0 7.01675 + vertex 29.7014 0 6.92858 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.5 0 6.35 + vertex 27.1999 0 6.64673 + vertex 27.2257 0 6.74306 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.5 0 6.35 + vertex 27.1665 0 6.35 + vertex 27.1702 0 6.44965 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.1 0 6.35 + vertex 128.766 0 6.35 + vertex 128.77 0 6.44965 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.301 0 6.92858 + vertex 134.1 0 6.35 + vertex 131.255 0 7.01675 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 38.5 0 4.3 + vertex 32.3042 0 5.11393 + vertex 32.2343 0 4.91653 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 10.35 + vertex 120.1 0 4.3 + vertex 126.105 0 6.14066 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.587 0 7.59132 + vertex 130.679 0 7.55144 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.057 0 7.18142 + vertex 129.122 0 7.25701 + vertex 126.1 0 6.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 134.013 0 5.51835 + vertex 133.964 0 5.31472 + vertex 140.1 0 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.851 0 7.45179 + vertex 130.931 0 7.39257 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.255 0 7.01675 + vertex 134.1 0 6.35 + vertex 131.202 0 7.10119 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 128.998 0 7.10119 + vertex 126.1 0 6.35 + vertex 128.945 0 7.01675 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.057 0 7.18142 + vertex 126.1 0 6.35 + vertex 128.998 0 7.10119 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.1 0 7.6835 + vertex 130.2 0 7.67977 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 38.5 0 4.3 + vertex 32.3637 0 5.31472 + vertex 32.3042 0 5.11393 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 38.5 0 4.3 + vertex 32.4126 0 5.51835 + vertex 32.3637 0 5.31472 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 38.5 0 4.3 + vertex 32.4508 0 5.72426 + vertex 32.4126 0 5.51835 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 38.5 0 4.3 + vertex 32.4781 0 5.93189 + vertex 32.4508 0 5.72426 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.2 0 7.67977 + vertex 130.299 0 7.66861 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.5 0 6.35 + vertex 27.1814 0 6.54875 + vertex 27.1999 0 6.64673 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 38.5 0 4.3 + vertex 32.4945 0 6.14066 + vertex 32.4781 0 5.93189 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 38.5 0 4.3 + vertex 32.5 0 6.35 + vertex 32.4945 0 6.14066 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.901 0 7.66861 + vertex 130 0 7.67977 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.5 0 6.35 + vertex 29.8298 0 6.44965 + vertex 29.8335 0 6.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130 0 7.67977 + vertex 130.1 0 7.6835 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.767 0 7.50484 + vertex 130.851 0 7.45179 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.299 0 7.66861 + vertex 130.397 0 7.65007 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.397 0 7.65007 + vertex 130.493 0 7.62426 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.493 0 7.62426 + vertex 130.587 0 7.59132 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.803 0 7.65007 + vertex 129.901 0 7.66861 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.679 0 7.55144 + vertex 130.767 0 7.50484 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.078 0 7.25701 + vertex 131.143 0 7.18142 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.931 0 7.39257 + vertex 131.007 0 7.32752 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.007 0 7.32752 + vertex 131.078 0 7.25701 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.5 0 6.35 + vertex 27.2587 0 6.83718 + vertex 27.2986 0 6.92858 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 24.5874 0 5.51835 + vertex 24.5492 0 5.72426 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 10.35 + vertex 129.803 0 7.65007 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 10.35 + vertex 129.707 0 7.62426 + vertex 129.803 0 7.65007 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 10.35 + vertex 129.521 0 7.55144 + vertex 129.613 0 7.59132 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 10.35 + vertex 129.433 0 7.50484 + vertex 129.521 0 7.55144 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 10.35 + vertex 129.349 0 7.45179 + vertex 129.433 0 7.50484 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 10.35 + vertex 129.269 0 7.39257 + vertex 129.349 0 7.45179 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 24.6958 0 5.11393 + vertex 24.6363 0 5.31472 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 10.35 + vertex 131.143 0 7.18142 + vertex 134.1 0 6.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.904 0 5.11393 + vertex 133.834 0 4.91653 + vertex 140.1 0 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 24.6363 0 5.31472 + vertex 24.5874 0 5.51835 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.533 0 2.61568 + vertex 131.336 0 2.54577 + vertex 140.1 0 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 10.35 + vertex 129.613 0 7.59132 + vertex 129.707 0 7.62426 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 10.35 + vertex 129.193 0 7.32752 + vertex 129.269 0 7.39257 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 10.35 + vertex 129.122 0 7.25701 + vertex 129.193 0 7.32752 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 10.35 + vertex 126.1 0 6.35 + vertex 129.122 0 7.25701 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 10.35 + vertex 126.105 0 6.14066 + vertex 126.1 0 6.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 38.5 0 4.3 + vertex 38.5 0 10.35 + vertex 32.5 0 6.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 24.7657 0 4.91653 + vertex 24.6958 0 5.11393 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 0 10.35 + vertex 18.5 0 4.3 + vertex 24.7657 0 4.91653 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.745 0 4.17144 + vertex 126.636 0 4.35 + vertex 120.1 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 127.423 0 3.37742 + vertex 127.272 0 3.52157 + vertex 120.1 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.891 0 2.35548 + vertex 38.5 0 4.3 + vertex 130.1 0 2.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.682 0 2.37191 + vertex 129.474 0 2.39925 + vertex 38.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.474 0 2.39925 + vertex 129.268 0 2.43741 + vertex 38.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.268 0 2.43741 + vertex 129.065 0 2.4863 + vertex 38.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.065 0 2.4863 + vertex 128.864 0 2.54577 + vertex 38.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 128.864 0 2.54577 + vertex 128.667 0 2.61568 + vertex 38.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 127.921 0 2.99532 + vertex 127.749 0 3.11393 + vertex 120.1 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 4.3 + vertex 38.5 0 4.3 + vertex 128.667 0 2.61568 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 4.3 + vertex 128.667 0 2.61568 + vertex 128.473 0 2.69582 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 4.3 + vertex 128.473 0 2.69582 + vertex 128.284 0 2.78597 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 4.3 + vertex 128.284 0 2.78597 + vertex 128.1 0 2.8859 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 127.749 0 3.11393 + vertex 127.583 0 3.24142 + vertex 120.1 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 0 4.3 + vertex 128.1 0 2.8859 + vertex 127.921 0 2.99532 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 127.272 0 3.52157 + vertex 127.127 0 3.67348 + vertex 120.1 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 127.127 0 3.67348 + vertex 126.991 0 3.83272 + vertex 120.1 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.991 0 3.83272 + vertex 126.864 0 3.99886 + vertex 120.1 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.864 0 3.99886 + vertex 126.745 0 4.17144 + vertex 120.1 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.149 0 5.72426 + vertex 126.122 0 5.93189 + vertex 120.1 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.536 0 4.53404 + vertex 120.1 0 4.3 + vertex 126.636 0 4.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.446 0 4.72305 + vertex 120.1 0 4.3 + vertex 126.536 0 4.53404 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.366 0 4.91653 + vertex 120.1 0 4.3 + vertex 126.446 0 4.72305 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.296 0 5.11393 + vertex 120.1 0 4.3 + vertex 126.366 0 4.91653 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.236 0 5.31472 + vertex 120.1 0 4.3 + vertex 126.296 0 5.11393 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.187 0 5.51835 + vertex 120.1 0 4.3 + vertex 126.236 0 5.31472 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.149 0 5.72426 + vertex 120.1 0 4.3 + vertex 126.187 0 5.51835 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.1 0 6.35 + vertex 128.899 0 6.92858 + vertex 128.945 0 7.01675 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.1765 0 3.37742 + vertex 31.0173 0 3.24142 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 31.6086 0 3.83272 + vertex 31.4726 0 3.67348 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 25.3914 0 3.83272 + vertex 25.2639 0 3.99886 + vertex 0 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 31.8547 0 4.17144 + vertex 31.7361 0 3.99886 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 38.5 0 4.3 + vertex 31.8547 0 4.17144 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 130.1 0 2.35 + vertex 38.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 130.309 0 2.35548 + vertex 130.1 0 2.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 130.518 0 2.37191 + vertex 130.309 0 2.35548 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 130.726 0 2.39925 + vertex 130.518 0 2.37191 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 130.932 0 2.43741 + vertex 130.726 0 2.39925 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 131.135 0 2.4863 + vertex 130.932 0 2.43741 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 131.336 0 2.54577 + vertex 131.135 0 2.4863 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.891 0 2.35548 + vertex 129.682 0 2.37191 + vertex 38.5 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 127.583 0 3.24142 + vertex 127.423 0 3.37742 + vertex 120.1 0 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 131.727 0 2.69582 + vertex 131.533 0 2.61568 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 131.916 0 2.78597 + vertex 131.727 0 2.69582 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 132.1 0 2.8859 + vertex 131.916 0 2.78597 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 132.279 0 2.99532 + vertex 132.1 0 2.8859 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 132.451 0 3.11393 + vertex 132.279 0 2.99532 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 132.617 0 3.24142 + vertex 132.451 0 3.11393 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 132.777 0 3.37742 + vertex 132.617 0 3.24142 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 132.928 0 3.52157 + vertex 132.777 0 3.37742 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 133.073 0 3.67348 + vertex 132.928 0 3.52157 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 133.209 0 3.83272 + vertex 133.073 0 3.67348 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 133.336 0 3.99886 + vertex 133.209 0 3.83272 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 133.455 0 4.17144 + vertex 133.336 0 3.99886 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 133.664 0 4.53404 + vertex 133.564 0 4.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 133.754 0 4.72305 + vertex 133.664 0 4.53404 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 133.834 0 4.91653 + vertex 133.754 0 4.72305 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 134.051 0 5.72426 + vertex 134.013 0 5.51835 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 134.078 0 5.93189 + vertex 134.051 0 5.72426 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 134.095 0 6.14066 + vertex 134.078 0 5.93189 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 134.1 0 6.35 + vertex 134.095 0 6.14066 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 0 1.3 + vertex 140.1 0 10.35 + vertex 134.1 0 6.35 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.4114 96.2087 0 + vertex 82.73 95.6 0 + vertex 90.4299 96.3067 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 2.6 100.3 0 + vertex 0 101.6 0 + vertex 140.1 101.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 41.9467 2.03516 0 + vertex 42.0312 2.08821 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 0 0 0 + vertex 0 101.6 0 + vertex 2.6 33.4333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 2.6 68.1667 0 + vertex 0 101.6 0 + vertex 2.6 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 0 0 0 + vertex 2.6 33.4333 0 + vertex 2.6 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 0 0 0 + vertex 2.6 1.3 0 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 2.6 1.3 0 + vertex 38.28 1.3 0 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.0312 2.08821 0 + vertex 42.1114 2.14743 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 2.6 33.4333 0 + vertex 0 101.6 0 + vertex 2.6 34.7333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 0 101.6 0 + vertex 2.6 66.8667 0 + vertex 2.6 34.7333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 2.6 33.4333 0 + vertex 2.6 34.7333 0 + vertex 47.28 33.4333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 100.3 0 + vertex 39.9799 98.1133 0 + vertex 40.0057 98.0169 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 49.88 10 0 + vertex 47.28 33.4333 0 + vertex 49.88 33.4333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 66.8667 0 + vertex 94.33 33.4333 0 + vertex 91.73 34.7333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 68.1667 0 + vertex 47.28 66.8667 0 + vertex 2.6 68.1667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 100.3 0 + vertex 2.6 100.3 0 + vertex 140.1 101.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 2.6 66.8667 0 + vertex 0 101.6 0 + vertex 2.6 68.1667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 34.7333 0 + vertex 47.28 33.4333 0 + vertex 2.6 34.7333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 95.6 0 + vertex 47.28 91.6 0 + vertex 44.28 91.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 68.1667 0 + vertex 49.88 66.8667 0 + vertex 49.88 68.1667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 100.3 0 + vertex 39.9614 98.2113 0 + vertex 39.9799 98.1133 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 85.73 99.7435 0 + vertex 85.6303 99.7398 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.5543 2.79694 0 + vertex 42.5801 2.89327 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 100.3 0 + vertex 39.9502 98.3103 0 + vertex 39.9614 98.2113 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 100.3 0 + vertex 39.9465 98.41 0 + vertex 39.9502 98.3103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 85.8297 4.51977 0 + vertex 85.73 4.5235 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 100.3 0 + vertex 38.28 100.3 0 + vertex 140.1 101.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 38.28 6 0 + vertex 39.9465 3.19 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 39.9465 3.19 0 + vertex 39.9502 3.09035 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 39.9502 3.09035 0 + vertex 39.9614 2.99125 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 39.9614 2.99125 0 + vertex 39.9799 2.89327 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 39.9799 2.89327 0 + vertex 40.0057 2.79694 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.0057 2.79694 0 + vertex 40.0387 2.70282 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.4299 3.48673 0 + vertex 84.4114 3.38875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.0387 2.70282 0 + vertex 40.0786 2.61142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.0786 2.61142 0 + vertex 40.1252 2.52325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.1252 2.52325 0 + vertex 40.1782 2.43881 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.1782 2.43881 0 + vertex 40.2374 2.35858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.2374 2.35858 0 + vertex 40.3025 2.28299 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.3025 2.28299 0 + vertex 40.373 2.21247 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.823 4.16752 0 + vertex 84.7525 4.09701 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.373 2.21247 0 + vertex 40.4486 2.14743 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.4486 2.14743 0 + vertex 40.5288 2.08821 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.5288 2.08821 0 + vertex 40.6133 2.03516 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.6133 2.03516 0 + vertex 40.7014 1.98856 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.7014 1.98856 0 + vertex 40.7928 1.94868 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.7928 1.94868 0 + vertex 40.8869 1.91574 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.8869 1.91574 0 + vertex 40.9833 1.88993 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 40.9833 1.88993 0 + vertex 41.0813 1.87139 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 41.0813 1.87139 0 + vertex 41.1803 1.86023 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 41.1803 1.86023 0 + vertex 41.28 1.8565 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 85.9287 4.50861 0 + vertex 85.8297 4.51977 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 85.73 4.5235 0 + vertex 85.6303 4.51977 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 85.6303 4.51977 0 + vertex 85.5313 4.50861 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 85.5313 4.50861 0 + vertex 85.4333 4.49007 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 85.4333 4.49007 0 + vertex 85.3369 4.46426 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 85.3369 4.46426 0 + vertex 85.2428 4.43132 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 85.2428 4.43132 0 + vertex 85.1514 4.39144 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 85.1514 4.39144 0 + vertex 85.0632 4.34484 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 85.0632 4.34484 0 + vertex 84.9788 4.29179 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.9788 4.29179 0 + vertex 84.8986 4.23257 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.7525 4.09701 0 + vertex 84.6874 4.02142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.6874 4.02142 0 + vertex 84.6282 3.94119 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.6282 3.94119 0 + vertex 84.5752 3.85675 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.5752 3.85675 0 + vertex 84.5286 3.76858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.5286 3.76858 0 + vertex 84.4887 3.67718 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.4887 3.67718 0 + vertex 84.4557 3.58306 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.4557 3.58306 0 + vertex 84.4299 3.48673 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.4114 3.38875 0 + vertex 84.4002 3.28965 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.3965 3.19 0 + vertex 84.4002 3.09035 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.4002 3.09035 0 + vertex 84.4114 2.99125 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.4114 2.99125 0 + vertex 84.4299 2.89327 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.8869 97.1357 0 + vertex 44.28 95.6 0 + vertex 40.7928 97.1687 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.6731 4.46426 0 + vertex 38.28 6 0 + vertex 41.7672 4.43132 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.4299 2.89327 0 + vertex 84.4557 2.79694 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.7014 4.39144 0 + vertex 38.28 6 0 + vertex 40.7928 4.43132 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.1514 1.98856 0 + vertex 85.2428 1.94868 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.7928 4.43132 0 + vertex 38.28 6 0 + vertex 40.8869 4.46426 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 84.7525 2.28299 0 + vertex 84.823 2.21247 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.5752 2.52325 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.8869 4.46426 0 + vertex 38.28 6 0 + vertex 40.9833 4.49007 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.9833 4.49007 0 + vertex 38.28 6 0 + vertex 41.0813 4.50861 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.4557 98.8031 0 + vertex 84.4299 98.7067 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.0813 4.50861 0 + vertex 38.28 6 0 + vertex 41.1803 4.51977 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.1803 4.51977 0 + vertex 38.28 6 0 + vertex 41.28 4.5235 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.28 4.5235 0 + vertex 38.28 6 0 + vertex 41.3797 4.51977 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.4002 98.5097 0 + vertex 84.3965 98.41 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.3797 4.51977 0 + vertex 38.28 6 0 + vertex 41.4787 4.50861 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.3965 98.41 0 + vertex 84.4002 98.3103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.4787 4.50861 0 + vertex 38.28 6 0 + vertex 41.5767 4.49007 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.5767 4.49007 0 + vertex 38.28 6 0 + vertex 41.6731 4.46426 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.7672 4.43132 0 + vertex 38.28 6 0 + vertex 41.8586 4.39144 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.6133 4.34484 0 + vertex 38.28 6 0 + vertex 40.7014 4.39144 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.5288 4.29179 0 + vertex 38.28 6 0 + vertex 40.6133 4.34484 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.4486 4.23257 0 + vertex 38.28 6 0 + vertex 40.5288 4.29179 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.373 4.16752 0 + vertex 38.28 6 0 + vertex 40.4486 4.23257 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.3025 4.09701 0 + vertex 38.28 6 0 + vertex 40.373 4.16752 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.2374 4.02142 0 + vertex 38.28 6 0 + vertex 40.3025 4.09701 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.1782 3.94119 0 + vertex 38.28 6 0 + vertex 40.2374 4.02142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.1252 3.85675 0 + vertex 38.28 6 0 + vertex 40.1782 3.94119 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.0786 3.76858 0 + vertex 38.28 6 0 + vertex 40.1252 3.85675 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.0387 3.67718 0 + vertex 38.28 6 0 + vertex 40.0786 3.76858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.0057 3.58306 0 + vertex 38.28 6 0 + vertex 40.0387 3.67718 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 39.9799 3.48673 0 + vertex 38.28 6 0 + vertex 40.0057 3.58306 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 39.9614 3.38875 0 + vertex 38.28 6 0 + vertex 39.9799 3.48673 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 39.9502 3.28965 0 + vertex 38.28 6 0 + vertex 39.9614 3.38875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 39.9465 3.19 0 + vertex 38.28 6 0 + vertex 39.9502 3.28965 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 39.9502 98.5097 0 + vertex 39.9465 98.41 0 + vertex 38.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 66.8667 0 + vertex 94.33 34.7333 0 + vertex 94.33 33.4333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.187 2.21247 0 + vertex 42.2575 2.28299 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.2575 2.28299 0 + vertex 42.3226 2.35858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.3226 2.35858 0 + vertex 42.3818 2.43881 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.3818 2.43881 0 + vertex 42.4348 2.52325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.4348 2.52325 0 + vertex 42.4814 2.61142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.4814 2.61142 0 + vertex 42.5213 2.70282 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.5213 2.70282 0 + vertex 42.5543 2.79694 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 95.6 0 + vertex 40.7014 97.2086 0 + vertex 40.7928 97.1687 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.9833 97.1099 0 + vertex 44.28 95.6 0 + vertex 40.8869 97.1357 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.0813 97.0914 0 + vertex 44.28 95.6 0 + vertex 40.9833 97.1099 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.1803 97.0802 0 + vertex 44.28 95.6 0 + vertex 41.0813 97.0914 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.28 97.0765 0 + vertex 44.28 95.6 0 + vertex 41.1803 97.0802 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.3797 97.0802 0 + vertex 44.28 95.6 0 + vertex 41.28 97.0765 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.4787 97.0914 0 + vertex 44.28 95.6 0 + vertex 41.3797 97.0802 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.5767 97.1099 0 + vertex 44.28 95.6 0 + vertex 41.4787 97.0914 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.6731 97.1357 0 + vertex 44.28 95.6 0 + vertex 41.5767 97.1099 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.7672 97.1687 0 + vertex 44.28 95.6 0 + vertex 41.6731 97.1357 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 97.2086 0 + vertex 44.28 95.6 0 + vertex 41.7672 97.1687 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 42.1114 97.3674 0 + vertex 44.28 95.6 0 + vertex 42.0312 97.3082 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.9713 3.67718 0 + vertex 86.9314 3.76858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 95.6 0 + vertex 41.8586 97.2086 0 + vertex 41.9467 97.2552 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 45.9614 5.78875 0 + vertex 44.28 6 0 + vertex 45.9799 5.88673 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 95.6 0 + vertex 41.9467 97.2552 0 + vertex 42.0312 97.3082 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.3797 99.7398 0 + vertex 38.28 100.3 0 + vertex 41.4787 99.7286 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.9467 97.1648 0 + vertex 47.8586 97.2114 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.6731 99.6843 0 + vertex 41.5767 99.7101 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.0387 98.8972 0 + vertex 38.28 100.3 0 + vertex 40.0786 98.9886 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.5767 99.7101 0 + vertex 41.4787 99.7286 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.7672 97.2513 0 + vertex 42.1114 99.4526 0 + vertex 42.0312 99.5118 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.0786 98.9886 0 + vertex 38.28 100.3 0 + vertex 40.1252 99.0767 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.7672 97.2513 0 + vertex 42.187 99.3875 0 + vertex 42.1114 99.4526 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.7672 97.2513 0 + vertex 47.6731 97.2843 0 + vertex 42.3818 99.1612 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.1252 99.0767 0 + vertex 38.28 100.3 0 + vertex 40.1782 99.1612 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.6731 97.2843 0 + vertex 42.5543 98.8031 0 + vertex 42.5213 98.8972 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.5767 97.3101 0 + vertex 42.6098 98.5097 0 + vertex 42.5986 98.6087 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.1782 99.1612 0 + vertex 38.28 100.3 0 + vertex 40.2374 99.2414 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.4787 97.3286 0 + vertex 42.5801 98.1133 0 + vertex 42.5986 98.2113 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.3797 97.3398 0 + vertex 42.4348 97.7432 0 + vertex 42.4814 97.8314 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.2374 99.2414 0 + vertex 38.28 100.3 0 + vertex 40.3025 99.317 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.6135 96.01 0 + vertex 48.6098 96.1097 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.3818 95.2588 0 + vertex 48.4348 95.3432 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.3226 95.1786 0 + vertex 48.3818 95.2588 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.3025 99.317 0 + vertex 38.28 100.3 0 + vertex 40.373 99.3875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.2575 95.103 0 + vertex 48.3226 95.1786 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.187 95.0325 0 + vertex 48.2575 95.103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.373 99.3875 0 + vertex 38.28 100.3 0 + vertex 40.4486 99.4526 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.1114 94.9674 0 + vertex 48.187 95.0325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.4486 99.4526 0 + vertex 38.28 100.3 0 + vertex 40.5288 99.5118 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.9314 6.16858 0 + vertex 92.8848 6.25675 0 + vertex 91.73 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.7726 6.42142 0 + vertex 92.7075 6.49701 0 + vertex 91.73 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.5288 99.5118 0 + vertex 38.28 100.3 0 + vertex 40.6133 99.5648 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.7075 6.49701 0 + vertex 92.637 6.56752 0 + vertex 91.73 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.637 6.56752 0 + vertex 88.73 10 0 + vertex 91.73 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.6133 99.5648 0 + vertex 38.28 100.3 0 + vertex 40.7014 99.6114 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 93.0043 5.98306 0 + vertex 92.9713 6.07718 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 93.0301 5.88673 0 + vertex 93.0043 5.98306 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.7014 99.6114 0 + vertex 38.28 100.3 0 + vertex 40.7928 99.6513 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 93.0486 5.78875 0 + vertex 93.0301 5.88673 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.7928 99.6513 0 + vertex 38.28 100.3 0 + vertex 40.8869 99.6843 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.0312 94.9082 0 + vertex 48.1114 94.9674 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 47.9467 94.8552 0 + vertex 48.0312 94.9082 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.8869 99.6843 0 + vertex 38.28 100.3 0 + vertex 40.9833 99.7101 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 47.8586 94.8086 0 + vertex 47.9467 94.8552 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.9833 99.7101 0 + vertex 38.28 100.3 0 + vertex 41.0813 99.7286 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 47.7672 94.7687 0 + vertex 47.8586 94.8086 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.0813 99.7286 0 + vertex 38.28 100.3 0 + vertex 41.1803 99.7398 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 47.6731 94.7357 0 + vertex 47.7672 94.7687 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 47.5767 94.7099 0 + vertex 47.6731 94.7357 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.1803 99.7398 0 + vertex 38.28 100.3 0 + vertex 41.28 99.7435 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 47.4787 94.6914 0 + vertex 47.5767 94.7099 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.28 99.7435 0 + vertex 38.28 100.3 0 + vertex 41.3797 99.7398 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 47.3797 94.6802 0 + vertex 47.4787 94.6914 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 49.88 91.6 0 + vertex 47.3797 94.6802 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.0057 98.8031 0 + vertex 38.28 100.3 0 + vertex 40.0387 98.8972 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 45.9502 5.49035 0 + vertex 38.28 6 0 + vertex 45.9465 5.59 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.0057 98.8031 0 + vertex 39.9799 98.7067 0 + vertex 38.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 39.9799 98.7067 0 + vertex 39.9614 98.6087 0 + vertex 38.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 39.9614 98.6087 0 + vertex 39.9502 98.5097 0 + vertex 38.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 6 0 + vertex 44.28 6 0 + vertex 45.9465 5.59 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 86.0267 4.49007 0 + vertex 85.9287 4.50861 0 + vertex 91.6303 4.26023 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 38.28 6 0 + vertex 45.9502 5.49035 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.9314 3.76858 0 + vertex 86.8848 3.85675 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 45.9502 5.49035 0 + vertex 45.9614 5.39125 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 87.0301 3.48673 0 + vertex 87.0043 3.58306 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 45.9614 5.39125 0 + vertex 45.9799 5.29327 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 45.9799 5.29327 0 + vertex 46.0057 5.19694 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.0057 5.19694 0 + vertex 46.0387 5.10282 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.0387 5.10282 0 + vertex 46.0786 5.01142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.7726 2.35858 0 + vertex 86.8318 2.43881 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.0786 5.01142 0 + vertex 46.1252 4.92325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.7075 2.28299 0 + vertex 86.7726 2.35858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.1252 4.92325 0 + vertex 46.1782 4.83881 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.3967 2.03516 0 + vertex 86.4812 2.08821 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.1782 4.83881 0 + vertex 46.2374 4.75858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.2374 4.75858 0 + vertex 46.3025 4.68299 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.279 3.76858 0 + vertex 116.239 3.67718 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.3025 4.68299 0 + vertex 46.373 4.61247 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.373 4.61247 0 + vertex 46.4486 4.54743 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.4486 4.54743 0 + vertex 46.5288 4.48821 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.5752 97.7432 0 + vertex 84.6282 97.6588 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.5288 4.48821 0 + vertex 46.6133 4.43515 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.6133 4.43515 0 + vertex 46.7014 4.38856 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.7014 4.38856 0 + vertex 46.7928 4.34868 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.7928 4.34868 0 + vertex 46.8869 4.31574 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.8869 4.31574 0 + vertex 46.9833 4.28993 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 46.9833 4.28993 0 + vertex 47.0813 4.27139 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.8586 4.39144 0 + vertex 47.0813 4.27139 0 + vertex 47.1803 4.26023 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.4299 98.1133 0 + vertex 84.4557 98.0169 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.4557 98.0169 0 + vertex 84.4887 97.9228 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.4887 97.9228 0 + vertex 84.5286 97.8314 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.6282 97.6588 0 + vertex 82.73 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.239 3.67718 0 + vertex 116.206 3.58306 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.4812 2.08821 0 + vertex 86.5614 2.14743 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.206 3.58306 0 + vertex 116.18 3.48673 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.8318 2.43881 0 + vertex 86.8848 2.52325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.9713 2.70282 0 + vertex 87.0043 2.79694 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 87.0486 2.99125 0 + vertex 87.0598 3.09035 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 87.0043 3.58306 0 + vertex 86.9713 3.67718 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.8848 3.85675 0 + vertex 86.8318 3.94119 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.0057 95.6169 0 + vertex 44.28 95.6 0 + vertex 45.9799 95.7133 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.3086 4.39144 0 + vertex 86.2172 4.43132 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.637 4.16752 0 + vertex 86.5614 4.23257 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.3967 4.34484 0 + vertex 86.3086 4.39144 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.7014 6.79144 0 + vertex 44.28 6 0 + vertex 46.7928 6.83132 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 86.1231 4.46426 0 + vertex 86.0267 4.49007 0 + vertex 91.6303 4.26023 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.3797 6.91977 0 + vertex 44.28 10 0 + vertex 47.4787 6.90861 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 91.6303 4.26023 0 + vertex 91.73 4.2565 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.4787 6.90861 0 + vertex 44.28 10 0 + vertex 47.5767 6.89007 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 97.3435 0 + vertex 42.1114 97.3674 0 + vertex 42.187 97.4325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 91.9287 4.27139 0 + vertex 92.0267 4.28993 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.5767 6.89007 0 + vertex 44.28 10 0 + vertex 47.6731 6.86426 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.0267 4.28993 0 + vertex 92.1231 4.31574 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.6731 6.86426 0 + vertex 44.28 10 0 + vertex 47.7672 6.83132 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.3967 4.43515 0 + vertex 92.4812 4.48821 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.7672 6.83132 0 + vertex 44.28 10 0 + vertex 47.8586 6.79144 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.4812 4.48821 0 + vertex 92.5614 4.54743 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.8586 6.79144 0 + vertex 44.28 10 0 + vertex 47.9467 6.74484 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.7726 4.75858 0 + vertex 92.8318 4.83881 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.9467 6.74484 0 + vertex 44.28 10 0 + vertex 48.0312 6.69179 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.8318 4.83881 0 + vertex 92.8848 4.92325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.1114 6.63257 0 + vertex 44.28 10 0 + vertex 48.187 6.56752 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 93.0043 5.19694 0 + vertex 93.0301 5.29327 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 6.9235 0 + vertex 44.28 10 0 + vertex 47.3797 6.91977 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.1803 6.91977 0 + vertex 44.28 10 0 + vertex 47.28 6.9235 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.0813 6.90861 0 + vertex 44.28 10 0 + vertex 47.1803 6.91977 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.9833 6.89007 0 + vertex 44.28 10 0 + vertex 47.0813 6.90861 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.8869 6.86426 0 + vertex 44.28 10 0 + vertex 46.9833 6.89007 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 6 0 + vertex 44.28 10 0 + vertex 46.8869 6.86426 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 6 0 + vertex 46.8869 6.86426 0 + vertex 46.7928 6.83132 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.6133 6.74484 0 + vertex 44.28 6 0 + vertex 46.7014 6.79144 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.5288 6.69179 0 + vertex 44.28 6 0 + vertex 46.6133 6.74484 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.4486 6.63257 0 + vertex 44.28 6 0 + vertex 46.5288 6.69179 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 45.9799 5.88673 0 + vertex 44.28 6 0 + vertex 46.0057 5.98306 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 6 0 + vertex 46.4486 6.63257 0 + vertex 46.373 6.56752 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 6 0 + vertex 46.373 6.56752 0 + vertex 46.3025 6.49701 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 6 0 + vertex 46.3025 6.49701 0 + vertex 46.2374 6.42142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 6 0 + vertex 46.2374 6.42142 0 + vertex 46.1782 6.34119 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 6 0 + vertex 46.1782 6.34119 0 + vertex 46.1252 6.25675 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 6 0 + vertex 46.1252 6.25675 0 + vertex 46.0786 6.16858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 6 0 + vertex 46.0786 6.16858 0 + vertex 46.0387 6.07718 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 6 0 + vertex 46.0387 6.07718 0 + vertex 46.0057 5.98306 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 45.9502 5.68965 0 + vertex 44.28 6 0 + vertex 45.9614 5.78875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 45.9465 5.59 0 + vertex 44.28 6 0 + vertex 45.9502 5.68965 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 95.6 0 + vertex 42.1114 97.3674 0 + vertex 45.9465 96.01 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 95.6 0 + vertex 45.9465 96.01 0 + vertex 45.9502 95.9103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 95.6 0 + vertex 45.9502 95.9103 0 + vertex 45.9614 95.8113 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.231 2.08821 0 + vertex 118.311 2.14743 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 44.28 95.6 0 + vertex 45.9614 95.8113 0 + vertex 45.9799 95.7133 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 44.28 95.6 0 + vertex 46.0057 95.6169 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.387 2.21247 0 + vertex 118.458 2.28299 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.0057 95.6169 0 + vertex 46.0387 95.5228 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.0387 95.5228 0 + vertex 46.0786 95.4314 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.0786 95.4314 0 + vertex 46.1252 95.3432 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.1252 95.3432 0 + vertex 46.1782 95.2588 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.1782 95.2588 0 + vertex 46.2374 95.1786 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.2374 95.1786 0 + vertex 46.3025 95.103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.3025 95.103 0 + vertex 46.373 95.0325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.373 95.0325 0 + vertex 46.4486 94.9674 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.4486 94.9674 0 + vertex 46.5288 94.9082 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.813 99.5648 0 + vertex 116.729 99.5118 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.5288 94.9082 0 + vertex 46.6133 94.8552 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.6133 94.8552 0 + vertex 46.7014 94.8086 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.901 99.6114 0 + vertex 116.813 99.5648 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.7014 94.8086 0 + vertex 46.7928 94.7687 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.7928 94.7687 0 + vertex 46.8869 94.7357 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.8869 94.7357 0 + vertex 46.9833 94.7099 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 46.9833 94.7099 0 + vertex 47.0813 94.6914 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 47.0813 94.6914 0 + vertex 47.1803 94.6802 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 47.1803 94.6802 0 + vertex 47.28 94.6765 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 91.6 0 + vertex 47.28 94.6765 0 + vertex 47.3797 94.6802 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.993 99.6513 0 + vertex 116.901 99.6114 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 117.873 97.1357 0 + vertex 117.967 97.1687 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.681 2.61142 0 + vertex 118.721 2.70282 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.635 2.52325 0 + vertex 118.681 2.61142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.582 2.43881 0 + vertex 118.635 2.52325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.729 99.5118 0 + vertex 116.649 99.4526 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.458 2.28299 0 + vertex 118.523 2.35858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 49.88 33.4333 0 + vertex 47.28 34.7333 0 + vertex 91.73 33.4333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.147 2.03516 0 + vertex 118.231 2.08821 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.0786 96.5886 0 + vertex 42.1114 97.3674 0 + vertex 46.1252 96.6767 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.1252 96.6767 0 + vertex 42.1114 97.3674 0 + vertex 46.1782 96.7612 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.1782 96.7612 0 + vertex 42.1114 97.3674 0 + vertex 46.2374 96.8414 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 117.873 1.91574 0 + vertex 117.967 1.94868 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 117.777 1.88993 0 + vertex 117.873 1.91574 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.2374 96.8414 0 + vertex 42.1114 97.3674 0 + vertex 46.3025 96.917 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.3025 96.917 0 + vertex 42.1114 97.3674 0 + vertex 46.373 96.9875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 117.679 1.87139 0 + vertex 117.777 1.88993 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.373 96.9875 0 + vertex 42.1114 97.3674 0 + vertex 46.4486 97.0526 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.4486 97.0526 0 + vertex 42.1114 97.3674 0 + vertex 46.5288 97.1118 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.5288 97.1118 0 + vertex 42.1114 97.3674 0 + vertex 46.6133 97.1648 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 94.33 10 0 + vertex 94.73 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.6133 97.1648 0 + vertex 42.1114 97.3674 0 + vertex 46.7014 97.2114 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 91.73 10 0 + vertex 94.33 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.7014 97.2114 0 + vertex 42.1114 97.3674 0 + vertex 46.7928 97.2513 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.7928 97.2513 0 + vertex 42.1114 97.3674 0 + vertex 46.8869 97.2843 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.5213 96.4972 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.8869 97.2843 0 + vertex 42.1114 97.3674 0 + vertex 46.9833 97.3101 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.5213 96.4972 0 + vertex 48.4814 96.5886 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.9833 97.3101 0 + vertex 42.1114 97.3674 0 + vertex 47.0813 97.3286 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.4814 96.5886 0 + vertex 48.4348 96.6767 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.0813 97.3286 0 + vertex 42.1114 97.3674 0 + vertex 47.1803 97.3398 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.4348 96.6767 0 + vertex 48.3818 96.7612 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.3818 96.7612 0 + vertex 48.3226 96.8414 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 49.88 34.7333 0 + vertex 47.28 66.8667 0 + vertex 47.28 68.1667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 49.88 34.7333 0 + vertex 47.28 68.1667 0 + vertex 49.88 66.8667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.0387 96.4972 0 + vertex 42.1114 97.3674 0 + vertex 46.0786 96.5886 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 46.0057 96.4031 0 + vertex 42.1114 97.3674 0 + vertex 46.0387 96.4972 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 45.9799 96.3067 0 + vertex 42.1114 97.3674 0 + vertex 46.0057 96.4031 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 45.9614 96.2087 0 + vertex 42.1114 97.3674 0 + vertex 45.9799 96.3067 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 45.9502 96.1097 0 + vertex 42.1114 97.3674 0 + vertex 45.9614 96.2087 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 45.9465 96.01 0 + vertex 42.1114 97.3674 0 + vertex 45.9502 96.1097 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 33.4333 0 + vertex 47.28 34.7333 0 + vertex 49.88 33.4333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.1114 2.14743 0 + vertex 42.187 2.21247 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.5288 97.3082 0 + vertex 40.6133 97.2552 0 + vertex 38.28 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.6133 97.2552 0 + vertex 40.7014 97.2086 0 + vertex 38.28 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 41.28 1.8565 0 + vertex 50.28 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 1.3 0 + vertex 50.28 1.3 0 + vertex 140.1 0 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.8986 4.23257 0 + vertex 84.823 4.16752 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.7014 97.2086 0 + vertex 44.28 95.6 0 + vertex 38.28 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 100.3 0 + vertex 40.1252 97.7432 0 + vertex 40.1782 97.6588 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 100.3 0 + vertex 40.0786 97.8314 0 + vertex 40.1252 97.7432 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 34.7333 0 + vertex 91.73 33.4333 0 + vertex 49.88 34.7333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.1231 1.91574 0 + vertex 86.2172 1.94868 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 117.38 99.7398 0 + vertex 117.281 99.7286 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 34.7333 0 + vertex 47.28 66.8667 0 + vertex 49.88 34.7333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 34.7333 0 + vertex 49.88 34.7333 0 + vertex 91.73 33.4333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.2172 1.94868 0 + vertex 86.3086 1.98856 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 49.88 68.1667 0 + vertex 47.28 68.1667 0 + vertex 49.88 91.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 137.5 68.1667 0 + vertex 94.33 66.8667 0 + vertex 94.33 68.1667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 68.1667 0 + vertex 47.28 91.6 0 + vertex 49.88 91.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 49.88 66.8667 0 + vertex 47.28 68.1667 0 + vertex 49.88 68.1667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 66.8667 0 + vertex 49.88 66.8667 0 + vertex 91.73 68.1667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 140.1 101.6 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 117.777 4.49007 0 + vertex 117.679 4.50861 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 93.0598 5.68965 0 + vertex 93.0486 5.78875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 100.3 0 + vertex 140.1 101.6 0 + vertex 82.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.4787 99.7286 0 + vertex 38.28 100.3 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 49.88 91.6 0 + vertex 47.28 91.6 0 + vertex 47.3797 94.6802 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 87.0598 3.09035 0 + vertex 87.0635 3.19 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 87.0043 2.79694 0 + vertex 87.0301 2.89327 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 87.0301 2.89327 0 + vertex 87.0486 2.99125 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.637 2.21247 0 + vertex 86.7075 2.28299 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.33 10 0 + vertex 91.73 33.4333 0 + vertex 91.73 34.7333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 84.7525 97.503 0 + vertex 84.823 97.4325 0 + vertex 82.73 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.5286 97.8314 0 + vertex 84.5752 97.7432 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.4114 98.2113 0 + vertex 84.4299 98.1133 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.4002 98.3103 0 + vertex 84.4114 98.2113 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.4114 98.6087 0 + vertex 84.4002 98.5097 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.9314 95.4314 0 + vertex 92.9713 95.5228 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.4887 98.8972 0 + vertex 84.4557 98.8031 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.5286 98.9886 0 + vertex 84.4887 98.8972 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.8318 95.2588 0 + vertex 92.8848 95.3432 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 84.5752 2.52325 0 + vertex 84.6282 2.43881 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 84.823 2.21247 0 + vertex 84.8986 2.14743 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 84.8986 2.14743 0 + vertex 84.9788 2.08821 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.2428 1.94868 0 + vertex 85.3369 1.91574 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.3369 1.91574 0 + vertex 85.4333 1.88993 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.5286 2.61142 0 + vertex 84.5752 2.52325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.4887 2.70282 0 + vertex 84.5286 2.61142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.4557 2.79694 0 + vertex 84.4887 2.70282 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 117.679 4.50861 0 + vertex 117.58 4.51977 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 117.58 4.51977 0 + vertex 117.48 4.5235 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 84.9788 2.08821 0 + vertex 85.0632 2.03516 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.0632 2.03516 0 + vertex 85.1514 1.98856 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 117.38 4.51977 0 + vertex 117.281 4.50861 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 84.6282 2.43881 0 + vertex 84.6874 2.35858 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 84.6874 2.35858 0 + vertex 84.7525 2.28299 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.8848 95.3432 0 + vertex 92.9314 95.4314 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 117.087 4.46426 0 + vertex 116.993 4.43132 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.993 4.43132 0 + vertex 116.901 4.39144 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.4299 98.7067 0 + vertex 84.4114 98.6087 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.901 4.39144 0 + vertex 116.813 4.34484 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.813 4.34484 0 + vertex 116.729 4.29179 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.729 4.29179 0 + vertex 116.649 4.23257 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.649 4.23257 0 + vertex 116.573 4.16752 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.573 4.16752 0 + vertex 116.502 4.09701 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 84.6282 97.6588 0 + vertex 84.6874 97.5786 0 + vertex 82.73 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.325 3.85675 0 + vertex 116.279 3.76858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.5614 2.14743 0 + vertex 86.637 2.21247 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.8848 2.52325 0 + vertex 86.9314 2.61142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.9314 2.61142 0 + vertex 86.9713 2.70282 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 87.0598 3.28965 0 + vertex 87.0486 3.38875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 87.0635 3.19 0 + vertex 87.0598 3.28965 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 87.0486 3.38875 0 + vertex 87.0301 3.48673 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.7726 4.02142 0 + vertex 86.7075 4.09701 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.8318 3.94119 0 + vertex 86.7726 4.02142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.4812 4.29179 0 + vertex 86.3967 4.34484 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.5614 4.23257 0 + vertex 86.4812 4.29179 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.2172 4.43132 0 + vertex 91.6303 4.26023 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 86.2172 4.43132 0 + vertex 86.1231 4.46426 0 + vertex 91.6303 4.26023 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 97.3435 0 + vertex 42.2575 97.503 0 + vertex 42.3226 97.5786 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 97.3435 0 + vertex 42.187 97.4325 0 + vertex 42.2575 97.503 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.2172 4.34868 0 + vertex 92.3086 4.38856 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.1231 4.31574 0 + vertex 92.2172 4.34868 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.637 4.61247 0 + vertex 92.7075 4.68299 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.5614 4.54743 0 + vertex 92.637 4.61247 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.9314 5.01142 0 + vertex 92.9713 5.10282 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.8848 4.92325 0 + vertex 92.9314 5.01142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 93.0301 5.29327 0 + vertex 93.0486 5.39125 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.813 2.03516 0 + vertex 116.901 1.98856 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.729 2.08821 0 + vertex 116.813 2.03516 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.649 2.14743 0 + vertex 116.729 2.08821 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.573 2.21247 0 + vertex 116.649 2.14743 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.502 2.28299 0 + vertex 116.573 2.21247 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.78 2.89327 0 + vertex 118.799 2.99125 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.437 2.35858 0 + vertex 116.502 2.28299 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.378 2.43881 0 + vertex 116.437 2.35858 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.325 2.52325 0 + vertex 116.378 2.43881 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 137.5 1.3 0 + vertex 140.1 0 0 + vertex 120.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.799 3.38875 0 + vertex 118.78 3.48673 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 140.1 0 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.681 3.76858 0 + vertex 118.635 3.85675 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.183 1.88993 0 + vertex 117.281 1.87139 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.635 3.85675 0 + vertex 118.582 3.94119 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.993 1.94868 0 + vertex 117.087 1.91574 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.087 1.91574 0 + vertex 117.183 1.88993 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.281 1.87139 0 + vertex 120.48 1.3 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.281 1.87139 0 + vertex 117.38 1.86023 0 + vertex 120.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.799 2.99125 0 + vertex 118.81 3.09035 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.754 2.79694 0 + vertex 118.78 2.89327 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 117.087 99.6843 0 + vertex 116.993 99.6513 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 93.0635 5.59 0 + vertex 93.0598 5.68965 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 93.0598 5.49035 0 + vertex 93.0635 5.59 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 93.0486 5.39125 0 + vertex 93.0598 5.49035 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.9713 5.10282 0 + vertex 93.0043 5.19694 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.7075 4.68299 0 + vertex 92.7726 4.75858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.3086 4.38856 0 + vertex 92.3967 4.43515 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.3797 97.3398 0 + vertex 47.28 97.3435 0 + vertex 42.3226 97.5786 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 91.8297 4.26023 0 + vertex 91.9287 4.27139 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 91.73 4.2565 0 + vertex 91.8297 4.26023 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.0312 6.69179 0 + vertex 44.28 10 0 + vertex 48.1114 6.63257 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.7075 4.09701 0 + vertex 86.637 4.16752 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 84.6874 97.5786 0 + vertex 84.7525 97.503 0 + vertex 82.73 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.059 1.98856 0 + vertex 118.147 2.03516 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.1803 97.3398 0 + vertex 42.1114 97.3674 0 + vertex 47.28 97.3435 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.8848 96.6767 0 + vertex 92.8318 96.7612 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.721 2.70282 0 + vertex 118.754 2.79694 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 84.823 97.4325 0 + vertex 84.8986 97.3674 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 84.8986 97.3674 0 + vertex 84.9788 97.3082 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 84.9788 97.3082 0 + vertex 85.0632 97.2552 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 85.0632 97.2552 0 + vertex 85.1514 97.2086 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 85.1514 97.2086 0 + vertex 85.2428 97.1687 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 85.2428 97.1687 0 + vertex 85.3369 97.1357 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 85.3369 97.1357 0 + vertex 85.4333 97.1099 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 85.4333 97.1099 0 + vertex 85.5313 97.0914 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 85.5313 97.0914 0 + vertex 85.6303 97.0802 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.8297 97.3398 0 + vertex 86.9314 97.8314 0 + vertex 86.9713 97.9228 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 85.6303 97.0802 0 + vertex 85.73 97.0765 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 85.73 97.0765 0 + vertex 85.8297 97.0802 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.81 98.5097 0 + vertex 118.799 98.6087 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.81 98.3103 0 + vertex 118.813 98.41 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.78 98.1133 0 + vertex 118.799 98.2113 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.754 98.0169 0 + vertex 118.78 98.1133 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.681 97.8314 0 + vertex 118.721 97.9228 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.523 97.5786 0 + vertex 118.582 97.6588 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.387 97.4325 0 + vertex 118.458 97.503 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.311 97.3674 0 + vertex 118.387 97.4325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.231 97.3082 0 + vertex 118.311 97.3674 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.147 97.2552 0 + vertex 118.231 97.3082 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.059 97.2086 0 + vertex 118.147 97.2552 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 117.967 97.1687 0 + vertex 118.059 97.2086 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 117.777 97.1099 0 + vertex 117.873 97.1357 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 114.48 95.6 0 + vertex 117.777 97.1099 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.523 2.35858 0 + vertex 118.582 2.43881 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.573 99.3875 0 + vertex 116.502 99.317 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.311 2.14743 0 + vertex 118.387 2.21247 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 117.967 1.94868 0 + vertex 118.059 1.98856 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 117.48 1.8565 0 + vertex 117.58 1.86023 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.9713 6.07718 0 + vertex 92.9314 6.16858 0 + vertex 91.73 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 92.9713 6.07718 0 + vertex 91.73 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.2575 96.917 0 + vertex 48.187 96.9875 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.3226 96.8414 0 + vertex 48.2575 96.917 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.1114 97.0526 0 + vertex 48.0312 97.1118 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.7726 95.1786 0 + vertex 92.8318 95.2588 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.7672 97.2513 0 + vertex 41.7672 99.6513 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.8586 97.2114 0 + vertex 47.7672 97.2513 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.7672 97.2513 0 + vertex 41.9467 99.5648 0 + vertex 41.8586 99.6114 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.7672 97.2513 0 + vertex 41.8586 99.6114 0 + vertex 41.7672 99.6513 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.7672 97.2513 0 + vertex 42.3226 99.2414 0 + vertex 42.2575 99.317 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.7672 97.2513 0 + vertex 42.2575 99.317 0 + vertex 42.187 99.3875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.6731 97.2843 0 + vertex 42.4814 98.9886 0 + vertex 42.4348 99.0767 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.6731 97.2843 0 + vertex 42.4348 99.0767 0 + vertex 42.3818 99.1612 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.6731 97.2843 0 + vertex 47.5767 97.3101 0 + vertex 42.5801 98.7067 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.6731 97.2843 0 + vertex 42.5801 98.7067 0 + vertex 42.5543 98.8031 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.4787 97.3286 0 + vertex 42.5986 98.2113 0 + vertex 42.6098 98.3103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.5767 97.3101 0 + vertex 42.6135 98.41 0 + vertex 42.6098 98.5097 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.3797 97.3398 0 + vertex 42.4814 97.8314 0 + vertex 42.5213 97.9228 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.4787 97.3286 0 + vertex 42.5543 98.0169 0 + vertex 42.5801 98.1133 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.5801 96.3067 0 + vertex 48.5543 96.4031 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 91.9287 94.6914 0 + vertex 92.0267 94.7099 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.6098 96.1097 0 + vertex 48.5986 96.2087 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.0267 94.7099 0 + vertex 92.1231 94.7357 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.5801 95.7133 0 + vertex 48.5986 95.8113 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.4887 5.10282 0 + vertex 82.73 6 0 + vertex 90.4557 5.19694 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.5213 95.5228 0 + vertex 48.5543 95.6169 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 94.33 91.6 0 + vertex 91.9287 94.6914 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.4348 95.3432 0 + vertex 48.4814 95.4314 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.33 91.6 0 + vertex 91.73 91.6 0 + vertex 91.9287 94.6914 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.4814 95.4314 0 + vertex 48.5213 95.5228 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.5543 95.6169 0 + vertex 48.5801 95.7133 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.5986 95.8113 0 + vertex 48.6098 95.9103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.6098 95.9103 0 + vertex 48.6135 96.01 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.5986 96.2087 0 + vertex 48.5801 96.3067 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 91.6 0 + vertex 48.5543 96.4031 0 + vertex 48.5213 96.4972 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.3797 97.3398 0 + vertex 42.3818 97.6588 0 + vertex 42.4348 97.7432 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.4787 97.3286 0 + vertex 47.3797 97.3398 0 + vertex 42.5213 97.9228 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.4787 97.3286 0 + vertex 42.5213 97.9228 0 + vertex 42.5543 98.0169 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.5767 97.3101 0 + vertex 47.4787 97.3286 0 + vertex 42.6098 98.3103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.5767 97.3101 0 + vertex 42.6098 98.3103 0 + vertex 42.6135 98.41 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.5767 97.3101 0 + vertex 42.5986 98.6087 0 + vertex 42.5801 98.7067 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.6731 97.2843 0 + vertex 42.5213 98.8972 0 + vertex 42.4814 98.9886 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.7672 97.2513 0 + vertex 42.3818 99.1612 0 + vertex 42.3226 99.2414 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.7672 97.2513 0 + vertex 42.0312 99.5118 0 + vertex 41.9467 99.5648 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 41.7672 99.6513 0 + vertex 41.6731 99.6843 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.0312 97.1118 0 + vertex 47.9467 97.1648 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.187 96.9875 0 + vertex 48.1114 97.0526 0 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 117.38 1.86023 0 + vertex 117.48 1.8565 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 117.58 1.86023 0 + vertex 117.679 1.87139 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 137.5 33.4333 0 + vertex 140.1 0 0 + vertex 137.5 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.729 97.3082 0 + vertex 116.813 97.2552 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 99.7286 0 + vertex 85.8297 99.7398 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 90.4299 5.29327 0 + vertex 90.4557 5.19694 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.81 3.09035 0 + vertex 118.813 3.19 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.239 98.8972 0 + vertex 116.206 98.8031 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 90.4887 5.10282 0 + vertex 90.5286 5.01142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 90.5286 5.01142 0 + vertex 90.5752 4.92325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 90.5752 4.92325 0 + vertex 90.6282 4.83881 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 90.6282 4.83881 0 + vertex 90.6874 4.75858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 90.6874 4.75858 0 + vertex 90.7525 4.68299 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 90.7525 4.68299 0 + vertex 90.823 4.61247 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 90.823 4.61247 0 + vertex 90.8986 4.54743 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 90.8986 4.54743 0 + vertex 90.9788 4.48821 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 90.9788 4.48821 0 + vertex 91.0632 4.43515 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 91.0632 4.43515 0 + vertex 91.1514 4.38856 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 91.1514 4.38856 0 + vertex 91.2428 4.34868 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 91.2428 4.34868 0 + vertex 91.3369 4.31574 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 91.3369 4.31574 0 + vertex 91.4333 4.28993 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 91.4333 4.28993 0 + vertex 91.5313 4.27139 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.458 4.09701 0 + vertex 118.387 4.16752 0 + vertex 120.48 6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.9287 4.50861 0 + vertex 91.5313 4.27139 0 + vertex 91.6303 4.26023 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.231 4.29179 0 + vertex 118.147 4.34484 0 + vertex 120.48 6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.523 4.02142 0 + vertex 118.458 4.09701 0 + vertex 120.48 6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.582 3.94119 0 + vertex 118.523 4.02142 0 + vertex 120.48 6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.582 3.94119 0 + vertex 120.48 6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.378 99.1612 0 + vertex 116.325 99.0767 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.9713 96.4972 0 + vertex 92.9314 96.5886 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.8318 96.7612 0 + vertex 92.7726 96.8414 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 1.3 0 + vertex 140.1 0 0 + vertex 94.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 86.1231 99.6843 0 + vertex 86.0267 99.7101 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.206 98.8031 0 + vertex 116.18 98.7067 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.0267 1.88993 0 + vertex 86.1231 1.91574 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 85.9287 1.87139 0 + vertex 86.0267 1.88993 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.4299 95.7133 0 + vertex 88.73 95.6 0 + vertex 90.4114 95.8113 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.2172 97.2513 0 + vertex 86.7726 99.2414 0 + vertex 86.7075 99.317 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.4002 5.68965 0 + vertex 88.73 6 0 + vertex 90.4114 5.78875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.2172 97.2513 0 + vertex 92.1231 97.2843 0 + vertex 86.8318 99.1612 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.1514 6.79144 0 + vertex 88.73 6 0 + vertex 91.2428 6.83132 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.18 98.7067 0 + vertex 116.161 98.6087 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.1231 97.2843 0 + vertex 87.0043 98.8031 0 + vertex 86.9713 98.8972 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 6.9235 0 + vertex 88.73 10 0 + vertex 91.8297 6.91977 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.161 98.6087 0 + vertex 116.15 98.5097 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.8297 6.91977 0 + vertex 88.73 10 0 + vertex 91.9287 6.90861 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.9287 97.3286 0 + vertex 87.0043 98.0169 0 + vertex 87.0301 98.1133 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.9287 6.90861 0 + vertex 88.73 10 0 + vertex 92.0267 6.89007 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.15 98.5097 0 + vertex 116.147 98.41 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.0267 6.89007 0 + vertex 88.73 10 0 + vertex 92.1231 6.86426 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.1231 6.86426 0 + vertex 88.73 10 0 + vertex 92.2172 6.83132 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 93.0486 95.8113 0 + vertex 93.0598 95.9103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.2172 6.83132 0 + vertex 88.73 10 0 + vertex 92.3086 6.79144 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.9713 95.5228 0 + vertex 93.0043 95.6169 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.3086 6.79144 0 + vertex 88.73 10 0 + vertex 92.3967 6.74484 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.3967 6.74484 0 + vertex 88.73 10 0 + vertex 92.4812 6.69179 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.5614 6.63257 0 + vertex 88.73 10 0 + vertex 92.637 6.56752 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.48 99.7435 0 + vertex 117.38 99.7398 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.6303 6.91977 0 + vertex 88.73 10 0 + vertex 91.73 6.9235 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.5313 6.90861 0 + vertex 88.73 10 0 + vertex 91.6303 6.91977 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.4333 6.89007 0 + vertex 88.73 10 0 + vertex 91.5313 6.90861 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.3369 6.86426 0 + vertex 88.73 10 0 + vertex 91.4333 6.89007 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 88.73 10 0 + vertex 91.3369 6.86426 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 91.3369 6.86426 0 + vertex 91.2428 6.83132 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.0632 6.74484 0 + vertex 88.73 6 0 + vertex 91.1514 6.79144 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.9788 6.69179 0 + vertex 88.73 6 0 + vertex 91.0632 6.74484 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.4114 5.78875 0 + vertex 88.73 6 0 + vertex 90.4299 5.88673 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.9788 6.69179 0 + vertex 90.8986 6.63257 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.8986 6.63257 0 + vertex 90.823 6.56752 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.823 6.56752 0 + vertex 90.7525 6.49701 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.7525 6.49701 0 + vertex 90.6874 6.42142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.6874 6.42142 0 + vertex 90.6282 6.34119 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.6282 6.34119 0 + vertex 90.5752 6.25675 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.5752 6.25675 0 + vertex 90.5286 6.16858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.5286 6.16858 0 + vertex 90.4887 6.07718 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.4887 6.07718 0 + vertex 90.4557 5.98306 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.4557 5.98306 0 + vertex 90.4299 5.88673 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.649 97.3674 0 + vertex 116.729 97.3082 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.3965 5.59 0 + vertex 88.73 6 0 + vertex 90.4002 5.68965 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 95.6 0 + vertex 90.3965 96.01 0 + vertex 90.4002 95.9103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 95.6 0 + vertex 90.4002 95.9103 0 + vertex 90.4114 95.8113 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 88.73 95.6 0 + vertex 90.4299 95.7133 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.813 97.2552 0 + vertex 116.901 97.2086 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 90.4299 95.7133 0 + vertex 90.4557 95.6169 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 90.4557 95.6169 0 + vertex 90.4887 95.5228 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 90.4887 95.5228 0 + vertex 90.5286 95.4314 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 90.5286 95.4314 0 + vertex 90.5752 95.3432 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 90.5752 95.3432 0 + vertex 90.6282 95.2588 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 90.6282 95.2588 0 + vertex 90.6874 95.1786 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 90.6874 95.1786 0 + vertex 90.7525 95.103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 90.7525 95.103 0 + vertex 90.823 95.0325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 90.823 95.0325 0 + vertex 90.8986 94.9674 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 90.8986 94.9674 0 + vertex 90.9788 94.9082 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 90.9788 94.9082 0 + vertex 91.0632 94.8552 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 91.0632 94.8552 0 + vertex 91.1514 94.8086 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 91.1514 94.8086 0 + vertex 91.2428 94.7687 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 91.2428 94.7687 0 + vertex 91.3369 94.7357 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 91.3369 94.7357 0 + vertex 91.4333 94.7099 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 91.4333 94.7099 0 + vertex 91.5313 94.6914 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 91.5313 94.6914 0 + vertex 91.6303 94.6802 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 91.6303 94.6802 0 + vertex 91.73 94.6765 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 91.73 94.6765 0 + vertex 91.8297 94.6802 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 91.6 0 + vertex 91.8297 94.6802 0 + vertex 91.9287 94.6914 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.378 97.6588 0 + vertex 116.437 97.5786 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.502 97.503 0 + vertex 116.573 97.4325 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.901 97.2086 0 + vertex 116.993 97.1687 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 85.4333 99.7101 0 + vertex 85.3369 99.6843 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.993 97.1687 0 + vertex 117.087 97.1357 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.38 97.0802 0 + vertex 117.48 97.0765 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.281 97.0914 0 + vertex 117.38 97.0802 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 140.1 101.6 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.679 97.0914 0 + vertex 117.777 97.1099 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.4557 96.4031 0 + vertex 82.73 95.6 0 + vertex 90.4887 96.4972 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.5313 97.3286 0 + vertex 85.8297 97.0802 0 + vertex 91.6303 97.3398 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.6282 96.7612 0 + vertex 85.8297 97.0802 0 + vertex 90.6874 96.8414 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.325 97.7432 0 + vertex 116.378 97.6588 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.239 97.9228 0 + vertex 116.279 97.8314 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.6874 96.8414 0 + vertex 85.8297 97.0802 0 + vertex 90.7525 96.917 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.18 98.1133 0 + vertex 116.206 98.0169 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.7525 96.917 0 + vertex 85.8297 97.0802 0 + vertex 90.823 96.9875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.823 96.9875 0 + vertex 85.8297 97.0802 0 + vertex 90.8986 97.0526 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.635 99.0767 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.8986 97.0526 0 + vertex 85.8297 97.0802 0 + vertex 90.9788 97.1118 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.582 99.1612 0 + vertex 118.523 99.2414 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.9788 97.1118 0 + vertex 85.8297 97.0802 0 + vertex 91.0632 97.1648 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.458 99.317 0 + vertex 118.387 99.3875 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.0632 97.1648 0 + vertex 85.8297 97.0802 0 + vertex 91.1514 97.2114 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.311 99.4526 0 + vertex 118.231 99.5118 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.1514 97.2114 0 + vertex 85.8297 97.0802 0 + vertex 91.2428 97.2513 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.147 99.5648 0 + vertex 118.059 99.6114 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.2428 97.2513 0 + vertex 85.8297 97.0802 0 + vertex 91.3369 97.2843 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.967 99.6513 0 + vertex 117.873 99.6843 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.873 99.6843 0 + vertex 117.777 99.7101 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.3369 97.2843 0 + vertex 85.8297 97.0802 0 + vertex 91.4333 97.3101 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.777 99.7101 0 + vertex 117.679 99.7286 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.4333 97.3101 0 + vertex 85.8297 97.0802 0 + vertex 91.5313 97.3286 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.58 99.7398 0 + vertex 117.48 99.7435 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.681 98.9886 0 + vertex 118.635 99.0767 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.33 34.7333 0 + vertex 91.73 66.8667 0 + vertex 94.33 66.8667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 85.8297 97.0802 0 + vertex 90.6282 96.7612 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 90.6282 96.7612 0 + vertex 90.5752 96.6767 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 90.5752 96.6767 0 + vertex 90.5286 96.5886 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 90.5286 96.5886 0 + vertex 90.4887 96.4972 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 91.6 0 + vertex 88.73 91.6 0 + vertex 91.9287 94.6914 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 94.73 100.3 0 + vertex 85.73 99.7435 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 85.6303 99.7398 0 + vertex 85.5313 99.7286 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 85.5313 99.7286 0 + vertex 85.4333 99.7101 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 100.3 0 + vertex 40.0387 97.9228 0 + vertex 40.0786 97.8314 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 100.3 0 + vertex 40.0057 98.0169 0 + vertex 40.0387 97.9228 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 84.4002 3.28965 0 + vertex 84.3965 3.19 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 2.6 66.8667 0 + vertex 2.6 68.1667 0 + vertex 47.28 66.8667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.3797 97.3398 0 + vertex 42.3226 97.5786 0 + vertex 42.3818 97.6588 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 86.3086 1.98856 0 + vertex 86.3967 2.03516 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.33 68.1667 0 + vertex 91.73 68.1667 0 + vertex 94.33 91.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 140.1 0 0 + vertex 137.5 33.4333 0 + vertex 140.1 101.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 137.5 100.3 0 + vertex 140.1 101.6 0 + vertex 137.5 68.1667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.4002 96.1097 0 + vertex 82.73 95.6 0 + vertex 90.4114 96.2087 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.33 10 0 + vertex 91.73 34.7333 0 + vertex 94.33 33.4333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.33 68.1667 0 + vertex 91.73 66.8667 0 + vertex 91.73 68.1667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 137.5 33.4333 0 + vertex 137.5 34.7333 0 + vertex 140.1 101.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 137.5 34.7333 0 + vertex 137.5 66.8667 0 + vertex 140.1 101.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 91.6 0 + vertex 94.33 91.6 0 + vertex 91.73 68.1667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.33 66.8667 0 + vertex 91.73 66.8667 0 + vertex 94.33 68.1667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 137.5 66.8667 0 + vertex 94.33 66.8667 0 + vertex 137.5 68.1667 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 137.5 66.8667 0 + vertex 137.5 68.1667 0 + vertex 140.1 101.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 120.48 100.3 0 + vertex 117.38 99.7398 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.649 99.4526 0 + vertex 116.573 99.3875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.33 33.4333 0 + vertex 94.33 34.7333 0 + vertex 137.5 33.4333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 100.3 0 + vertex 140.1 101.6 0 + vertex 114.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.8318 6.34119 0 + vertex 92.7726 6.42142 0 + vertex 91.73 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.8848 6.25675 0 + vertex 92.8318 6.34119 0 + vertex 91.73 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 137.5 34.7333 0 + vertex 137.5 33.4333 0 + vertex 94.33 34.7333 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 93.0043 95.6169 0 + vertex 93.0301 95.7133 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 93.0301 95.7133 0 + vertex 93.0486 95.8113 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 93.0043 96.4031 0 + vertex 92.9713 96.4972 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.9287 97.3286 0 + vertex 87.0486 98.2113 0 + vertex 87.0598 98.3103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.1231 97.2843 0 + vertex 87.0301 98.7067 0 + vertex 87.0043 98.8031 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.4812 6.69179 0 + vertex 88.73 10 0 + vertex 92.5614 6.63257 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.1231 97.2843 0 + vertex 86.8848 99.0767 0 + vertex 86.8318 99.1612 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.1231 97.2843 0 + vertex 86.9314 98.9886 0 + vertex 86.8848 99.0767 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.2172 97.2513 0 + vertex 86.4812 99.5118 0 + vertex 86.3967 99.5648 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.2172 97.2513 0 + vertex 86.2172 99.6513 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.5614 97.0526 0 + vertex 92.4812 97.1118 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.7075 96.917 0 + vertex 92.637 96.9875 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.279 98.9886 0 + vertex 116.239 98.8972 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.9713 96.4972 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.147 4.34484 0 + vertex 118.059 4.39144 0 + vertex 120.48 6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.059 4.39144 0 + vertex 114.48 6 0 + vertex 120.48 6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.059 4.39144 0 + vertex 117.967 4.43132 0 + vertex 114.48 6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.967 4.43132 0 + vertex 117.873 4.46426 0 + vertex 114.48 6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.873 4.46426 0 + vertex 117.777 4.49007 0 + vertex 114.48 6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.754 3.58306 0 + vertex 118.721 3.67718 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.78 3.48673 0 + vertex 118.754 3.58306 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.813 3.19 0 + vertex 118.81 3.28965 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.325 2.52325 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.81 3.28965 0 + vertex 118.799 3.38875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 100.3 0 + vertex 140.1 101.6 0 + vertex 137.5 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 1.3 0 + vertex 118.721 3.67718 0 + vertex 118.681 3.76858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 117.281 99.7286 0 + vertex 117.183 99.7101 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 117.183 99.7101 0 + vertex 117.087 99.6843 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.387 4.16752 0 + vertex 118.311 4.23257 0 + vertex 120.48 6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.325 99.0767 0 + vertex 116.279 98.9886 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.3967 97.1648 0 + vertex 92.3086 97.2114 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.2172 97.2513 0 + vertex 86.3086 99.6114 0 + vertex 86.2172 99.6513 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.9287 97.3286 0 + vertex 91.8297 97.3398 0 + vertex 86.9713 97.9228 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.9287 97.3286 0 + vertex 86.9713 97.9228 0 + vertex 87.0043 98.0169 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 93.0598 95.9103 0 + vertex 93.0635 96.01 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 93.0635 96.01 0 + vertex 93.0598 96.1097 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.147 98.41 0 + vertex 116.15 98.3103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.502 99.317 0 + vertex 116.437 99.2414 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.437 99.2414 0 + vertex 116.378 99.1612 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.6303 97.3398 0 + vertex 85.9287 97.0914 0 + vertex 86.0267 97.1099 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 97.3435 0 + vertex 91.6303 97.3398 0 + vertex 86.1231 97.1357 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 97.3435 0 + vertex 86.2172 97.1687 0 + vertex 86.3086 97.2086 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 97.3435 0 + vertex 86.3967 97.2552 0 + vertex 86.4812 97.3082 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 97.3435 0 + vertex 86.5614 97.3674 0 + vertex 86.637 97.4325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 97.3435 0 + vertex 86.637 97.4325 0 + vertex 86.7075 97.503 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.8297 97.3398 0 + vertex 91.73 97.3435 0 + vertex 86.7726 97.5786 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.8297 97.3398 0 + vertex 86.8318 97.6588 0 + vertex 86.8848 97.7432 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.8297 97.3398 0 + vertex 86.7726 97.5786 0 + vertex 86.8318 97.6588 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 97.3435 0 + vertex 86.7075 97.503 0 + vertex 86.7726 97.5786 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 97.3435 0 + vertex 86.4812 97.3082 0 + vertex 86.5614 97.3674 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 97.3435 0 + vertex 86.3086 97.2086 0 + vertex 86.3967 97.2552 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 97.3435 0 + vertex 86.1231 97.1357 0 + vertex 86.2172 97.1687 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.6303 97.3398 0 + vertex 86.0267 97.1099 0 + vertex 86.1231 97.1357 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.6303 97.3398 0 + vertex 85.8297 97.0802 0 + vertex 85.9287 97.0914 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.437 97.5786 0 + vertex 116.502 97.503 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 90.4299 96.3067 0 + vertex 82.73 95.6 0 + vertex 90.4557 96.4031 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.183 97.1099 0 + vertex 117.281 97.0914 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.58 97.0802 0 + vertex 117.679 97.0914 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.637 96.9875 0 + vertex 92.5614 97.0526 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.279 97.8314 0 + vertex 116.325 97.7432 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.3086 97.2114 0 + vertex 92.2172 97.2513 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.161 98.2113 0 + vertex 116.18 98.1133 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 86.0267 99.7101 0 + vertex 85.9287 99.7286 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.2172 97.2513 0 + vertex 86.3967 99.5648 0 + vertex 86.3086 99.6114 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.523 99.2414 0 + vertex 118.458 99.317 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.2172 97.2513 0 + vertex 86.7075 99.317 0 + vertex 86.637 99.3875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.231 99.5118 0 + vertex 118.147 99.5648 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.1231 97.2843 0 + vertex 86.9713 98.8972 0 + vertex 86.9314 98.9886 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.679 99.7286 0 + vertex 117.58 99.7398 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.0267 97.3101 0 + vertex 87.0486 98.6087 0 + vertex 87.0301 98.7067 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.754 98.8031 0 + vertex 118.721 98.8972 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.0267 97.3101 0 + vertex 87.0635 98.41 0 + vertex 87.0598 98.5097 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.78 98.7067 0 + vertex 118.754 98.8031 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.0267 97.3101 0 + vertex 91.9287 97.3286 0 + vertex 87.0598 98.3103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.799 98.6087 0 + vertex 118.78 98.7067 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.635 97.7432 0 + vertex 118.681 97.8314 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 93.0301 96.3067 0 + vertex 93.0043 96.4031 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.458 97.503 0 + vertex 118.523 97.5786 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 93.0598 96.1097 0 + vertex 93.0486 96.2087 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.582 97.6588 0 + vertex 118.635 97.7432 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 93.0486 96.2087 0 + vertex 93.0301 96.3067 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.8297 97.3398 0 + vertex 86.8848 97.7432 0 + vertex 86.9314 97.8314 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.721 97.9228 0 + vertex 118.754 98.0169 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.9287 97.3286 0 + vertex 87.0301 98.1133 0 + vertex 87.0486 98.2113 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.813 98.41 0 + vertex 118.81 98.5097 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.0267 97.3101 0 + vertex 87.0598 98.3103 0 + vertex 87.0635 98.41 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.0267 97.3101 0 + vertex 87.0598 98.5097 0 + vertex 87.0486 98.6087 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.1231 97.2843 0 + vertex 92.0267 97.3101 0 + vertex 87.0301 98.7067 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.721 98.8972 0 + vertex 118.681 98.9886 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.2172 97.2513 0 + vertex 86.8318 99.1612 0 + vertex 86.7726 99.2414 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.059 99.6114 0 + vertex 117.967 99.6513 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.2172 97.2513 0 + vertex 86.5614 99.4526 0 + vertex 86.4812 99.5118 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.387 99.3875 0 + vertex 118.311 99.4526 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.8297 99.7398 0 + vertex 85.73 99.7435 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.635 99.0767 0 + vertex 118.582 99.1612 0 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 86.2172 99.6513 0 + vertex 86.1231 99.6843 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.15 98.3103 0 + vertex 116.161 98.2113 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.4812 97.1118 0 + vertex 92.3967 97.1648 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.206 98.0169 0 + vertex 116.239 97.9228 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.7726 96.8414 0 + vertex 92.7075 96.917 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.9314 96.5886 0 + vertex 92.8848 96.6767 0 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.48 97.0765 0 + vertex 117.58 97.0802 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 117.087 97.1357 0 + vertex 117.183 97.1099 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.573 97.4325 0 + vertex 116.649 97.3674 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 100.3 0 + vertex 116.378 97.6588 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 41.8586 1.98856 0 + vertex 41.9467 2.03516 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 41.7672 1.94868 0 + vertex 41.8586 1.98856 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 41.6731 1.91574 0 + vertex 41.7672 1.94868 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 41.5767 1.88993 0 + vertex 41.6731 1.91574 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 41.4787 1.87139 0 + vertex 41.5767 1.88993 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 41.3797 1.86023 0 + vertex 41.4787 1.87139 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 41.28 1.8565 0 + vertex 41.3797 1.86023 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 47.28 33.4333 0 + vertex 49.88 10 0 + vertex 47.28 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.4486 97.3674 0 + vertex 40.5288 97.3082 0 + vertex 38.28 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.373 97.4325 0 + vertex 40.4486 97.3674 0 + vertex 38.28 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.3025 97.503 0 + vertex 40.373 97.4325 0 + vertex 38.28 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.2374 97.5786 0 + vertex 40.3025 97.503 0 + vertex 38.28 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 40.1782 97.6588 0 + vertex 40.2374 97.5786 0 + vertex 38.28 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 38.28 100.3 0 + vertex 40.1782 97.6588 0 + vertex 38.28 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.5801 2.89327 0 + vertex 42.5986 2.99125 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.5986 2.99125 0 + vertex 42.6098 3.09035 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.6098 3.09035 0 + vertex 42.6135 3.19 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.6135 3.19 0 + vertex 42.6098 3.28965 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.6098 3.28965 0 + vertex 42.5986 3.38875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.5986 3.38875 0 + vertex 42.5801 3.48673 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.5801 3.48673 0 + vertex 42.5543 3.58306 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.5543 3.58306 0 + vertex 42.5213 3.67718 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.5213 3.67718 0 + vertex 42.4814 3.76858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.4814 3.76858 0 + vertex 42.4348 3.85675 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.4348 3.85675 0 + vertex 42.3818 3.94119 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.3818 3.94119 0 + vertex 42.3226 4.02142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.3226 4.02142 0 + vertex 42.2575 4.09701 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.2575 4.09701 0 + vertex 42.187 4.16752 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.187 4.16752 0 + vertex 42.1114 4.23257 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.1114 4.23257 0 + vertex 42.0312 4.29179 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 42.0312 4.29179 0 + vertex 41.9467 4.34484 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 41.9467 4.34484 0 + vertex 41.8586 4.39144 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 41.8586 4.39144 0 + vertex 47.1803 4.26023 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 47.1803 4.26023 0 + vertex 47.28 4.2565 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 47.28 4.2565 0 + vertex 47.3797 4.26023 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 47.3797 4.26023 0 + vertex 47.4787 4.27139 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 47.4787 4.27139 0 + vertex 47.5767 4.28993 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 47.5767 4.28993 0 + vertex 47.6731 4.31574 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 47.6731 4.31574 0 + vertex 47.7672 4.34868 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 47.7672 4.34868 0 + vertex 47.8586 4.38856 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 47.8586 4.38856 0 + vertex 47.9467 4.43515 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 47.9467 4.43515 0 + vertex 48.0312 4.48821 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.0312 4.48821 0 + vertex 48.1114 4.54743 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.1114 4.54743 0 + vertex 48.187 4.61247 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.187 4.61247 0 + vertex 48.2575 4.68299 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.2575 4.68299 0 + vertex 48.3226 4.75858 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.3226 4.75858 0 + vertex 48.3818 4.83881 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.3818 4.83881 0 + vertex 48.4348 4.92325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.4348 4.92325 0 + vertex 48.4814 5.01142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.4814 5.01142 0 + vertex 48.5213 5.10282 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.5213 5.10282 0 + vertex 48.5543 5.19694 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.5543 5.19694 0 + vertex 48.5801 5.29327 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.5801 5.29327 0 + vertex 48.5986 5.39125 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.5986 5.39125 0 + vertex 48.6098 5.49035 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.6098 5.49035 0 + vertex 48.6135 5.59 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.6135 5.59 0 + vertex 48.6098 5.68965 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.6098 5.68965 0 + vertex 48.5986 5.78875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.5986 5.78875 0 + vertex 48.5801 5.88673 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.5801 5.88673 0 + vertex 48.5543 5.98306 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.5543 5.98306 0 + vertex 48.5213 6.07718 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.187 6.56752 0 + vertex 44.28 10 0 + vertex 47.28 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.2575 6.49701 0 + vertex 48.187 6.56752 0 + vertex 47.28 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.3226 6.42142 0 + vertex 48.2575 6.49701 0 + vertex 47.28 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.3818 6.34119 0 + vertex 48.3226 6.42142 0 + vertex 47.28 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.4348 6.25675 0 + vertex 48.3818 6.34119 0 + vertex 47.28 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.4814 6.16858 0 + vertex 48.4348 6.25675 0 + vertex 47.28 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 48.5213 6.07718 0 + vertex 48.4814 6.16858 0 + vertex 47.28 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 48.5213 6.07718 0 + vertex 47.28 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 47.28 10 0 + vertex 49.88 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 50.28 1.3 0 + vertex 49.88 10 0 + vertex 50.28 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 85.8297 1.86023 0 + vertex 85.9287 1.87139 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 85.73 1.8565 0 + vertex 85.8297 1.86023 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 91.73 33.4333 0 + vertex 94.33 10 0 + vertex 91.73 10 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 118.311 4.23257 0 + vertex 118.231 4.29179 0 + vertex 120.48 6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 6 0 + vertex 90.4887 5.10282 0 + vertex 85.9287 4.50861 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 92.2172 97.2513 0 + vertex 86.637 99.3875 0 + vertex 86.5614 99.4526 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.3965 5.59 0 + vertex 90.4002 5.49035 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.4002 5.49035 0 + vertex 90.4114 5.39125 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.4114 5.39125 0 + vertex 90.4299 5.29327 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 88.73 6 0 + vertex 90.4299 5.29327 0 + vertex 82.73 6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 90.4002 96.1097 0 + vertex 90.3965 96.01 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 95.6 0 + vertex 90.3965 96.01 0 + vertex 88.73 95.6 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 85.3369 99.6843 0 + vertex 85.2428 99.6513 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 120.48 95.6 0 + vertex 118.799 98.2113 0 + vertex 118.81 98.3103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 85.2428 99.6513 0 + vertex 85.1514 99.6114 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 116.901 1.98856 0 + vertex 116.993 1.94868 0 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.1231 94.7357 0 + vertex 92.2172 94.7687 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.279 2.61142 0 + vertex 116.325 2.52325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.206 2.79694 0 + vertex 116.239 2.70282 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.239 2.70282 0 + vertex 116.279 2.61142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.161 2.99125 0 + vertex 116.18 2.89327 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 85.1514 99.6114 0 + vertex 85.0632 99.5648 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.18 2.89327 0 + vertex 116.206 2.79694 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.15 3.09035 0 + vertex 116.161 2.99125 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.2172 94.7687 0 + vertex 92.3086 94.8086 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.147 3.19 0 + vertex 116.15 3.09035 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.15 3.28965 0 + vertex 116.147 3.19 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 85.0632 99.5648 0 + vertex 84.9788 99.5118 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.161 3.38875 0 + vertex 116.15 3.28965 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.3086 94.8086 0 + vertex 92.3967 94.8552 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.18 3.48673 0 + vertex 116.161 3.38875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.9788 99.5118 0 + vertex 84.8986 99.4526 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.3967 94.8552 0 + vertex 92.4812 94.9082 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.437 4.02142 0 + vertex 116.378 3.94119 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.8986 99.4526 0 + vertex 84.823 99.3875 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.378 3.94119 0 + vertex 116.325 3.85675 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 116.502 4.09701 0 + vertex 116.437 4.02142 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.4812 94.9082 0 + vertex 92.5614 94.9674 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.823 99.3875 0 + vertex 84.7525 99.317 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.5614 94.9674 0 + vertex 92.637 95.0325 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.7525 99.317 0 + vertex 84.6874 99.2414 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.637 95.0325 0 + vertex 92.7075 95.103 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.6874 99.2414 0 + vertex 84.6282 99.1612 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 117.183 4.49007 0 + vertex 117.087 4.46426 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 117.281 4.50861 0 + vertex 117.183 4.49007 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.6282 99.1612 0 + vertex 84.5752 99.0767 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 91.6 0 + vertex 92.7075 95.103 0 + vertex 92.7726 95.1786 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 114.48 6 0 + vertex 117.48 4.5235 0 + vertex 117.38 4.51977 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.6303 1.86023 0 + vertex 85.73 1.8565 0 + vertex 94.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 94.73 1.3 0 + vertex 140.1 0 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.6303 1.86023 0 + vertex 94.73 1.3 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.5313 1.87139 0 + vertex 85.6303 1.86023 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 85.4333 1.88993 0 + vertex 85.5313 1.87139 0 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 100.3 0 + vertex 84.5752 99.0767 0 + vertex 84.5286 98.9886 0 + endloop + endfacet + facet normal 0 0 -1 + outer loop + vertex 82.73 1.3 0 + vertex 140.1 0 0 + vertex 50.28 1.3 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 0 101.6 0 + vertex 0 101.6 1.3 + vertex 140.1 101.6 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 140.1 101.6 0 + vertex 0 101.6 1.3 + vertex 140.1 101.6 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.7967 101.6 7.65007 + vertex 18.5 101.6 10.35 + vertex 38.5 101.6 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.3042 101.6 5.11393 + vertex 38.5 101.6 10.35 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.6086 101.6 3.83272 + vertex 140.1 101.6 1.3 + vertex 31.4726 101.6 3.67348 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.397 101.6 7.65007 + vertex 120.1 101.6 10.35 + vertex 140.1 101.6 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.073 101.6 3.67348 + vertex 140.1 101.6 10.35 + vertex 140.1 101.6 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.682 101.6 2.37191 + vertex 140.1 101.6 1.3 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.5274 101.6 3.67348 + vertex 0 101.6 1.3 + vertex 0 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 101.6 4.3 + vertex 18.5 101.6 10.35 + vertex 24.5055 101.6 6.14066 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.593 101.6 7.32752 + vertex 27.5225 101.6 7.25701 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.0786 101.6 7.55144 + vertex 38.5 101.6 10.35 + vertex 29.1667 101.6 7.50484 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 101.6 4.3 + vertex 24.5219 101.6 5.93189 + vertex 24.5492 101.6 5.72426 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 101.6 4.3 + vertex 24.5492 101.6 5.72426 + vertex 24.5874 101.6 5.51835 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 101.6 4.3 + vertex 24.5874 101.6 5.51835 + vertex 24.6363 101.6 5.31472 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 101.6 4.3 + vertex 24.6363 101.6 5.31472 + vertex 24.6958 101.6 5.11393 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 101.6 4.3 + vertex 24.6958 101.6 5.11393 + vertex 24.7657 101.6 4.91653 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 101.6 4.3 + vertex 24.7657 101.6 4.91653 + vertex 24.8458 101.6 4.72305 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 101.6 4.3 + vertex 24.8458 101.6 4.72305 + vertex 24.936 101.6 4.53404 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 101.6 4.3 + vertex 24.936 101.6 4.53404 + vertex 25.0359 101.6 4.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.8547 101.6 4.17144 + vertex 140.1 101.6 1.3 + vertex 31.7361 101.6 3.99886 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.9641 101.6 4.35 + vertex 38.5 101.6 4.3 + vertex 31.8547 101.6 4.17144 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.2639 101.6 3.99886 + vertex 18.5 101.6 4.3 + vertex 25.1453 101.6 4.17144 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.5274 101.6 3.67348 + vertex 0 101.6 4.3 + vertex 25.3914 101.6 3.83272 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 101.6 4.3 + vertex 25.2639 101.6 3.99886 + vertex 0 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.7361 101.6 3.99886 + vertex 140.1 101.6 1.3 + vertex 31.6086 101.6 3.83272 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.2639 101.6 3.99886 + vertex 25.3914 101.6 3.83272 + vertex 0 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.6716 101.6 3.52157 + vertex 0 101.6 1.3 + vertex 25.5274 101.6 3.67348 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.7093 101.6 2.35548 + vertex 140.1 101.6 1.3 + vertex 28.5 101.6 2.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.8235 101.6 3.37742 + vertex 0 101.6 1.3 + vertex 25.6716 101.6 3.52157 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.9827 101.6 3.24142 + vertex 0 101.6 1.3 + vertex 25.8235 101.6 3.37742 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.1489 101.6 3.11393 + vertex 0 101.6 1.3 + vertex 25.9827 101.6 3.24142 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.3214 101.6 2.99532 + vertex 0 101.6 1.3 + vertex 26.1489 101.6 3.11393 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.5 101.6 2.8859 + vertex 0 101.6 1.3 + vertex 26.3214 101.6 2.99532 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.684 101.6 2.78597 + vertex 0 101.6 1.3 + vertex 26.5 101.6 2.8859 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.8731 101.6 2.69582 + vertex 0 101.6 1.3 + vertex 26.684 101.6 2.78597 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.0665 101.6 2.61568 + vertex 0 101.6 1.3 + vertex 26.8731 101.6 2.69582 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.2639 101.6 2.54577 + vertex 0 101.6 1.3 + vertex 27.0665 101.6 2.61568 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.4647 101.6 2.4863 + vertex 0 101.6 1.3 + vertex 27.2639 101.6 2.54577 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.6684 101.6 2.43741 + vertex 0 101.6 1.3 + vertex 27.4647 101.6 2.4863 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.8743 101.6 2.39925 + vertex 0 101.6 1.3 + vertex 27.6684 101.6 2.43741 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.0819 101.6 2.37191 + vertex 0 101.6 1.3 + vertex 27.8743 101.6 2.39925 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.2907 101.6 2.35548 + vertex 0 101.6 1.3 + vertex 28.0819 101.6 2.37191 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.5 101.6 2.35 + vertex 0 101.6 1.3 + vertex 28.2907 101.6 2.35548 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 140.1 101.6 1.3 + vertex 0 101.6 1.3 + vertex 28.5 101.6 2.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.9181 101.6 2.37191 + vertex 140.1 101.6 1.3 + vertex 28.7093 101.6 2.35548 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.1257 101.6 2.39925 + vertex 140.1 101.6 1.3 + vertex 28.9181 101.6 2.37191 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.3316 101.6 2.43741 + vertex 140.1 101.6 1.3 + vertex 29.1257 101.6 2.39925 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.5353 101.6 2.4863 + vertex 140.1 101.6 1.3 + vertex 29.3316 101.6 2.43741 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.7361 101.6 2.54577 + vertex 140.1 101.6 1.3 + vertex 29.5353 101.6 2.4863 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.9335 101.6 2.61568 + vertex 140.1 101.6 1.3 + vertex 29.7361 101.6 2.54577 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.1269 101.6 2.69582 + vertex 140.1 101.6 1.3 + vertex 29.9335 101.6 2.61568 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.316 101.6 2.78597 + vertex 140.1 101.6 1.3 + vertex 30.1269 101.6 2.69582 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.5 101.6 2.8859 + vertex 140.1 101.6 1.3 + vertex 30.316 101.6 2.78597 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.6786 101.6 2.99532 + vertex 140.1 101.6 1.3 + vertex 30.5 101.6 2.8859 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.8511 101.6 3.11393 + vertex 140.1 101.6 1.3 + vertex 30.6786 101.6 2.99532 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.0173 101.6 3.24142 + vertex 140.1 101.6 1.3 + vertex 30.8511 101.6 3.11393 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.1765 101.6 3.37742 + vertex 140.1 101.6 1.3 + vertex 31.0173 101.6 3.24142 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.3284 101.6 3.52157 + vertex 140.1 101.6 1.3 + vertex 31.1765 101.6 3.37742 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.4726 101.6 3.67348 + vertex 140.1 101.6 1.3 + vertex 31.3284 101.6 3.52157 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.5 101.6 4.3 + vertex 140.1 101.6 1.3 + vertex 31.8547 101.6 4.17144 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.064 101.6 4.53404 + vertex 38.5 101.6 4.3 + vertex 31.9641 101.6 4.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.1542 101.6 4.72305 + vertex 38.5 101.6 4.3 + vertex 32.064 101.6 4.53404 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.2343 101.6 4.91653 + vertex 38.5 101.6 4.3 + vertex 32.1542 101.6 4.72305 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.3042 101.6 5.11393 + vertex 38.5 101.6 4.3 + vertex 32.2343 101.6 4.91653 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.3637 101.6 5.31472 + vertex 38.5 101.6 10.35 + vertex 32.3042 101.6 5.11393 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.2512 101.6 7.45179 + vertex 38.5 101.6 10.35 + vertex 29.3314 101.6 7.39257 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.4126 101.6 5.51835 + vertex 38.5 101.6 10.35 + vertex 32.3637 101.6 5.31472 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.4508 101.6 5.72426 + vertex 38.5 101.6 10.35 + vertex 32.4126 101.6 5.51835 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.4781 101.6 5.93189 + vertex 38.5 101.6 10.35 + vertex 32.4508 101.6 5.72426 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.4945 101.6 6.14066 + vertex 38.5 101.6 10.35 + vertex 32.4781 101.6 5.93189 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.5 101.6 6.35 + vertex 38.5 101.6 10.35 + vertex 32.4945 101.6 6.14066 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.8335 101.6 6.35 + vertex 38.5 101.6 10.35 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.8298 101.6 6.44965 + vertex 38.5 101.6 10.35 + vertex 29.8335 101.6 6.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.8186 101.6 6.54875 + vertex 38.5 101.6 10.35 + vertex 29.8298 101.6 6.44965 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.8001 101.6 6.64673 + vertex 38.5 101.6 10.35 + vertex 29.8186 101.6 6.54875 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.7743 101.6 6.74306 + vertex 38.5 101.6 10.35 + vertex 29.8001 101.6 6.64673 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.7413 101.6 6.83718 + vertex 38.5 101.6 10.35 + vertex 29.7743 101.6 6.74306 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.7014 101.6 6.92858 + vertex 38.5 101.6 10.35 + vertex 29.7413 101.6 6.83718 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.6548 101.6 7.01675 + vertex 38.5 101.6 10.35 + vertex 29.7014 101.6 6.92858 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.9214 101.6 7.55144 + vertex 27.8332 101.6 7.50484 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.6018 101.6 7.10119 + vertex 38.5 101.6 10.35 + vertex 29.6548 101.6 7.01675 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.5426 101.6 7.18142 + vertex 38.5 101.6 10.35 + vertex 29.6018 101.6 7.10119 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.4775 101.6 7.25701 + vertex 38.5 101.6 10.35 + vertex 29.5426 101.6 7.18142 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.407 101.6 7.32752 + vertex 38.5 101.6 10.35 + vertex 29.4775 101.6 7.25701 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.3314 101.6 7.39257 + vertex 38.5 101.6 10.35 + vertex 29.407 101.6 7.32752 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.1667 101.6 7.50484 + vertex 38.5 101.6 10.35 + vertex 29.2512 101.6 7.45179 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.6686 101.6 7.39257 + vertex 27.593 101.6 7.32752 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.6987 101.6 7.66861 + vertex 18.5 101.6 10.35 + vertex 28.7967 101.6 7.65007 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.5 101.6 7.6835 + vertex 18.5 101.6 10.35 + vertex 28.5997 101.6 7.67977 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.0786 101.6 7.55144 + vertex 28.9872 101.6 7.59132 + vertex 38.5 101.6 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.5997 101.6 7.67977 + vertex 18.5 101.6 10.35 + vertex 28.6987 101.6 7.66861 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.9872 101.6 7.59132 + vertex 28.8931 101.6 7.62426 + vertex 38.5 101.6 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.8931 101.6 7.62426 + vertex 28.7967 101.6 7.65007 + vertex 38.5 101.6 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.4003 101.6 7.67977 + vertex 18.5 101.6 10.35 + vertex 28.5 101.6 7.6835 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.3013 101.6 7.66861 + vertex 18.5 101.6 10.35 + vertex 28.4003 101.6 7.67977 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.2033 101.6 7.65007 + vertex 18.5 101.6 10.35 + vertex 28.3013 101.6 7.66861 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.1069 101.6 7.62426 + vertex 18.5 101.6 10.35 + vertex 28.2033 101.6 7.65007 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.0128 101.6 7.59132 + vertex 18.5 101.6 10.35 + vertex 28.1069 101.6 7.62426 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 18.5 101.6 10.35 + vertex 28.0128 101.6 7.59132 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 128.899 101.6 6.92858 + vertex 128.859 101.6 6.83718 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 129.122 101.6 7.25701 + vertex 129.057 101.6 7.18142 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 129.057 101.6 7.18142 + vertex 128.998 101.6 7.10119 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 128.998 101.6 7.10119 + vertex 128.945 101.6 7.01675 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 128.945 101.6 7.01675 + vertex 128.899 101.6 6.92858 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.7488 101.6 7.45179 + vertex 27.6686 101.6 7.39257 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.1453 101.6 4.17144 + vertex 18.5 101.6 4.3 + vertex 25.0359 101.6 4.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 128.781 101.6 6.54875 + vertex 128.77 101.6 6.44965 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 128.77 101.6 6.44965 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.8332 101.6 7.50484 + vertex 27.7488 101.6 7.45179 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 28.0128 101.6 7.59132 + vertex 27.9214 101.6 7.55144 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5055 101.6 6.14066 + vertex 18.5 101.6 10.35 + vertex 24.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.122 101.6 5.93189 + vertex 120.1 101.6 4.3 + vertex 126.105 101.6 6.14066 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 120.1 101.6 10.35 + vertex 126.105 101.6 6.14066 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 129.193 101.6 7.32752 + vertex 129.122 101.6 7.25701 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.679 101.6 7.55144 + vertex 140.1 101.6 10.35 + vertex 130.767 101.6 7.50484 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 126.122 101.6 5.93189 + vertex 126.149 101.6 5.72426 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 126.149 101.6 5.72426 + vertex 126.187 101.6 5.51835 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 126.187 101.6 5.51835 + vertex 126.236 101.6 5.31472 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 126.236 101.6 5.31472 + vertex 126.296 101.6 5.11393 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 126.296 101.6 5.11393 + vertex 126.366 101.6 4.91653 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 126.366 101.6 4.91653 + vertex 126.446 101.6 4.72305 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 126.446 101.6 4.72305 + vertex 126.536 101.6 4.53404 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 126.536 101.6 4.53404 + vertex 126.636 101.6 4.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 126.636 101.6 4.35 + vertex 126.745 101.6 4.17144 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 126.745 101.6 4.17144 + vertex 126.864 101.6 3.99886 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 126.864 101.6 3.99886 + vertex 126.991 101.6 3.83272 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 126.991 101.6 3.83272 + vertex 127.127 101.6 3.67348 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 127.127 101.6 3.67348 + vertex 127.272 101.6 3.52157 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 127.272 101.6 3.52157 + vertex 127.423 101.6 3.37742 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 127.423 101.6 3.37742 + vertex 127.583 101.6 3.24142 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.1 101.6 2.35 + vertex 140.1 101.6 1.3 + vertex 129.891 101.6 2.35548 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 127.749 101.6 3.11393 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.533 101.6 2.61568 + vertex 140.1 101.6 1.3 + vertex 131.336 101.6 2.54577 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 127.749 101.6 3.11393 + vertex 127.921 101.6 2.99532 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.336 101.6 2.54577 + vertex 140.1 101.6 1.3 + vertex 131.135 101.6 2.4863 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 127.921 101.6 2.99532 + vertex 128.1 101.6 2.8859 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.1 101.6 2.8859 + vertex 128.284 101.6 2.78597 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.135 101.6 2.4863 + vertex 140.1 101.6 1.3 + vertex 130.932 101.6 2.43741 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.284 101.6 2.78597 + vertex 128.473 101.6 2.69582 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.932 101.6 2.43741 + vertex 140.1 101.6 1.3 + vertex 130.726 101.6 2.39925 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.473 101.6 2.69582 + vertex 128.667 101.6 2.61568 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.726 101.6 2.39925 + vertex 140.1 101.6 1.3 + vertex 130.518 101.6 2.37191 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.667 101.6 2.61568 + vertex 128.864 101.6 2.54577 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.518 101.6 2.37191 + vertex 140.1 101.6 1.3 + vertex 130.309 101.6 2.35548 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.864 101.6 2.54577 + vertex 129.065 101.6 2.4863 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.065 101.6 2.4863 + vertex 129.268 101.6 2.43741 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.309 101.6 2.35548 + vertex 140.1 101.6 1.3 + vertex 130.1 101.6 2.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.268 101.6 2.43741 + vertex 129.474 101.6 2.39925 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.891 101.6 2.35548 + vertex 140.1 101.6 1.3 + vertex 129.682 101.6 2.37191 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.474 101.6 2.39925 + vertex 129.682 101.6 2.37191 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.916 101.6 2.78597 + vertex 140.1 101.6 1.3 + vertex 131.727 101.6 2.69582 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.1 101.6 2.8859 + vertex 140.1 101.6 1.3 + vertex 131.916 101.6 2.78597 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.279 101.6 2.99532 + vertex 140.1 101.6 1.3 + vertex 132.1 101.6 2.8859 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.451 101.6 3.11393 + vertex 140.1 101.6 1.3 + vertex 132.279 101.6 2.99532 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.617 101.6 3.24142 + vertex 140.1 101.6 1.3 + vertex 132.451 101.6 3.11393 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.777 101.6 3.37742 + vertex 140.1 101.6 1.3 + vertex 132.617 101.6 3.24142 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.928 101.6 3.52157 + vertex 140.1 101.6 1.3 + vertex 132.777 101.6 3.37742 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.073 101.6 3.67348 + vertex 140.1 101.6 1.3 + vertex 132.928 101.6 3.52157 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.209 101.6 3.83272 + vertex 140.1 101.6 10.35 + vertex 133.073 101.6 3.67348 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.851 101.6 7.45179 + vertex 140.1 101.6 10.35 + vertex 130.931 101.6 7.39257 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.336 101.6 3.99886 + vertex 140.1 101.6 10.35 + vertex 133.209 101.6 3.83272 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.455 101.6 4.17144 + vertex 140.1 101.6 10.35 + vertex 133.336 101.6 3.99886 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.564 101.6 4.35 + vertex 140.1 101.6 10.35 + vertex 133.455 101.6 4.17144 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.664 101.6 4.53404 + vertex 140.1 101.6 10.35 + vertex 133.564 101.6 4.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.754 101.6 4.72305 + vertex 140.1 101.6 10.35 + vertex 133.664 101.6 4.53404 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.834 101.6 4.91653 + vertex 140.1 101.6 10.35 + vertex 133.754 101.6 4.72305 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.904 101.6 5.11393 + vertex 140.1 101.6 10.35 + vertex 133.834 101.6 4.91653 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.964 101.6 5.31472 + vertex 140.1 101.6 10.35 + vertex 133.904 101.6 5.11393 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.013 101.6 5.51835 + vertex 140.1 101.6 10.35 + vertex 133.964 101.6 5.31472 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.051 101.6 5.72426 + vertex 140.1 101.6 10.35 + vertex 134.013 101.6 5.51835 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.078 101.6 5.93189 + vertex 140.1 101.6 10.35 + vertex 134.051 101.6 5.72426 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.095 101.6 6.14066 + vertex 140.1 101.6 10.35 + vertex 134.078 101.6 5.93189 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.1 101.6 6.35 + vertex 140.1 101.6 10.35 + vertex 134.095 101.6 6.14066 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.433 101.6 6.35 + vertex 140.1 101.6 10.35 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.43 101.6 6.44965 + vertex 140.1 101.6 10.35 + vertex 131.433 101.6 6.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.419 101.6 6.54875 + vertex 140.1 101.6 10.35 + vertex 131.43 101.6 6.44965 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.4 101.6 6.64673 + vertex 140.1 101.6 10.35 + vertex 131.419 101.6 6.54875 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.374 101.6 6.74306 + vertex 140.1 101.6 10.35 + vertex 131.4 101.6 6.64673 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.341 101.6 6.83718 + vertex 140.1 101.6 10.35 + vertex 131.374 101.6 6.74306 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.301 101.6 6.92858 + vertex 140.1 101.6 10.35 + vertex 131.341 101.6 6.83718 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.255 101.6 7.01675 + vertex 140.1 101.6 10.35 + vertex 131.301 101.6 6.92858 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 129.521 101.6 7.55144 + vertex 129.433 101.6 7.50484 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.202 101.6 7.10119 + vertex 140.1 101.6 10.35 + vertex 131.255 101.6 7.01675 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.143 101.6 7.18142 + vertex 140.1 101.6 10.35 + vertex 131.202 101.6 7.10119 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.078 101.6 7.25701 + vertex 140.1 101.6 10.35 + vertex 131.143 101.6 7.18142 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.007 101.6 7.32752 + vertex 140.1 101.6 10.35 + vertex 131.078 101.6 7.25701 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.931 101.6 7.39257 + vertex 140.1 101.6 10.35 + vertex 131.007 101.6 7.32752 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.767 101.6 7.50484 + vertex 140.1 101.6 10.35 + vertex 130.851 101.6 7.45179 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 129.269 101.6 7.39257 + vertex 129.193 101.6 7.32752 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.299 101.6 7.66861 + vertex 120.1 101.6 10.35 + vertex 130.397 101.6 7.65007 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.1 101.6 7.6835 + vertex 120.1 101.6 10.35 + vertex 130.2 101.6 7.67977 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.679 101.6 7.55144 + vertex 130.587 101.6 7.59132 + vertex 140.1 101.6 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.2 101.6 7.67977 + vertex 120.1 101.6 10.35 + vertex 130.299 101.6 7.66861 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.587 101.6 7.59132 + vertex 130.493 101.6 7.62426 + vertex 140.1 101.6 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.493 101.6 7.62426 + vertex 130.397 101.6 7.65007 + vertex 140.1 101.6 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130 101.6 7.67977 + vertex 120.1 101.6 10.35 + vertex 130.1 101.6 7.6835 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.901 101.6 7.66861 + vertex 120.1 101.6 10.35 + vertex 130 101.6 7.67977 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.803 101.6 7.65007 + vertex 120.1 101.6 10.35 + vertex 129.901 101.6 7.66861 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.707 101.6 7.62426 + vertex 120.1 101.6 10.35 + vertex 129.803 101.6 7.65007 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.613 101.6 7.59132 + vertex 120.1 101.6 10.35 + vertex 129.707 101.6 7.62426 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 120.1 101.6 10.35 + vertex 129.613 101.6 7.59132 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 129.349 101.6 7.45179 + vertex 129.269 101.6 7.39257 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.727 101.6 2.69582 + vertex 140.1 101.6 1.3 + vertex 131.533 101.6 2.61568 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 127.749 101.6 3.11393 + vertex 120.1 101.6 4.3 + vertex 127.583 101.6 3.24142 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 129.433 101.6 7.50484 + vertex 129.349 101.6 7.45179 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 129.613 101.6 7.59132 + vertex 129.521 101.6 7.55144 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.105 101.6 6.14066 + vertex 120.1 101.6 10.35 + vertex 126.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5219 101.6 5.93189 + vertex 18.5 101.6 4.3 + vertex 24.5055 101.6 6.14066 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 128.859 101.6 6.83718 + vertex 128.826 101.6 6.74306 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 128.8 101.6 6.64673 + vertex 128.781 101.6 6.54875 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 101.6 6.35 + vertex 128.826 101.6 6.74306 + vertex 128.8 101.6 6.64673 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.5225 101.6 7.25701 + vertex 27.4574 101.6 7.18142 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.4574 101.6 7.18142 + vertex 27.3982 101.6 7.10119 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.3982 101.6 7.10119 + vertex 27.3452 101.6 7.01675 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.3452 101.6 7.01675 + vertex 27.2986 101.6 6.92858 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.2986 101.6 6.92858 + vertex 27.2587 101.6 6.83718 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.2587 101.6 6.83718 + vertex 27.2257 101.6 6.74306 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.2257 101.6 6.74306 + vertex 27.1999 101.6 6.64673 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.1999 101.6 6.64673 + vertex 27.1814 101.6 6.54875 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.1814 101.6 6.54875 + vertex 27.1702 101.6 6.44965 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 101.6 6.35 + vertex 27.1702 101.6 6.44965 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 0 101.6 4.3 + vertex 0 100.3 4.3 + vertex 18.5 100.3 4.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 18.5 101.6 4.3 + vertex 0 101.6 4.3 + vertex 18.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 2.6 100.3 1.3 + vertex 2.6 100.3 0 + vertex 38.28 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.5 100.3 2.35 + vertex 28.2907 100.3 2.35548 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.7093 100.3 2.35548 + vertex 2.6 100.3 1.3 + vertex 38.28 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 4.3 + vertex 94.73 100.3 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 82.73 100.3 0 + vertex 50.28 100.3 3 + vertex 50.28 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 82.73 100.3 3 + vertex 50.28 100.3 3 + vertex 82.73 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 114.48 100.3 0 + vertex 94.73 100.3 3 + vertex 94.73 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 114.48 100.3 3 + vertex 94.73 100.3 3 + vertex 114.48 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 4.3 + vertex 31.3284 100.3 3.52157 + vertex 31.1765 100.3 3.37742 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 4.3 + vertex 114.48 100.3 3 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 137.5 100.3 0 + vertex 120.48 100.3 3 + vertex 120.48 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 137.5 100.3 1.3 + vertex 120.48 100.3 3 + vertex 137.5 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 100.3 1.3 + vertex 120.48 100.3 3 + vertex 137.5 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.891 100.3 2.35548 + vertex 120.48 100.3 3 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 24.5219 100.3 6.76811 + vertex 24.5492 100.3 6.97574 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.187 100.3 5.51835 + vertex 120.1 100.3 4.3 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.9335 100.3 2.61568 + vertex 29.7361 100.3 2.54577 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 4.3 + vertex 82.73 100.3 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.5055 100.3 6.14066 + vertex 24.5 100.3 6.35 + vertex 18.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 4.3 + vertex 0 100.3 4.3 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.7361 100.3 10.1542 + vertex 29.9335 100.3 10.0843 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.8547 100.3 8.52856 + vertex 31.9641 100.3 8.35 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.7361 100.3 8.70114 + vertex 31.8547 100.3 8.52856 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 24.5492 100.3 6.97574 + vertex 24.5874 100.3 7.18165 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 24.5874 100.3 7.18165 + vertex 24.6363 100.3 7.38528 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.6086 100.3 8.86728 + vertex 31.7361 100.3 8.70114 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 24.6363 100.3 7.38528 + vertex 24.6958 100.3 7.58607 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 24.6958 100.3 7.58607 + vertex 24.7657 100.3 7.78347 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.4726 100.3 9.02652 + vertex 31.6086 100.3 8.86728 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 24.7657 100.3 7.78347 + vertex 24.8458 100.3 7.97695 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 24.8458 100.3 7.97695 + vertex 24.936 100.3 8.16596 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.3284 100.3 9.17843 + vertex 31.4726 100.3 9.02652 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 24.936 100.3 8.16596 + vertex 25.0359 100.3 8.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 25.0359 100.3 8.35 + vertex 25.1453 100.3 8.52856 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.1765 100.3 9.32258 + vertex 31.3284 100.3 9.17843 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 25.1453 100.3 8.52856 + vertex 25.2639 100.3 8.70114 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 25.2639 100.3 8.70114 + vertex 25.3914 100.3 8.86728 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.0173 100.3 9.45858 + vertex 31.1765 100.3 9.32258 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 25.3914 100.3 8.86728 + vertex 25.5274 100.3 9.02652 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 25.5274 100.3 9.02652 + vertex 25.6716 100.3 9.17843 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.6786 100.3 9.70468 + vertex 30.8511 100.3 9.58607 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 25.6716 100.3 9.17843 + vertex 25.8235 100.3 9.32258 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.8511 100.3 9.58607 + vertex 31.0173 100.3 9.45858 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 25.8235 100.3 9.32258 + vertex 25.9827 100.3 9.45858 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 25.9827 100.3 9.45858 + vertex 26.1489 100.3 9.58607 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.316 100.3 9.91403 + vertex 30.5 100.3 9.8141 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 26.1489 100.3 9.58607 + vertex 26.3214 100.3 9.70468 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.5 100.3 9.8141 + vertex 30.6786 100.3 9.70468 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 26.3214 100.3 9.70468 + vertex 26.5 100.3 9.8141 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 26.5 100.3 9.8141 + vertex 26.684 100.3 9.91403 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.9335 100.3 10.0843 + vertex 30.1269 100.3 10.0042 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 26.684 100.3 9.91403 + vertex 26.8731 100.3 10.0042 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.1269 100.3 10.0042 + vertex 30.316 100.3 9.91403 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 26.8731 100.3 10.0042 + vertex 27.0665 100.3 10.0843 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 27.0665 100.3 10.0843 + vertex 27.2639 100.3 10.1542 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.5353 100.3 10.2137 + vertex 29.7361 100.3 10.1542 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 27.2639 100.3 10.1542 + vertex 27.4647 100.3 10.2137 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.3316 100.3 10.2626 + vertex 29.5353 100.3 10.2137 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 27.4647 100.3 10.2137 + vertex 27.6684 100.3 10.2626 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.1257 100.3 10.3008 + vertex 29.3316 100.3 10.2626 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 27.6684 100.3 10.2626 + vertex 27.8743 100.3 10.3008 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.9181 100.3 10.3281 + vertex 29.1257 100.3 10.3008 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 27.8743 100.3 10.3008 + vertex 28.0819 100.3 10.3281 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.7093 100.3 10.3445 + vertex 28.9181 100.3 10.3281 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 28.0819 100.3 10.3281 + vertex 28.2907 100.3 10.3445 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 28.5 100.3 10.35 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 28.2907 100.3 10.3445 + vertex 28.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.6958 100.3 5.11393 + vertex 24.6363 100.3 5.31472 + vertex 18.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 38.5 100.3 10.35 + vertex 31.9641 100.3 8.35 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.9641 100.3 8.35 + vertex 32.064 100.3 8.16596 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.1 100.3 10.35 + vertex 130.309 100.3 10.3445 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.064 100.3 8.16596 + vertex 32.1542 100.3 7.97695 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.1542 100.3 7.97695 + vertex 32.2343 100.3 7.78347 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.2343 100.3 7.78347 + vertex 32.3042 100.3 7.58607 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.5219 100.3 5.93189 + vertex 24.5055 100.3 6.14066 + vertex 18.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.3042 100.3 7.58607 + vertex 32.3637 100.3 7.38528 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.3637 100.3 7.38528 + vertex 32.4126 100.3 7.18165 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.4126 100.3 7.18165 + vertex 32.4508 100.3 6.97574 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.4508 100.3 6.97574 + vertex 32.4781 100.3 6.76811 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.4781 100.3 6.76811 + vertex 32.4945 100.3 6.55934 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.4945 100.3 6.55934 + vertex 32.5 100.3 6.35 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.5 100.3 6.35 + vertex 32.4945 100.3 6.14066 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.6363 100.3 5.31472 + vertex 24.5874 100.3 5.51835 + vertex 18.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.4945 100.3 6.14066 + vertex 32.4781 100.3 5.93189 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.4781 100.3 5.93189 + vertex 32.4508 100.3 5.72426 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.4508 100.3 5.72426 + vertex 32.4126 100.3 5.51835 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.4126 100.3 5.51835 + vertex 32.3637 100.3 5.31472 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.3637 100.3 5.31472 + vertex 32.3042 100.3 5.11393 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.3042 100.3 5.11393 + vertex 32.2343 100.3 4.91653 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.2343 100.3 4.91653 + vertex 32.1542 100.3 4.72305 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.1542 100.3 4.72305 + vertex 32.064 100.3 4.53404 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 32.064 100.3 4.53404 + vertex 31.9641 100.3 4.35 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 25.8235 100.3 3.37742 + vertex 25.6716 100.3 3.52157 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.8547 100.3 4.17144 + vertex 31.7361 100.3 3.99886 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.7361 100.3 3.99886 + vertex 31.6086 100.3 3.83272 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.5 100.3 10.35 + vertex 28.7093 100.3 10.3445 + vertex 38.5 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 25.9827 100.3 3.24142 + vertex 25.8235 100.3 3.37742 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 24.5055 100.3 6.55934 + vertex 24.5219 100.3 6.76811 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.8511 100.3 3.11393 + vertex 30.6786 100.3 2.99532 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.6786 100.3 2.99532 + vertex 30.5 100.3 2.8859 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.5 100.3 2.8859 + vertex 30.316 100.3 2.78597 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.316 100.3 2.78597 + vertex 30.1269 100.3 2.69582 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 27.0665 100.3 2.61568 + vertex 26.8731 100.3 2.69582 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 30.1269 100.3 2.69582 + vertex 29.9335 100.3 2.61568 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 38.28 100.3 3 + vertex 29.7361 100.3 2.54577 + vertex 38.28 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.7361 100.3 2.54577 + vertex 29.5353 100.3 2.4863 + vertex 38.28 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.5353 100.3 2.4863 + vertex 29.3316 100.3 2.43741 + vertex 38.28 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.3316 100.3 2.43741 + vertex 29.1257 100.3 2.39925 + vertex 38.28 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 29.1257 100.3 2.39925 + vertex 28.9181 100.3 2.37191 + vertex 38.28 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.9181 100.3 2.37191 + vertex 28.7093 100.3 2.35548 + vertex 38.28 100.3 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.5 100.3 2.35 + vertex 2.6 100.3 1.3 + vertex 28.7093 100.3 2.35548 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.2907 100.3 2.35548 + vertex 28.0819 100.3 2.37191 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 28.0819 100.3 2.37191 + vertex 27.8743 100.3 2.39925 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 27.8743 100.3 2.39925 + vertex 27.6684 100.3 2.43741 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 27.6684 100.3 2.43741 + vertex 27.4647 100.3 2.4863 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 27.4647 100.3 2.4863 + vertex 27.2639 100.3 2.54577 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 27.2639 100.3 2.54577 + vertex 27.0665 100.3 2.61568 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 26.1489 100.3 3.11393 + vertex 25.9827 100.3 3.24142 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 26.8731 100.3 2.69582 + vertex 26.684 100.3 2.78597 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 26.684 100.3 2.78597 + vertex 26.5 100.3 2.8859 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 26.5 100.3 2.8859 + vertex 26.3214 100.3 2.99532 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 26.3214 100.3 2.99532 + vertex 26.1489 100.3 3.11393 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 25.1453 100.3 4.17144 + vertex 25.0359 100.3 4.35 + vertex 18.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 25.6716 100.3 3.52157 + vertex 25.5274 100.3 3.67348 + vertex 2.6 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 4.3 + vertex 2.6 100.3 1.3 + vertex 25.5274 100.3 3.67348 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 4.3 + vertex 25.5274 100.3 3.67348 + vertex 25.3914 100.3 3.83272 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 4.3 + vertex 25.3914 100.3 3.83272 + vertex 25.2639 100.3 3.99886 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 25.0359 100.3 4.35 + vertex 24.936 100.3 4.53404 + vertex 18.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 4.3 + vertex 25.2639 100.3 3.99886 + vertex 25.1453 100.3 4.17144 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.936 100.3 4.53404 + vertex 24.8458 100.3 4.72305 + vertex 18.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.8458 100.3 4.72305 + vertex 24.7657 100.3 4.91653 + vertex 18.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.7657 100.3 4.91653 + vertex 24.6958 100.3 5.11393 + vertex 18.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.5492 100.3 5.72426 + vertex 24.5219 100.3 5.93189 + vertex 18.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 24.5874 100.3 5.51835 + vertex 24.5492 100.3 5.72426 + vertex 18.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.455 100.3 8.52856 + vertex 133.564 100.3 8.35 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.336 100.3 10.1542 + vertex 131.533 100.3 10.0843 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.9641 100.3 4.35 + vertex 31.8547 100.3 4.17144 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 31.6086 100.3 3.83272 + vertex 31.4726 100.3 3.67348 + vertex 38.5 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 4.3 + vertex 31.4726 100.3 3.67348 + vertex 31.3284 100.3 3.52157 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 4.3 + vertex 38.5 100.3 4.3 + vertex 31.4726 100.3 3.67348 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 18.5 100.3 4.3 + vertex 24.5 100.3 6.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.916 100.3 9.91403 + vertex 132.1 100.3 9.8141 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 127.749 100.3 9.58607 + vertex 127.921 100.3 9.70468 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 132.1 100.3 9.8141 + vertex 132.279 100.3 9.70468 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 127.921 100.3 9.70468 + vertex 128.1 100.3 9.8141 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 128.1 100.3 9.8141 + vertex 128.284 100.3 9.91403 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.533 100.3 10.0843 + vertex 131.727 100.3 10.0042 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 128.284 100.3 9.91403 + vertex 128.473 100.3 10.0042 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.727 100.3 10.0042 + vertex 131.916 100.3 9.91403 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 128.473 100.3 10.0042 + vertex 128.667 100.3 10.0843 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 128.667 100.3 10.0843 + vertex 128.864 100.3 10.1542 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.135 100.3 10.2137 + vertex 131.336 100.3 10.1542 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 128.864 100.3 10.1542 + vertex 129.065 100.3 10.2137 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.932 100.3 10.2626 + vertex 131.135 100.3 10.2137 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 129.065 100.3 10.2137 + vertex 129.268 100.3 10.2626 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.726 100.3 10.3008 + vertex 130.932 100.3 10.2626 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 129.268 100.3 10.2626 + vertex 129.474 100.3 10.3008 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.518 100.3 10.3281 + vertex 130.726 100.3 10.3008 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 129.474 100.3 10.3008 + vertex 129.682 100.3 10.3281 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.309 100.3 10.3445 + vertex 130.518 100.3 10.3281 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 129.682 100.3 10.3281 + vertex 129.891 100.3 10.3445 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 130.1 100.3 10.35 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 129.891 100.3 10.3445 + vertex 130.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 132.451 100.3 9.58607 + vertex 132.617 100.3 9.45858 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 132.617 100.3 9.45858 + vertex 132.777 100.3 9.32258 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 132.777 100.3 9.32258 + vertex 132.928 100.3 9.17843 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 132.928 100.3 9.17843 + vertex 133.073 100.3 9.02652 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.073 100.3 9.02652 + vertex 133.209 100.3 8.86728 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.209 100.3 8.86728 + vertex 133.336 100.3 8.70114 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.336 100.3 8.70114 + vertex 133.455 100.3 8.52856 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.864 100.3 3.99886 + vertex 126.745 100.3 4.17144 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.122 100.3 5.93189 + vertex 126.105 100.3 6.14066 + vertex 120.1 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.236 100.3 5.31472 + vertex 126.187 100.3 5.51835 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 100.3 10.35 + vertex 133.564 100.3 8.35 + vertex 133.664 100.3 8.16596 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 128.864 100.3 2.54577 + vertex 128.667 100.3 2.61568 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 100.3 10.35 + vertex 133.664 100.3 8.16596 + vertex 133.754 100.3 7.97695 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 140.1 100.3 10.35 + vertex 133.834 100.3 7.78347 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.834 100.3 7.78347 + vertex 133.904 100.3 7.58607 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.904 100.3 7.58607 + vertex 133.964 100.3 7.38528 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.964 100.3 7.38528 + vertex 134.013 100.3 7.18165 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 134.013 100.3 7.18165 + vertex 134.051 100.3 6.97574 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.366 100.3 4.91653 + vertex 126.296 100.3 5.11393 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 134.051 100.3 6.97574 + vertex 134.078 100.3 6.76811 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 134.078 100.3 6.76811 + vertex 134.095 100.3 6.55934 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 134.095 100.3 6.55934 + vertex 134.1 100.3 6.35 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 134.1 100.3 6.35 + vertex 134.095 100.3 6.14066 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 134.095 100.3 6.14066 + vertex 134.078 100.3 5.93189 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 134.078 100.3 5.93189 + vertex 134.051 100.3 5.72426 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 134.051 100.3 5.72426 + vertex 134.013 100.3 5.51835 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 134.013 100.3 5.51835 + vertex 133.964 100.3 5.31472 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.964 100.3 5.31472 + vertex 133.904 100.3 5.11393 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.745 100.3 4.17144 + vertex 126.636 100.3 4.35 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.904 100.3 5.11393 + vertex 133.834 100.3 4.91653 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.834 100.3 4.91653 + vertex 133.754 100.3 4.72305 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.754 100.3 4.72305 + vertex 133.664 100.3 4.53404 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.664 100.3 4.53404 + vertex 133.564 100.3 4.35 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.564 100.3 4.35 + vertex 133.455 100.3 4.17144 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.455 100.3 4.17144 + vertex 133.336 100.3 3.99886 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.336 100.3 3.99886 + vertex 133.209 100.3 3.83272 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.209 100.3 3.83272 + vertex 133.073 100.3 3.67348 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.073 100.3 3.67348 + vertex 132.928 100.3 3.52157 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 127.423 100.3 3.37742 + vertex 127.272 100.3 3.52157 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 132.928 100.3 3.52157 + vertex 132.777 100.3 3.37742 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 132.777 100.3 3.37742 + vertex 132.617 100.3 3.24142 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 132.617 100.3 3.24142 + vertex 132.451 100.3 3.11393 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 132.451 100.3 3.11393 + vertex 132.279 100.3 2.99532 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 132.279 100.3 2.99532 + vertex 132.1 100.3 2.8859 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 132.1 100.3 2.8859 + vertex 131.916 100.3 2.78597 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.916 100.3 2.78597 + vertex 131.727 100.3 2.69582 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 128.1 100.3 2.8859 + vertex 127.921 100.3 2.99532 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.727 100.3 2.69582 + vertex 131.533 100.3 2.61568 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.533 100.3 2.61568 + vertex 131.336 100.3 2.54577 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.336 100.3 2.54577 + vertex 131.135 100.3 2.4863 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 131.135 100.3 2.4863 + vertex 130.932 100.3 2.43741 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 128.667 100.3 2.61568 + vertex 128.473 100.3 2.69582 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.932 100.3 2.43741 + vertex 130.726 100.3 2.39925 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.726 100.3 2.39925 + vertex 130.518 100.3 2.37191 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.518 100.3 2.37191 + vertex 130.309 100.3 2.35548 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.309 100.3 2.35548 + vertex 130.1 100.3 2.35 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 130.1 100.3 2.35 + vertex 129.891 100.3 2.35548 + vertex 140.1 100.3 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.682 100.3 2.37191 + vertex 120.48 100.3 3 + vertex 129.891 100.3 2.35548 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.474 100.3 2.39925 + vertex 129.268 100.3 2.43741 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.268 100.3 2.43741 + vertex 129.065 100.3 2.4863 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.065 100.3 2.4863 + vertex 128.864 100.3 2.54577 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 128.284 100.3 2.78597 + vertex 128.1 100.3 2.8859 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 128.473 100.3 2.69582 + vertex 128.284 100.3 2.78597 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 127.921 100.3 2.99532 + vertex 127.749 100.3 3.11393 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 127.749 100.3 3.11393 + vertex 127.583 100.3 3.24142 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 127.583 100.3 3.24142 + vertex 127.423 100.3 3.37742 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 127.272 100.3 3.52157 + vertex 127.127 100.3 3.67348 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 127.127 100.3 3.67348 + vertex 126.991 100.3 3.83272 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.991 100.3 3.83272 + vertex 126.864 100.3 3.99886 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.446 100.3 4.72305 + vertex 126.366 100.3 4.91653 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.636 100.3 4.35 + vertex 126.536 100.3 4.53404 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.536 100.3 4.53404 + vertex 126.446 100.3 4.72305 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.296 100.3 5.11393 + vertex 126.236 100.3 5.31472 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 129.682 100.3 2.37191 + vertex 129.474 100.3 2.39925 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.149 100.3 5.72426 + vertex 120.1 100.3 4.3 + vertex 126.187 100.3 5.51835 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 126.122 100.3 5.93189 + vertex 120.1 100.3 4.3 + vertex 126.149 100.3 5.72426 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 0 100.3 1.3 + vertex 2.6 100.3 1.3 + vertex 0 100.3 4.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 4.3 + vertex 50.28 100.3 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 4.3 + vertex 38.28 100.3 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 4.3 + vertex 30.8511 100.3 3.11393 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 4.3 + vertex 31.0173 100.3 3.24142 + vertex 30.8511 100.3 3.11393 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 4.3 + vertex 31.1765 100.3 3.37742 + vertex 31.0173 100.3 3.24142 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 18.5 100.3 10.35 + vertex 24.5 100.3 6.35 + vertex 24.5055 100.3 6.55934 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 127.583 100.3 9.45858 + vertex 127.749 100.3 9.58607 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 132.279 100.3 9.70468 + vertex 132.451 100.3 9.58607 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 127.423 100.3 9.32258 + vertex 127.583 100.3 9.45858 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 127.272 100.3 9.17843 + vertex 127.423 100.3 9.32258 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 127.127 100.3 9.02652 + vertex 127.272 100.3 9.17843 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.991 100.3 8.86728 + vertex 127.127 100.3 9.02652 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.864 100.3 8.70114 + vertex 126.991 100.3 8.86728 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.745 100.3 8.52856 + vertex 126.864 100.3 8.70114 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.636 100.3 8.35 + vertex 126.745 100.3 8.52856 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.536 100.3 8.16596 + vertex 126.636 100.3 8.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.446 100.3 7.97695 + vertex 126.536 100.3 8.16596 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.366 100.3 7.78347 + vertex 126.446 100.3 7.97695 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.296 100.3 7.58607 + vertex 126.366 100.3 7.78347 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.236 100.3 7.38528 + vertex 126.296 100.3 7.58607 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.187 100.3 7.18165 + vertex 126.236 100.3 7.38528 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.149 100.3 6.97574 + vertex 126.187 100.3 7.18165 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.122 100.3 6.76811 + vertex 126.149 100.3 6.97574 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.105 100.3 6.55934 + vertex 126.122 100.3 6.76811 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.1 100.3 6.35 + vertex 126.105 100.3 6.55934 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 133.754 100.3 7.97695 + vertex 133.834 100.3 7.78347 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 126.105 100.3 6.14066 + vertex 126.1 100.3 6.35 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.1 100.3 10.35 + vertex 120.1 100.3 4.3 + vertex 126.105 100.3 6.14066 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 0 100.3 1.3 + vertex 0 1.3 1.3 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 2.6 34.7333 1.3 + vertex 0 100.3 1.3 + vertex 2.6 33.4333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 2.6 33.4333 1.3 + vertex 0 100.3 1.3 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 49.88 10 1.3 + vertex 47.28 33.4333 1.3 + vertex 47.28 10 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 49.88 33.4333 1.3 + vertex 47.28 33.4333 1.3 + vertex 49.88 10 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 2.6 68.1667 1.3 + vertex 2.6 100.3 1.3 + vertex 0 100.3 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.33 10 1.3 + vertex 91.73 33.4333 1.3 + vertex 91.73 10 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.33 33.4333 1.3 + vertex 91.73 33.4333 1.3 + vertex 94.33 10 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.33 34.7333 1.3 + vertex 94.33 66.8667 1.3 + vertex 91.73 68.1667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 140.1 1.3 1.3 + vertex 137.5 33.4333 1.3 + vertex 137.5 1.3 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 140.1 100.3 1.3 + vertex 137.5 33.4333 1.3 + vertex 140.1 1.3 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 140.1 101.6 1.3 + vertex 140.1 1.3 1.3 + vertex 140.1 0 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 49.88 66.8667 1.3 + vertex 91.73 66.8667 1.3 + vertex 49.88 68.1667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 140.1 101.6 1.3 + vertex 140.1 100.3 1.3 + vertex 140.1 1.3 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.73 34.7333 1.3 + vertex 49.88 34.7333 1.3 + vertex 91.73 33.4333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 137.5 66.8667 1.3 + vertex 137.5 68.1667 1.3 + vertex 94.33 68.1667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.33 68.1667 1.3 + vertex 94.33 91.6 1.3 + vertex 91.73 91.6 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.28 66.8667 1.3 + vertex 49.88 33.4333 1.3 + vertex 49.88 34.7333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.28 34.7333 1.3 + vertex 2.6 34.7333 1.3 + vertex 47.28 33.4333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.28 91.6 1.3 + vertex 47.28 68.1667 1.3 + vertex 49.88 68.1667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 49.88 34.7333 1.3 + vertex 49.88 66.8667 1.3 + vertex 47.28 68.1667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 137.5 34.7333 1.3 + vertex 94.33 34.7333 1.3 + vertex 137.5 33.4333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.73 91.6 1.3 + vertex 91.73 68.1667 1.3 + vertex 94.33 68.1667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 2.6 34.7333 1.3 + vertex 2.6 66.8667 1.3 + vertex 0 100.3 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.28 66.8667 1.3 + vertex 47.28 34.7333 1.3 + vertex 49.88 33.4333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 49.88 34.7333 1.3 + vertex 47.28 68.1667 1.3 + vertex 47.28 66.8667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.28 33.4333 1.3 + vertex 2.6 34.7333 1.3 + vertex 2.6 33.4333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 2.6 66.8667 1.3 + vertex 2.6 68.1667 1.3 + vertex 0 100.3 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 2.6 66.8667 1.3 + vertex 47.28 66.8667 1.3 + vertex 2.6 68.1667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.73 66.8667 1.3 + vertex 94.33 33.4333 1.3 + vertex 94.33 34.7333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 49.88 33.4333 1.3 + vertex 47.28 34.7333 1.3 + vertex 47.28 33.4333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.73 33.4333 1.3 + vertex 49.88 34.7333 1.3 + vertex 49.88 33.4333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 49.88 68.1667 1.3 + vertex 47.28 68.1667 1.3 + vertex 49.88 66.8667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.33 66.8667 1.3 + vertex 137.5 66.8667 1.3 + vertex 94.33 68.1667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 49.88 68.1667 1.3 + vertex 49.88 91.6 1.3 + vertex 47.28 91.6 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.33 33.4333 1.3 + vertex 91.73 34.7333 1.3 + vertex 91.73 33.4333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 137.5 33.4333 1.3 + vertex 94.33 34.7333 1.3 + vertex 94.33 33.4333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.73 66.8667 1.3 + vertex 91.73 34.7333 1.3 + vertex 94.33 33.4333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.33 68.1667 1.3 + vertex 91.73 68.1667 1.3 + vertex 94.33 66.8667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.33 34.7333 1.3 + vertex 91.73 68.1667 1.3 + vertex 91.73 66.8667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.73 68.1667 1.3 + vertex 49.88 68.1667 1.3 + vertex 91.73 66.8667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 140.1 100.3 1.3 + vertex 137.5 34.7333 1.3 + vertex 137.5 33.4333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 140.1 100.3 1.3 + vertex 137.5 66.8667 1.3 + vertex 137.5 34.7333 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 140.1 100.3 1.3 + vertex 137.5 68.1667 1.3 + vertex 137.5 66.8667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 140.1 100.3 1.3 + vertex 137.5 100.3 1.3 + vertex 137.5 68.1667 1.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.28 68.1667 1.3 + vertex 2.6 68.1667 1.3 + vertex 47.28 66.8667 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 0 1.3 1.3 + vertex 0 1.3 4.3 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 2.6 1.3 1.3 + vertex 0 1.3 4.3 + vertex 18.5 1.3 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.5 1.3 10.35 + vertex 18.5 1.3 10.35 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.3042 1.3 5.11393 + vertex 38.5 1.3 10.35 + vertex 38.5 1.3 4.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.28 1.3 0 + vertex 2.6 1.3 1.3 + vertex 28.7093 1.3 2.35548 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.1 1.3 10.35 + vertex 120.1 1.3 10.35 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.073 1.3 3.67348 + vertex 140.1 1.3 10.35 + vertex 140.1 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.48 1.3 3 + vertex 137.5 1.3 1.3 + vertex 137.5 1.3 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.135 1.3 2.4863 + vertex 140.1 1.3 1.3 + vertex 137.5 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.48 1.3 3 + vertex 137.5 1.3 0 + vertex 120.48 1.3 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.474 1.3 2.39925 + vertex 137.5 1.3 1.3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 50.28 1.3 3 + vertex 82.73 1.3 3 + vertex 82.73 1.3 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 120.48 1.3 3 + vertex 114.48 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.28 1.3 0 + vertex 28.7093 1.3 2.35548 + vertex 28.9181 1.3 2.37191 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 94.73 1.3 3 + vertex 114.48 1.3 0 + vertex 94.73 1.3 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 114.48 1.3 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 50.28 1.3 3 + vertex 82.73 1.3 0 + vertex 50.28 1.3 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 94.73 1.3 3 + vertex 82.73 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.28 1.3 0 + vertex 28.9181 1.3 2.37191 + vertex 29.1257 1.3 2.39925 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.5 1.3 4.3 + vertex 120.1 1.3 4.3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.5 1.3 4.3 + vertex 50.28 1.3 3 + vertex 38.28 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.28 1.3 0 + vertex 29.1257 1.3 2.39925 + vertex 29.3316 1.3 2.43741 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 1.3 4.3 + vertex 18.5 1.3 10.35 + vertex 24.5 1.3 6.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 1.3 4.3 + vertex 24.5 1.3 6.35 + vertex 24.5055 1.3 6.14066 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.8547 1.3 8.52856 + vertex 38.5 1.3 10.35 + vertex 31.9641 1.3 8.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 1.3 4.3 + vertex 24.5219 1.3 5.93189 + vertex 24.5492 1.3 5.72426 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 1.3 4.3 + vertex 24.5492 1.3 5.72426 + vertex 24.5874 1.3 5.51835 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 1.3 4.3 + vertex 24.5874 1.3 5.51835 + vertex 24.6363 1.3 5.31472 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 1.3 4.3 + vertex 24.6363 1.3 5.31472 + vertex 24.6958 1.3 5.11393 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 1.3 4.3 + vertex 24.6958 1.3 5.11393 + vertex 24.7657 1.3 4.91653 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 1.3 4.3 + vertex 24.7657 1.3 4.91653 + vertex 24.8458 1.3 4.72305 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 1.3 4.3 + vertex 24.8458 1.3 4.72305 + vertex 24.936 1.3 4.53404 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 1.3 4.3 + vertex 24.936 1.3 4.53404 + vertex 25.0359 1.3 4.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.9827 1.3 3.24142 + vertex 2.6 1.3 1.3 + vertex 25.8235 1.3 3.37742 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 18.5 1.3 4.3 + vertex 25.1453 1.3 4.17144 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.9641 1.3 4.35 + vertex 38.5 1.3 4.3 + vertex 31.8547 1.3 4.17144 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.1453 1.3 4.17144 + vertex 25.2639 1.3 3.99886 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.2639 1.3 3.99886 + vertex 25.3914 1.3 3.83272 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.8547 1.3 4.17144 + vertex 38.5 1.3 4.3 + vertex 31.7361 1.3 3.99886 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.3914 1.3 3.83272 + vertex 25.5274 1.3 3.67348 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.5274 1.3 3.67348 + vertex 25.6716 1.3 3.52157 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.7361 1.3 3.99886 + vertex 38.5 1.3 4.3 + vertex 31.6086 1.3 3.83272 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.6716 1.3 3.52157 + vertex 25.8235 1.3 3.37742 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.6086 1.3 3.83272 + vertex 38.5 1.3 4.3 + vertex 31.4726 1.3 3.67348 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.6684 1.3 2.43741 + vertex 2.6 1.3 1.3 + vertex 27.4647 1.3 2.4863 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.0173 1.3 3.24142 + vertex 38.28 1.3 3 + vertex 30.8511 1.3 3.11393 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.9827 1.3 3.24142 + vertex 26.1489 1.3 3.11393 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.1489 1.3 3.11393 + vertex 26.3214 1.3 2.99532 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.8511 1.3 3.11393 + vertex 38.28 1.3 3 + vertex 30.6786 1.3 2.99532 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.3214 1.3 2.99532 + vertex 26.5 1.3 2.8859 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.6786 1.3 2.99532 + vertex 38.28 1.3 3 + vertex 30.5 1.3 2.8859 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.5 1.3 2.8859 + vertex 26.684 1.3 2.78597 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.684 1.3 2.78597 + vertex 26.8731 1.3 2.69582 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.5 1.3 2.8859 + vertex 38.28 1.3 3 + vertex 30.316 1.3 2.78597 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.8731 1.3 2.69582 + vertex 27.0665 1.3 2.61568 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.316 1.3 2.78597 + vertex 38.28 1.3 3 + vertex 30.1269 1.3 2.69582 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.0665 1.3 2.61568 + vertex 27.2639 1.3 2.54577 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.1269 1.3 2.69582 + vertex 38.28 1.3 3 + vertex 29.9335 1.3 2.61568 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.2639 1.3 2.54577 + vertex 27.4647 1.3 2.4863 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.9335 1.3 2.61568 + vertex 38.28 1.3 3 + vertex 29.7361 1.3 2.54577 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.6684 1.3 2.43741 + vertex 27.8743 1.3 2.39925 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.8743 1.3 2.39925 + vertex 28.0819 1.3 2.37191 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.0819 1.3 2.37191 + vertex 28.2907 1.3 2.35548 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.2907 1.3 2.35548 + vertex 28.5 1.3 2.35 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.5 1.3 2.35 + vertex 28.7093 1.3 2.35548 + vertex 2.6 1.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.28 1.3 3 + vertex 29.5353 1.3 2.4863 + vertex 29.7361 1.3 2.54577 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.5 1.3 4.3 + vertex 38.28 1.3 3 + vertex 31.0173 1.3 3.24142 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.5 1.3 4.3 + vertex 31.0173 1.3 3.24142 + vertex 31.1765 1.3 3.37742 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.5 1.3 4.3 + vertex 31.1765 1.3 3.37742 + vertex 31.3284 1.3 3.52157 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.5 1.3 4.3 + vertex 31.3284 1.3 3.52157 + vertex 31.4726 1.3 3.67348 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.064 1.3 4.53404 + vertex 38.5 1.3 4.3 + vertex 31.9641 1.3 4.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.1542 1.3 4.72305 + vertex 38.5 1.3 4.3 + vertex 32.064 1.3 4.53404 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.2343 1.3 4.91653 + vertex 38.5 1.3 4.3 + vertex 32.1542 1.3 4.72305 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.3042 1.3 5.11393 + vertex 38.5 1.3 4.3 + vertex 32.2343 1.3 4.91653 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.3637 1.3 5.31472 + vertex 38.5 1.3 10.35 + vertex 32.3042 1.3 5.11393 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.9641 1.3 8.35 + vertex 38.5 1.3 10.35 + vertex 32.064 1.3 8.16596 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.4126 1.3 5.51835 + vertex 38.5 1.3 10.35 + vertex 32.3637 1.3 5.31472 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.4508 1.3 5.72426 + vertex 38.5 1.3 10.35 + vertex 32.4126 1.3 5.51835 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.4781 1.3 5.93189 + vertex 38.5 1.3 10.35 + vertex 32.4508 1.3 5.72426 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.4945 1.3 6.14066 + vertex 38.5 1.3 10.35 + vertex 32.4781 1.3 5.93189 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.5 1.3 6.35 + vertex 38.5 1.3 10.35 + vertex 32.4945 1.3 6.14066 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.4945 1.3 6.55934 + vertex 38.5 1.3 10.35 + vertex 32.5 1.3 6.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.4781 1.3 6.76811 + vertex 38.5 1.3 10.35 + vertex 32.4945 1.3 6.55934 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.4508 1.3 6.97574 + vertex 38.5 1.3 10.35 + vertex 32.4781 1.3 6.76811 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.4126 1.3 7.18165 + vertex 38.5 1.3 10.35 + vertex 32.4508 1.3 6.97574 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.3637 1.3 7.38528 + vertex 38.5 1.3 10.35 + vertex 32.4126 1.3 7.18165 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.3042 1.3 7.58607 + vertex 38.5 1.3 10.35 + vertex 32.3637 1.3 7.38528 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.2343 1.3 7.78347 + vertex 38.5 1.3 10.35 + vertex 32.3042 1.3 7.58607 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.1542 1.3 7.97695 + vertex 38.5 1.3 10.35 + vertex 32.2343 1.3 7.78347 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 32.064 1.3 8.16596 + vertex 38.5 1.3 10.35 + vertex 32.1542 1.3 7.97695 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.1453 1.3 4.17144 + vertex 18.5 1.3 4.3 + vertex 25.0359 1.3 4.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.122 1.3 5.93189 + vertex 120.1 1.3 4.3 + vertex 126.105 1.3 6.14066 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.2907 1.3 10.3445 + vertex 18.5 1.3 10.35 + vertex 28.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.8547 1.3 8.52856 + vertex 31.7361 1.3 8.70114 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.8235 1.3 9.32258 + vertex 18.5 1.3 10.35 + vertex 25.9827 1.3 9.45858 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.7361 1.3 8.70114 + vertex 31.6086 1.3 8.86728 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.6086 1.3 8.86728 + vertex 31.4726 1.3 9.02652 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.9827 1.3 9.45858 + vertex 18.5 1.3 10.35 + vertex 26.1489 1.3 9.58607 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.4726 1.3 9.02652 + vertex 31.3284 1.3 9.17843 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.1489 1.3 9.58607 + vertex 18.5 1.3 10.35 + vertex 26.3214 1.3 9.70468 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.3284 1.3 9.17843 + vertex 31.1765 1.3 9.32258 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.1765 1.3 9.32258 + vertex 31.0173 1.3 9.45858 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.3214 1.3 9.70468 + vertex 18.5 1.3 10.35 + vertex 26.5 1.3 9.8141 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 31.0173 1.3 9.45858 + vertex 30.8511 1.3 9.58607 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.5 1.3 9.8141 + vertex 18.5 1.3 10.35 + vertex 26.684 1.3 9.91403 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.8511 1.3 9.58607 + vertex 30.6786 1.3 9.70468 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.6786 1.3 9.70468 + vertex 30.5 1.3 9.8141 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.684 1.3 9.91403 + vertex 18.5 1.3 10.35 + vertex 26.8731 1.3 10.0042 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.5 1.3 9.8141 + vertex 30.316 1.3 9.91403 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 26.8731 1.3 10.0042 + vertex 18.5 1.3 10.35 + vertex 27.0665 1.3 10.0843 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.316 1.3 9.91403 + vertex 30.1269 1.3 10.0042 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 30.1269 1.3 10.0042 + vertex 29.9335 1.3 10.0843 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.0665 1.3 10.0843 + vertex 18.5 1.3 10.35 + vertex 27.2639 1.3 10.1542 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.9335 1.3 10.0843 + vertex 29.7361 1.3 10.1542 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.2639 1.3 10.1542 + vertex 18.5 1.3 10.35 + vertex 27.4647 1.3 10.2137 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.7361 1.3 10.1542 + vertex 29.5353 1.3 10.2137 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.4647 1.3 10.2137 + vertex 18.5 1.3 10.35 + vertex 27.6684 1.3 10.2626 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.5353 1.3 10.2137 + vertex 29.3316 1.3 10.2626 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.6684 1.3 10.2626 + vertex 18.5 1.3 10.35 + vertex 27.8743 1.3 10.3008 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.3316 1.3 10.2626 + vertex 29.1257 1.3 10.3008 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 27.8743 1.3 10.3008 + vertex 18.5 1.3 10.35 + vertex 28.0819 1.3 10.3281 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 29.1257 1.3 10.3008 + vertex 28.9181 1.3 10.3281 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.0819 1.3 10.3281 + vertex 18.5 1.3 10.35 + vertex 28.2907 1.3 10.3445 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.9181 1.3 10.3281 + vertex 28.7093 1.3 10.3445 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 28.7093 1.3 10.3445 + vertex 28.5 1.3 10.35 + vertex 38.5 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.6716 1.3 9.17843 + vertex 18.5 1.3 10.35 + vertex 25.8235 1.3 9.32258 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.5274 1.3 9.02652 + vertex 18.5 1.3 10.35 + vertex 25.6716 1.3 9.17843 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.3914 1.3 8.86728 + vertex 18.5 1.3 10.35 + vertex 25.5274 1.3 9.02652 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.2639 1.3 8.70114 + vertex 18.5 1.3 10.35 + vertex 25.3914 1.3 8.86728 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.1453 1.3 8.52856 + vertex 18.5 1.3 10.35 + vertex 25.2639 1.3 8.70114 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 25.0359 1.3 8.35 + vertex 18.5 1.3 10.35 + vertex 25.1453 1.3 8.52856 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.936 1.3 8.16596 + vertex 18.5 1.3 10.35 + vertex 25.0359 1.3 8.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.8458 1.3 7.97695 + vertex 18.5 1.3 10.35 + vertex 24.936 1.3 8.16596 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.7657 1.3 7.78347 + vertex 18.5 1.3 10.35 + vertex 24.8458 1.3 7.97695 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.6958 1.3 7.58607 + vertex 18.5 1.3 10.35 + vertex 24.7657 1.3 7.78347 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.6363 1.3 7.38528 + vertex 18.5 1.3 10.35 + vertex 24.6958 1.3 7.58607 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5874 1.3 7.18165 + vertex 18.5 1.3 10.35 + vertex 24.6363 1.3 7.38528 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5492 1.3 6.97574 + vertex 18.5 1.3 10.35 + vertex 24.5874 1.3 7.18165 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5219 1.3 6.76811 + vertex 18.5 1.3 10.35 + vertex 24.5492 1.3 6.97574 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5055 1.3 6.55934 + vertex 18.5 1.3 10.35 + vertex 24.5219 1.3 6.76811 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5 1.3 6.35 + vertex 18.5 1.3 10.35 + vertex 24.5055 1.3 6.55934 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 120.1 1.3 10.35 + vertex 126.1 1.3 6.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 126.1 1.3 6.35 + vertex 126.105 1.3 6.14066 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.455 1.3 8.52856 + vertex 140.1 1.3 10.35 + vertex 133.564 1.3 8.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 126.122 1.3 5.93189 + vertex 126.149 1.3 5.72426 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 126.149 1.3 5.72426 + vertex 126.187 1.3 5.51835 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 126.187 1.3 5.51835 + vertex 126.236 1.3 5.31472 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 126.236 1.3 5.31472 + vertex 126.296 1.3 5.11393 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 126.296 1.3 5.11393 + vertex 126.366 1.3 4.91653 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 126.366 1.3 4.91653 + vertex 126.446 1.3 4.72305 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 126.446 1.3 4.72305 + vertex 126.536 1.3 4.53404 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 126.536 1.3 4.53404 + vertex 126.636 1.3 4.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 126.636 1.3 4.35 + vertex 126.745 1.3 4.17144 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 126.745 1.3 4.17144 + vertex 126.864 1.3 3.99886 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 126.864 1.3 3.99886 + vertex 126.991 1.3 3.83272 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 126.991 1.3 3.83272 + vertex 127.127 1.3 3.67348 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 127.127 1.3 3.67348 + vertex 127.272 1.3 3.52157 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 127.272 1.3 3.52157 + vertex 127.423 1.3 3.37742 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 127.423 1.3 3.37742 + vertex 127.583 1.3 3.24142 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.1 1.3 2.8859 + vertex 120.1 1.3 4.3 + vertex 127.921 1.3 2.99532 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 127.749 1.3 3.11393 + vertex 127.921 1.3 2.99532 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.891 1.3 2.35548 + vertex 137.5 1.3 1.3 + vertex 129.682 1.3 2.37191 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.1 1.3 4.3 + vertex 128.1 1.3 2.8859 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.932 1.3 2.43741 + vertex 137.5 1.3 1.3 + vertex 130.726 1.3 2.39925 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.1 1.3 2.8859 + vertex 128.284 1.3 2.78597 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.284 1.3 2.78597 + vertex 128.473 1.3 2.69582 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.726 1.3 2.39925 + vertex 137.5 1.3 1.3 + vertex 130.518 1.3 2.37191 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.473 1.3 2.69582 + vertex 128.667 1.3 2.61568 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.518 1.3 2.37191 + vertex 137.5 1.3 1.3 + vertex 130.309 1.3 2.35548 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.667 1.3 2.61568 + vertex 128.864 1.3 2.54577 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.309 1.3 2.35548 + vertex 137.5 1.3 1.3 + vertex 130.1 1.3 2.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.864 1.3 2.54577 + vertex 129.065 1.3 2.4863 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.1 1.3 2.35 + vertex 137.5 1.3 1.3 + vertex 129.891 1.3 2.35548 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.065 1.3 2.4863 + vertex 129.268 1.3 2.43741 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.682 1.3 2.37191 + vertex 137.5 1.3 1.3 + vertex 129.474 1.3 2.39925 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.268 1.3 2.43741 + vertex 129.474 1.3 2.39925 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.336 1.3 2.54577 + vertex 140.1 1.3 1.3 + vertex 131.135 1.3 2.4863 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.533 1.3 2.61568 + vertex 140.1 1.3 1.3 + vertex 131.336 1.3 2.54577 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.135 1.3 2.4863 + vertex 137.5 1.3 1.3 + vertex 130.932 1.3 2.43741 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.916 1.3 2.78597 + vertex 140.1 1.3 1.3 + vertex 131.727 1.3 2.69582 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.1 1.3 2.8859 + vertex 140.1 1.3 1.3 + vertex 131.916 1.3 2.78597 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.279 1.3 2.99532 + vertex 140.1 1.3 1.3 + vertex 132.1 1.3 2.8859 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.451 1.3 3.11393 + vertex 140.1 1.3 1.3 + vertex 132.279 1.3 2.99532 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.617 1.3 3.24142 + vertex 140.1 1.3 1.3 + vertex 132.451 1.3 3.11393 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.777 1.3 3.37742 + vertex 140.1 1.3 1.3 + vertex 132.617 1.3 3.24142 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.928 1.3 3.52157 + vertex 140.1 1.3 1.3 + vertex 132.777 1.3 3.37742 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.073 1.3 3.67348 + vertex 140.1 1.3 1.3 + vertex 132.928 1.3 3.52157 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.209 1.3 3.83272 + vertex 140.1 1.3 10.35 + vertex 133.073 1.3 3.67348 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.564 1.3 8.35 + vertex 140.1 1.3 10.35 + vertex 133.664 1.3 8.16596 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.336 1.3 3.99886 + vertex 140.1 1.3 10.35 + vertex 133.209 1.3 3.83272 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.455 1.3 4.17144 + vertex 140.1 1.3 10.35 + vertex 133.336 1.3 3.99886 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.564 1.3 4.35 + vertex 140.1 1.3 10.35 + vertex 133.455 1.3 4.17144 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.664 1.3 4.53404 + vertex 140.1 1.3 10.35 + vertex 133.564 1.3 4.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.754 1.3 4.72305 + vertex 140.1 1.3 10.35 + vertex 133.664 1.3 4.53404 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.834 1.3 4.91653 + vertex 140.1 1.3 10.35 + vertex 133.754 1.3 4.72305 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.904 1.3 5.11393 + vertex 140.1 1.3 10.35 + vertex 133.834 1.3 4.91653 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.964 1.3 5.31472 + vertex 140.1 1.3 10.35 + vertex 133.904 1.3 5.11393 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.013 1.3 5.51835 + vertex 140.1 1.3 10.35 + vertex 133.964 1.3 5.31472 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.051 1.3 5.72426 + vertex 140.1 1.3 10.35 + vertex 134.013 1.3 5.51835 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.078 1.3 5.93189 + vertex 140.1 1.3 10.35 + vertex 134.051 1.3 5.72426 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.095 1.3 6.14066 + vertex 140.1 1.3 10.35 + vertex 134.078 1.3 5.93189 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.1 1.3 6.35 + vertex 140.1 1.3 10.35 + vertex 134.095 1.3 6.14066 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.095 1.3 6.55934 + vertex 140.1 1.3 10.35 + vertex 134.1 1.3 6.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.078 1.3 6.76811 + vertex 140.1 1.3 10.35 + vertex 134.095 1.3 6.55934 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.051 1.3 6.97574 + vertex 140.1 1.3 10.35 + vertex 134.078 1.3 6.76811 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 134.013 1.3 7.18165 + vertex 140.1 1.3 10.35 + vertex 134.051 1.3 6.97574 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.964 1.3 7.38528 + vertex 140.1 1.3 10.35 + vertex 134.013 1.3 7.18165 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.904 1.3 7.58607 + vertex 140.1 1.3 10.35 + vertex 133.964 1.3 7.38528 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.834 1.3 7.78347 + vertex 140.1 1.3 10.35 + vertex 133.904 1.3 7.58607 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.754 1.3 7.97695 + vertex 140.1 1.3 10.35 + vertex 133.834 1.3 7.78347 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.664 1.3 8.16596 + vertex 140.1 1.3 10.35 + vertex 133.754 1.3 7.97695 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.727 1.3 2.69582 + vertex 140.1 1.3 1.3 + vertex 131.533 1.3 2.61568 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 127.749 1.3 3.11393 + vertex 120.1 1.3 4.3 + vertex 127.583 1.3 3.24142 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.891 1.3 10.3445 + vertex 120.1 1.3 10.35 + vertex 130.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.455 1.3 8.52856 + vertex 133.336 1.3 8.70114 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 127.423 1.3 9.32258 + vertex 120.1 1.3 10.35 + vertex 127.583 1.3 9.45858 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.336 1.3 8.70114 + vertex 133.209 1.3 8.86728 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.209 1.3 8.86728 + vertex 133.073 1.3 9.02652 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 127.583 1.3 9.45858 + vertex 120.1 1.3 10.35 + vertex 127.749 1.3 9.58607 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 133.073 1.3 9.02652 + vertex 132.928 1.3 9.17843 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 127.749 1.3 9.58607 + vertex 120.1 1.3 10.35 + vertex 127.921 1.3 9.70468 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.928 1.3 9.17843 + vertex 132.777 1.3 9.32258 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.777 1.3 9.32258 + vertex 132.617 1.3 9.45858 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 127.921 1.3 9.70468 + vertex 120.1 1.3 10.35 + vertex 128.1 1.3 9.8141 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.617 1.3 9.45858 + vertex 132.451 1.3 9.58607 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.1 1.3 9.8141 + vertex 120.1 1.3 10.35 + vertex 128.284 1.3 9.91403 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.451 1.3 9.58607 + vertex 132.279 1.3 9.70468 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.279 1.3 9.70468 + vertex 132.1 1.3 9.8141 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.284 1.3 9.91403 + vertex 120.1 1.3 10.35 + vertex 128.473 1.3 10.0042 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 132.1 1.3 9.8141 + vertex 131.916 1.3 9.91403 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.473 1.3 10.0042 + vertex 120.1 1.3 10.35 + vertex 128.667 1.3 10.0843 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.916 1.3 9.91403 + vertex 131.727 1.3 10.0042 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.727 1.3 10.0042 + vertex 131.533 1.3 10.0843 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.667 1.3 10.0843 + vertex 120.1 1.3 10.35 + vertex 128.864 1.3 10.1542 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.533 1.3 10.0843 + vertex 131.336 1.3 10.1542 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 128.864 1.3 10.1542 + vertex 120.1 1.3 10.35 + vertex 129.065 1.3 10.2137 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.336 1.3 10.1542 + vertex 131.135 1.3 10.2137 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.065 1.3 10.2137 + vertex 120.1 1.3 10.35 + vertex 129.268 1.3 10.2626 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 131.135 1.3 10.2137 + vertex 130.932 1.3 10.2626 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.268 1.3 10.2626 + vertex 120.1 1.3 10.35 + vertex 129.474 1.3 10.3008 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.932 1.3 10.2626 + vertex 130.726 1.3 10.3008 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.474 1.3 10.3008 + vertex 120.1 1.3 10.35 + vertex 129.682 1.3 10.3281 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.726 1.3 10.3008 + vertex 130.518 1.3 10.3281 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 129.682 1.3 10.3281 + vertex 120.1 1.3 10.35 + vertex 129.891 1.3 10.3445 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.518 1.3 10.3281 + vertex 130.309 1.3 10.3445 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 130.309 1.3 10.3445 + vertex 130.1 1.3 10.35 + vertex 140.1 1.3 10.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 127.272 1.3 9.17843 + vertex 120.1 1.3 10.35 + vertex 127.423 1.3 9.32258 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 127.127 1.3 9.02652 + vertex 120.1 1.3 10.35 + vertex 127.272 1.3 9.17843 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.991 1.3 8.86728 + vertex 120.1 1.3 10.35 + vertex 127.127 1.3 9.02652 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.864 1.3 8.70114 + vertex 120.1 1.3 10.35 + vertex 126.991 1.3 8.86728 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.745 1.3 8.52856 + vertex 120.1 1.3 10.35 + vertex 126.864 1.3 8.70114 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.636 1.3 8.35 + vertex 120.1 1.3 10.35 + vertex 126.745 1.3 8.52856 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.536 1.3 8.16596 + vertex 120.1 1.3 10.35 + vertex 126.636 1.3 8.35 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.446 1.3 7.97695 + vertex 120.1 1.3 10.35 + vertex 126.536 1.3 8.16596 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.366 1.3 7.78347 + vertex 120.1 1.3 10.35 + vertex 126.446 1.3 7.97695 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.296 1.3 7.58607 + vertex 120.1 1.3 10.35 + vertex 126.366 1.3 7.78347 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.236 1.3 7.38528 + vertex 120.1 1.3 10.35 + vertex 126.296 1.3 7.58607 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.187 1.3 7.18165 + vertex 120.1 1.3 10.35 + vertex 126.236 1.3 7.38528 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.149 1.3 6.97574 + vertex 120.1 1.3 10.35 + vertex 126.187 1.3 7.18165 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.122 1.3 6.76811 + vertex 120.1 1.3 10.35 + vertex 126.149 1.3 6.97574 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.105 1.3 6.55934 + vertex 120.1 1.3 10.35 + vertex 126.122 1.3 6.76811 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 126.1 1.3 6.35 + vertex 120.1 1.3 10.35 + vertex 126.105 1.3 6.55934 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 94.73 1.3 3 + vertex 114.48 1.3 3 + vertex 114.48 1.3 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 50.28 1.3 3 + vertex 120.1 1.3 4.3 + vertex 82.73 1.3 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 24.5219 1.3 5.93189 + vertex 18.5 1.3 4.3 + vertex 24.5055 1.3 6.14066 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 2.6 1.3 1.3 + vertex 38.28 1.3 0 + vertex 2.6 1.3 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.28 1.3 0 + vertex 29.3316 1.3 2.43741 + vertex 29.5353 1.3 2.4863 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.28 1.3 0 + vertex 29.5353 1.3 2.4863 + vertex 38.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 18.5 0 4.3 + vertex 18.5 1.3 4.3 + vertex 0 1.3 4.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 0 0 4.3 + vertex 18.5 0 4.3 + vertex 0 1.3 4.3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 18.5 1.3 10.35 + vertex 18.5 1.3 4.3 + vertex 18.5 0 10.35 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 18.5 0 10.35 + vertex 18.5 1.3 4.3 + vertex 18.5 0 4.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 18.5 1.3 10.35 + vertex 18.5 0 10.35 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.5 1.3 10.35 + vertex 18.5 1.3 10.35 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 38.5 1.3 4.3 + vertex 38.5 1.3 10.35 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 38.5 0 4.3 + vertex 38.5 1.3 4.3 + vertex 38.5 0 10.35 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.1 0 4.3 + vertex 120.1 1.3 4.3 + vertex 38.5 1.3 4.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.5 0 4.3 + vertex 120.1 0 4.3 + vertex 38.5 1.3 4.3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 120.1 1.3 10.35 + vertex 120.1 1.3 4.3 + vertex 120.1 0 10.35 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 120.1 0 10.35 + vertex 120.1 1.3 4.3 + vertex 120.1 0 4.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.1 1.3 10.35 + vertex 120.1 0 10.35 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 140.1 1.3 10.35 + vertex 120.1 1.3 10.35 + vertex 140.1 0 10.35 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 140.1 0 10.35 + vertex 140.1 0 1.3 + vertex 140.1 1.3 1.3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 140.1 1.3 10.35 + vertex 140.1 0 10.35 + vertex 140.1 1.3 1.3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 140.1 0 1.3 + vertex 140.1 0 0 + vertex 140.1 101.6 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 140.1 101.6 1.3 + vertex 140.1 0 1.3 + vertex 140.1 101.6 0 + endloop + endfacet + facet normal -0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 24.5055 -4.64837e-17 6.14066 + vertex 24.5055 0 6.14066 + vertex 24.5219 -9.284e-17 5.93189 + endloop + endfacet + facet normal -0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 24.5219 -9.284e-17 5.93189 + vertex 24.5055 0 6.14066 + vertex 24.5219 0 5.93189 + endloop + endfacet + facet normal -0.999657 -5.81259e-18 -0.0261776 + outer loop + vertex 24.5055 -4.64837e-17 6.14066 + vertex 24.5 0 6.35 + vertex 24.5055 0 6.14066 + endloop + endfacet + facet normal -0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 24.5219 -9.284e-17 5.93189 + vertex 24.5219 0 5.93189 + vertex 24.5492 -1.38942e-16 5.72426 + endloop + endfacet + facet normal -0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 24.5492 -1.38942e-16 5.72426 + vertex 24.5219 0 5.93189 + vertex 24.5492 0 5.72426 + endloop + endfacet + facet normal -0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 24.5492 -1.38942e-16 5.72426 + vertex 24.5492 0 5.72426 + vertex 24.5874 -1.84663e-16 5.51835 + endloop + endfacet + facet normal -0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 24.5874 -1.84663e-16 5.51835 + vertex 24.5492 0 5.72426 + vertex 24.5874 0 5.51835 + endloop + endfacet + facet normal -0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 24.5874 -1.84663e-16 5.51835 + vertex 24.5874 0 5.51835 + vertex 24.6363 -2.29877e-16 5.31472 + endloop + endfacet + facet normal -0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 24.6363 -2.29877e-16 5.31472 + vertex 24.5874 0 5.51835 + vertex 24.6363 0 5.31472 + endloop + endfacet + facet normal -0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 24.6363 -2.29877e-16 5.31472 + vertex 24.6363 0 5.31472 + vertex 24.6958 -2.74462e-16 5.11393 + endloop + endfacet + facet normal -0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 24.6958 -2.74462e-16 5.11393 + vertex 24.6363 0 5.31472 + vertex 24.6958 0 5.11393 + endloop + endfacet + facet normal -0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 24.6958 -2.74462e-16 5.11393 + vertex 24.6958 0 5.11393 + vertex 24.7657 -3.18295e-16 4.91653 + endloop + endfacet + facet normal -0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 24.7657 -3.18295e-16 4.91653 + vertex 24.6958 0 5.11393 + vertex 24.7657 0 4.91653 + endloop + endfacet + facet normal -0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 24.7657 -3.18295e-16 4.91653 + vertex 24.7657 0 4.91653 + vertex 24.8458 -3.61255e-16 4.72305 + endloop + endfacet + facet normal -0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 24.8458 -3.61255e-16 4.72305 + vertex 24.7657 0 4.91653 + vertex 24.8458 0 4.72305 + endloop + endfacet + facet normal -0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 24.8458 -3.61255e-16 4.72305 + vertex 24.8458 0 4.72305 + vertex 24.936 -4.03225e-16 4.53404 + endloop + endfacet + facet normal -0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 24.936 -4.03225e-16 4.53404 + vertex 24.8458 0 4.72305 + vertex 24.936 0 4.53404 + endloop + endfacet + facet normal -0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 24.936 -4.03225e-16 4.53404 + vertex 24.936 0 4.53404 + vertex 25.0359 -4.44089e-16 4.35 + endloop + endfacet + facet normal -0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 25.0359 -4.44089e-16 4.35 + vertex 24.936 0 4.53404 + vertex 25.0359 0 4.35 + endloop + endfacet + facet normal -0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 25.0359 -4.44089e-16 4.35 + vertex 25.0359 0 4.35 + vertex 25.1453 -4.83737e-16 4.17144 + endloop + endfacet + facet normal -0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 25.1453 -4.83737e-16 4.17144 + vertex 25.0359 0 4.35 + vertex 25.1453 0 4.17144 + endloop + endfacet + facet normal -0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 25.1453 -4.83737e-16 4.17144 + vertex 25.1453 0 4.17144 + vertex 25.2639 -5.22058e-16 3.99886 + endloop + endfacet + facet normal -0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 25.2639 -5.22058e-16 3.99886 + vertex 25.1453 0 4.17144 + vertex 25.2639 0 3.99886 + endloop + endfacet + facet normal -0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 25.2639 -5.22058e-16 3.99886 + vertex 25.2639 0 3.99886 + vertex 25.3914 -5.58949e-16 3.83272 + endloop + endfacet + facet normal -0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 25.3914 -5.58949e-16 3.83272 + vertex 25.2639 0 3.99886 + vertex 25.3914 0 3.83272 + endloop + endfacet + facet normal -0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 25.3914 -5.58949e-16 3.83272 + vertex 25.3914 0 3.83272 + vertex 25.5274 -5.94307e-16 3.67348 + endloop + endfacet + facet normal -0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 25.5274 -5.94307e-16 3.67348 + vertex 25.3914 0 3.83272 + vertex 25.5274 0 3.67348 + endloop + endfacet + facet normal -0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 25.5274 -5.94307e-16 3.67348 + vertex 25.5274 0 3.67348 + vertex 25.6716 -6.28037e-16 3.52157 + endloop + endfacet + facet normal -0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 25.6716 -6.28037e-16 3.52157 + vertex 25.5274 0 3.67348 + vertex 25.6716 0 3.52157 + endloop + endfacet + facet normal -0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 25.6716 -6.28037e-16 3.52157 + vertex 25.6716 0 3.52157 + vertex 25.8235 -6.60045e-16 3.37742 + endloop + endfacet + facet normal -0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 25.8235 -6.60045e-16 3.37742 + vertex 25.6716 0 3.52157 + vertex 25.8235 0 3.37742 + endloop + endfacet + facet normal -0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 25.8235 -6.60045e-16 3.37742 + vertex 25.8235 0 3.37742 + vertex 25.9827 -6.90244e-16 3.24142 + endloop + endfacet + facet normal -0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 25.9827 -6.90244e-16 3.24142 + vertex 25.8235 0 3.37742 + vertex 25.9827 0 3.24142 + endloop + endfacet + facet normal -0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 25.9827 -6.90244e-16 3.24142 + vertex 25.9827 0 3.24142 + vertex 26.1489 -7.18551e-16 3.11393 + endloop + endfacet + facet normal -0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 26.1489 -7.18551e-16 3.11393 + vertex 25.9827 0 3.24142 + vertex 26.1489 0 3.11393 + endloop + endfacet + facet normal -0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 26.1489 -7.18551e-16 3.11393 + vertex 26.1489 0 3.11393 + vertex 26.3214 -7.44889e-16 2.99532 + endloop + endfacet + facet normal -0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 26.3214 -7.44889e-16 2.99532 + vertex 26.1489 0 3.11393 + vertex 26.3214 0 2.99532 + endloop + endfacet + facet normal -0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 26.3214 -7.44889e-16 2.99532 + vertex 26.3214 0 2.99532 + vertex 26.5 -7.69185e-16 2.8859 + endloop + endfacet + facet normal -0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 26.5 -7.69185e-16 2.8859 + vertex 26.3214 0 2.99532 + vertex 26.5 0 2.8859 + endloop + endfacet + facet normal -0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 26.5 -7.69185e-16 2.8859 + vertex 26.5 0 2.8859 + vertex 26.684 -7.91373e-16 2.78597 + endloop + endfacet + facet normal -0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 26.684 -7.91373e-16 2.78597 + vertex 26.5 0 2.8859 + vertex 26.684 0 2.78597 + endloop + endfacet + facet normal -0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 26.684 -7.91373e-16 2.78597 + vertex 26.684 0 2.78597 + vertex 26.8731 -8.11391e-16 2.69582 + endloop + endfacet + facet normal -0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 26.8731 -8.11391e-16 2.69582 + vertex 26.684 0 2.78597 + vertex 26.8731 0 2.69582 + endloop + endfacet + facet normal -0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 26.8731 -8.11391e-16 2.69582 + vertex 26.8731 0 2.69582 + vertex 27.0665 -8.29186e-16 2.61568 + endloop + endfacet + facet normal -0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 27.0665 -8.29186e-16 2.61568 + vertex 26.8731 0 2.69582 + vertex 27.0665 0 2.61568 + endloop + endfacet + facet normal -0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 27.0665 -8.29186e-16 2.61568 + vertex 27.0665 0 2.61568 + vertex 27.2639 -8.44708e-16 2.54577 + endloop + endfacet + facet normal -0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 27.2639 -8.44708e-16 2.54577 + vertex 27.0665 0 2.61568 + vertex 27.2639 0 2.54577 + endloop + endfacet + facet normal -0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 27.2639 -8.44708e-16 2.54577 + vertex 27.2639 0 2.54577 + vertex 27.4647 -8.57914e-16 2.4863 + endloop + endfacet + facet normal -0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 27.4647 -8.57914e-16 2.4863 + vertex 27.2639 0 2.54577 + vertex 27.4647 0 2.4863 + endloop + endfacet + facet normal -0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 27.4647 -8.57914e-16 2.4863 + vertex 27.4647 0 2.4863 + vertex 27.6684 -8.6877e-16 2.43741 + endloop + endfacet + facet normal -0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 27.6684 -8.6877e-16 2.43741 + vertex 27.4647 0 2.4863 + vertex 27.6684 0 2.43741 + endloop + endfacet + facet normal -0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 27.6684 -8.6877e-16 2.43741 + vertex 27.6684 0 2.43741 + vertex 27.8743 -8.77243e-16 2.39925 + endloop + endfacet + facet normal -0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 27.8743 -8.77243e-16 2.39925 + vertex 27.6684 0 2.43741 + vertex 27.8743 0 2.39925 + endloop + endfacet + facet normal -0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 27.8743 -8.77243e-16 2.39925 + vertex 27.8743 0 2.39925 + vertex 28.0819 -8.83313e-16 2.37191 + endloop + endfacet + facet normal -0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 28.0819 -8.83313e-16 2.37191 + vertex 27.8743 0 2.39925 + vertex 28.0819 0 2.37191 + endloop + endfacet + facet normal -0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 28.0819 -8.83313e-16 2.37191 + vertex 28.0819 0 2.37191 + vertex 28.2907 -8.86961e-16 2.35548 + endloop + endfacet + facet normal -0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 28.2907 -8.86961e-16 2.35548 + vertex 28.0819 0 2.37191 + vertex 28.2907 0 2.35548 + endloop + endfacet + facet normal -0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 28.2907 -8.86961e-16 2.35548 + vertex 28.2907 0 2.35548 + vertex 28.5 -8.88178e-16 2.35 + endloop + endfacet + facet normal -0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 28.5 -8.88178e-16 2.35 + vertex 28.2907 0 2.35548 + vertex 28.5 0 2.35 + endloop + endfacet + facet normal 0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 28.5 -8.88178e-16 2.35 + vertex 28.5 0 2.35 + vertex 28.7093 -8.86961e-16 2.35548 + endloop + endfacet + facet normal 0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 28.7093 -8.86961e-16 2.35548 + vertex 28.5 0 2.35 + vertex 28.7093 0 2.35548 + endloop + endfacet + facet normal 0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 28.7093 -8.86961e-16 2.35548 + vertex 28.7093 0 2.35548 + vertex 28.9181 -8.83313e-16 2.37191 + endloop + endfacet + facet normal 0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 28.9181 -8.83313e-16 2.37191 + vertex 28.7093 0 2.35548 + vertex 28.9181 0 2.37191 + endloop + endfacet + facet normal 0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 28.9181 -8.83313e-16 2.37191 + vertex 28.9181 0 2.37191 + vertex 29.1257 -8.77243e-16 2.39925 + endloop + endfacet + facet normal 0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 29.1257 -8.77243e-16 2.39925 + vertex 28.9181 0 2.37191 + vertex 29.1257 0 2.39925 + endloop + endfacet + facet normal 0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 29.1257 -8.77243e-16 2.39925 + vertex 29.1257 0 2.39925 + vertex 29.3316 -8.6877e-16 2.43741 + endloop + endfacet + facet normal 0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 29.3316 -8.6877e-16 2.43741 + vertex 29.1257 0 2.39925 + vertex 29.3316 0 2.43741 + endloop + endfacet + facet normal 0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 29.3316 -8.6877e-16 2.43741 + vertex 29.3316 0 2.43741 + vertex 29.5353 -8.57914e-16 2.4863 + endloop + endfacet + facet normal 0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 29.5353 -8.57914e-16 2.4863 + vertex 29.3316 0 2.43741 + vertex 29.5353 0 2.4863 + endloop + endfacet + facet normal 0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 29.5353 -8.57914e-16 2.4863 + vertex 29.5353 0 2.4863 + vertex 29.7361 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 29.7361 -8.44708e-16 2.54577 + vertex 29.5353 0 2.4863 + vertex 29.7361 0 2.54577 + endloop + endfacet + facet normal 0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 29.7361 -8.44708e-16 2.54577 + vertex 29.7361 0 2.54577 + vertex 29.9335 -8.29186e-16 2.61568 + endloop + endfacet + facet normal 0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 29.9335 -8.29186e-16 2.61568 + vertex 29.7361 0 2.54577 + vertex 29.9335 0 2.61568 + endloop + endfacet + facet normal 0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 29.9335 -8.29186e-16 2.61568 + vertex 29.9335 0 2.61568 + vertex 30.1269 -8.11391e-16 2.69582 + endloop + endfacet + facet normal 0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 30.1269 -8.11391e-16 2.69582 + vertex 29.9335 0 2.61568 + vertex 30.1269 0 2.69582 + endloop + endfacet + facet normal 0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 30.1269 -8.11391e-16 2.69582 + vertex 30.1269 0 2.69582 + vertex 30.316 -7.91373e-16 2.78597 + endloop + endfacet + facet normal 0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 30.316 -7.91373e-16 2.78597 + vertex 30.1269 0 2.69582 + vertex 30.316 0 2.78597 + endloop + endfacet + facet normal 0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 30.316 -7.91373e-16 2.78597 + vertex 30.316 0 2.78597 + vertex 30.5 -7.69185e-16 2.8859 + endloop + endfacet + facet normal 0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 30.5 -7.69185e-16 2.8859 + vertex 30.316 0 2.78597 + vertex 30.5 0 2.8859 + endloop + endfacet + facet normal 0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 30.5 -7.69185e-16 2.8859 + vertex 30.5 0 2.8859 + vertex 30.6786 -7.44889e-16 2.99532 + endloop + endfacet + facet normal 0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 30.6786 -7.44889e-16 2.99532 + vertex 30.5 0 2.8859 + vertex 30.6786 0 2.99532 + endloop + endfacet + facet normal 0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 30.6786 -7.44889e-16 2.99532 + vertex 30.6786 0 2.99532 + vertex 30.8511 -7.18551e-16 3.11393 + endloop + endfacet + facet normal 0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 30.8511 -7.18551e-16 3.11393 + vertex 30.6786 0 2.99532 + vertex 30.8511 0 3.11393 + endloop + endfacet + facet normal 0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 30.8511 -7.18551e-16 3.11393 + vertex 30.8511 0 3.11393 + vertex 31.0173 -6.90244e-16 3.24142 + endloop + endfacet + facet normal 0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 31.0173 -6.90244e-16 3.24142 + vertex 30.8511 0 3.11393 + vertex 31.0173 0 3.24142 + endloop + endfacet + facet normal 0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 31.0173 -6.90244e-16 3.24142 + vertex 31.0173 0 3.24142 + vertex 31.1765 -6.60045e-16 3.37742 + endloop + endfacet + facet normal 0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 31.1765 -6.60045e-16 3.37742 + vertex 31.0173 0 3.24142 + vertex 31.1765 0 3.37742 + endloop + endfacet + facet normal 0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 31.1765 -6.60045e-16 3.37742 + vertex 31.1765 0 3.37742 + vertex 31.3284 -6.28037e-16 3.52157 + endloop + endfacet + facet normal 0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 31.3284 -6.28037e-16 3.52157 + vertex 31.1765 0 3.37742 + vertex 31.3284 0 3.52157 + endloop + endfacet + facet normal 0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 31.4726 -5.94307e-16 3.67348 + vertex 31.3284 -6.28037e-16 3.52157 + vertex 31.3284 0 3.52157 + endloop + endfacet + facet normal 0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 31.4726 0 3.67348 + vertex 31.4726 -5.94307e-16 3.67348 + vertex 31.3284 0 3.52157 + endloop + endfacet + facet normal 0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 31.6086 -5.58949e-16 3.83272 + vertex 31.4726 -5.94307e-16 3.67348 + vertex 31.4726 0 3.67348 + endloop + endfacet + facet normal 0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 31.6086 0 3.83272 + vertex 31.6086 -5.58949e-16 3.83272 + vertex 31.4726 0 3.67348 + endloop + endfacet + facet normal 0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 31.7361 -5.22058e-16 3.99886 + vertex 31.6086 -5.58949e-16 3.83272 + vertex 31.6086 0 3.83272 + endloop + endfacet + facet normal 0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 31.7361 0 3.99886 + vertex 31.7361 -5.22058e-16 3.99886 + vertex 31.6086 0 3.83272 + endloop + endfacet + facet normal 0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 31.8547 -4.83737e-16 4.17144 + vertex 31.7361 -5.22058e-16 3.99886 + vertex 31.7361 0 3.99886 + endloop + endfacet + facet normal 0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 31.8547 0 4.17144 + vertex 31.8547 -4.83737e-16 4.17144 + vertex 31.7361 0 3.99886 + endloop + endfacet + facet normal 0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 31.9641 -4.44089e-16 4.35 + vertex 31.8547 -4.83737e-16 4.17144 + vertex 31.8547 0 4.17144 + endloop + endfacet + facet normal 0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 31.9641 0 4.35 + vertex 31.9641 -4.44089e-16 4.35 + vertex 31.8547 0 4.17144 + endloop + endfacet + facet normal 0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 32.064 -4.03225e-16 4.53404 + vertex 31.9641 -4.44089e-16 4.35 + vertex 31.9641 0 4.35 + endloop + endfacet + facet normal 0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 32.064 0 4.53404 + vertex 32.064 -4.03225e-16 4.53404 + vertex 31.9641 0 4.35 + endloop + endfacet + facet normal 0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 32.1542 -3.61255e-16 4.72305 + vertex 32.064 -4.03225e-16 4.53404 + vertex 32.064 0 4.53404 + endloop + endfacet + facet normal 0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 32.1542 0 4.72305 + vertex 32.1542 -3.61255e-16 4.72305 + vertex 32.064 0 4.53404 + endloop + endfacet + facet normal 0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 32.2343 -3.18295e-16 4.91653 + vertex 32.1542 -3.61255e-16 4.72305 + vertex 32.1542 0 4.72305 + endloop + endfacet + facet normal 0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 32.2343 0 4.91653 + vertex 32.2343 -3.18295e-16 4.91653 + vertex 32.1542 0 4.72305 + endloop + endfacet + facet normal 0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 32.3042 -2.74462e-16 5.11393 + vertex 32.2343 -3.18295e-16 4.91653 + vertex 32.2343 0 4.91653 + endloop + endfacet + facet normal 0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 32.3042 0 5.11393 + vertex 32.3042 -2.74462e-16 5.11393 + vertex 32.2343 0 4.91653 + endloop + endfacet + facet normal 0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 32.3637 -2.29877e-16 5.31472 + vertex 32.3042 -2.74462e-16 5.11393 + vertex 32.3042 0 5.11393 + endloop + endfacet + facet normal 0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 32.3637 0 5.31472 + vertex 32.3637 -2.29877e-16 5.31472 + vertex 32.3042 0 5.11393 + endloop + endfacet + facet normal 0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 32.4126 -1.84663e-16 5.51835 + vertex 32.3637 -2.29877e-16 5.31472 + vertex 32.3637 0 5.31472 + endloop + endfacet + facet normal 0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 32.4126 0 5.51835 + vertex 32.4126 -1.84663e-16 5.51835 + vertex 32.3637 0 5.31472 + endloop + endfacet + facet normal 0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 32.4508 -1.38942e-16 5.72426 + vertex 32.4126 -1.84663e-16 5.51835 + vertex 32.4126 0 5.51835 + endloop + endfacet + facet normal 0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 32.4508 0 5.72426 + vertex 32.4508 -1.38942e-16 5.72426 + vertex 32.4126 0 5.51835 + endloop + endfacet + facet normal 0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 32.4781 -9.284e-17 5.93189 + vertex 32.4508 -1.38942e-16 5.72426 + vertex 32.4508 0 5.72426 + endloop + endfacet + facet normal 0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 32.4781 0 5.93189 + vertex 32.4781 -9.284e-17 5.93189 + vertex 32.4508 0 5.72426 + endloop + endfacet + facet normal 0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 32.4945 -4.64837e-17 6.14066 + vertex 32.4781 -9.284e-17 5.93189 + vertex 32.4781 0 5.93189 + endloop + endfacet + facet normal 0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 32.4945 0 6.14066 + vertex 32.4945 -4.64837e-17 6.14066 + vertex 32.4781 0 5.93189 + endloop + endfacet + facet normal 0.999657 -5.81259e-18 -0.0261776 + outer loop + vertex 32.5 0 6.35 + vertex 32.4945 -4.64837e-17 6.14066 + vertex 32.4945 0 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.1665 0 6.35 + vertex 24.5 0 6.35 + vertex 24.5055 -4.64837e-17 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 24.5492 -1.38942e-16 5.72426 + vertex 27.1665 0 6.35 + vertex 24.5219 -9.284e-17 5.93189 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 24.5219 -9.284e-17 5.93189 + vertex 27.1665 0 6.35 + vertex 24.5055 -4.64837e-17 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 24.5874 -1.84663e-16 5.51835 + vertex 27.1665 0 6.35 + vertex 24.5492 -1.38942e-16 5.72426 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 24.6363 -2.29877e-16 5.31472 + vertex 27.1665 0 6.35 + vertex 24.5874 -1.84663e-16 5.51835 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 24.6958 -2.74462e-16 5.11393 + vertex 27.1665 0 6.35 + vertex 24.6363 -2.29877e-16 5.31472 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 24.7657 -3.18295e-16 4.91653 + vertex 27.1665 0 6.35 + vertex 24.6958 -2.74462e-16 5.11393 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 24.8458 -3.61255e-16 4.72305 + vertex 27.1665 0 6.35 + vertex 24.7657 -3.18295e-16 4.91653 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 24.936 -4.03225e-16 4.53404 + vertex 27.1665 0 6.35 + vertex 24.8458 -3.61255e-16 4.72305 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 25.0359 -4.44089e-16 4.35 + vertex 27.1665 0 6.35 + vertex 24.936 -4.03225e-16 4.53404 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 25.1453 -4.83737e-16 4.17144 + vertex 27.1665 0 6.35 + vertex 25.0359 -4.44089e-16 4.35 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 25.2639 -5.22058e-16 3.99886 + vertex 27.1665 0 6.35 + vertex 25.1453 -4.83737e-16 4.17144 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 25.3914 -5.58949e-16 3.83272 + vertex 27.1665 0 6.35 + vertex 25.2639 -5.22058e-16 3.99886 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 25.5274 -5.94307e-16 3.67348 + vertex 27.1665 0 6.35 + vertex 25.3914 -5.58949e-16 3.83272 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 25.6716 -6.28037e-16 3.52157 + vertex 27.1665 0 6.35 + vertex 25.5274 -5.94307e-16 3.67348 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 25.8235 -6.60045e-16 3.37742 + vertex 27.1665 0 6.35 + vertex 25.6716 -6.28037e-16 3.52157 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 25.9827 -6.90244e-16 3.24142 + vertex 27.1665 0 6.35 + vertex 25.8235 -6.60045e-16 3.37742 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 26.1489 -7.18551e-16 3.11393 + vertex 27.1665 0 6.35 + vertex 25.9827 -6.90244e-16 3.24142 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 26.3214 -7.44889e-16 2.99532 + vertex 27.1665 0 6.35 + vertex 26.1489 -7.18551e-16 3.11393 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 26.5 -7.69185e-16 2.8859 + vertex 27.1665 0 6.35 + vertex 26.3214 -7.44889e-16 2.99532 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 26.684 -7.91373e-16 2.78597 + vertex 27.1665 0 6.35 + vertex 26.5 -7.69185e-16 2.8859 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 26.8731 -8.11391e-16 2.69582 + vertex 27.1665 0 6.35 + vertex 26.684 -7.91373e-16 2.78597 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.1665 -2.46519e-32 6.35 + vertex 27.1665 0 6.35 + vertex 26.8731 -8.11391e-16 2.69582 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.1702 -2.21274e-17 6.25035 + vertex 27.0665 -8.29186e-16 2.61568 + vertex 27.2639 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.1999 -6.58877e-17 6.05327 + vertex 27.1814 -4.41309e-17 6.15125 + vertex 27.4647 -8.57914e-16 2.4863 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.2257 -8.7276e-17 5.95694 + vertex 27.1999 -6.58877e-17 6.05327 + vertex 27.6684 -8.6877e-16 2.43741 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.2587 -1.08176e-16 5.86282 + vertex 27.2257 -8.7276e-17 5.95694 + vertex 27.8743 -8.77243e-16 2.39925 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.3452 -1.48048e-16 5.68325 + vertex 27.2986 -1.28471e-16 5.77142 + vertex 28.0819 -8.83313e-16 2.37191 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.3982 -1.66797e-16 5.59881 + vertex 27.3452 -1.48048e-16 5.68325 + vertex 28.2907 -8.86961e-16 2.35548 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.4574 -1.84613e-16 5.51858 + vertex 27.3982 -1.66797e-16 5.59881 + vertex 28.5 -8.88178e-16 2.35 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.5225 -2.01397e-16 5.44299 + vertex 27.4574 -1.84613e-16 5.51858 + vertex 28.7093 -8.86961e-16 2.35548 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.6686 -2.31497e-16 5.30743 + vertex 27.593 -2.17054e-16 5.37247 + vertex 28.9181 -8.83313e-16 2.37191 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.7488 -2.44646e-16 5.24821 + vertex 27.6686 -2.31497e-16 5.30743 + vertex 29.1257 -8.77243e-16 2.39925 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.8332 -2.56427e-16 5.19515 + vertex 27.7488 -2.44646e-16 5.24821 + vertex 29.3316 -8.6877e-16 2.43741 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.9214 -2.66774e-16 5.14856 + vertex 27.8332 -2.56427e-16 5.19515 + vertex 29.5353 -8.57914e-16 2.4863 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.0128 -2.75628e-16 5.10868 + vertex 27.9214 -2.66774e-16 5.14856 + vertex 29.7361 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.2033 -2.88673e-16 5.04993 + vertex 28.1069 -2.82942e-16 5.07574 + vertex 29.9335 -8.29186e-16 2.61568 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.3013 -2.92789e-16 5.03139 + vertex 28.2033 -2.88673e-16 5.04993 + vertex 30.1269 -8.11391e-16 2.69582 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.4003 -2.95268e-16 5.02023 + vertex 28.3013 -2.92789e-16 5.03139 + vertex 30.316 -7.91373e-16 2.78597 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.5 -2.96096e-16 5.0165 + vertex 28.4003 -2.95268e-16 5.02023 + vertex 30.5 -7.69185e-16 2.8859 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.5997 -2.95268e-16 5.02023 + vertex 28.5 -2.96096e-16 5.0165 + vertex 30.6786 -7.44889e-16 2.99532 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.6987 -2.92789e-16 5.03139 + vertex 28.5997 -2.95268e-16 5.02023 + vertex 30.8511 -7.18551e-16 3.11393 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.7967 -2.88673e-16 5.04993 + vertex 28.6987 -2.92789e-16 5.03139 + vertex 31.0173 -6.90244e-16 3.24142 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.8931 -2.82942e-16 5.07574 + vertex 28.7967 -2.88673e-16 5.04993 + vertex 31.1765 -6.60045e-16 3.37742 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.0786 -2.66774e-16 5.14856 + vertex 28.9872 -2.75628e-16 5.10868 + vertex 31.3284 -6.28037e-16 3.52157 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.1667 -2.56427e-16 5.19515 + vertex 29.0786 -2.66774e-16 5.14856 + vertex 31.4726 -5.94307e-16 3.67348 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.2512 -2.44646e-16 5.24821 + vertex 29.1667 -2.56427e-16 5.19515 + vertex 31.6086 -5.58949e-16 3.83272 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.3314 -2.31497e-16 5.30743 + vertex 29.2512 -2.44646e-16 5.24821 + vertex 31.7361 -5.22058e-16 3.99886 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.407 -2.17054e-16 5.37247 + vertex 29.3314 -2.31497e-16 5.30743 + vertex 31.8547 -4.83737e-16 4.17144 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.4775 -2.01397e-16 5.44299 + vertex 29.407 -2.17054e-16 5.37247 + vertex 31.9641 -4.44089e-16 4.35 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.5426 -1.84613e-16 5.51858 + vertex 29.4775 -2.01397e-16 5.44299 + vertex 32.064 -4.03225e-16 4.53404 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.6018 -1.66797e-16 5.59881 + vertex 29.5426 -1.84613e-16 5.51858 + vertex 32.1542 -3.61255e-16 4.72305 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.6548 -1.48048e-16 5.68325 + vertex 29.6018 -1.66797e-16 5.59881 + vertex 32.2343 -3.18295e-16 4.91653 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.7014 -1.28471e-16 5.77142 + vertex 29.6548 -1.48048e-16 5.68325 + vertex 32.3042 -2.74462e-16 5.11393 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.7413 -1.08176e-16 5.86282 + vertex 29.7014 -1.28471e-16 5.77142 + vertex 32.3637 -2.29877e-16 5.31472 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.7743 -8.7276e-17 5.95694 + vertex 29.7413 -1.08176e-16 5.86282 + vertex 32.4126 -1.84663e-16 5.51835 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.8001 -6.58877e-17 6.05327 + vertex 29.7743 -8.7276e-17 5.95694 + vertex 32.4508 -1.38942e-16 5.72426 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.8186 -4.41309e-17 6.15125 + vertex 29.8001 -6.58877e-17 6.05327 + vertex 32.4781 -9.284e-17 5.93189 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 32.5 0 6.35 + vertex 29.8335 0 6.35 + vertex 32.4945 -4.64837e-17 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.8335 0 6.35 + vertex 29.8335 -2.46519e-32 6.35 + vertex 32.4945 -4.64837e-17 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.8335 -2.46519e-32 6.35 + vertex 29.8298 -2.21274e-17 6.25035 + vertex 32.4945 -4.64837e-17 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 32.4945 -4.64837e-17 6.14066 + vertex 29.8186 -4.41309e-17 6.15125 + vertex 32.4781 -9.284e-17 5.93189 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 32.4781 -9.284e-17 5.93189 + vertex 29.8001 -6.58877e-17 6.05327 + vertex 32.4508 -1.38942e-16 5.72426 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 32.4508 -1.38942e-16 5.72426 + vertex 29.7743 -8.7276e-17 5.95694 + vertex 32.4126 -1.84663e-16 5.51835 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 32.4126 -1.84663e-16 5.51835 + vertex 29.7413 -1.08176e-16 5.86282 + vertex 32.3637 -2.29877e-16 5.31472 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 32.3637 -2.29877e-16 5.31472 + vertex 29.7014 -1.28471e-16 5.77142 + vertex 32.3042 -2.74462e-16 5.11393 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 32.3042 -2.74462e-16 5.11393 + vertex 29.6548 -1.48048e-16 5.68325 + vertex 32.2343 -3.18295e-16 4.91653 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 32.2343 -3.18295e-16 4.91653 + vertex 29.6018 -1.66797e-16 5.59881 + vertex 32.1542 -3.61255e-16 4.72305 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 32.1542 -3.61255e-16 4.72305 + vertex 29.5426 -1.84613e-16 5.51858 + vertex 32.064 -4.03225e-16 4.53404 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 32.064 -4.03225e-16 4.53404 + vertex 29.4775 -2.01397e-16 5.44299 + vertex 31.9641 -4.44089e-16 4.35 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 31.9641 -4.44089e-16 4.35 + vertex 29.407 -2.17054e-16 5.37247 + vertex 31.8547 -4.83737e-16 4.17144 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 31.8547 -4.83737e-16 4.17144 + vertex 29.3314 -2.31497e-16 5.30743 + vertex 31.7361 -5.22058e-16 3.99886 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 31.7361 -5.22058e-16 3.99886 + vertex 29.2512 -2.44646e-16 5.24821 + vertex 31.6086 -5.58949e-16 3.83272 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 31.6086 -5.58949e-16 3.83272 + vertex 29.1667 -2.56427e-16 5.19515 + vertex 31.4726 -5.94307e-16 3.67348 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 31.4726 -5.94307e-16 3.67348 + vertex 29.0786 -2.66774e-16 5.14856 + vertex 31.3284 -6.28037e-16 3.52157 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 31.3284 -6.28037e-16 3.52157 + vertex 28.9872 -2.75628e-16 5.10868 + vertex 31.1765 -6.60045e-16 3.37742 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.9872 -2.75628e-16 5.10868 + vertex 28.8931 -2.82942e-16 5.07574 + vertex 31.1765 -6.60045e-16 3.37742 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 31.1765 -6.60045e-16 3.37742 + vertex 28.7967 -2.88673e-16 5.04993 + vertex 31.0173 -6.90244e-16 3.24142 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 31.0173 -6.90244e-16 3.24142 + vertex 28.6987 -2.92789e-16 5.03139 + vertex 30.8511 -7.18551e-16 3.11393 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 30.8511 -7.18551e-16 3.11393 + vertex 28.5997 -2.95268e-16 5.02023 + vertex 30.6786 -7.44889e-16 2.99532 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 30.6786 -7.44889e-16 2.99532 + vertex 28.5 -2.96096e-16 5.0165 + vertex 30.5 -7.69185e-16 2.8859 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 30.5 -7.69185e-16 2.8859 + vertex 28.4003 -2.95268e-16 5.02023 + vertex 30.316 -7.91373e-16 2.78597 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 30.316 -7.91373e-16 2.78597 + vertex 28.3013 -2.92789e-16 5.03139 + vertex 30.1269 -8.11391e-16 2.69582 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 30.1269 -8.11391e-16 2.69582 + vertex 28.2033 -2.88673e-16 5.04993 + vertex 29.9335 -8.29186e-16 2.61568 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.9335 -8.29186e-16 2.61568 + vertex 28.1069 -2.82942e-16 5.07574 + vertex 29.7361 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.1069 -2.82942e-16 5.07574 + vertex 28.0128 -2.75628e-16 5.10868 + vertex 29.7361 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.7361 -8.44708e-16 2.54577 + vertex 27.9214 -2.66774e-16 5.14856 + vertex 29.5353 -8.57914e-16 2.4863 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.5353 -8.57914e-16 2.4863 + vertex 27.8332 -2.56427e-16 5.19515 + vertex 29.3316 -8.6877e-16 2.43741 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.3316 -8.6877e-16 2.43741 + vertex 27.7488 -2.44646e-16 5.24821 + vertex 29.1257 -8.77243e-16 2.39925 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.1257 -8.77243e-16 2.39925 + vertex 27.6686 -2.31497e-16 5.30743 + vertex 28.9181 -8.83313e-16 2.37191 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.9181 -8.83313e-16 2.37191 + vertex 27.593 -2.17054e-16 5.37247 + vertex 28.7093 -8.86961e-16 2.35548 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.593 -2.17054e-16 5.37247 + vertex 27.5225 -2.01397e-16 5.44299 + vertex 28.7093 -8.86961e-16 2.35548 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.7093 -8.86961e-16 2.35548 + vertex 27.4574 -1.84613e-16 5.51858 + vertex 28.5 -8.88178e-16 2.35 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.5 -8.88178e-16 2.35 + vertex 27.3982 -1.66797e-16 5.59881 + vertex 28.2907 -8.86961e-16 2.35548 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.2907 -8.86961e-16 2.35548 + vertex 27.3452 -1.48048e-16 5.68325 + vertex 28.0819 -8.83313e-16 2.37191 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 28.0819 -8.83313e-16 2.37191 + vertex 27.2986 -1.28471e-16 5.77142 + vertex 27.8743 -8.77243e-16 2.39925 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.2986 -1.28471e-16 5.77142 + vertex 27.2587 -1.08176e-16 5.86282 + vertex 27.8743 -8.77243e-16 2.39925 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.8743 -8.77243e-16 2.39925 + vertex 27.2257 -8.7276e-17 5.95694 + vertex 27.6684 -8.6877e-16 2.43741 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.6684 -8.6877e-16 2.43741 + vertex 27.1999 -6.58877e-17 6.05327 + vertex 27.4647 -8.57914e-16 2.4863 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.4647 -8.57914e-16 2.4863 + vertex 27.1814 -4.41309e-17 6.15125 + vertex 27.2639 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.1814 -4.41309e-17 6.15125 + vertex 27.1702 -2.21274e-17 6.25035 + vertex 27.2639 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.0665 -8.29186e-16 2.61568 + vertex 27.1665 -2.46519e-32 6.35 + vertex 26.8731 -8.11391e-16 2.69582 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 29.8298 -2.21274e-17 6.25035 + vertex 29.8186 -4.41309e-17 6.15125 + vertex 32.4945 -4.64837e-17 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 27.1702 -2.21274e-17 6.25035 + vertex 27.1665 -2.46519e-32 6.35 + vertex 27.0665 -8.29186e-16 2.61568 + endloop + endfacet + facet normal -0.999301 -8.30306e-18 -0.0373937 + outer loop + vertex 29.8335 3 6.35 + vertex 29.8335 -2.46519e-32 6.35 + vertex 29.8298 3 6.44965 + endloop + endfacet + facet normal -0.999301 -8.30306e-18 -0.0373937 + outer loop + vertex 29.8298 3 6.44965 + vertex 29.8335 -2.46519e-32 6.35 + vertex 29.8335 0 6.35 + endloop + endfacet + facet normal -0.999301 -8.30306e-18 -0.0373937 + outer loop + vertex 29.8298 3 6.44965 + vertex 29.8335 0 6.35 + vertex 29.8298 0 6.44965 + endloop + endfacet + facet normal -0.993713 -2.48604e-17 -0.111961 + outer loop + vertex 29.8298 3 6.44965 + vertex 29.8298 0 6.44965 + vertex 29.8186 3 6.54875 + endloop + endfacet + facet normal -0.993713 -2.48604e-17 -0.111961 + outer loop + vertex 29.8186 3 6.54875 + vertex 29.8298 0 6.44965 + vertex 29.8186 0 6.54875 + endloop + endfacet + facet normal -0.982566 -4.12816e-17 -0.185916 + outer loop + vertex 29.8186 3 6.54875 + vertex 29.8186 0 6.54875 + vertex 29.8001 3 6.64673 + endloop + endfacet + facet normal -0.982566 -4.12816e-17 -0.185916 + outer loop + vertex 29.8001 3 6.64673 + vertex 29.8186 0 6.54875 + vertex 29.8001 0 6.64673 + endloop + endfacet + facet normal -0.965926 -5.74695e-17 -0.25882 + outer loop + vertex 29.8001 3 6.64673 + vertex 29.8001 0 6.64673 + vertex 29.7743 3 6.74306 + endloop + endfacet + facet normal -0.965926 -5.74695e-17 -0.25882 + outer loop + vertex 29.7743 3 6.74306 + vertex 29.8001 0 6.64673 + vertex 29.7743 0 6.74306 + endloop + endfacet + facet normal -0.943884 -7.33365e-17 -0.330278 + outer loop + vertex 29.7743 3 6.74306 + vertex 29.7743 0 6.74306 + vertex 29.7413 3 6.83718 + endloop + endfacet + facet normal -0.943884 -7.33365e-17 -0.330278 + outer loop + vertex 29.7413 3 6.83718 + vertex 29.7743 0 6.74306 + vertex 29.7413 0 6.83718 + endloop + endfacet + facet normal -0.916563 -8.87933e-17 -0.39989 + outer loop + vertex 29.7413 3 6.83718 + vertex 29.7413 0 6.83718 + vertex 29.7014 3 6.92858 + endloop + endfacet + facet normal -0.916563 -8.87933e-17 -0.39989 + outer loop + vertex 29.7014 3 6.92858 + vertex 29.7413 0 6.83718 + vertex 29.7014 0 6.92858 + endloop + endfacet + facet normal -0.884116 -1.03754e-16 -0.467268 + outer loop + vertex 29.7014 3 6.92858 + vertex 29.7014 0 6.92858 + vertex 29.6548 3 7.01675 + endloop + endfacet + facet normal -0.884116 -1.03754e-16 -0.467268 + outer loop + vertex 29.6548 3 7.01675 + vertex 29.7014 0 6.92858 + vertex 29.6548 0 7.01675 + endloop + endfacet + facet normal -0.846721 -1.18136e-16 -0.532037 + outer loop + vertex 29.6548 3 7.01675 + vertex 29.6548 0 7.01675 + vertex 29.6018 3 7.10119 + endloop + endfacet + facet normal -0.846721 -1.18136e-16 -0.532037 + outer loop + vertex 29.6018 3 7.10119 + vertex 29.6548 0 7.01675 + vertex 29.6018 0 7.10119 + endloop + endfacet + facet normal -0.804601 -1.31854e-16 -0.593816 + outer loop + vertex 29.6018 3 7.10119 + vertex 29.6018 0 7.10119 + vertex 29.5426 3 7.18142 + endloop + endfacet + facet normal -0.804601 -1.31854e-16 -0.593816 + outer loop + vertex 29.5426 3 7.18142 + vertex 29.6018 0 7.10119 + vertex 29.5426 0 7.18142 + endloop + endfacet + facet normal -0.757972 -1.44837e-16 -0.652287 + outer loop + vertex 29.5426 3 7.18142 + vertex 29.5426 0 7.18142 + vertex 29.4775 3 7.25701 + endloop + endfacet + facet normal -0.757972 -1.44837e-16 -0.652287 + outer loop + vertex 29.4775 3 7.25701 + vertex 29.5426 0 7.18142 + vertex 29.4775 0 7.25701 + endloop + endfacet + facet normal -0.707107 -1.57009e-16 -0.707107 + outer loop + vertex 29.4775 3 7.25701 + vertex 29.4775 0 7.25701 + vertex 29.407 3 7.32752 + endloop + endfacet + facet normal -0.707107 -1.57009e-16 -0.707107 + outer loop + vertex 29.407 3 7.32752 + vertex 29.4775 0 7.25701 + vertex 29.407 0 7.32752 + endloop + endfacet + facet normal -0.652287 -1.68304e-16 -0.757972 + outer loop + vertex 29.407 3 7.32752 + vertex 29.407 0 7.32752 + vertex 29.3314 3 7.39257 + endloop + endfacet + facet normal -0.652287 -1.68304e-16 -0.757972 + outer loop + vertex 29.3314 3 7.39257 + vertex 29.407 0 7.32752 + vertex 29.3314 0 7.39257 + endloop + endfacet + facet normal -0.593816 -1.78657e-16 -0.804601 + outer loop + vertex 29.3314 3 7.39257 + vertex 29.3314 0 7.39257 + vertex 29.2512 3 7.45179 + endloop + endfacet + facet normal -0.593816 -1.78657e-16 -0.804601 + outer loop + vertex 29.2512 3 7.45179 + vertex 29.3314 0 7.39257 + vertex 29.2512 0 7.45179 + endloop + endfacet + facet normal -0.532037 -1.8801e-16 -0.846721 + outer loop + vertex 29.2512 3 7.45179 + vertex 29.2512 0 7.45179 + vertex 29.1667 3 7.50484 + endloop + endfacet + facet normal -0.532037 -1.8801e-16 -0.846721 + outer loop + vertex 29.1667 3 7.50484 + vertex 29.2512 0 7.45179 + vertex 29.1667 0 7.50484 + endloop + endfacet + facet normal -0.467268 -1.96313e-16 -0.884116 + outer loop + vertex 29.1667 3 7.50484 + vertex 29.1667 0 7.50484 + vertex 29.0786 3 7.55144 + endloop + endfacet + facet normal -0.467268 -1.96313e-16 -0.884116 + outer loop + vertex 29.0786 3 7.55144 + vertex 29.1667 0 7.50484 + vertex 29.0786 0 7.55144 + endloop + endfacet + facet normal -0.39989 -2.03518e-16 -0.916563 + outer loop + vertex 29.0786 3 7.55144 + vertex 29.0786 0 7.55144 + vertex 28.9872 3 7.59132 + endloop + endfacet + facet normal -0.39989 -2.03518e-16 -0.916563 + outer loop + vertex 28.9872 3 7.59132 + vertex 29.0786 0 7.55144 + vertex 28.9872 0 7.59132 + endloop + endfacet + facet normal -0.330278 -2.09584e-16 -0.943884 + outer loop + vertex 28.9872 3 7.59132 + vertex 28.9872 0 7.59132 + vertex 28.8931 3 7.62426 + endloop + endfacet + facet normal -0.330278 -2.09584e-16 -0.943884 + outer loop + vertex 28.8931 3 7.62426 + vertex 28.9872 0 7.59132 + vertex 28.8931 0 7.62426 + endloop + endfacet + facet normal -0.25882 -2.14479e-16 -0.965926 + outer loop + vertex 28.8931 3 7.62426 + vertex 28.8931 0 7.62426 + vertex 28.7967 3 7.65007 + endloop + endfacet + facet normal -0.25882 -2.14479e-16 -0.965926 + outer loop + vertex 28.7967 3 7.65007 + vertex 28.8931 0 7.62426 + vertex 28.7967 0 7.65007 + endloop + endfacet + facet normal -0.185916 -2.18173e-16 -0.982566 + outer loop + vertex 28.7967 3 7.65007 + vertex 28.7967 0 7.65007 + vertex 28.6987 3 7.66861 + endloop + endfacet + facet normal -0.185916 -2.18173e-16 -0.982566 + outer loop + vertex 28.6987 3 7.66861 + vertex 28.7967 0 7.65007 + vertex 28.6987 0 7.66861 + endloop + endfacet + facet normal -0.111961 -2.20649e-16 -0.993713 + outer loop + vertex 28.6987 3 7.66861 + vertex 28.6987 0 7.66861 + vertex 28.5997 3 7.67977 + endloop + endfacet + facet normal -0.111961 -2.20649e-16 -0.993713 + outer loop + vertex 28.5997 3 7.67977 + vertex 28.6987 0 7.66861 + vertex 28.5997 0 7.67977 + endloop + endfacet + facet normal -0.0373937 -2.21889e-16 -0.999301 + outer loop + vertex 28.5997 3 7.67977 + vertex 28.5997 0 7.67977 + vertex 28.5 3 7.6835 + endloop + endfacet + facet normal -0.0373937 -2.21889e-16 -0.999301 + outer loop + vertex 28.5 3 7.6835 + vertex 28.5997 0 7.67977 + vertex 28.5 0 7.6835 + endloop + endfacet + facet normal 0.0373937 -2.21889e-16 -0.999301 + outer loop + vertex 28.5 3 7.6835 + vertex 28.5 0 7.6835 + vertex 28.4003 3 7.67977 + endloop + endfacet + facet normal 0.0373937 -2.21889e-16 -0.999301 + outer loop + vertex 28.4003 3 7.67977 + vertex 28.5 0 7.6835 + vertex 28.4003 0 7.67977 + endloop + endfacet + facet normal 0.111961 -2.20649e-16 -0.993713 + outer loop + vertex 28.4003 3 7.67977 + vertex 28.4003 0 7.67977 + vertex 28.3013 3 7.66861 + endloop + endfacet + facet normal 0.111961 -2.20649e-16 -0.993713 + outer loop + vertex 28.3013 3 7.66861 + vertex 28.4003 0 7.67977 + vertex 28.3013 0 7.66861 + endloop + endfacet + facet normal 0.185916 -2.18173e-16 -0.982566 + outer loop + vertex 28.3013 3 7.66861 + vertex 28.3013 0 7.66861 + vertex 28.2033 3 7.65007 + endloop + endfacet + facet normal 0.185916 -2.18173e-16 -0.982566 + outer loop + vertex 28.2033 3 7.65007 + vertex 28.3013 0 7.66861 + vertex 28.2033 0 7.65007 + endloop + endfacet + facet normal 0.25882 -2.14479e-16 -0.965926 + outer loop + vertex 28.2033 3 7.65007 + vertex 28.2033 0 7.65007 + vertex 28.1069 3 7.62426 + endloop + endfacet + facet normal 0.25882 -2.14479e-16 -0.965926 + outer loop + vertex 28.1069 3 7.62426 + vertex 28.2033 0 7.65007 + vertex 28.1069 0 7.62426 + endloop + endfacet + facet normal 0.330278 -2.09584e-16 -0.943884 + outer loop + vertex 28.1069 3 7.62426 + vertex 28.1069 0 7.62426 + vertex 28.0128 3 7.59132 + endloop + endfacet + facet normal 0.330278 -2.09584e-16 -0.943884 + outer loop + vertex 28.0128 3 7.59132 + vertex 28.1069 0 7.62426 + vertex 28.0128 0 7.59132 + endloop + endfacet + facet normal 0.39989 -2.03518e-16 -0.916563 + outer loop + vertex 28.0128 3 7.59132 + vertex 28.0128 0 7.59132 + vertex 27.9214 3 7.55144 + endloop + endfacet + facet normal 0.39989 -2.03518e-16 -0.916563 + outer loop + vertex 27.9214 3 7.55144 + vertex 28.0128 0 7.59132 + vertex 27.9214 0 7.55144 + endloop + endfacet + facet normal 0.467268 -1.96313e-16 -0.884116 + outer loop + vertex 27.9214 3 7.55144 + vertex 27.9214 0 7.55144 + vertex 27.8332 3 7.50484 + endloop + endfacet + facet normal 0.467268 -1.96313e-16 -0.884116 + outer loop + vertex 27.8332 3 7.50484 + vertex 27.9214 0 7.55144 + vertex 27.8332 0 7.50484 + endloop + endfacet + facet normal 0.532037 -1.8801e-16 -0.846721 + outer loop + vertex 27.8332 3 7.50484 + vertex 27.8332 0 7.50484 + vertex 27.7488 3 7.45179 + endloop + endfacet + facet normal 0.532037 -1.8801e-16 -0.846721 + outer loop + vertex 27.7488 3 7.45179 + vertex 27.8332 0 7.50484 + vertex 27.7488 0 7.45179 + endloop + endfacet + facet normal 0.593816 -1.78657e-16 -0.804601 + outer loop + vertex 27.7488 3 7.45179 + vertex 27.7488 0 7.45179 + vertex 27.6686 3 7.39257 + endloop + endfacet + facet normal 0.593816 -1.78657e-16 -0.804601 + outer loop + vertex 27.6686 3 7.39257 + vertex 27.7488 0 7.45179 + vertex 27.6686 0 7.39257 + endloop + endfacet + facet normal 0.652287 -1.68304e-16 -0.757972 + outer loop + vertex 27.6686 3 7.39257 + vertex 27.6686 0 7.39257 + vertex 27.593 3 7.32752 + endloop + endfacet + facet normal 0.652287 -1.68304e-16 -0.757972 + outer loop + vertex 27.593 3 7.32752 + vertex 27.6686 0 7.39257 + vertex 27.593 0 7.32752 + endloop + endfacet + facet normal 0.707107 -1.57009e-16 -0.707107 + outer loop + vertex 27.5225 3 7.25701 + vertex 27.593 3 7.32752 + vertex 27.593 0 7.32752 + endloop + endfacet + facet normal 0.707107 -1.57009e-16 -0.707107 + outer loop + vertex 27.5225 0 7.25701 + vertex 27.5225 3 7.25701 + vertex 27.593 0 7.32752 + endloop + endfacet + facet normal 0.757972 -1.44837e-16 -0.652287 + outer loop + vertex 27.4574 3 7.18142 + vertex 27.5225 3 7.25701 + vertex 27.5225 0 7.25701 + endloop + endfacet + facet normal 0.757972 -1.44837e-16 -0.652287 + outer loop + vertex 27.4574 0 7.18142 + vertex 27.4574 3 7.18142 + vertex 27.5225 0 7.25701 + endloop + endfacet + facet normal 0.804601 -1.31854e-16 -0.593816 + outer loop + vertex 27.3982 3 7.10119 + vertex 27.4574 3 7.18142 + vertex 27.4574 0 7.18142 + endloop + endfacet + facet normal 0.804601 -1.31854e-16 -0.593816 + outer loop + vertex 27.3982 0 7.10119 + vertex 27.3982 3 7.10119 + vertex 27.4574 0 7.18142 + endloop + endfacet + facet normal 0.846721 -1.18136e-16 -0.532037 + outer loop + vertex 27.3452 3 7.01675 + vertex 27.3982 3 7.10119 + vertex 27.3982 0 7.10119 + endloop + endfacet + facet normal 0.846721 -1.18136e-16 -0.532037 + outer loop + vertex 27.3452 0 7.01675 + vertex 27.3452 3 7.01675 + vertex 27.3982 0 7.10119 + endloop + endfacet + facet normal 0.884116 -1.03754e-16 -0.467268 + outer loop + vertex 27.2986 3 6.92858 + vertex 27.3452 3 7.01675 + vertex 27.3452 0 7.01675 + endloop + endfacet + facet normal 0.884116 -1.03754e-16 -0.467268 + outer loop + vertex 27.2986 0 6.92858 + vertex 27.2986 3 6.92858 + vertex 27.3452 0 7.01675 + endloop + endfacet + facet normal 0.916563 -8.87933e-17 -0.39989 + outer loop + vertex 27.2587 3 6.83718 + vertex 27.2986 3 6.92858 + vertex 27.2986 0 6.92858 + endloop + endfacet + facet normal 0.916563 -8.87933e-17 -0.39989 + outer loop + vertex 27.2587 0 6.83718 + vertex 27.2587 3 6.83718 + vertex 27.2986 0 6.92858 + endloop + endfacet + facet normal 0.943884 -7.33365e-17 -0.330278 + outer loop + vertex 27.2257 3 6.74306 + vertex 27.2587 3 6.83718 + vertex 27.2587 0 6.83718 + endloop + endfacet + facet normal 0.943884 -7.33365e-17 -0.330278 + outer loop + vertex 27.2257 0 6.74306 + vertex 27.2257 3 6.74306 + vertex 27.2587 0 6.83718 + endloop + endfacet + facet normal 0.965926 -5.74695e-17 -0.25882 + outer loop + vertex 27.1999 3 6.64673 + vertex 27.2257 3 6.74306 + vertex 27.2257 0 6.74306 + endloop + endfacet + facet normal 0.965926 -5.74695e-17 -0.25882 + outer loop + vertex 27.1999 0 6.64673 + vertex 27.1999 3 6.64673 + vertex 27.2257 0 6.74306 + endloop + endfacet + facet normal 0.982566 -4.12816e-17 -0.185916 + outer loop + vertex 27.1814 3 6.54875 + vertex 27.1999 3 6.64673 + vertex 27.1999 0 6.64673 + endloop + endfacet + facet normal 0.982566 -4.12816e-17 -0.185916 + outer loop + vertex 27.1814 0 6.54875 + vertex 27.1814 3 6.54875 + vertex 27.1999 0 6.64673 + endloop + endfacet + facet normal 0.993713 -2.48604e-17 -0.111961 + outer loop + vertex 27.1702 3 6.44965 + vertex 27.1814 3 6.54875 + vertex 27.1814 0 6.54875 + endloop + endfacet + facet normal 0.993713 -2.48604e-17 -0.111961 + outer loop + vertex 27.1702 0 6.44965 + vertex 27.1702 3 6.44965 + vertex 27.1814 0 6.54875 + endloop + endfacet + facet normal 0.999301 -8.30306e-18 -0.0373937 + outer loop + vertex 27.1665 3 6.35 + vertex 27.1702 3 6.44965 + vertex 27.1702 0 6.44965 + endloop + endfacet + facet normal 0.999301 -8.30306e-18 -0.0373937 + outer loop + vertex 27.1665 -2.46519e-32 6.35 + vertex 27.1665 3 6.35 + vertex 27.1665 0 6.35 + endloop + endfacet + facet normal 0.999301 -8.30306e-18 -0.0373937 + outer loop + vertex 27.1665 0 6.35 + vertex 27.1665 3 6.35 + vertex 27.1702 0 6.44965 + endloop + endfacet + facet normal -0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 126.105 -4.64837e-17 6.14066 + vertex 126.105 0 6.14066 + vertex 126.122 -9.284e-17 5.93189 + endloop + endfacet + facet normal -0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 126.122 -9.284e-17 5.93189 + vertex 126.105 0 6.14066 + vertex 126.122 0 5.93189 + endloop + endfacet + facet normal -0.999657 -5.81259e-18 -0.0261776 + outer loop + vertex 126.105 -4.64837e-17 6.14066 + vertex 126.1 0 6.35 + vertex 126.105 0 6.14066 + endloop + endfacet + facet normal -0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 126.122 -9.284e-17 5.93189 + vertex 126.122 0 5.93189 + vertex 126.149 -1.38942e-16 5.72426 + endloop + endfacet + facet normal -0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 126.149 -1.38942e-16 5.72426 + vertex 126.122 0 5.93189 + vertex 126.149 0 5.72426 + endloop + endfacet + facet normal -0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 126.149 -1.38942e-16 5.72426 + vertex 126.149 0 5.72426 + vertex 126.187 -1.84663e-16 5.51835 + endloop + endfacet + facet normal -0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 126.187 -1.84663e-16 5.51835 + vertex 126.149 0 5.72426 + vertex 126.187 0 5.51835 + endloop + endfacet + facet normal -0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 126.187 -1.84663e-16 5.51835 + vertex 126.187 0 5.51835 + vertex 126.236 -2.29877e-16 5.31472 + endloop + endfacet + facet normal -0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 126.236 -2.29877e-16 5.31472 + vertex 126.187 0 5.51835 + vertex 126.236 0 5.31472 + endloop + endfacet + facet normal -0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 126.236 -2.29877e-16 5.31472 + vertex 126.236 0 5.31472 + vertex 126.296 -2.74462e-16 5.11393 + endloop + endfacet + facet normal -0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 126.296 -2.74462e-16 5.11393 + vertex 126.236 0 5.31472 + vertex 126.296 0 5.11393 + endloop + endfacet + facet normal -0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 126.296 -2.74462e-16 5.11393 + vertex 126.296 0 5.11393 + vertex 126.366 -3.18295e-16 4.91653 + endloop + endfacet + facet normal -0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 126.366 -3.18295e-16 4.91653 + vertex 126.296 0 5.11393 + vertex 126.366 0 4.91653 + endloop + endfacet + facet normal -0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 126.366 -3.18295e-16 4.91653 + vertex 126.366 0 4.91653 + vertex 126.446 -3.61255e-16 4.72305 + endloop + endfacet + facet normal -0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 126.446 -3.61255e-16 4.72305 + vertex 126.366 0 4.91653 + vertex 126.446 0 4.72305 + endloop + endfacet + facet normal -0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 126.446 -3.61255e-16 4.72305 + vertex 126.446 0 4.72305 + vertex 126.536 -4.03225e-16 4.53404 + endloop + endfacet + facet normal -0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 126.536 -4.03225e-16 4.53404 + vertex 126.446 0 4.72305 + vertex 126.536 0 4.53404 + endloop + endfacet + facet normal -0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 126.536 -4.03225e-16 4.53404 + vertex 126.536 0 4.53404 + vertex 126.636 -4.44089e-16 4.35 + endloop + endfacet + facet normal -0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 126.636 -4.44089e-16 4.35 + vertex 126.536 0 4.53404 + vertex 126.636 0 4.35 + endloop + endfacet + facet normal -0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 126.636 -4.44089e-16 4.35 + vertex 126.636 0 4.35 + vertex 126.745 -4.83737e-16 4.17144 + endloop + endfacet + facet normal -0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 126.745 -4.83737e-16 4.17144 + vertex 126.636 0 4.35 + vertex 126.745 0 4.17144 + endloop + endfacet + facet normal -0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 126.745 -4.83737e-16 4.17144 + vertex 126.745 0 4.17144 + vertex 126.864 -5.22058e-16 3.99886 + endloop + endfacet + facet normal -0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 126.864 -5.22058e-16 3.99886 + vertex 126.745 0 4.17144 + vertex 126.864 0 3.99886 + endloop + endfacet + facet normal -0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 126.864 -5.22058e-16 3.99886 + vertex 126.864 0 3.99886 + vertex 126.991 -5.58949e-16 3.83272 + endloop + endfacet + facet normal -0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 126.991 -5.58949e-16 3.83272 + vertex 126.864 0 3.99886 + vertex 126.991 0 3.83272 + endloop + endfacet + facet normal -0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 126.991 -5.58949e-16 3.83272 + vertex 126.991 0 3.83272 + vertex 127.127 -5.94307e-16 3.67348 + endloop + endfacet + facet normal -0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 127.127 -5.94307e-16 3.67348 + vertex 126.991 0 3.83272 + vertex 127.127 0 3.67348 + endloop + endfacet + facet normal -0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 127.127 -5.94307e-16 3.67348 + vertex 127.127 0 3.67348 + vertex 127.272 -6.28037e-16 3.52157 + endloop + endfacet + facet normal -0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 127.272 -6.28037e-16 3.52157 + vertex 127.127 0 3.67348 + vertex 127.272 0 3.52157 + endloop + endfacet + facet normal -0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 127.272 -6.28037e-16 3.52157 + vertex 127.272 0 3.52157 + vertex 127.423 -6.60045e-16 3.37742 + endloop + endfacet + facet normal -0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 127.423 -6.60045e-16 3.37742 + vertex 127.272 0 3.52157 + vertex 127.423 0 3.37742 + endloop + endfacet + facet normal -0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 127.423 -6.60045e-16 3.37742 + vertex 127.423 0 3.37742 + vertex 127.583 -6.90244e-16 3.24142 + endloop + endfacet + facet normal -0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 127.583 -6.90244e-16 3.24142 + vertex 127.423 0 3.37742 + vertex 127.583 0 3.24142 + endloop + endfacet + facet normal -0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 127.583 -6.90244e-16 3.24142 + vertex 127.583 0 3.24142 + vertex 127.749 -7.18551e-16 3.11393 + endloop + endfacet + facet normal -0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 127.749 -7.18551e-16 3.11393 + vertex 127.583 0 3.24142 + vertex 127.749 0 3.11393 + endloop + endfacet + facet normal -0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 127.749 -7.18551e-16 3.11393 + vertex 127.749 0 3.11393 + vertex 127.921 -7.44889e-16 2.99532 + endloop + endfacet + facet normal -0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 127.921 -7.44889e-16 2.99532 + vertex 127.749 0 3.11393 + vertex 127.921 0 2.99532 + endloop + endfacet + facet normal -0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 127.921 -7.44889e-16 2.99532 + vertex 127.921 0 2.99532 + vertex 128.1 -7.69185e-16 2.8859 + endloop + endfacet + facet normal -0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 128.1 -7.69185e-16 2.8859 + vertex 127.921 0 2.99532 + vertex 128.1 0 2.8859 + endloop + endfacet + facet normal -0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 128.1 -7.69185e-16 2.8859 + vertex 128.1 0 2.8859 + vertex 128.284 -7.91373e-16 2.78597 + endloop + endfacet + facet normal -0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 128.284 -7.91373e-16 2.78597 + vertex 128.1 0 2.8859 + vertex 128.284 0 2.78597 + endloop + endfacet + facet normal -0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 128.284 -7.91373e-16 2.78597 + vertex 128.284 0 2.78597 + vertex 128.473 -8.11391e-16 2.69582 + endloop + endfacet + facet normal -0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 128.473 -8.11391e-16 2.69582 + vertex 128.284 0 2.78597 + vertex 128.473 0 2.69582 + endloop + endfacet + facet normal -0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 128.473 -8.11391e-16 2.69582 + vertex 128.473 0 2.69582 + vertex 128.667 -8.29186e-16 2.61568 + endloop + endfacet + facet normal -0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 128.667 -8.29186e-16 2.61568 + vertex 128.473 0 2.69582 + vertex 128.667 0 2.61568 + endloop + endfacet + facet normal -0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 128.667 -8.29186e-16 2.61568 + vertex 128.667 0 2.61568 + vertex 128.864 -8.44708e-16 2.54577 + endloop + endfacet + facet normal -0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 128.864 -8.44708e-16 2.54577 + vertex 128.667 0 2.61568 + vertex 128.864 0 2.54577 + endloop + endfacet + facet normal -0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 128.864 -8.44708e-16 2.54577 + vertex 128.864 0 2.54577 + vertex 129.065 -8.57914e-16 2.4863 + endloop + endfacet + facet normal -0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 129.065 -8.57914e-16 2.4863 + vertex 128.864 0 2.54577 + vertex 129.065 0 2.4863 + endloop + endfacet + facet normal -0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 129.065 -8.57914e-16 2.4863 + vertex 129.065 0 2.4863 + vertex 129.268 -8.6877e-16 2.43741 + endloop + endfacet + facet normal -0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 129.268 -8.6877e-16 2.43741 + vertex 129.065 0 2.4863 + vertex 129.268 0 2.43741 + endloop + endfacet + facet normal -0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 129.268 -8.6877e-16 2.43741 + vertex 129.268 0 2.43741 + vertex 129.474 -8.77243e-16 2.39925 + endloop + endfacet + facet normal -0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 129.474 -8.77243e-16 2.39925 + vertex 129.268 0 2.43741 + vertex 129.474 0 2.39925 + endloop + endfacet + facet normal -0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 129.474 -8.77243e-16 2.39925 + vertex 129.474 0 2.39925 + vertex 129.682 -8.83313e-16 2.37191 + endloop + endfacet + facet normal -0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 129.682 -8.83313e-16 2.37191 + vertex 129.474 0 2.39925 + vertex 129.682 0 2.37191 + endloop + endfacet + facet normal -0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 129.682 -8.83313e-16 2.37191 + vertex 129.682 0 2.37191 + vertex 129.891 -8.86961e-16 2.35548 + endloop + endfacet + facet normal -0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 129.891 -8.86961e-16 2.35548 + vertex 129.682 0 2.37191 + vertex 129.891 0 2.35548 + endloop + endfacet + facet normal -0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 129.891 -8.86961e-16 2.35548 + vertex 129.891 0 2.35548 + vertex 130.1 -8.88178e-16 2.35 + endloop + endfacet + facet normal -0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 130.1 -8.88178e-16 2.35 + vertex 129.891 0 2.35548 + vertex 130.1 0 2.35 + endloop + endfacet + facet normal 0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 130.1 -8.88178e-16 2.35 + vertex 130.1 0 2.35 + vertex 130.309 -8.86961e-16 2.35548 + endloop + endfacet + facet normal 0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 130.309 -8.86961e-16 2.35548 + vertex 130.1 0 2.35 + vertex 130.309 0 2.35548 + endloop + endfacet + facet normal 0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 130.309 -8.86961e-16 2.35548 + vertex 130.309 0 2.35548 + vertex 130.518 -8.83313e-16 2.37191 + endloop + endfacet + facet normal 0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 130.518 -8.83313e-16 2.37191 + vertex 130.309 0 2.35548 + vertex 130.518 0 2.37191 + endloop + endfacet + facet normal 0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 130.518 -8.83313e-16 2.37191 + vertex 130.518 0 2.37191 + vertex 130.726 -8.77243e-16 2.39925 + endloop + endfacet + facet normal 0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 130.726 -8.77243e-16 2.39925 + vertex 130.518 0 2.37191 + vertex 130.726 0 2.39925 + endloop + endfacet + facet normal 0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 130.726 -8.77243e-16 2.39925 + vertex 130.726 0 2.39925 + vertex 130.932 -8.6877e-16 2.43741 + endloop + endfacet + facet normal 0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 130.932 -8.6877e-16 2.43741 + vertex 130.726 0 2.39925 + vertex 130.932 0 2.43741 + endloop + endfacet + facet normal 0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 130.932 -8.6877e-16 2.43741 + vertex 130.932 0 2.43741 + vertex 131.135 -8.57914e-16 2.4863 + endloop + endfacet + facet normal 0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 131.135 -8.57914e-16 2.4863 + vertex 130.932 0 2.43741 + vertex 131.135 0 2.4863 + endloop + endfacet + facet normal 0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 131.135 -8.57914e-16 2.4863 + vertex 131.135 0 2.4863 + vertex 131.336 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 131.336 -8.44708e-16 2.54577 + vertex 131.135 0 2.4863 + vertex 131.336 0 2.54577 + endloop + endfacet + facet normal 0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 131.336 -8.44708e-16 2.54577 + vertex 131.336 0 2.54577 + vertex 131.533 -8.29186e-16 2.61568 + endloop + endfacet + facet normal 0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 131.533 -8.29186e-16 2.61568 + vertex 131.336 0 2.54577 + vertex 131.533 0 2.61568 + endloop + endfacet + facet normal 0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 131.533 -8.29186e-16 2.61568 + vertex 131.533 0 2.61568 + vertex 131.727 -8.11391e-16 2.69582 + endloop + endfacet + facet normal 0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 131.727 -8.11391e-16 2.69582 + vertex 131.533 0 2.61568 + vertex 131.727 0 2.69582 + endloop + endfacet + facet normal 0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 131.727 -8.11391e-16 2.69582 + vertex 131.727 0 2.69582 + vertex 131.916 -7.91373e-16 2.78597 + endloop + endfacet + facet normal 0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 131.916 -7.91373e-16 2.78597 + vertex 131.727 0 2.69582 + vertex 131.916 0 2.78597 + endloop + endfacet + facet normal 0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 131.916 -7.91373e-16 2.78597 + vertex 131.916 0 2.78597 + vertex 132.1 -7.69185e-16 2.8859 + endloop + endfacet + facet normal 0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 132.1 -7.69185e-16 2.8859 + vertex 131.916 0 2.78597 + vertex 132.1 0 2.8859 + endloop + endfacet + facet normal 0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 132.1 -7.69185e-16 2.8859 + vertex 132.1 0 2.8859 + vertex 132.279 -7.44889e-16 2.99532 + endloop + endfacet + facet normal 0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 132.279 -7.44889e-16 2.99532 + vertex 132.1 0 2.8859 + vertex 132.279 0 2.99532 + endloop + endfacet + facet normal 0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 132.279 -7.44889e-16 2.99532 + vertex 132.279 0 2.99532 + vertex 132.451 -7.18551e-16 3.11393 + endloop + endfacet + facet normal 0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 132.451 -7.18551e-16 3.11393 + vertex 132.279 0 2.99532 + vertex 132.451 0 3.11393 + endloop + endfacet + facet normal 0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 132.451 -7.18551e-16 3.11393 + vertex 132.451 0 3.11393 + vertex 132.617 -6.90244e-16 3.24142 + endloop + endfacet + facet normal 0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 132.617 -6.90244e-16 3.24142 + vertex 132.451 0 3.11393 + vertex 132.617 0 3.24142 + endloop + endfacet + facet normal 0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 132.617 -6.90244e-16 3.24142 + vertex 132.617 0 3.24142 + vertex 132.777 -6.60045e-16 3.37742 + endloop + endfacet + facet normal 0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 132.777 -6.60045e-16 3.37742 + vertex 132.617 0 3.24142 + vertex 132.777 0 3.37742 + endloop + endfacet + facet normal 0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 132.777 -6.60045e-16 3.37742 + vertex 132.777 0 3.37742 + vertex 132.928 -6.28037e-16 3.52157 + endloop + endfacet + facet normal 0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 132.928 -6.28037e-16 3.52157 + vertex 132.777 0 3.37742 + vertex 132.928 0 3.52157 + endloop + endfacet + facet normal 0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 133.073 -5.94307e-16 3.67348 + vertex 132.928 -6.28037e-16 3.52157 + vertex 132.928 0 3.52157 + endloop + endfacet + facet normal 0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 133.073 0 3.67348 + vertex 133.073 -5.94307e-16 3.67348 + vertex 132.928 0 3.52157 + endloop + endfacet + facet normal 0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 133.209 -5.58949e-16 3.83272 + vertex 133.073 -5.94307e-16 3.67348 + vertex 133.073 0 3.67348 + endloop + endfacet + facet normal 0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 133.209 0 3.83272 + vertex 133.209 -5.58949e-16 3.83272 + vertex 133.073 0 3.67348 + endloop + endfacet + facet normal 0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 133.336 -5.22058e-16 3.99886 + vertex 133.209 -5.58949e-16 3.83272 + vertex 133.209 0 3.83272 + endloop + endfacet + facet normal 0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 133.336 0 3.99886 + vertex 133.336 -5.22058e-16 3.99886 + vertex 133.209 0 3.83272 + endloop + endfacet + facet normal 0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 133.455 -4.83737e-16 4.17144 + vertex 133.336 -5.22058e-16 3.99886 + vertex 133.336 0 3.99886 + endloop + endfacet + facet normal 0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 133.455 0 4.17144 + vertex 133.455 -4.83737e-16 4.17144 + vertex 133.336 0 3.99886 + endloop + endfacet + facet normal 0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 133.564 -4.44089e-16 4.35 + vertex 133.455 -4.83737e-16 4.17144 + vertex 133.455 0 4.17144 + endloop + endfacet + facet normal 0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 133.564 0 4.35 + vertex 133.564 -4.44089e-16 4.35 + vertex 133.455 0 4.17144 + endloop + endfacet + facet normal 0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 133.664 -4.03225e-16 4.53404 + vertex 133.564 -4.44089e-16 4.35 + vertex 133.564 0 4.35 + endloop + endfacet + facet normal 0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 133.664 0 4.53404 + vertex 133.664 -4.03225e-16 4.53404 + vertex 133.564 0 4.35 + endloop + endfacet + facet normal 0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 133.754 -3.61255e-16 4.72305 + vertex 133.664 -4.03225e-16 4.53404 + vertex 133.664 0 4.53404 + endloop + endfacet + facet normal 0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 133.754 0 4.72305 + vertex 133.754 -3.61255e-16 4.72305 + vertex 133.664 0 4.53404 + endloop + endfacet + facet normal 0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 133.834 -3.18295e-16 4.91653 + vertex 133.754 -3.61255e-16 4.72305 + vertex 133.754 0 4.72305 + endloop + endfacet + facet normal 0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 133.834 0 4.91653 + vertex 133.834 -3.18295e-16 4.91653 + vertex 133.754 0 4.72305 + endloop + endfacet + facet normal 0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 133.904 -2.74462e-16 5.11393 + vertex 133.834 -3.18295e-16 4.91653 + vertex 133.834 0 4.91653 + endloop + endfacet + facet normal 0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 133.904 0 5.11393 + vertex 133.904 -2.74462e-16 5.11393 + vertex 133.834 0 4.91653 + endloop + endfacet + facet normal 0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 133.964 -2.29877e-16 5.31472 + vertex 133.904 -2.74462e-16 5.11393 + vertex 133.904 0 5.11393 + endloop + endfacet + facet normal 0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 133.964 0 5.31472 + vertex 133.964 -2.29877e-16 5.31472 + vertex 133.904 0 5.11393 + endloop + endfacet + facet normal 0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 134.013 -1.84663e-16 5.51835 + vertex 133.964 -2.29877e-16 5.31472 + vertex 133.964 0 5.31472 + endloop + endfacet + facet normal 0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 134.013 0 5.51835 + vertex 134.013 -1.84663e-16 5.51835 + vertex 133.964 0 5.31472 + endloop + endfacet + facet normal 0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 134.051 -1.38942e-16 5.72426 + vertex 134.013 -1.84663e-16 5.51835 + vertex 134.013 0 5.51835 + endloop + endfacet + facet normal 0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 134.051 0 5.72426 + vertex 134.051 -1.38942e-16 5.72426 + vertex 134.013 0 5.51835 + endloop + endfacet + facet normal 0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 134.078 -9.284e-17 5.93189 + vertex 134.051 -1.38942e-16 5.72426 + vertex 134.051 0 5.72426 + endloop + endfacet + facet normal 0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 134.078 0 5.93189 + vertex 134.078 -9.284e-17 5.93189 + vertex 134.051 0 5.72426 + endloop + endfacet + facet normal 0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 134.095 -4.64837e-17 6.14066 + vertex 134.078 -9.284e-17 5.93189 + vertex 134.078 0 5.93189 + endloop + endfacet + facet normal 0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 134.095 0 6.14066 + vertex 134.095 -4.64837e-17 6.14066 + vertex 134.078 0 5.93189 + endloop + endfacet + facet normal 0.999657 -5.81259e-18 -0.0261776 + outer loop + vertex 134.1 0 6.35 + vertex 134.095 -4.64837e-17 6.14066 + vertex 134.095 0 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.766 0 6.35 + vertex 126.1 0 6.35 + vertex 126.105 -4.64837e-17 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 126.149 -1.38942e-16 5.72426 + vertex 128.766 0 6.35 + vertex 126.122 -9.284e-17 5.93189 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 126.122 -9.284e-17 5.93189 + vertex 128.766 0 6.35 + vertex 126.105 -4.64837e-17 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 126.187 -1.84663e-16 5.51835 + vertex 128.766 0 6.35 + vertex 126.149 -1.38942e-16 5.72426 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 126.236 -2.29877e-16 5.31472 + vertex 128.766 0 6.35 + vertex 126.187 -1.84663e-16 5.51835 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 126.296 -2.74462e-16 5.11393 + vertex 128.766 0 6.35 + vertex 126.236 -2.29877e-16 5.31472 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 126.366 -3.18295e-16 4.91653 + vertex 128.766 0 6.35 + vertex 126.296 -2.74462e-16 5.11393 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 126.446 -3.61255e-16 4.72305 + vertex 128.766 0 6.35 + vertex 126.366 -3.18295e-16 4.91653 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 126.536 -4.03225e-16 4.53404 + vertex 128.766 0 6.35 + vertex 126.446 -3.61255e-16 4.72305 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 126.636 -4.44089e-16 4.35 + vertex 128.766 0 6.35 + vertex 126.536 -4.03225e-16 4.53404 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 126.745 -4.83737e-16 4.17144 + vertex 128.766 0 6.35 + vertex 126.636 -4.44089e-16 4.35 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 126.864 -5.22058e-16 3.99886 + vertex 128.766 0 6.35 + vertex 126.745 -4.83737e-16 4.17144 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 126.991 -5.58949e-16 3.83272 + vertex 128.766 0 6.35 + vertex 126.864 -5.22058e-16 3.99886 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 127.127 -5.94307e-16 3.67348 + vertex 128.766 0 6.35 + vertex 126.991 -5.58949e-16 3.83272 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 127.272 -6.28037e-16 3.52157 + vertex 128.766 0 6.35 + vertex 127.127 -5.94307e-16 3.67348 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 127.423 -6.60045e-16 3.37742 + vertex 128.766 0 6.35 + vertex 127.272 -6.28037e-16 3.52157 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 127.583 -6.90244e-16 3.24142 + vertex 128.766 0 6.35 + vertex 127.423 -6.60045e-16 3.37742 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 127.749 -7.18551e-16 3.11393 + vertex 128.766 0 6.35 + vertex 127.583 -6.90244e-16 3.24142 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 127.921 -7.44889e-16 2.99532 + vertex 128.766 0 6.35 + vertex 127.749 -7.18551e-16 3.11393 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.1 -7.69185e-16 2.8859 + vertex 128.766 0 6.35 + vertex 127.921 -7.44889e-16 2.99532 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.284 -7.91373e-16 2.78597 + vertex 128.766 0 6.35 + vertex 128.1 -7.69185e-16 2.8859 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.473 -8.11391e-16 2.69582 + vertex 128.766 0 6.35 + vertex 128.284 -7.91373e-16 2.78597 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.766 -2.46519e-32 6.35 + vertex 128.766 0 6.35 + vertex 128.473 -8.11391e-16 2.69582 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.77 -2.21274e-17 6.25035 + vertex 128.667 -8.29186e-16 2.61568 + vertex 128.864 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.8 -6.58877e-17 6.05327 + vertex 128.781 -4.41309e-17 6.15125 + vertex 129.065 -8.57914e-16 2.4863 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.826 -8.7276e-17 5.95694 + vertex 128.8 -6.58877e-17 6.05327 + vertex 129.268 -8.6877e-16 2.43741 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.859 -1.08176e-16 5.86282 + vertex 128.826 -8.7276e-17 5.95694 + vertex 129.474 -8.77243e-16 2.39925 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.945 -1.48048e-16 5.68325 + vertex 128.899 -1.28471e-16 5.77142 + vertex 129.682 -8.83313e-16 2.37191 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.998 -1.66797e-16 5.59881 + vertex 128.945 -1.48048e-16 5.68325 + vertex 129.891 -8.86961e-16 2.35548 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.057 -1.84613e-16 5.51858 + vertex 128.998 -1.66797e-16 5.59881 + vertex 130.1 -8.88178e-16 2.35 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.122 -2.01397e-16 5.44299 + vertex 129.057 -1.84613e-16 5.51858 + vertex 130.309 -8.86961e-16 2.35548 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.269 -2.31497e-16 5.30743 + vertex 129.193 -2.17054e-16 5.37247 + vertex 130.518 -8.83313e-16 2.37191 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.349 -2.44646e-16 5.24821 + vertex 129.269 -2.31497e-16 5.30743 + vertex 130.726 -8.77243e-16 2.39925 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.433 -2.56427e-16 5.19515 + vertex 129.349 -2.44646e-16 5.24821 + vertex 130.932 -8.6877e-16 2.43741 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.521 -2.66774e-16 5.14856 + vertex 129.433 -2.56427e-16 5.19515 + vertex 131.135 -8.57914e-16 2.4863 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.613 -2.75628e-16 5.10868 + vertex 129.521 -2.66774e-16 5.14856 + vertex 131.336 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.803 -2.88673e-16 5.04993 + vertex 129.707 -2.82942e-16 5.07574 + vertex 131.533 -8.29186e-16 2.61568 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.901 -2.92789e-16 5.03139 + vertex 129.803 -2.88673e-16 5.04993 + vertex 131.727 -8.11391e-16 2.69582 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130 -2.95268e-16 5.02023 + vertex 129.901 -2.92789e-16 5.03139 + vertex 131.916 -7.91373e-16 2.78597 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.1 -2.96096e-16 5.0165 + vertex 130 -2.95268e-16 5.02023 + vertex 132.1 -7.69185e-16 2.8859 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.2 -2.95268e-16 5.02023 + vertex 130.1 -2.96096e-16 5.0165 + vertex 132.279 -7.44889e-16 2.99532 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.299 -2.92789e-16 5.03139 + vertex 130.2 -2.95268e-16 5.02023 + vertex 132.451 -7.18551e-16 3.11393 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.397 -2.88673e-16 5.04993 + vertex 130.299 -2.92789e-16 5.03139 + vertex 132.617 -6.90244e-16 3.24142 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.493 -2.82942e-16 5.07574 + vertex 130.397 -2.88673e-16 5.04993 + vertex 132.777 -6.60045e-16 3.37742 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.679 -2.66774e-16 5.14856 + vertex 130.587 -2.75628e-16 5.10868 + vertex 132.928 -6.28037e-16 3.52157 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.767 -2.56427e-16 5.19515 + vertex 130.679 -2.66774e-16 5.14856 + vertex 133.073 -5.94307e-16 3.67348 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.851 -2.44646e-16 5.24821 + vertex 130.767 -2.56427e-16 5.19515 + vertex 133.209 -5.58949e-16 3.83272 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.931 -2.31497e-16 5.30743 + vertex 130.851 -2.44646e-16 5.24821 + vertex 133.336 -5.22058e-16 3.99886 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.007 -2.17054e-16 5.37247 + vertex 130.931 -2.31497e-16 5.30743 + vertex 133.455 -4.83737e-16 4.17144 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.078 -2.01397e-16 5.44299 + vertex 131.007 -2.17054e-16 5.37247 + vertex 133.564 -4.44089e-16 4.35 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.143 -1.84613e-16 5.51858 + vertex 131.078 -2.01397e-16 5.44299 + vertex 133.664 -4.03225e-16 4.53404 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.202 -1.66797e-16 5.59881 + vertex 131.143 -1.84613e-16 5.51858 + vertex 133.754 -3.61255e-16 4.72305 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.255 -1.48048e-16 5.68325 + vertex 131.202 -1.66797e-16 5.59881 + vertex 133.834 -3.18295e-16 4.91653 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.301 -1.28471e-16 5.77142 + vertex 131.255 -1.48048e-16 5.68325 + vertex 133.904 -2.74462e-16 5.11393 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.341 -1.08176e-16 5.86282 + vertex 131.301 -1.28471e-16 5.77142 + vertex 133.964 -2.29877e-16 5.31472 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.374 -8.7276e-17 5.95694 + vertex 131.341 -1.08176e-16 5.86282 + vertex 134.013 -1.84663e-16 5.51835 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.4 -6.58877e-17 6.05327 + vertex 131.374 -8.7276e-17 5.95694 + vertex 134.051 -1.38942e-16 5.72426 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.419 -4.41309e-17 6.15125 + vertex 131.4 -6.58877e-17 6.05327 + vertex 134.078 -9.284e-17 5.93189 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 134.1 0 6.35 + vertex 131.433 0 6.35 + vertex 134.095 -4.64837e-17 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.433 0 6.35 + vertex 131.433 -2.46519e-32 6.35 + vertex 134.095 -4.64837e-17 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.433 -2.46519e-32 6.35 + vertex 131.43 -2.21274e-17 6.25035 + vertex 134.095 -4.64837e-17 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 134.095 -4.64837e-17 6.14066 + vertex 131.419 -4.41309e-17 6.15125 + vertex 134.078 -9.284e-17 5.93189 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 134.078 -9.284e-17 5.93189 + vertex 131.4 -6.58877e-17 6.05327 + vertex 134.051 -1.38942e-16 5.72426 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 134.051 -1.38942e-16 5.72426 + vertex 131.374 -8.7276e-17 5.95694 + vertex 134.013 -1.84663e-16 5.51835 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 134.013 -1.84663e-16 5.51835 + vertex 131.341 -1.08176e-16 5.86282 + vertex 133.964 -2.29877e-16 5.31472 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 133.964 -2.29877e-16 5.31472 + vertex 131.301 -1.28471e-16 5.77142 + vertex 133.904 -2.74462e-16 5.11393 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 133.904 -2.74462e-16 5.11393 + vertex 131.255 -1.48048e-16 5.68325 + vertex 133.834 -3.18295e-16 4.91653 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 133.834 -3.18295e-16 4.91653 + vertex 131.202 -1.66797e-16 5.59881 + vertex 133.754 -3.61255e-16 4.72305 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 133.754 -3.61255e-16 4.72305 + vertex 131.143 -1.84613e-16 5.51858 + vertex 133.664 -4.03225e-16 4.53404 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 133.664 -4.03225e-16 4.53404 + vertex 131.078 -2.01397e-16 5.44299 + vertex 133.564 -4.44089e-16 4.35 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 133.564 -4.44089e-16 4.35 + vertex 131.007 -2.17054e-16 5.37247 + vertex 133.455 -4.83737e-16 4.17144 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 133.455 -4.83737e-16 4.17144 + vertex 130.931 -2.31497e-16 5.30743 + vertex 133.336 -5.22058e-16 3.99886 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 133.336 -5.22058e-16 3.99886 + vertex 130.851 -2.44646e-16 5.24821 + vertex 133.209 -5.58949e-16 3.83272 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 133.209 -5.58949e-16 3.83272 + vertex 130.767 -2.56427e-16 5.19515 + vertex 133.073 -5.94307e-16 3.67348 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 133.073 -5.94307e-16 3.67348 + vertex 130.679 -2.66774e-16 5.14856 + vertex 132.928 -6.28037e-16 3.52157 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 132.928 -6.28037e-16 3.52157 + vertex 130.587 -2.75628e-16 5.10868 + vertex 132.777 -6.60045e-16 3.37742 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.587 -2.75628e-16 5.10868 + vertex 130.493 -2.82942e-16 5.07574 + vertex 132.777 -6.60045e-16 3.37742 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 132.777 -6.60045e-16 3.37742 + vertex 130.397 -2.88673e-16 5.04993 + vertex 132.617 -6.90244e-16 3.24142 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 132.617 -6.90244e-16 3.24142 + vertex 130.299 -2.92789e-16 5.03139 + vertex 132.451 -7.18551e-16 3.11393 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 132.451 -7.18551e-16 3.11393 + vertex 130.2 -2.95268e-16 5.02023 + vertex 132.279 -7.44889e-16 2.99532 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 132.279 -7.44889e-16 2.99532 + vertex 130.1 -2.96096e-16 5.0165 + vertex 132.1 -7.69185e-16 2.8859 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 132.1 -7.69185e-16 2.8859 + vertex 130 -2.95268e-16 5.02023 + vertex 131.916 -7.91373e-16 2.78597 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.916 -7.91373e-16 2.78597 + vertex 129.901 -2.92789e-16 5.03139 + vertex 131.727 -8.11391e-16 2.69582 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.727 -8.11391e-16 2.69582 + vertex 129.803 -2.88673e-16 5.04993 + vertex 131.533 -8.29186e-16 2.61568 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.533 -8.29186e-16 2.61568 + vertex 129.707 -2.82942e-16 5.07574 + vertex 131.336 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.707 -2.82942e-16 5.07574 + vertex 129.613 -2.75628e-16 5.10868 + vertex 131.336 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.336 -8.44708e-16 2.54577 + vertex 129.521 -2.66774e-16 5.14856 + vertex 131.135 -8.57914e-16 2.4863 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.135 -8.57914e-16 2.4863 + vertex 129.433 -2.56427e-16 5.19515 + vertex 130.932 -8.6877e-16 2.43741 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.932 -8.6877e-16 2.43741 + vertex 129.349 -2.44646e-16 5.24821 + vertex 130.726 -8.77243e-16 2.39925 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.726 -8.77243e-16 2.39925 + vertex 129.269 -2.31497e-16 5.30743 + vertex 130.518 -8.83313e-16 2.37191 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.518 -8.83313e-16 2.37191 + vertex 129.193 -2.17054e-16 5.37247 + vertex 130.309 -8.86961e-16 2.35548 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.193 -2.17054e-16 5.37247 + vertex 129.122 -2.01397e-16 5.44299 + vertex 130.309 -8.86961e-16 2.35548 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.309 -8.86961e-16 2.35548 + vertex 129.057 -1.84613e-16 5.51858 + vertex 130.1 -8.88178e-16 2.35 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 130.1 -8.88178e-16 2.35 + vertex 128.998 -1.66797e-16 5.59881 + vertex 129.891 -8.86961e-16 2.35548 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.891 -8.86961e-16 2.35548 + vertex 128.945 -1.48048e-16 5.68325 + vertex 129.682 -8.83313e-16 2.37191 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.682 -8.83313e-16 2.37191 + vertex 128.899 -1.28471e-16 5.77142 + vertex 129.474 -8.77243e-16 2.39925 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.899 -1.28471e-16 5.77142 + vertex 128.859 -1.08176e-16 5.86282 + vertex 129.474 -8.77243e-16 2.39925 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.474 -8.77243e-16 2.39925 + vertex 128.826 -8.7276e-17 5.95694 + vertex 129.268 -8.6877e-16 2.43741 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.268 -8.6877e-16 2.43741 + vertex 128.8 -6.58877e-17 6.05327 + vertex 129.065 -8.57914e-16 2.4863 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 129.065 -8.57914e-16 2.4863 + vertex 128.781 -4.41309e-17 6.15125 + vertex 128.864 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.781 -4.41309e-17 6.15125 + vertex 128.77 -2.21274e-17 6.25035 + vertex 128.864 -8.44708e-16 2.54577 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.667 -8.29186e-16 2.61568 + vertex 128.766 -2.46519e-32 6.35 + vertex 128.473 -8.11391e-16 2.69582 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 131.43 -2.21274e-17 6.25035 + vertex 131.419 -4.41309e-17 6.15125 + vertex 134.095 -4.64837e-17 6.14066 + endloop + endfacet + facet normal 0 -1 2.22045e-16 + outer loop + vertex 128.77 -2.21274e-17 6.25035 + vertex 128.766 -2.46519e-32 6.35 + vertex 128.667 -8.29186e-16 2.61568 + endloop + endfacet + facet normal -0.999301 -8.30306e-18 -0.0373937 + outer loop + vertex 131.433 3 6.35 + vertex 131.433 -2.46519e-32 6.35 + vertex 131.43 3 6.44965 + endloop + endfacet + facet normal -0.999301 -8.30306e-18 -0.0373937 + outer loop + vertex 131.43 3 6.44965 + vertex 131.433 -2.46519e-32 6.35 + vertex 131.433 0 6.35 + endloop + endfacet + facet normal -0.999301 -8.30306e-18 -0.0373937 + outer loop + vertex 131.43 3 6.44965 + vertex 131.433 0 6.35 + vertex 131.43 0 6.44965 + endloop + endfacet + facet normal -0.993713 -2.48604e-17 -0.111961 + outer loop + vertex 131.43 3 6.44965 + vertex 131.43 0 6.44965 + vertex 131.419 3 6.54875 + endloop + endfacet + facet normal -0.993713 -2.48604e-17 -0.111961 + outer loop + vertex 131.419 3 6.54875 + vertex 131.43 0 6.44965 + vertex 131.419 0 6.54875 + endloop + endfacet + facet normal -0.982566 -4.12816e-17 -0.185916 + outer loop + vertex 131.419 3 6.54875 + vertex 131.419 0 6.54875 + vertex 131.4 3 6.64673 + endloop + endfacet + facet normal -0.982566 -4.12816e-17 -0.185916 + outer loop + vertex 131.4 3 6.64673 + vertex 131.419 0 6.54875 + vertex 131.4 0 6.64673 + endloop + endfacet + facet normal -0.965926 -5.74695e-17 -0.25882 + outer loop + vertex 131.4 3 6.64673 + vertex 131.4 0 6.64673 + vertex 131.374 3 6.74306 + endloop + endfacet + facet normal -0.965926 -5.74695e-17 -0.25882 + outer loop + vertex 131.374 3 6.74306 + vertex 131.4 0 6.64673 + vertex 131.374 0 6.74306 + endloop + endfacet + facet normal -0.943884 -7.33365e-17 -0.330278 + outer loop + vertex 131.374 3 6.74306 + vertex 131.374 0 6.74306 + vertex 131.341 3 6.83718 + endloop + endfacet + facet normal -0.943884 -7.33365e-17 -0.330278 + outer loop + vertex 131.341 3 6.83718 + vertex 131.374 0 6.74306 + vertex 131.341 0 6.83718 + endloop + endfacet + facet normal -0.916563 -8.87933e-17 -0.39989 + outer loop + vertex 131.341 3 6.83718 + vertex 131.341 0 6.83718 + vertex 131.301 3 6.92858 + endloop + endfacet + facet normal -0.916563 -8.87933e-17 -0.39989 + outer loop + vertex 131.301 3 6.92858 + vertex 131.341 0 6.83718 + vertex 131.301 0 6.92858 + endloop + endfacet + facet normal -0.884116 -1.03754e-16 -0.467268 + outer loop + vertex 131.301 3 6.92858 + vertex 131.301 0 6.92858 + vertex 131.255 3 7.01675 + endloop + endfacet + facet normal -0.884116 -1.03754e-16 -0.467268 + outer loop + vertex 131.255 3 7.01675 + vertex 131.301 0 6.92858 + vertex 131.255 0 7.01675 + endloop + endfacet + facet normal -0.846721 -1.18136e-16 -0.532037 + outer loop + vertex 131.255 3 7.01675 + vertex 131.255 0 7.01675 + vertex 131.202 3 7.10119 + endloop + endfacet + facet normal -0.846721 -1.18136e-16 -0.532037 + outer loop + vertex 131.202 3 7.10119 + vertex 131.255 0 7.01675 + vertex 131.202 0 7.10119 + endloop + endfacet + facet normal -0.804601 -1.31854e-16 -0.593816 + outer loop + vertex 131.202 3 7.10119 + vertex 131.202 0 7.10119 + vertex 131.143 3 7.18142 + endloop + endfacet + facet normal -0.804601 -1.31854e-16 -0.593816 + outer loop + vertex 131.143 3 7.18142 + vertex 131.202 0 7.10119 + vertex 131.143 0 7.18142 + endloop + endfacet + facet normal -0.757972 -1.44837e-16 -0.652287 + outer loop + vertex 131.143 3 7.18142 + vertex 131.143 0 7.18142 + vertex 131.078 3 7.25701 + endloop + endfacet + facet normal -0.757972 -1.44837e-16 -0.652287 + outer loop + vertex 131.078 3 7.25701 + vertex 131.143 0 7.18142 + vertex 131.078 0 7.25701 + endloop + endfacet + facet normal -0.707107 -1.57009e-16 -0.707107 + outer loop + vertex 131.078 3 7.25701 + vertex 131.078 0 7.25701 + vertex 131.007 3 7.32752 + endloop + endfacet + facet normal -0.707107 -1.57009e-16 -0.707107 + outer loop + vertex 131.007 3 7.32752 + vertex 131.078 0 7.25701 + vertex 131.007 0 7.32752 + endloop + endfacet + facet normal -0.652287 -1.68304e-16 -0.757972 + outer loop + vertex 131.007 3 7.32752 + vertex 131.007 0 7.32752 + vertex 130.931 3 7.39257 + endloop + endfacet + facet normal -0.652287 -1.68304e-16 -0.757972 + outer loop + vertex 130.931 3 7.39257 + vertex 131.007 0 7.32752 + vertex 130.931 0 7.39257 + endloop + endfacet + facet normal -0.593816 -1.78657e-16 -0.804601 + outer loop + vertex 130.931 3 7.39257 + vertex 130.931 0 7.39257 + vertex 130.851 3 7.45179 + endloop + endfacet + facet normal -0.593816 -1.78657e-16 -0.804601 + outer loop + vertex 130.851 3 7.45179 + vertex 130.931 0 7.39257 + vertex 130.851 0 7.45179 + endloop + endfacet + facet normal -0.532037 -1.8801e-16 -0.846721 + outer loop + vertex 130.851 3 7.45179 + vertex 130.851 0 7.45179 + vertex 130.767 3 7.50484 + endloop + endfacet + facet normal -0.532037 -1.8801e-16 -0.846721 + outer loop + vertex 130.767 3 7.50484 + vertex 130.851 0 7.45179 + vertex 130.767 0 7.50484 + endloop + endfacet + facet normal -0.467268 -1.96313e-16 -0.884116 + outer loop + vertex 130.767 3 7.50484 + vertex 130.767 0 7.50484 + vertex 130.679 3 7.55144 + endloop + endfacet + facet normal -0.467268 -1.96313e-16 -0.884116 + outer loop + vertex 130.679 3 7.55144 + vertex 130.767 0 7.50484 + vertex 130.679 0 7.55144 + endloop + endfacet + facet normal -0.39989 -2.03518e-16 -0.916563 + outer loop + vertex 130.679 3 7.55144 + vertex 130.679 0 7.55144 + vertex 130.587 3 7.59132 + endloop + endfacet + facet normal -0.39989 -2.03518e-16 -0.916563 + outer loop + vertex 130.587 3 7.59132 + vertex 130.679 0 7.55144 + vertex 130.587 0 7.59132 + endloop + endfacet + facet normal -0.330278 -2.09584e-16 -0.943884 + outer loop + vertex 130.587 3 7.59132 + vertex 130.587 0 7.59132 + vertex 130.493 3 7.62426 + endloop + endfacet + facet normal -0.330278 -2.09584e-16 -0.943884 + outer loop + vertex 130.493 3 7.62426 + vertex 130.587 0 7.59132 + vertex 130.493 0 7.62426 + endloop + endfacet + facet normal -0.25882 -2.14479e-16 -0.965926 + outer loop + vertex 130.493 3 7.62426 + vertex 130.493 0 7.62426 + vertex 130.397 3 7.65007 + endloop + endfacet + facet normal -0.25882 -2.14479e-16 -0.965926 + outer loop + vertex 130.397 3 7.65007 + vertex 130.493 0 7.62426 + vertex 130.397 0 7.65007 + endloop + endfacet + facet normal -0.185916 -2.18173e-16 -0.982566 + outer loop + vertex 130.397 3 7.65007 + vertex 130.397 0 7.65007 + vertex 130.299 3 7.66861 + endloop + endfacet + facet normal -0.185916 -2.18173e-16 -0.982566 + outer loop + vertex 130.299 3 7.66861 + vertex 130.397 0 7.65007 + vertex 130.299 0 7.66861 + endloop + endfacet + facet normal -0.111961 -2.20649e-16 -0.993713 + outer loop + vertex 130.299 3 7.66861 + vertex 130.299 0 7.66861 + vertex 130.2 3 7.67977 + endloop + endfacet + facet normal -0.111961 -2.20649e-16 -0.993713 + outer loop + vertex 130.2 3 7.67977 + vertex 130.299 0 7.66861 + vertex 130.2 0 7.67977 + endloop + endfacet + facet normal -0.0373937 -2.21889e-16 -0.999301 + outer loop + vertex 130.2 3 7.67977 + vertex 130.2 0 7.67977 + vertex 130.1 3 7.6835 + endloop + endfacet + facet normal -0.0373937 -2.21889e-16 -0.999301 + outer loop + vertex 130.1 3 7.6835 + vertex 130.2 0 7.67977 + vertex 130.1 0 7.6835 + endloop + endfacet + facet normal 0.0373937 -2.21889e-16 -0.999301 + outer loop + vertex 130.1 3 7.6835 + vertex 130.1 0 7.6835 + vertex 130 3 7.67977 + endloop + endfacet + facet normal 0.0373937 -2.21889e-16 -0.999301 + outer loop + vertex 130 3 7.67977 + vertex 130.1 0 7.6835 + vertex 130 0 7.67977 + endloop + endfacet + facet normal 0.111961 -2.20649e-16 -0.993713 + outer loop + vertex 130 3 7.67977 + vertex 130 0 7.67977 + vertex 129.901 3 7.66861 + endloop + endfacet + facet normal 0.111961 -2.20649e-16 -0.993713 + outer loop + vertex 129.901 3 7.66861 + vertex 130 0 7.67977 + vertex 129.901 0 7.66861 + endloop + endfacet + facet normal 0.185916 -2.18173e-16 -0.982566 + outer loop + vertex 129.901 3 7.66861 + vertex 129.901 0 7.66861 + vertex 129.803 3 7.65007 + endloop + endfacet + facet normal 0.185916 -2.18173e-16 -0.982566 + outer loop + vertex 129.803 3 7.65007 + vertex 129.901 0 7.66861 + vertex 129.803 0 7.65007 + endloop + endfacet + facet normal 0.25882 -2.14479e-16 -0.965926 + outer loop + vertex 129.803 3 7.65007 + vertex 129.803 0 7.65007 + vertex 129.707 3 7.62426 + endloop + endfacet + facet normal 0.25882 -2.14479e-16 -0.965926 + outer loop + vertex 129.707 3 7.62426 + vertex 129.803 0 7.65007 + vertex 129.707 0 7.62426 + endloop + endfacet + facet normal 0.330278 -2.09584e-16 -0.943884 + outer loop + vertex 129.707 3 7.62426 + vertex 129.707 0 7.62426 + vertex 129.613 3 7.59132 + endloop + endfacet + facet normal 0.330278 -2.09584e-16 -0.943884 + outer loop + vertex 129.613 3 7.59132 + vertex 129.707 0 7.62426 + vertex 129.613 0 7.59132 + endloop + endfacet + facet normal 0.39989 -2.03518e-16 -0.916563 + outer loop + vertex 129.613 3 7.59132 + vertex 129.613 0 7.59132 + vertex 129.521 3 7.55144 + endloop + endfacet + facet normal 0.39989 -2.03518e-16 -0.916563 + outer loop + vertex 129.521 3 7.55144 + vertex 129.613 0 7.59132 + vertex 129.521 0 7.55144 + endloop + endfacet + facet normal 0.467268 -1.96313e-16 -0.884116 + outer loop + vertex 129.521 3 7.55144 + vertex 129.521 0 7.55144 + vertex 129.433 3 7.50484 + endloop + endfacet + facet normal 0.467268 -1.96313e-16 -0.884116 + outer loop + vertex 129.433 3 7.50484 + vertex 129.521 0 7.55144 + vertex 129.433 0 7.50484 + endloop + endfacet + facet normal 0.532037 -1.8801e-16 -0.846721 + outer loop + vertex 129.433 3 7.50484 + vertex 129.433 0 7.50484 + vertex 129.349 3 7.45179 + endloop + endfacet + facet normal 0.532037 -1.8801e-16 -0.846721 + outer loop + vertex 129.349 3 7.45179 + vertex 129.433 0 7.50484 + vertex 129.349 0 7.45179 + endloop + endfacet + facet normal 0.593816 -1.78657e-16 -0.804601 + outer loop + vertex 129.349 3 7.45179 + vertex 129.349 0 7.45179 + vertex 129.269 3 7.39257 + endloop + endfacet + facet normal 0.593816 -1.78657e-16 -0.804601 + outer loop + vertex 129.269 3 7.39257 + vertex 129.349 0 7.45179 + vertex 129.269 0 7.39257 + endloop + endfacet + facet normal 0.652287 -1.68304e-16 -0.757972 + outer loop + vertex 129.269 3 7.39257 + vertex 129.269 0 7.39257 + vertex 129.193 3 7.32752 + endloop + endfacet + facet normal 0.652287 -1.68304e-16 -0.757972 + outer loop + vertex 129.193 3 7.32752 + vertex 129.269 0 7.39257 + vertex 129.193 0 7.32752 + endloop + endfacet + facet normal 0.707107 -1.57009e-16 -0.707107 + outer loop + vertex 129.122 3 7.25701 + vertex 129.193 3 7.32752 + vertex 129.193 0 7.32752 + endloop + endfacet + facet normal 0.707107 -1.57009e-16 -0.707107 + outer loop + vertex 129.122 0 7.25701 + vertex 129.122 3 7.25701 + vertex 129.193 0 7.32752 + endloop + endfacet + facet normal 0.757972 -1.44837e-16 -0.652287 + outer loop + vertex 129.057 3 7.18142 + vertex 129.122 3 7.25701 + vertex 129.122 0 7.25701 + endloop + endfacet + facet normal 0.757972 -1.44837e-16 -0.652287 + outer loop + vertex 129.057 0 7.18142 + vertex 129.057 3 7.18142 + vertex 129.122 0 7.25701 + endloop + endfacet + facet normal 0.804601 -1.31854e-16 -0.593816 + outer loop + vertex 128.998 3 7.10119 + vertex 129.057 3 7.18142 + vertex 129.057 0 7.18142 + endloop + endfacet + facet normal 0.804601 -1.31854e-16 -0.593816 + outer loop + vertex 128.998 0 7.10119 + vertex 128.998 3 7.10119 + vertex 129.057 0 7.18142 + endloop + endfacet + facet normal 0.846721 -1.18136e-16 -0.532037 + outer loop + vertex 128.945 3 7.01675 + vertex 128.998 3 7.10119 + vertex 128.998 0 7.10119 + endloop + endfacet + facet normal 0.846721 -1.18136e-16 -0.532037 + outer loop + vertex 128.945 0 7.01675 + vertex 128.945 3 7.01675 + vertex 128.998 0 7.10119 + endloop + endfacet + facet normal 0.884116 -1.03754e-16 -0.467268 + outer loop + vertex 128.899 3 6.92858 + vertex 128.945 3 7.01675 + vertex 128.945 0 7.01675 + endloop + endfacet + facet normal 0.884116 -1.03754e-16 -0.467268 + outer loop + vertex 128.899 0 6.92858 + vertex 128.899 3 6.92858 + vertex 128.945 0 7.01675 + endloop + endfacet + facet normal 0.916563 -8.87933e-17 -0.39989 + outer loop + vertex 128.859 3 6.83718 + vertex 128.899 3 6.92858 + vertex 128.899 0 6.92858 + endloop + endfacet + facet normal 0.916563 -8.87933e-17 -0.39989 + outer loop + vertex 128.859 0 6.83718 + vertex 128.859 3 6.83718 + vertex 128.899 0 6.92858 + endloop + endfacet + facet normal 0.943884 -7.33365e-17 -0.330278 + outer loop + vertex 128.826 3 6.74306 + vertex 128.859 3 6.83718 + vertex 128.859 0 6.83718 + endloop + endfacet + facet normal 0.943884 -7.33365e-17 -0.330278 + outer loop + vertex 128.826 0 6.74306 + vertex 128.826 3 6.74306 + vertex 128.859 0 6.83718 + endloop + endfacet + facet normal 0.965926 -5.74695e-17 -0.25882 + outer loop + vertex 128.8 3 6.64673 + vertex 128.826 3 6.74306 + vertex 128.826 0 6.74306 + endloop + endfacet + facet normal 0.965926 -5.74695e-17 -0.25882 + outer loop + vertex 128.8 0 6.64673 + vertex 128.8 3 6.64673 + vertex 128.826 0 6.74306 + endloop + endfacet + facet normal 0.982566 -4.12816e-17 -0.185916 + outer loop + vertex 128.781 3 6.54875 + vertex 128.8 3 6.64673 + vertex 128.8 0 6.64673 + endloop + endfacet + facet normal 0.982566 -4.12816e-17 -0.185916 + outer loop + vertex 128.781 0 6.54875 + vertex 128.781 3 6.54875 + vertex 128.8 0 6.64673 + endloop + endfacet + facet normal 0.993713 -2.48604e-17 -0.111961 + outer loop + vertex 128.77 3 6.44965 + vertex 128.781 3 6.54875 + vertex 128.781 0 6.54875 + endloop + endfacet + facet normal 0.993713 -2.48604e-17 -0.111961 + outer loop + vertex 128.77 0 6.44965 + vertex 128.77 3 6.44965 + vertex 128.781 0 6.54875 + endloop + endfacet + facet normal 0.999301 -8.30306e-18 -0.0373937 + outer loop + vertex 128.766 3 6.35 + vertex 128.77 3 6.44965 + vertex 128.77 0 6.44965 + endloop + endfacet + facet normal 0.999301 -8.30306e-18 -0.0373937 + outer loop + vertex 128.766 -2.46519e-32 6.35 + vertex 128.766 3 6.35 + vertex 128.766 0 6.35 + endloop + endfacet + facet normal 0.999301 -8.30306e-18 -0.0373937 + outer loop + vertex 128.766 0 6.35 + vertex 128.766 3 6.35 + vertex 128.77 0 6.44965 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 2.6 1.3 1.3 + vertex 2.6 1.3 0 + vertex 2.6 33.4333 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 2.6 33.4333 1.3 + vertex 2.6 1.3 1.3 + vertex 2.6 33.4333 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 2.6 33.4333 1.3 + vertex 2.6 33.4333 0 + vertex 47.28 33.4333 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 47.28 33.4333 1.3 + vertex 2.6 33.4333 1.3 + vertex 47.28 33.4333 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 47.28 10 0 + vertex 47.28 10 1.3 + vertex 47.28 33.4333 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 47.28 33.4333 0 + vertex 47.28 10 1.3 + vertex 47.28 33.4333 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 44.28 10 0 + vertex 44.28 10 3 + vertex 47.28 10 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 47.28 10 1.3 + vertex 44.28 10 3 + vertex 50.28 10 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 49.88 10 0 + vertex 50.28 10 3 + vertex 50.28 10 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 49.88 10 1.3 + vertex 50.28 10 3 + vertex 49.88 10 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 47.28 10 0 + vertex 44.28 10 3 + vertex 47.28 10 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 47.28 10 1.3 + vertex 50.28 10 3 + vertex 49.88 10 1.3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 44.28 10 3 + vertex 44.28 10 0 + vertex 44.28 6 3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 44.28 6 3 + vertex 44.28 10 0 + vertex 44.28 6 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 38.28 6 0 + vertex 38.28 6 3 + vertex 44.28 6 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 44.28 6 0 + vertex 38.28 6 3 + vertex 44.28 6 3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 38.28 1.3 0 + vertex 38.28 1.3 3 + vertex 38.28 6 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 38.28 6 0 + vertex 38.28 1.3 3 + vertex 38.28 6 3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 2.6 34.7333 1.3 + vertex 2.6 34.7333 0 + vertex 2.6 66.8667 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 2.6 66.8667 1.3 + vertex 2.6 34.7333 1.3 + vertex 2.6 66.8667 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 2.6 66.8667 1.3 + vertex 2.6 66.8667 0 + vertex 47.28 66.8667 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 47.28 66.8667 1.3 + vertex 2.6 66.8667 1.3 + vertex 47.28 66.8667 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 47.28 34.7333 0 + vertex 47.28 34.7333 1.3 + vertex 47.28 66.8667 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 47.28 66.8667 0 + vertex 47.28 34.7333 1.3 + vertex 47.28 66.8667 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 2.6 34.7333 0 + vertex 2.6 34.7333 1.3 + vertex 47.28 34.7333 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 47.28 34.7333 0 + vertex 2.6 34.7333 1.3 + vertex 47.28 34.7333 1.3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 2.6 68.1667 1.3 + vertex 2.6 68.1667 0 + vertex 2.6 100.3 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 2.6 100.3 1.3 + vertex 2.6 68.1667 1.3 + vertex 2.6 100.3 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 38.28 100.3 3 + vertex 38.28 100.3 0 + vertex 38.28 95.6 3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 38.28 95.6 3 + vertex 38.28 100.3 0 + vertex 38.28 95.6 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 44.28 95.6 0 + vertex 44.28 95.6 3 + vertex 38.28 95.6 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 38.28 95.6 0 + vertex 44.28 95.6 0 + vertex 38.28 95.6 3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 44.28 91.6 0 + vertex 44.28 91.6 3 + vertex 44.28 95.6 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 44.28 95.6 0 + vertex 44.28 91.6 3 + vertex 44.28 95.6 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 50.28 91.6 3 + vertex 47.28 91.6 1.3 + vertex 49.88 91.6 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 47.28 91.6 0 + vertex 47.28 91.6 1.3 + vertex 44.28 91.6 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 49.88 91.6 0 + vertex 50.28 91.6 0 + vertex 49.88 91.6 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 50.28 91.6 0 + vertex 50.28 91.6 3 + vertex 49.88 91.6 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 50.28 91.6 3 + vertex 44.28 91.6 3 + vertex 47.28 91.6 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 44.28 91.6 3 + vertex 44.28 91.6 0 + vertex 47.28 91.6 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 47.28 68.1667 0 + vertex 47.28 68.1667 1.3 + vertex 47.28 91.6 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 47.28 91.6 0 + vertex 47.28 68.1667 1.3 + vertex 47.28 91.6 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 2.6 68.1667 0 + vertex 2.6 68.1667 1.3 + vertex 47.28 68.1667 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 47.28 68.1667 0 + vertex 2.6 68.1667 1.3 + vertex 47.28 68.1667 1.3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 39.9502 3.28965 0 + vertex 39.9502 3.28965 3 + vertex 39.9465 3.19 3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 39.9465 3.19 0 + vertex 39.9502 3.28965 0 + vertex 39.9465 3.19 3 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 39.9465 3.19 3 + vertex 39.9502 3.09035 3 + vertex 39.9502 3.09035 0 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 39.9465 3.19 0 + vertex 39.9465 3.19 3 + vertex 39.9502 3.09035 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 39.9614 3.38875 0 + vertex 39.9614 3.38875 3 + vertex 39.9502 3.28965 3 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 39.9502 3.28965 0 + vertex 39.9614 3.38875 0 + vertex 39.9502 3.28965 3 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 39.9799 3.48673 0 + vertex 39.9799 3.48673 3 + vertex 39.9614 3.38875 3 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 39.9614 3.38875 0 + vertex 39.9799 3.48673 0 + vertex 39.9614 3.38875 3 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 40.0057 3.58306 0 + vertex 40.0057 3.58306 3 + vertex 39.9799 3.48673 3 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 39.9799 3.48673 0 + vertex 40.0057 3.58306 0 + vertex 39.9799 3.48673 3 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 40.0387 3.67718 0 + vertex 40.0387 3.67718 3 + vertex 40.0057 3.58306 3 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 40.0057 3.58306 0 + vertex 40.0387 3.67718 0 + vertex 40.0057 3.58306 3 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 40.0786 3.76858 0 + vertex 40.0786 3.76858 3 + vertex 40.0387 3.67718 3 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 40.0387 3.67718 0 + vertex 40.0786 3.76858 0 + vertex 40.0387 3.67718 3 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 40.1252 3.85675 0 + vertex 40.1252 3.85675 3 + vertex 40.0786 3.76858 3 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 40.0786 3.76858 0 + vertex 40.1252 3.85675 0 + vertex 40.0786 3.76858 3 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 40.1782 3.94119 0 + vertex 40.1782 3.94119 3 + vertex 40.1252 3.85675 3 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 40.1252 3.85675 0 + vertex 40.1782 3.94119 0 + vertex 40.1252 3.85675 3 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 40.2374 4.02142 0 + vertex 40.2374 4.02142 3 + vertex 40.1782 3.94119 3 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 40.1782 3.94119 0 + vertex 40.2374 4.02142 0 + vertex 40.1782 3.94119 3 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 40.3025 4.09701 0 + vertex 40.3025 4.09701 3 + vertex 40.2374 4.02142 3 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 40.2374 4.02142 0 + vertex 40.3025 4.09701 0 + vertex 40.2374 4.02142 3 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 40.373 4.16752 0 + vertex 40.373 4.16752 3 + vertex 40.3025 4.09701 3 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 40.3025 4.09701 0 + vertex 40.373 4.16752 0 + vertex 40.3025 4.09701 3 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 40.4486 4.23257 0 + vertex 40.4486 4.23257 3 + vertex 40.373 4.16752 3 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 40.373 4.16752 0 + vertex 40.4486 4.23257 0 + vertex 40.373 4.16752 3 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 40.5288 4.29179 0 + vertex 40.5288 4.29179 3 + vertex 40.4486 4.23257 3 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 40.4486 4.23257 0 + vertex 40.5288 4.29179 0 + vertex 40.4486 4.23257 3 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 40.6133 4.34484 0 + vertex 40.6133 4.34484 3 + vertex 40.5288 4.29179 3 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 40.5288 4.29179 0 + vertex 40.6133 4.34484 0 + vertex 40.5288 4.29179 3 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 40.7014 4.39144 0 + vertex 40.7014 4.39144 3 + vertex 40.6133 4.34484 3 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 40.6133 4.34484 0 + vertex 40.7014 4.39144 0 + vertex 40.6133 4.34484 3 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 40.7928 4.43132 0 + vertex 40.7928 4.43132 3 + vertex 40.7014 4.39144 3 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 40.7014 4.39144 0 + vertex 40.7928 4.43132 0 + vertex 40.7014 4.39144 3 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 40.8869 4.46426 0 + vertex 40.8869 4.46426 3 + vertex 40.7928 4.43132 3 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 40.7928 4.43132 0 + vertex 40.8869 4.46426 0 + vertex 40.7928 4.43132 3 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 40.9833 4.49007 0 + vertex 40.9833 4.49007 3 + vertex 40.8869 4.46426 3 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 40.8869 4.46426 0 + vertex 40.9833 4.49007 0 + vertex 40.8869 4.46426 3 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 41.0813 4.50861 0 + vertex 41.0813 4.50861 3 + vertex 40.9833 4.49007 3 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 40.9833 4.49007 0 + vertex 41.0813 4.50861 0 + vertex 40.9833 4.49007 3 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 41.1803 4.51977 0 + vertex 41.1803 4.51977 3 + vertex 41.0813 4.50861 3 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 41.0813 4.50861 0 + vertex 41.1803 4.51977 0 + vertex 41.0813 4.50861 3 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 41.28 4.5235 0 + vertex 41.28 4.5235 3 + vertex 41.1803 4.51977 3 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 41.1803 4.51977 0 + vertex 41.28 4.5235 0 + vertex 41.1803 4.51977 3 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 41.3797 4.51977 0 + vertex 41.3797 4.51977 3 + vertex 41.28 4.5235 3 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 41.28 4.5235 0 + vertex 41.3797 4.51977 0 + vertex 41.28 4.5235 3 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 41.4787 4.50861 0 + vertex 41.4787 4.50861 3 + vertex 41.3797 4.51977 3 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 41.3797 4.51977 0 + vertex 41.4787 4.50861 0 + vertex 41.3797 4.51977 3 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 41.5767 4.49007 0 + vertex 41.5767 4.49007 3 + vertex 41.4787 4.50861 3 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 41.4787 4.50861 0 + vertex 41.5767 4.49007 0 + vertex 41.4787 4.50861 3 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 41.6731 4.46426 0 + vertex 41.6731 4.46426 3 + vertex 41.5767 4.49007 3 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 41.5767 4.49007 0 + vertex 41.6731 4.46426 0 + vertex 41.5767 4.49007 3 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 41.7672 4.43132 0 + vertex 41.7672 4.43132 3 + vertex 41.6731 4.46426 3 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 41.6731 4.46426 0 + vertex 41.7672 4.43132 0 + vertex 41.6731 4.46426 3 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 41.8586 4.39144 0 + vertex 41.8586 4.39144 3 + vertex 41.7672 4.43132 3 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 41.7672 4.43132 0 + vertex 41.8586 4.39144 0 + vertex 41.7672 4.43132 3 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 41.9467 4.34484 0 + vertex 41.9467 4.34484 3 + vertex 41.8586 4.39144 3 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 41.8586 4.39144 0 + vertex 41.9467 4.34484 0 + vertex 41.8586 4.39144 3 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 42.0312 4.29179 0 + vertex 42.0312 4.29179 3 + vertex 41.9467 4.34484 3 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 41.9467 4.34484 0 + vertex 42.0312 4.29179 0 + vertex 41.9467 4.34484 3 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 42.1114 4.23257 0 + vertex 42.1114 4.23257 3 + vertex 42.0312 4.29179 3 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 42.0312 4.29179 0 + vertex 42.1114 4.23257 0 + vertex 42.0312 4.29179 3 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 42.187 4.16752 0 + vertex 42.187 4.16752 3 + vertex 42.1114 4.23257 3 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 42.1114 4.23257 0 + vertex 42.187 4.16752 0 + vertex 42.1114 4.23257 3 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 42.2575 4.09701 0 + vertex 42.2575 4.09701 3 + vertex 42.187 4.16752 3 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 42.187 4.16752 0 + vertex 42.2575 4.09701 0 + vertex 42.187 4.16752 3 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 42.3226 4.02142 3 + vertex 42.2575 4.09701 3 + vertex 42.3226 4.02142 0 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 42.3226 4.02142 0 + vertex 42.2575 4.09701 3 + vertex 42.2575 4.09701 0 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 42.3818 3.94119 3 + vertex 42.3226 4.02142 3 + vertex 42.3818 3.94119 0 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 42.3818 3.94119 0 + vertex 42.3226 4.02142 3 + vertex 42.3226 4.02142 0 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 42.4348 3.85675 3 + vertex 42.3818 3.94119 3 + vertex 42.4348 3.85675 0 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 42.4348 3.85675 0 + vertex 42.3818 3.94119 3 + vertex 42.3818 3.94119 0 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 42.4814 3.76858 3 + vertex 42.4348 3.85675 3 + vertex 42.4814 3.76858 0 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 42.4814 3.76858 0 + vertex 42.4348 3.85675 3 + vertex 42.4348 3.85675 0 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 42.5213 3.67718 3 + vertex 42.4814 3.76858 3 + vertex 42.5213 3.67718 0 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 42.5213 3.67718 0 + vertex 42.4814 3.76858 3 + vertex 42.4814 3.76858 0 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 42.5543 3.58306 3 + vertex 42.5213 3.67718 3 + vertex 42.5543 3.58306 0 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 42.5543 3.58306 0 + vertex 42.5213 3.67718 3 + vertex 42.5213 3.67718 0 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 42.5801 3.48673 3 + vertex 42.5543 3.58306 3 + vertex 42.5801 3.48673 0 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 42.5801 3.48673 0 + vertex 42.5543 3.58306 3 + vertex 42.5543 3.58306 0 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 42.5986 3.38875 3 + vertex 42.5801 3.48673 3 + vertex 42.5986 3.38875 0 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 42.5986 3.38875 0 + vertex 42.5801 3.48673 3 + vertex 42.5801 3.48673 0 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 42.6098 3.28965 3 + vertex 42.5986 3.38875 3 + vertex 42.6098 3.28965 0 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 42.6098 3.28965 0 + vertex 42.5986 3.38875 3 + vertex 42.5986 3.38875 0 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 42.6135 3.19 3 + vertex 42.6098 3.28965 3 + vertex 42.6135 3.19 0 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 42.6135 3.19 0 + vertex 42.6098 3.28965 3 + vertex 42.6098 3.28965 0 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 42.6135 3.19 3 + vertex 42.6135 3.19 0 + vertex 42.6098 3.09035 3 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 42.6098 3.09035 3 + vertex 42.6135 3.19 0 + vertex 42.6098 3.09035 0 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 42.6098 3.09035 3 + vertex 42.6098 3.09035 0 + vertex 42.5986 2.99125 3 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 42.5986 2.99125 3 + vertex 42.6098 3.09035 0 + vertex 42.5986 2.99125 0 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 42.5986 2.99125 3 + vertex 42.5986 2.99125 0 + vertex 42.5801 2.89327 3 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 42.5801 2.89327 3 + vertex 42.5986 2.99125 0 + vertex 42.5801 2.89327 0 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 42.5801 2.89327 3 + vertex 42.5801 2.89327 0 + vertex 42.5543 2.79694 3 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 42.5543 2.79694 3 + vertex 42.5801 2.89327 0 + vertex 42.5543 2.79694 0 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 42.5543 2.79694 3 + vertex 42.5543 2.79694 0 + vertex 42.5213 2.70282 3 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 42.5213 2.70282 3 + vertex 42.5543 2.79694 0 + vertex 42.5213 2.70282 0 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 42.5213 2.70282 3 + vertex 42.5213 2.70282 0 + vertex 42.4814 2.61142 3 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 42.4814 2.61142 3 + vertex 42.5213 2.70282 0 + vertex 42.4814 2.61142 0 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 42.4814 2.61142 3 + vertex 42.4814 2.61142 0 + vertex 42.4348 2.52325 3 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 42.4348 2.52325 3 + vertex 42.4814 2.61142 0 + vertex 42.4348 2.52325 0 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 42.4348 2.52325 3 + vertex 42.4348 2.52325 0 + vertex 42.3818 2.43881 3 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 42.3818 2.43881 3 + vertex 42.4348 2.52325 0 + vertex 42.3818 2.43881 0 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 42.3818 2.43881 3 + vertex 42.3818 2.43881 0 + vertex 42.3226 2.35858 3 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 42.3226 2.35858 3 + vertex 42.3818 2.43881 0 + vertex 42.3226 2.35858 0 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 42.3226 2.35858 3 + vertex 42.3226 2.35858 0 + vertex 42.2575 2.28299 3 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 42.2575 2.28299 3 + vertex 42.3226 2.35858 0 + vertex 42.2575 2.28299 0 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 42.2575 2.28299 3 + vertex 42.2575 2.28299 0 + vertex 42.187 2.21247 3 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 42.187 2.21247 3 + vertex 42.2575 2.28299 0 + vertex 42.187 2.21247 0 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 42.187 2.21247 3 + vertex 42.187 2.21247 0 + vertex 42.1114 2.14743 3 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 42.1114 2.14743 3 + vertex 42.187 2.21247 0 + vertex 42.1114 2.14743 0 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 42.1114 2.14743 3 + vertex 42.1114 2.14743 0 + vertex 42.0312 2.08821 3 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 42.0312 2.08821 3 + vertex 42.1114 2.14743 0 + vertex 42.0312 2.08821 0 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 42.0312 2.08821 3 + vertex 42.0312 2.08821 0 + vertex 41.9467 2.03516 3 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 41.9467 2.03516 3 + vertex 42.0312 2.08821 0 + vertex 41.9467 2.03516 0 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 41.9467 2.03516 3 + vertex 41.9467 2.03516 0 + vertex 41.8586 1.98856 3 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 41.8586 1.98856 3 + vertex 41.9467 2.03516 0 + vertex 41.8586 1.98856 0 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 41.8586 1.98856 3 + vertex 41.8586 1.98856 0 + vertex 41.7672 1.94868 3 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 41.7672 1.94868 3 + vertex 41.8586 1.98856 0 + vertex 41.7672 1.94868 0 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 41.7672 1.94868 3 + vertex 41.7672 1.94868 0 + vertex 41.6731 1.91574 3 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 41.6731 1.91574 3 + vertex 41.7672 1.94868 0 + vertex 41.6731 1.91574 0 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 41.6731 1.91574 3 + vertex 41.6731 1.91574 0 + vertex 41.5767 1.88993 3 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 41.5767 1.88993 3 + vertex 41.6731 1.91574 0 + vertex 41.5767 1.88993 0 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 41.5767 1.88993 3 + vertex 41.5767 1.88993 0 + vertex 41.4787 1.87139 3 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 41.4787 1.87139 3 + vertex 41.5767 1.88993 0 + vertex 41.4787 1.87139 0 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 41.4787 1.87139 3 + vertex 41.4787 1.87139 0 + vertex 41.3797 1.86023 3 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 41.3797 1.86023 3 + vertex 41.4787 1.87139 0 + vertex 41.3797 1.86023 0 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 41.3797 1.86023 3 + vertex 41.3797 1.86023 0 + vertex 41.28 1.8565 3 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 41.28 1.8565 3 + vertex 41.3797 1.86023 0 + vertex 41.28 1.8565 0 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 41.28 1.8565 3 + vertex 41.28 1.8565 0 + vertex 41.1803 1.86023 3 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 41.1803 1.86023 3 + vertex 41.28 1.8565 0 + vertex 41.1803 1.86023 0 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 41.1803 1.86023 3 + vertex 41.1803 1.86023 0 + vertex 41.0813 1.87139 3 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 41.0813 1.87139 3 + vertex 41.1803 1.86023 0 + vertex 41.0813 1.87139 0 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 41.0813 1.87139 3 + vertex 41.0813 1.87139 0 + vertex 40.9833 1.88993 3 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 40.9833 1.88993 3 + vertex 41.0813 1.87139 0 + vertex 40.9833 1.88993 0 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 40.9833 1.88993 3 + vertex 40.9833 1.88993 0 + vertex 40.8869 1.91574 3 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 40.8869 1.91574 3 + vertex 40.9833 1.88993 0 + vertex 40.8869 1.91574 0 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 40.8869 1.91574 3 + vertex 40.8869 1.91574 0 + vertex 40.7928 1.94868 3 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 40.7928 1.94868 3 + vertex 40.8869 1.91574 0 + vertex 40.7928 1.94868 0 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 40.7928 1.94868 3 + vertex 40.7928 1.94868 0 + vertex 40.7014 1.98856 3 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 40.7014 1.98856 3 + vertex 40.7928 1.94868 0 + vertex 40.7014 1.98856 0 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 40.7014 1.98856 3 + vertex 40.7014 1.98856 0 + vertex 40.6133 2.03516 3 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 40.6133 2.03516 3 + vertex 40.7014 1.98856 0 + vertex 40.6133 2.03516 0 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 40.6133 2.03516 3 + vertex 40.6133 2.03516 0 + vertex 40.5288 2.08821 3 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 40.5288 2.08821 3 + vertex 40.6133 2.03516 0 + vertex 40.5288 2.08821 0 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 40.5288 2.08821 3 + vertex 40.5288 2.08821 0 + vertex 40.4486 2.14743 3 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 40.4486 2.14743 3 + vertex 40.5288 2.08821 0 + vertex 40.4486 2.14743 0 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 40.4486 2.14743 3 + vertex 40.4486 2.14743 0 + vertex 40.373 2.21247 3 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 40.373 2.21247 3 + vertex 40.4486 2.14743 0 + vertex 40.373 2.21247 0 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 40.373 2.21247 3 + vertex 40.373 2.21247 0 + vertex 40.3025 2.28299 3 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 40.3025 2.28299 3 + vertex 40.373 2.21247 0 + vertex 40.3025 2.28299 0 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 40.2374 2.35858 3 + vertex 40.3025 2.28299 3 + vertex 40.3025 2.28299 0 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 40.2374 2.35858 0 + vertex 40.2374 2.35858 3 + vertex 40.3025 2.28299 0 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 40.1782 2.43881 3 + vertex 40.2374 2.35858 3 + vertex 40.2374 2.35858 0 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 40.1782 2.43881 0 + vertex 40.1782 2.43881 3 + vertex 40.2374 2.35858 0 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 40.1252 2.52325 3 + vertex 40.1782 2.43881 3 + vertex 40.1782 2.43881 0 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 40.1252 2.52325 0 + vertex 40.1252 2.52325 3 + vertex 40.1782 2.43881 0 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 40.0786 2.61142 3 + vertex 40.1252 2.52325 3 + vertex 40.1252 2.52325 0 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 40.0786 2.61142 0 + vertex 40.0786 2.61142 3 + vertex 40.1252 2.52325 0 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 40.0387 2.70282 3 + vertex 40.0786 2.61142 3 + vertex 40.0786 2.61142 0 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 40.0387 2.70282 0 + vertex 40.0387 2.70282 3 + vertex 40.0786 2.61142 0 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 40.0057 2.79694 3 + vertex 40.0387 2.70282 3 + vertex 40.0387 2.70282 0 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 40.0057 2.79694 0 + vertex 40.0057 2.79694 3 + vertex 40.0387 2.70282 0 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 39.9799 2.89327 3 + vertex 40.0057 2.79694 3 + vertex 40.0057 2.79694 0 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 39.9799 2.89327 0 + vertex 39.9799 2.89327 3 + vertex 40.0057 2.79694 0 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 39.9614 2.99125 3 + vertex 39.9799 2.89327 3 + vertex 39.9799 2.89327 0 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 39.9614 2.99125 0 + vertex 39.9614 2.99125 3 + vertex 39.9799 2.89327 0 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 39.9502 3.09035 3 + vertex 39.9614 2.99125 3 + vertex 39.9614 2.99125 0 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 39.9502 3.09035 0 + vertex 39.9502 3.09035 3 + vertex 39.9614 2.99125 0 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 39.9502 98.3103 0 + vertex 39.9465 98.41 0 + vertex 39.9465 98.41 3 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 39.9502 98.3103 3 + vertex 39.9502 98.3103 0 + vertex 39.9465 98.41 3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 39.9465 98.41 3 + vertex 39.9465 98.41 0 + vertex 39.9502 98.5097 0 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 39.9502 98.5097 3 + vertex 39.9465 98.41 3 + vertex 39.9502 98.5097 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 39.9502 98.5097 3 + vertex 39.9502 98.5097 0 + vertex 39.9614 98.6087 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 39.9614 98.6087 3 + vertex 39.9502 98.5097 3 + vertex 39.9614 98.6087 0 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 39.9614 98.6087 3 + vertex 39.9614 98.6087 0 + vertex 39.9799 98.7067 0 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 39.9799 98.7067 3 + vertex 39.9614 98.6087 3 + vertex 39.9799 98.7067 0 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 39.9799 98.7067 3 + vertex 39.9799 98.7067 0 + vertex 40.0057 98.8031 0 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 40.0057 98.8031 3 + vertex 39.9799 98.7067 3 + vertex 40.0057 98.8031 0 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 40.0057 98.8031 3 + vertex 40.0057 98.8031 0 + vertex 40.0387 98.8972 0 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 40.0387 98.8972 3 + vertex 40.0057 98.8031 3 + vertex 40.0387 98.8972 0 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 40.0387 98.8972 3 + vertex 40.0387 98.8972 0 + vertex 40.0786 98.9886 0 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 40.0786 98.9886 3 + vertex 40.0387 98.8972 3 + vertex 40.0786 98.9886 0 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 40.0786 98.9886 3 + vertex 40.0786 98.9886 0 + vertex 40.1252 99.0767 0 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 40.1252 99.0767 3 + vertex 40.0786 98.9886 3 + vertex 40.1252 99.0767 0 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 40.1252 99.0767 3 + vertex 40.1252 99.0767 0 + vertex 40.1782 99.1612 0 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 40.1782 99.1612 3 + vertex 40.1252 99.0767 3 + vertex 40.1782 99.1612 0 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 40.1782 99.1612 3 + vertex 40.1782 99.1612 0 + vertex 40.2374 99.2414 0 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 40.2374 99.2414 3 + vertex 40.1782 99.1612 3 + vertex 40.2374 99.2414 0 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 40.2374 99.2414 3 + vertex 40.2374 99.2414 0 + vertex 40.3025 99.317 0 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 40.3025 99.317 3 + vertex 40.2374 99.2414 3 + vertex 40.3025 99.317 0 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 40.3025 99.317 3 + vertex 40.3025 99.317 0 + vertex 40.373 99.3875 0 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 40.373 99.3875 3 + vertex 40.3025 99.317 3 + vertex 40.373 99.3875 0 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 40.373 99.3875 3 + vertex 40.373 99.3875 0 + vertex 40.4486 99.4526 0 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 40.4486 99.4526 3 + vertex 40.373 99.3875 3 + vertex 40.4486 99.4526 0 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 40.4486 99.4526 3 + vertex 40.4486 99.4526 0 + vertex 40.5288 99.5118 0 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 40.5288 99.5118 3 + vertex 40.4486 99.4526 3 + vertex 40.5288 99.5118 0 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 40.5288 99.5118 3 + vertex 40.5288 99.5118 0 + vertex 40.6133 99.5648 0 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 40.6133 99.5648 3 + vertex 40.5288 99.5118 3 + vertex 40.6133 99.5648 0 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 40.6133 99.5648 3 + vertex 40.6133 99.5648 0 + vertex 40.7014 99.6114 0 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 40.7014 99.6114 3 + vertex 40.6133 99.5648 3 + vertex 40.7014 99.6114 0 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 40.7014 99.6114 3 + vertex 40.7014 99.6114 0 + vertex 40.7928 99.6513 0 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 40.7928 99.6513 3 + vertex 40.7014 99.6114 3 + vertex 40.7928 99.6513 0 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 40.7928 99.6513 3 + vertex 40.7928 99.6513 0 + vertex 40.8869 99.6843 0 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 40.8869 99.6843 3 + vertex 40.7928 99.6513 3 + vertex 40.8869 99.6843 0 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 40.8869 99.6843 3 + vertex 40.8869 99.6843 0 + vertex 40.9833 99.7101 0 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 40.9833 99.7101 3 + vertex 40.8869 99.6843 3 + vertex 40.9833 99.7101 0 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 40.9833 99.7101 3 + vertex 40.9833 99.7101 0 + vertex 41.0813 99.7286 0 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 41.0813 99.7286 3 + vertex 40.9833 99.7101 3 + vertex 41.0813 99.7286 0 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 41.0813 99.7286 3 + vertex 41.0813 99.7286 0 + vertex 41.1803 99.7398 0 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 41.1803 99.7398 3 + vertex 41.0813 99.7286 3 + vertex 41.1803 99.7398 0 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 41.1803 99.7398 3 + vertex 41.1803 99.7398 0 + vertex 41.28 99.7435 0 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 41.28 99.7435 3 + vertex 41.1803 99.7398 3 + vertex 41.28 99.7435 0 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 41.28 99.7435 3 + vertex 41.28 99.7435 0 + vertex 41.3797 99.7398 0 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 41.3797 99.7398 3 + vertex 41.28 99.7435 3 + vertex 41.3797 99.7398 0 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 41.3797 99.7398 3 + vertex 41.3797 99.7398 0 + vertex 41.4787 99.7286 0 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 41.4787 99.7286 3 + vertex 41.3797 99.7398 3 + vertex 41.4787 99.7286 0 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 41.4787 99.7286 3 + vertex 41.4787 99.7286 0 + vertex 41.5767 99.7101 0 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 41.5767 99.7101 3 + vertex 41.4787 99.7286 3 + vertex 41.5767 99.7101 0 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 41.5767 99.7101 3 + vertex 41.5767 99.7101 0 + vertex 41.6731 99.6843 0 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 41.6731 99.6843 3 + vertex 41.5767 99.7101 3 + vertex 41.6731 99.6843 0 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 41.6731 99.6843 3 + vertex 41.6731 99.6843 0 + vertex 41.7672 99.6513 0 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 41.7672 99.6513 3 + vertex 41.6731 99.6843 3 + vertex 41.7672 99.6513 0 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 41.7672 99.6513 3 + vertex 41.7672 99.6513 0 + vertex 41.8586 99.6114 0 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 41.8586 99.6114 3 + vertex 41.7672 99.6513 3 + vertex 41.8586 99.6114 0 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 41.8586 99.6114 3 + vertex 41.8586 99.6114 0 + vertex 41.9467 99.5648 0 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 41.9467 99.5648 3 + vertex 41.8586 99.6114 3 + vertex 41.9467 99.5648 0 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 41.9467 99.5648 3 + vertex 41.9467 99.5648 0 + vertex 42.0312 99.5118 0 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 42.0312 99.5118 3 + vertex 41.9467 99.5648 3 + vertex 42.0312 99.5118 0 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 42.0312 99.5118 3 + vertex 42.0312 99.5118 0 + vertex 42.1114 99.4526 0 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 42.1114 99.4526 3 + vertex 42.0312 99.5118 3 + vertex 42.1114 99.4526 0 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 42.1114 99.4526 3 + vertex 42.1114 99.4526 0 + vertex 42.187 99.3875 0 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 42.187 99.3875 3 + vertex 42.1114 99.4526 3 + vertex 42.187 99.3875 0 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 42.187 99.3875 3 + vertex 42.187 99.3875 0 + vertex 42.2575 99.317 0 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 42.2575 99.317 3 + vertex 42.187 99.3875 3 + vertex 42.2575 99.317 0 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 42.2575 99.317 0 + vertex 42.3226 99.2414 0 + vertex 42.2575 99.317 3 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 42.2575 99.317 3 + vertex 42.3226 99.2414 0 + vertex 42.3226 99.2414 3 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 42.3226 99.2414 0 + vertex 42.3818 99.1612 0 + vertex 42.3226 99.2414 3 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 42.3226 99.2414 3 + vertex 42.3818 99.1612 0 + vertex 42.3818 99.1612 3 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 42.3818 99.1612 0 + vertex 42.4348 99.0767 0 + vertex 42.3818 99.1612 3 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 42.3818 99.1612 3 + vertex 42.4348 99.0767 0 + vertex 42.4348 99.0767 3 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 42.4348 99.0767 0 + vertex 42.4814 98.9886 0 + vertex 42.4348 99.0767 3 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 42.4348 99.0767 3 + vertex 42.4814 98.9886 0 + vertex 42.4814 98.9886 3 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 42.4814 98.9886 0 + vertex 42.5213 98.8972 0 + vertex 42.4814 98.9886 3 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 42.4814 98.9886 3 + vertex 42.5213 98.8972 0 + vertex 42.5213 98.8972 3 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 42.5213 98.8972 0 + vertex 42.5543 98.8031 0 + vertex 42.5213 98.8972 3 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 42.5213 98.8972 3 + vertex 42.5543 98.8031 0 + vertex 42.5543 98.8031 3 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 42.5543 98.8031 0 + vertex 42.5801 98.7067 0 + vertex 42.5543 98.8031 3 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 42.5543 98.8031 3 + vertex 42.5801 98.7067 0 + vertex 42.5801 98.7067 3 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 42.5801 98.7067 0 + vertex 42.5986 98.6087 0 + vertex 42.5801 98.7067 3 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 42.5801 98.7067 3 + vertex 42.5986 98.6087 0 + vertex 42.5986 98.6087 3 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 42.5986 98.6087 0 + vertex 42.6098 98.5097 0 + vertex 42.5986 98.6087 3 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 42.5986 98.6087 3 + vertex 42.6098 98.5097 0 + vertex 42.6098 98.5097 3 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 42.6098 98.5097 0 + vertex 42.6135 98.41 0 + vertex 42.6098 98.5097 3 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 42.6098 98.5097 3 + vertex 42.6135 98.41 0 + vertex 42.6135 98.41 3 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 42.6098 98.3103 0 + vertex 42.6098 98.3103 3 + vertex 42.6135 98.41 0 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 42.6135 98.41 0 + vertex 42.6098 98.3103 3 + vertex 42.6135 98.41 3 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 42.5986 98.2113 0 + vertex 42.5986 98.2113 3 + vertex 42.6098 98.3103 0 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 42.6098 98.3103 0 + vertex 42.5986 98.2113 3 + vertex 42.6098 98.3103 3 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 42.5801 98.1133 0 + vertex 42.5801 98.1133 3 + vertex 42.5986 98.2113 0 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 42.5986 98.2113 0 + vertex 42.5801 98.1133 3 + vertex 42.5986 98.2113 3 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 42.5543 98.0169 0 + vertex 42.5543 98.0169 3 + vertex 42.5801 98.1133 0 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 42.5801 98.1133 0 + vertex 42.5543 98.0169 3 + vertex 42.5801 98.1133 3 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 42.5213 97.9228 0 + vertex 42.5213 97.9228 3 + vertex 42.5543 98.0169 0 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 42.5543 98.0169 0 + vertex 42.5213 97.9228 3 + vertex 42.5543 98.0169 3 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 42.4814 97.8314 0 + vertex 42.4814 97.8314 3 + vertex 42.5213 97.9228 0 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 42.5213 97.9228 0 + vertex 42.4814 97.8314 3 + vertex 42.5213 97.9228 3 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 42.4348 97.7432 0 + vertex 42.4348 97.7432 3 + vertex 42.4814 97.8314 0 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 42.4814 97.8314 0 + vertex 42.4348 97.7432 3 + vertex 42.4814 97.8314 3 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 42.3818 97.6588 0 + vertex 42.3818 97.6588 3 + vertex 42.4348 97.7432 0 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 42.4348 97.7432 0 + vertex 42.3818 97.6588 3 + vertex 42.4348 97.7432 3 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 42.3226 97.5786 0 + vertex 42.3226 97.5786 3 + vertex 42.3818 97.6588 0 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 42.3818 97.6588 0 + vertex 42.3226 97.5786 3 + vertex 42.3818 97.6588 3 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 42.2575 97.503 0 + vertex 42.2575 97.503 3 + vertex 42.3226 97.5786 0 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 42.3226 97.5786 0 + vertex 42.2575 97.503 3 + vertex 42.3226 97.5786 3 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 42.187 97.4325 0 + vertex 42.187 97.4325 3 + vertex 42.2575 97.503 0 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 42.2575 97.503 0 + vertex 42.187 97.4325 3 + vertex 42.2575 97.503 3 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 42.1114 97.3674 0 + vertex 42.1114 97.3674 3 + vertex 42.187 97.4325 0 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 42.187 97.4325 0 + vertex 42.1114 97.3674 3 + vertex 42.187 97.4325 3 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 42.0312 97.3082 0 + vertex 42.0312 97.3082 3 + vertex 42.1114 97.3674 0 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 42.1114 97.3674 0 + vertex 42.0312 97.3082 3 + vertex 42.1114 97.3674 3 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 41.9467 97.2552 0 + vertex 41.9467 97.2552 3 + vertex 42.0312 97.3082 0 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 42.0312 97.3082 0 + vertex 41.9467 97.2552 3 + vertex 42.0312 97.3082 3 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 41.8586 97.2086 0 + vertex 41.8586 97.2086 3 + vertex 41.9467 97.2552 0 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 41.9467 97.2552 0 + vertex 41.8586 97.2086 3 + vertex 41.9467 97.2552 3 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 41.7672 97.1687 0 + vertex 41.7672 97.1687 3 + vertex 41.8586 97.2086 0 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 41.8586 97.2086 0 + vertex 41.7672 97.1687 3 + vertex 41.8586 97.2086 3 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 41.6731 97.1357 0 + vertex 41.6731 97.1357 3 + vertex 41.7672 97.1687 0 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 41.7672 97.1687 0 + vertex 41.6731 97.1357 3 + vertex 41.7672 97.1687 3 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 41.5767 97.1099 0 + vertex 41.5767 97.1099 3 + vertex 41.6731 97.1357 0 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 41.6731 97.1357 0 + vertex 41.5767 97.1099 3 + vertex 41.6731 97.1357 3 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 41.4787 97.0914 0 + vertex 41.4787 97.0914 3 + vertex 41.5767 97.1099 0 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 41.5767 97.1099 0 + vertex 41.4787 97.0914 3 + vertex 41.5767 97.1099 3 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 41.3797 97.0802 0 + vertex 41.3797 97.0802 3 + vertex 41.4787 97.0914 0 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 41.4787 97.0914 0 + vertex 41.3797 97.0802 3 + vertex 41.4787 97.0914 3 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 41.28 97.0765 0 + vertex 41.28 97.0765 3 + vertex 41.3797 97.0802 0 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 41.3797 97.0802 0 + vertex 41.28 97.0765 3 + vertex 41.3797 97.0802 3 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 41.1803 97.0802 0 + vertex 41.1803 97.0802 3 + vertex 41.28 97.0765 0 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 41.28 97.0765 0 + vertex 41.1803 97.0802 3 + vertex 41.28 97.0765 3 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 41.0813 97.0914 0 + vertex 41.0813 97.0914 3 + vertex 41.1803 97.0802 0 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 41.1803 97.0802 0 + vertex 41.0813 97.0914 3 + vertex 41.1803 97.0802 3 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 40.9833 97.1099 0 + vertex 40.9833 97.1099 3 + vertex 41.0813 97.0914 0 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 41.0813 97.0914 0 + vertex 40.9833 97.1099 3 + vertex 41.0813 97.0914 3 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 40.8869 97.1357 0 + vertex 40.8869 97.1357 3 + vertex 40.9833 97.1099 0 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 40.9833 97.1099 0 + vertex 40.8869 97.1357 3 + vertex 40.9833 97.1099 3 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 40.7928 97.1687 0 + vertex 40.7928 97.1687 3 + vertex 40.8869 97.1357 0 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 40.8869 97.1357 0 + vertex 40.7928 97.1687 3 + vertex 40.8869 97.1357 3 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 40.7014 97.2086 0 + vertex 40.7014 97.2086 3 + vertex 40.7928 97.1687 0 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 40.7928 97.1687 0 + vertex 40.7014 97.2086 3 + vertex 40.7928 97.1687 3 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 40.6133 97.2552 0 + vertex 40.6133 97.2552 3 + vertex 40.7014 97.2086 0 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 40.7014 97.2086 0 + vertex 40.6133 97.2552 3 + vertex 40.7014 97.2086 3 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 40.5288 97.3082 0 + vertex 40.5288 97.3082 3 + vertex 40.6133 97.2552 0 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 40.6133 97.2552 0 + vertex 40.5288 97.3082 3 + vertex 40.6133 97.2552 3 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 40.4486 97.3674 0 + vertex 40.4486 97.3674 3 + vertex 40.5288 97.3082 0 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 40.5288 97.3082 0 + vertex 40.4486 97.3674 3 + vertex 40.5288 97.3082 3 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 40.373 97.4325 0 + vertex 40.373 97.4325 3 + vertex 40.4486 97.3674 0 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 40.4486 97.3674 0 + vertex 40.373 97.4325 3 + vertex 40.4486 97.3674 3 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 40.3025 97.503 0 + vertex 40.3025 97.503 3 + vertex 40.373 97.4325 0 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 40.373 97.4325 0 + vertex 40.3025 97.503 3 + vertex 40.373 97.4325 3 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 40.3025 97.503 0 + vertex 40.2374 97.5786 0 + vertex 40.2374 97.5786 3 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 40.3025 97.503 3 + vertex 40.3025 97.503 0 + vertex 40.2374 97.5786 3 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 40.2374 97.5786 0 + vertex 40.1782 97.6588 0 + vertex 40.1782 97.6588 3 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 40.2374 97.5786 3 + vertex 40.2374 97.5786 0 + vertex 40.1782 97.6588 3 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 40.1782 97.6588 0 + vertex 40.1252 97.7432 0 + vertex 40.1252 97.7432 3 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 40.1782 97.6588 3 + vertex 40.1782 97.6588 0 + vertex 40.1252 97.7432 3 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 40.1252 97.7432 0 + vertex 40.0786 97.8314 0 + vertex 40.0786 97.8314 3 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 40.1252 97.7432 3 + vertex 40.1252 97.7432 0 + vertex 40.0786 97.8314 3 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 40.0786 97.8314 0 + vertex 40.0387 97.9228 0 + vertex 40.0387 97.9228 3 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 40.0786 97.8314 3 + vertex 40.0786 97.8314 0 + vertex 40.0387 97.9228 3 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 40.0387 97.9228 0 + vertex 40.0057 98.0169 0 + vertex 40.0057 98.0169 3 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 40.0387 97.9228 3 + vertex 40.0387 97.9228 0 + vertex 40.0057 98.0169 3 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 40.0057 98.0169 0 + vertex 39.9799 98.1133 0 + vertex 39.9799 98.1133 3 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 40.0057 98.0169 3 + vertex 40.0057 98.0169 0 + vertex 39.9799 98.1133 3 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 39.9799 98.1133 0 + vertex 39.9614 98.2113 0 + vertex 39.9614 98.2113 3 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 39.9799 98.1133 3 + vertex 39.9799 98.1133 0 + vertex 39.9614 98.2113 3 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 39.9614 98.2113 0 + vertex 39.9502 98.3103 0 + vertex 39.9502 98.3103 3 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 39.9614 98.2113 3 + vertex 39.9614 98.2113 0 + vertex 39.9502 98.3103 3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 45.9502 5.68965 0 + vertex 45.9502 5.68965 3 + vertex 45.9465 5.59 3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 45.9465 5.59 0 + vertex 45.9502 5.68965 0 + vertex 45.9465 5.59 3 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 45.9465 5.59 3 + vertex 45.9502 5.49035 3 + vertex 45.9502 5.49035 0 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 45.9465 5.59 0 + vertex 45.9465 5.59 3 + vertex 45.9502 5.49035 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 45.9614 5.78875 0 + vertex 45.9614 5.78875 3 + vertex 45.9502 5.68965 3 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 45.9502 5.68965 0 + vertex 45.9614 5.78875 0 + vertex 45.9502 5.68965 3 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 45.9799 5.88673 0 + vertex 45.9799 5.88673 3 + vertex 45.9614 5.78875 3 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 45.9614 5.78875 0 + vertex 45.9799 5.88673 0 + vertex 45.9614 5.78875 3 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 46.0057 5.98306 0 + vertex 46.0057 5.98306 3 + vertex 45.9799 5.88673 3 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 45.9799 5.88673 0 + vertex 46.0057 5.98306 0 + vertex 45.9799 5.88673 3 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 46.0387 6.07718 0 + vertex 46.0387 6.07718 3 + vertex 46.0057 5.98306 3 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 46.0057 5.98306 0 + vertex 46.0387 6.07718 0 + vertex 46.0057 5.98306 3 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 46.0786 6.16858 0 + vertex 46.0786 6.16858 3 + vertex 46.0387 6.07718 3 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 46.0387 6.07718 0 + vertex 46.0786 6.16858 0 + vertex 46.0387 6.07718 3 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 46.1252 6.25675 0 + vertex 46.1252 6.25675 3 + vertex 46.0786 6.16858 3 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 46.0786 6.16858 0 + vertex 46.1252 6.25675 0 + vertex 46.0786 6.16858 3 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 46.1782 6.34119 0 + vertex 46.1782 6.34119 3 + vertex 46.1252 6.25675 3 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 46.1252 6.25675 0 + vertex 46.1782 6.34119 0 + vertex 46.1252 6.25675 3 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 46.2374 6.42142 0 + vertex 46.2374 6.42142 3 + vertex 46.1782 6.34119 3 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 46.1782 6.34119 0 + vertex 46.2374 6.42142 0 + vertex 46.1782 6.34119 3 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 46.3025 6.49701 0 + vertex 46.3025 6.49701 3 + vertex 46.2374 6.42142 3 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 46.2374 6.42142 0 + vertex 46.3025 6.49701 0 + vertex 46.2374 6.42142 3 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 46.373 6.56752 0 + vertex 46.373 6.56752 3 + vertex 46.3025 6.49701 3 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 46.3025 6.49701 0 + vertex 46.373 6.56752 0 + vertex 46.3025 6.49701 3 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 46.4486 6.63257 0 + vertex 46.4486 6.63257 3 + vertex 46.373 6.56752 3 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 46.373 6.56752 0 + vertex 46.4486 6.63257 0 + vertex 46.373 6.56752 3 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 46.5288 6.69179 0 + vertex 46.5288 6.69179 3 + vertex 46.4486 6.63257 3 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 46.4486 6.63257 0 + vertex 46.5288 6.69179 0 + vertex 46.4486 6.63257 3 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 46.6133 6.74484 0 + vertex 46.6133 6.74484 3 + vertex 46.5288 6.69179 3 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 46.5288 6.69179 0 + vertex 46.6133 6.74484 0 + vertex 46.5288 6.69179 3 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 46.7014 6.79144 0 + vertex 46.7014 6.79144 3 + vertex 46.6133 6.74484 3 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 46.6133 6.74484 0 + vertex 46.7014 6.79144 0 + vertex 46.6133 6.74484 3 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 46.7928 6.83132 0 + vertex 46.7928 6.83132 3 + vertex 46.7014 6.79144 3 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 46.7014 6.79144 0 + vertex 46.7928 6.83132 0 + vertex 46.7014 6.79144 3 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 46.8869 6.86426 0 + vertex 46.8869 6.86426 3 + vertex 46.7928 6.83132 3 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 46.7928 6.83132 0 + vertex 46.8869 6.86426 0 + vertex 46.7928 6.83132 3 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 46.9833 6.89007 0 + vertex 46.9833 6.89007 3 + vertex 46.8869 6.86426 3 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 46.8869 6.86426 0 + vertex 46.9833 6.89007 0 + vertex 46.8869 6.86426 3 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 47.0813 6.90861 0 + vertex 47.0813 6.90861 3 + vertex 46.9833 6.89007 3 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 46.9833 6.89007 0 + vertex 47.0813 6.90861 0 + vertex 46.9833 6.89007 3 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 47.1803 6.91977 0 + vertex 47.1803 6.91977 3 + vertex 47.0813 6.90861 3 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 47.0813 6.90861 0 + vertex 47.1803 6.91977 0 + vertex 47.0813 6.90861 3 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 47.28 6.9235 0 + vertex 47.28 6.9235 3 + vertex 47.1803 6.91977 3 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 47.1803 6.91977 0 + vertex 47.28 6.9235 0 + vertex 47.1803 6.91977 3 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 47.3797 6.91977 0 + vertex 47.3797 6.91977 3 + vertex 47.28 6.9235 3 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 47.28 6.9235 0 + vertex 47.3797 6.91977 0 + vertex 47.28 6.9235 3 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 47.4787 6.90861 0 + vertex 47.4787 6.90861 3 + vertex 47.3797 6.91977 3 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 47.3797 6.91977 0 + vertex 47.4787 6.90861 0 + vertex 47.3797 6.91977 3 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 47.5767 6.89007 0 + vertex 47.5767 6.89007 3 + vertex 47.4787 6.90861 3 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 47.4787 6.90861 0 + vertex 47.5767 6.89007 0 + vertex 47.4787 6.90861 3 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 47.6731 6.86426 0 + vertex 47.6731 6.86426 3 + vertex 47.5767 6.89007 3 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 47.5767 6.89007 0 + vertex 47.6731 6.86426 0 + vertex 47.5767 6.89007 3 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 47.7672 6.83132 0 + vertex 47.7672 6.83132 3 + vertex 47.6731 6.86426 3 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 47.6731 6.86426 0 + vertex 47.7672 6.83132 0 + vertex 47.6731 6.86426 3 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 47.8586 6.79144 0 + vertex 47.8586 6.79144 3 + vertex 47.7672 6.83132 3 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 47.7672 6.83132 0 + vertex 47.8586 6.79144 0 + vertex 47.7672 6.83132 3 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 47.9467 6.74484 0 + vertex 47.9467 6.74484 3 + vertex 47.8586 6.79144 3 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 47.8586 6.79144 0 + vertex 47.9467 6.74484 0 + vertex 47.8586 6.79144 3 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 48.0312 6.69179 0 + vertex 48.0312 6.69179 3 + vertex 47.9467 6.74484 3 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 47.9467 6.74484 0 + vertex 48.0312 6.69179 0 + vertex 47.9467 6.74484 3 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 48.1114 6.63257 0 + vertex 48.1114 6.63257 3 + vertex 48.0312 6.69179 3 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 48.0312 6.69179 0 + vertex 48.1114 6.63257 0 + vertex 48.0312 6.69179 3 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 48.187 6.56752 0 + vertex 48.187 6.56752 3 + vertex 48.1114 6.63257 3 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 48.1114 6.63257 0 + vertex 48.187 6.56752 0 + vertex 48.1114 6.63257 3 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 48.2575 6.49701 0 + vertex 48.2575 6.49701 3 + vertex 48.187 6.56752 3 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 48.187 6.56752 0 + vertex 48.2575 6.49701 0 + vertex 48.187 6.56752 3 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 48.3226 6.42142 3 + vertex 48.2575 6.49701 3 + vertex 48.3226 6.42142 0 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 48.3226 6.42142 0 + vertex 48.2575 6.49701 3 + vertex 48.2575 6.49701 0 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 48.3818 6.34119 3 + vertex 48.3226 6.42142 3 + vertex 48.3818 6.34119 0 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 48.3818 6.34119 0 + vertex 48.3226 6.42142 3 + vertex 48.3226 6.42142 0 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 48.4348 6.25675 3 + vertex 48.3818 6.34119 3 + vertex 48.4348 6.25675 0 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 48.4348 6.25675 0 + vertex 48.3818 6.34119 3 + vertex 48.3818 6.34119 0 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 48.4814 6.16858 3 + vertex 48.4348 6.25675 3 + vertex 48.4814 6.16858 0 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 48.4814 6.16858 0 + vertex 48.4348 6.25675 3 + vertex 48.4348 6.25675 0 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 48.5213 6.07718 3 + vertex 48.4814 6.16858 3 + vertex 48.5213 6.07718 0 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 48.5213 6.07718 0 + vertex 48.4814 6.16858 3 + vertex 48.4814 6.16858 0 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 48.5543 5.98306 3 + vertex 48.5213 6.07718 3 + vertex 48.5543 5.98306 0 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 48.5543 5.98306 0 + vertex 48.5213 6.07718 3 + vertex 48.5213 6.07718 0 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 48.5801 5.88673 3 + vertex 48.5543 5.98306 3 + vertex 48.5801 5.88673 0 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 48.5801 5.88673 0 + vertex 48.5543 5.98306 3 + vertex 48.5543 5.98306 0 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 48.5986 5.78875 3 + vertex 48.5801 5.88673 3 + vertex 48.5986 5.78875 0 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 48.5986 5.78875 0 + vertex 48.5801 5.88673 3 + vertex 48.5801 5.88673 0 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 48.6098 5.68965 3 + vertex 48.5986 5.78875 3 + vertex 48.6098 5.68965 0 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 48.6098 5.68965 0 + vertex 48.5986 5.78875 3 + vertex 48.5986 5.78875 0 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 48.6135 5.59 3 + vertex 48.6098 5.68965 3 + vertex 48.6135 5.59 0 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 48.6135 5.59 0 + vertex 48.6098 5.68965 3 + vertex 48.6098 5.68965 0 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 48.6135 5.59 3 + vertex 48.6135 5.59 0 + vertex 48.6098 5.49035 3 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 48.6098 5.49035 3 + vertex 48.6135 5.59 0 + vertex 48.6098 5.49035 0 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 48.6098 5.49035 3 + vertex 48.6098 5.49035 0 + vertex 48.5986 5.39125 3 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 48.5986 5.39125 3 + vertex 48.6098 5.49035 0 + vertex 48.5986 5.39125 0 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 48.5986 5.39125 3 + vertex 48.5986 5.39125 0 + vertex 48.5801 5.29327 3 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 48.5801 5.29327 3 + vertex 48.5986 5.39125 0 + vertex 48.5801 5.29327 0 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 48.5801 5.29327 3 + vertex 48.5801 5.29327 0 + vertex 48.5543 5.19694 3 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 48.5543 5.19694 3 + vertex 48.5801 5.29327 0 + vertex 48.5543 5.19694 0 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 48.5543 5.19694 3 + vertex 48.5543 5.19694 0 + vertex 48.5213 5.10282 3 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 48.5213 5.10282 3 + vertex 48.5543 5.19694 0 + vertex 48.5213 5.10282 0 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 48.5213 5.10282 3 + vertex 48.5213 5.10282 0 + vertex 48.4814 5.01142 3 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 48.4814 5.01142 3 + vertex 48.5213 5.10282 0 + vertex 48.4814 5.01142 0 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 48.4814 5.01142 3 + vertex 48.4814 5.01142 0 + vertex 48.4348 4.92325 3 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 48.4348 4.92325 3 + vertex 48.4814 5.01142 0 + vertex 48.4348 4.92325 0 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 48.4348 4.92325 3 + vertex 48.4348 4.92325 0 + vertex 48.3818 4.83881 3 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 48.3818 4.83881 3 + vertex 48.4348 4.92325 0 + vertex 48.3818 4.83881 0 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 48.3818 4.83881 3 + vertex 48.3818 4.83881 0 + vertex 48.3226 4.75858 3 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 48.3226 4.75858 3 + vertex 48.3818 4.83881 0 + vertex 48.3226 4.75858 0 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 48.3226 4.75858 3 + vertex 48.3226 4.75858 0 + vertex 48.2575 4.68299 3 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 48.2575 4.68299 3 + vertex 48.3226 4.75858 0 + vertex 48.2575 4.68299 0 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 48.2575 4.68299 3 + vertex 48.2575 4.68299 0 + vertex 48.187 4.61247 3 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 48.187 4.61247 3 + vertex 48.2575 4.68299 0 + vertex 48.187 4.61247 0 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 48.187 4.61247 3 + vertex 48.187 4.61247 0 + vertex 48.1114 4.54743 3 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 48.1114 4.54743 3 + vertex 48.187 4.61247 0 + vertex 48.1114 4.54743 0 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 48.1114 4.54743 3 + vertex 48.1114 4.54743 0 + vertex 48.0312 4.48821 3 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 48.0312 4.48821 3 + vertex 48.1114 4.54743 0 + vertex 48.0312 4.48821 0 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 48.0312 4.48821 3 + vertex 48.0312 4.48821 0 + vertex 47.9467 4.43515 3 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 47.9467 4.43515 3 + vertex 48.0312 4.48821 0 + vertex 47.9467 4.43515 0 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 47.9467 4.43515 3 + vertex 47.9467 4.43515 0 + vertex 47.8586 4.38856 3 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 47.8586 4.38856 3 + vertex 47.9467 4.43515 0 + vertex 47.8586 4.38856 0 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 47.8586 4.38856 3 + vertex 47.8586 4.38856 0 + vertex 47.7672 4.34868 3 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 47.7672 4.34868 3 + vertex 47.8586 4.38856 0 + vertex 47.7672 4.34868 0 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 47.7672 4.34868 3 + vertex 47.7672 4.34868 0 + vertex 47.6731 4.31574 3 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 47.6731 4.31574 3 + vertex 47.7672 4.34868 0 + vertex 47.6731 4.31574 0 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 47.6731 4.31574 3 + vertex 47.6731 4.31574 0 + vertex 47.5767 4.28993 3 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 47.5767 4.28993 3 + vertex 47.6731 4.31574 0 + vertex 47.5767 4.28993 0 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 47.5767 4.28993 3 + vertex 47.5767 4.28993 0 + vertex 47.4787 4.27139 3 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 47.4787 4.27139 3 + vertex 47.5767 4.28993 0 + vertex 47.4787 4.27139 0 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 47.4787 4.27139 3 + vertex 47.4787 4.27139 0 + vertex 47.3797 4.26023 3 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 47.3797 4.26023 3 + vertex 47.4787 4.27139 0 + vertex 47.3797 4.26023 0 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 47.3797 4.26023 3 + vertex 47.3797 4.26023 0 + vertex 47.28 4.2565 3 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 47.28 4.2565 3 + vertex 47.3797 4.26023 0 + vertex 47.28 4.2565 0 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 47.28 4.2565 3 + vertex 47.28 4.2565 0 + vertex 47.1803 4.26023 3 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 47.1803 4.26023 3 + vertex 47.28 4.2565 0 + vertex 47.1803 4.26023 0 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 47.1803 4.26023 3 + vertex 47.1803 4.26023 0 + vertex 47.0813 4.27139 3 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 47.0813 4.27139 3 + vertex 47.1803 4.26023 0 + vertex 47.0813 4.27139 0 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 47.0813 4.27139 3 + vertex 47.0813 4.27139 0 + vertex 46.9833 4.28993 3 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 46.9833 4.28993 3 + vertex 47.0813 4.27139 0 + vertex 46.9833 4.28993 0 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 46.9833 4.28993 3 + vertex 46.9833 4.28993 0 + vertex 46.8869 4.31574 3 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 46.8869 4.31574 3 + vertex 46.9833 4.28993 0 + vertex 46.8869 4.31574 0 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 46.8869 4.31574 3 + vertex 46.8869 4.31574 0 + vertex 46.7928 4.34868 3 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 46.7928 4.34868 3 + vertex 46.8869 4.31574 0 + vertex 46.7928 4.34868 0 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 46.7928 4.34868 3 + vertex 46.7928 4.34868 0 + vertex 46.7014 4.38856 3 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 46.7014 4.38856 3 + vertex 46.7928 4.34868 0 + vertex 46.7014 4.38856 0 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 46.7014 4.38856 3 + vertex 46.7014 4.38856 0 + vertex 46.6133 4.43515 3 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 46.6133 4.43515 3 + vertex 46.7014 4.38856 0 + vertex 46.6133 4.43515 0 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 46.6133 4.43515 3 + vertex 46.6133 4.43515 0 + vertex 46.5288 4.48821 3 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 46.5288 4.48821 3 + vertex 46.6133 4.43515 0 + vertex 46.5288 4.48821 0 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 46.5288 4.48821 3 + vertex 46.5288 4.48821 0 + vertex 46.4486 4.54743 3 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 46.4486 4.54743 3 + vertex 46.5288 4.48821 0 + vertex 46.4486 4.54743 0 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 46.4486 4.54743 3 + vertex 46.4486 4.54743 0 + vertex 46.373 4.61247 3 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 46.373 4.61247 3 + vertex 46.4486 4.54743 0 + vertex 46.373 4.61247 0 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 46.373 4.61247 3 + vertex 46.373 4.61247 0 + vertex 46.3025 4.68299 3 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 46.3025 4.68299 3 + vertex 46.373 4.61247 0 + vertex 46.3025 4.68299 0 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 46.2374 4.75858 3 + vertex 46.3025 4.68299 3 + vertex 46.3025 4.68299 0 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 46.2374 4.75858 0 + vertex 46.2374 4.75858 3 + vertex 46.3025 4.68299 0 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 46.1782 4.83881 3 + vertex 46.2374 4.75858 3 + vertex 46.2374 4.75858 0 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 46.1782 4.83881 0 + vertex 46.1782 4.83881 3 + vertex 46.2374 4.75858 0 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 46.1252 4.92325 3 + vertex 46.1782 4.83881 3 + vertex 46.1782 4.83881 0 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 46.1252 4.92325 0 + vertex 46.1252 4.92325 3 + vertex 46.1782 4.83881 0 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 46.0786 5.01142 3 + vertex 46.1252 4.92325 3 + vertex 46.1252 4.92325 0 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 46.0786 5.01142 0 + vertex 46.0786 5.01142 3 + vertex 46.1252 4.92325 0 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 46.0387 5.10282 3 + vertex 46.0786 5.01142 3 + vertex 46.0786 5.01142 0 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 46.0387 5.10282 0 + vertex 46.0387 5.10282 3 + vertex 46.0786 5.01142 0 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 46.0057 5.19694 3 + vertex 46.0387 5.10282 3 + vertex 46.0387 5.10282 0 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 46.0057 5.19694 0 + vertex 46.0057 5.19694 3 + vertex 46.0387 5.10282 0 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 45.9799 5.29327 3 + vertex 46.0057 5.19694 3 + vertex 46.0057 5.19694 0 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 45.9799 5.29327 0 + vertex 45.9799 5.29327 3 + vertex 46.0057 5.19694 0 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 45.9614 5.39125 3 + vertex 45.9799 5.29327 3 + vertex 45.9799 5.29327 0 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 45.9614 5.39125 0 + vertex 45.9614 5.39125 3 + vertex 45.9799 5.29327 0 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 45.9502 5.49035 3 + vertex 45.9614 5.39125 3 + vertex 45.9614 5.39125 0 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 45.9502 5.49035 0 + vertex 45.9502 5.49035 3 + vertex 45.9614 5.39125 0 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 45.9502 95.9103 0 + vertex 45.9465 96.01 0 + vertex 45.9465 96.01 3 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 45.9502 95.9103 3 + vertex 45.9502 95.9103 0 + vertex 45.9465 96.01 3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 45.9465 96.01 3 + vertex 45.9465 96.01 0 + vertex 45.9502 96.1097 0 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 45.9502 96.1097 3 + vertex 45.9465 96.01 3 + vertex 45.9502 96.1097 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 45.9502 96.1097 3 + vertex 45.9502 96.1097 0 + vertex 45.9614 96.2087 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 45.9614 96.2087 3 + vertex 45.9502 96.1097 3 + vertex 45.9614 96.2087 0 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 45.9614 96.2087 3 + vertex 45.9614 96.2087 0 + vertex 45.9799 96.3067 0 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 45.9799 96.3067 3 + vertex 45.9614 96.2087 3 + vertex 45.9799 96.3067 0 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 45.9799 96.3067 3 + vertex 45.9799 96.3067 0 + vertex 46.0057 96.4031 0 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 46.0057 96.4031 3 + vertex 45.9799 96.3067 3 + vertex 46.0057 96.4031 0 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 46.0057 96.4031 3 + vertex 46.0057 96.4031 0 + vertex 46.0387 96.4972 0 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 46.0387 96.4972 3 + vertex 46.0057 96.4031 3 + vertex 46.0387 96.4972 0 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 46.0387 96.4972 3 + vertex 46.0387 96.4972 0 + vertex 46.0786 96.5886 0 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 46.0786 96.5886 3 + vertex 46.0387 96.4972 3 + vertex 46.0786 96.5886 0 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 46.0786 96.5886 3 + vertex 46.0786 96.5886 0 + vertex 46.1252 96.6767 0 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 46.1252 96.6767 3 + vertex 46.0786 96.5886 3 + vertex 46.1252 96.6767 0 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 46.1252 96.6767 3 + vertex 46.1252 96.6767 0 + vertex 46.1782 96.7612 0 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 46.1782 96.7612 3 + vertex 46.1252 96.6767 3 + vertex 46.1782 96.7612 0 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 46.1782 96.7612 3 + vertex 46.1782 96.7612 0 + vertex 46.2374 96.8414 0 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 46.2374 96.8414 3 + vertex 46.1782 96.7612 3 + vertex 46.2374 96.8414 0 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 46.2374 96.8414 3 + vertex 46.2374 96.8414 0 + vertex 46.3025 96.917 0 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 46.3025 96.917 3 + vertex 46.2374 96.8414 3 + vertex 46.3025 96.917 0 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 46.3025 96.917 3 + vertex 46.3025 96.917 0 + vertex 46.373 96.9875 0 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 46.373 96.9875 3 + vertex 46.3025 96.917 3 + vertex 46.373 96.9875 0 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 46.373 96.9875 3 + vertex 46.373 96.9875 0 + vertex 46.4486 97.0526 0 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 46.4486 97.0526 3 + vertex 46.373 96.9875 3 + vertex 46.4486 97.0526 0 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 46.4486 97.0526 3 + vertex 46.4486 97.0526 0 + vertex 46.5288 97.1118 0 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 46.5288 97.1118 3 + vertex 46.4486 97.0526 3 + vertex 46.5288 97.1118 0 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 46.5288 97.1118 3 + vertex 46.5288 97.1118 0 + vertex 46.6133 97.1648 0 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 46.6133 97.1648 3 + vertex 46.5288 97.1118 3 + vertex 46.6133 97.1648 0 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 46.6133 97.1648 3 + vertex 46.6133 97.1648 0 + vertex 46.7014 97.2114 0 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 46.7014 97.2114 3 + vertex 46.6133 97.1648 3 + vertex 46.7014 97.2114 0 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 46.7014 97.2114 3 + vertex 46.7014 97.2114 0 + vertex 46.7928 97.2513 0 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 46.7928 97.2513 3 + vertex 46.7014 97.2114 3 + vertex 46.7928 97.2513 0 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 46.7928 97.2513 3 + vertex 46.7928 97.2513 0 + vertex 46.8869 97.2843 0 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 46.8869 97.2843 3 + vertex 46.7928 97.2513 3 + vertex 46.8869 97.2843 0 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 46.8869 97.2843 3 + vertex 46.8869 97.2843 0 + vertex 46.9833 97.3101 0 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 46.9833 97.3101 3 + vertex 46.8869 97.2843 3 + vertex 46.9833 97.3101 0 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 46.9833 97.3101 3 + vertex 46.9833 97.3101 0 + vertex 47.0813 97.3286 0 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 47.0813 97.3286 3 + vertex 46.9833 97.3101 3 + vertex 47.0813 97.3286 0 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 47.0813 97.3286 3 + vertex 47.0813 97.3286 0 + vertex 47.1803 97.3398 0 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 47.1803 97.3398 3 + vertex 47.0813 97.3286 3 + vertex 47.1803 97.3398 0 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 47.1803 97.3398 3 + vertex 47.1803 97.3398 0 + vertex 47.28 97.3435 0 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 47.28 97.3435 3 + vertex 47.1803 97.3398 3 + vertex 47.28 97.3435 0 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 47.28 97.3435 3 + vertex 47.28 97.3435 0 + vertex 47.3797 97.3398 0 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 47.3797 97.3398 3 + vertex 47.28 97.3435 3 + vertex 47.3797 97.3398 0 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 47.3797 97.3398 3 + vertex 47.3797 97.3398 0 + vertex 47.4787 97.3286 0 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 47.4787 97.3286 3 + vertex 47.3797 97.3398 3 + vertex 47.4787 97.3286 0 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 47.4787 97.3286 3 + vertex 47.4787 97.3286 0 + vertex 47.5767 97.3101 0 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 47.5767 97.3101 3 + vertex 47.4787 97.3286 3 + vertex 47.5767 97.3101 0 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 47.5767 97.3101 3 + vertex 47.5767 97.3101 0 + vertex 47.6731 97.2843 0 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 47.6731 97.2843 3 + vertex 47.5767 97.3101 3 + vertex 47.6731 97.2843 0 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 47.6731 97.2843 3 + vertex 47.6731 97.2843 0 + vertex 47.7672 97.2513 0 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 47.7672 97.2513 3 + vertex 47.6731 97.2843 3 + vertex 47.7672 97.2513 0 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 47.7672 97.2513 3 + vertex 47.7672 97.2513 0 + vertex 47.8586 97.2114 0 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 47.8586 97.2114 3 + vertex 47.7672 97.2513 3 + vertex 47.8586 97.2114 0 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 47.8586 97.2114 3 + vertex 47.8586 97.2114 0 + vertex 47.9467 97.1648 0 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 47.9467 97.1648 3 + vertex 47.8586 97.2114 3 + vertex 47.9467 97.1648 0 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 47.9467 97.1648 3 + vertex 47.9467 97.1648 0 + vertex 48.0312 97.1118 0 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 48.0312 97.1118 3 + vertex 47.9467 97.1648 3 + vertex 48.0312 97.1118 0 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 48.0312 97.1118 3 + vertex 48.0312 97.1118 0 + vertex 48.1114 97.0526 0 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 48.1114 97.0526 3 + vertex 48.0312 97.1118 3 + vertex 48.1114 97.0526 0 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 48.1114 97.0526 3 + vertex 48.1114 97.0526 0 + vertex 48.187 96.9875 0 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 48.187 96.9875 3 + vertex 48.1114 97.0526 3 + vertex 48.187 96.9875 0 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 48.187 96.9875 3 + vertex 48.187 96.9875 0 + vertex 48.2575 96.917 0 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 48.2575 96.917 3 + vertex 48.187 96.9875 3 + vertex 48.2575 96.917 0 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 48.2575 96.917 0 + vertex 48.3226 96.8414 0 + vertex 48.2575 96.917 3 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 48.2575 96.917 3 + vertex 48.3226 96.8414 0 + vertex 48.3226 96.8414 3 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 48.3226 96.8414 0 + vertex 48.3818 96.7612 0 + vertex 48.3226 96.8414 3 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 48.3226 96.8414 3 + vertex 48.3818 96.7612 0 + vertex 48.3818 96.7612 3 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 48.3818 96.7612 0 + vertex 48.4348 96.6767 0 + vertex 48.3818 96.7612 3 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 48.3818 96.7612 3 + vertex 48.4348 96.6767 0 + vertex 48.4348 96.6767 3 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 48.4348 96.6767 0 + vertex 48.4814 96.5886 0 + vertex 48.4348 96.6767 3 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 48.4348 96.6767 3 + vertex 48.4814 96.5886 0 + vertex 48.4814 96.5886 3 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 48.4814 96.5886 0 + vertex 48.5213 96.4972 0 + vertex 48.4814 96.5886 3 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 48.4814 96.5886 3 + vertex 48.5213 96.4972 0 + vertex 48.5213 96.4972 3 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 48.5213 96.4972 0 + vertex 48.5543 96.4031 0 + vertex 48.5213 96.4972 3 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 48.5213 96.4972 3 + vertex 48.5543 96.4031 0 + vertex 48.5543 96.4031 3 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 48.5543 96.4031 0 + vertex 48.5801 96.3067 0 + vertex 48.5543 96.4031 3 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 48.5543 96.4031 3 + vertex 48.5801 96.3067 0 + vertex 48.5801 96.3067 3 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 48.5801 96.3067 0 + vertex 48.5986 96.2087 0 + vertex 48.5801 96.3067 3 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 48.5801 96.3067 3 + vertex 48.5986 96.2087 0 + vertex 48.5986 96.2087 3 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 48.5986 96.2087 0 + vertex 48.6098 96.1097 0 + vertex 48.5986 96.2087 3 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 48.5986 96.2087 3 + vertex 48.6098 96.1097 0 + vertex 48.6098 96.1097 3 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 48.6098 96.1097 0 + vertex 48.6135 96.01 0 + vertex 48.6098 96.1097 3 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 48.6098 96.1097 3 + vertex 48.6135 96.01 0 + vertex 48.6135 96.01 3 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 48.6098 95.9103 0 + vertex 48.6098 95.9103 3 + vertex 48.6135 96.01 0 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 48.6135 96.01 0 + vertex 48.6098 95.9103 3 + vertex 48.6135 96.01 3 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 48.5986 95.8113 0 + vertex 48.5986 95.8113 3 + vertex 48.6098 95.9103 0 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 48.6098 95.9103 0 + vertex 48.5986 95.8113 3 + vertex 48.6098 95.9103 3 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 48.5801 95.7133 0 + vertex 48.5801 95.7133 3 + vertex 48.5986 95.8113 0 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 48.5986 95.8113 0 + vertex 48.5801 95.7133 3 + vertex 48.5986 95.8113 3 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 48.5543 95.6169 0 + vertex 48.5543 95.6169 3 + vertex 48.5801 95.7133 0 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 48.5801 95.7133 0 + vertex 48.5543 95.6169 3 + vertex 48.5801 95.7133 3 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 48.5213 95.5228 0 + vertex 48.5213 95.5228 3 + vertex 48.5543 95.6169 0 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 48.5543 95.6169 0 + vertex 48.5213 95.5228 3 + vertex 48.5543 95.6169 3 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 48.4814 95.4314 0 + vertex 48.4814 95.4314 3 + vertex 48.5213 95.5228 0 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 48.5213 95.5228 0 + vertex 48.4814 95.4314 3 + vertex 48.5213 95.5228 3 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 48.4348 95.3432 0 + vertex 48.4348 95.3432 3 + vertex 48.4814 95.4314 0 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 48.4814 95.4314 0 + vertex 48.4348 95.3432 3 + vertex 48.4814 95.4314 3 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 48.3818 95.2588 0 + vertex 48.3818 95.2588 3 + vertex 48.4348 95.3432 0 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 48.4348 95.3432 0 + vertex 48.3818 95.2588 3 + vertex 48.4348 95.3432 3 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 48.3226 95.1786 0 + vertex 48.3226 95.1786 3 + vertex 48.3818 95.2588 0 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 48.3818 95.2588 0 + vertex 48.3226 95.1786 3 + vertex 48.3818 95.2588 3 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 48.2575 95.103 0 + vertex 48.2575 95.103 3 + vertex 48.3226 95.1786 0 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 48.3226 95.1786 0 + vertex 48.2575 95.103 3 + vertex 48.3226 95.1786 3 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 48.187 95.0325 0 + vertex 48.187 95.0325 3 + vertex 48.2575 95.103 0 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 48.2575 95.103 0 + vertex 48.187 95.0325 3 + vertex 48.2575 95.103 3 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 48.1114 94.9674 0 + vertex 48.1114 94.9674 3 + vertex 48.187 95.0325 0 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 48.187 95.0325 0 + vertex 48.1114 94.9674 3 + vertex 48.187 95.0325 3 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 48.0312 94.9082 0 + vertex 48.0312 94.9082 3 + vertex 48.1114 94.9674 0 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 48.1114 94.9674 0 + vertex 48.0312 94.9082 3 + vertex 48.1114 94.9674 3 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 47.9467 94.8552 0 + vertex 47.9467 94.8552 3 + vertex 48.0312 94.9082 0 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 48.0312 94.9082 0 + vertex 47.9467 94.8552 3 + vertex 48.0312 94.9082 3 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 47.8586 94.8086 0 + vertex 47.8586 94.8086 3 + vertex 47.9467 94.8552 0 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 47.9467 94.8552 0 + vertex 47.8586 94.8086 3 + vertex 47.9467 94.8552 3 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 47.7672 94.7687 0 + vertex 47.7672 94.7687 3 + vertex 47.8586 94.8086 0 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 47.8586 94.8086 0 + vertex 47.7672 94.7687 3 + vertex 47.8586 94.8086 3 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 47.6731 94.7357 0 + vertex 47.6731 94.7357 3 + vertex 47.7672 94.7687 0 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 47.7672 94.7687 0 + vertex 47.6731 94.7357 3 + vertex 47.7672 94.7687 3 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 47.5767 94.7099 0 + vertex 47.5767 94.7099 3 + vertex 47.6731 94.7357 0 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 47.6731 94.7357 0 + vertex 47.5767 94.7099 3 + vertex 47.6731 94.7357 3 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 47.4787 94.6914 0 + vertex 47.4787 94.6914 3 + vertex 47.5767 94.7099 0 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 47.5767 94.7099 0 + vertex 47.4787 94.6914 3 + vertex 47.5767 94.7099 3 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 47.3797 94.6802 0 + vertex 47.3797 94.6802 3 + vertex 47.4787 94.6914 0 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 47.4787 94.6914 0 + vertex 47.3797 94.6802 3 + vertex 47.4787 94.6914 3 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 47.28 94.6765 0 + vertex 47.28 94.6765 3 + vertex 47.3797 94.6802 0 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 47.3797 94.6802 0 + vertex 47.28 94.6765 3 + vertex 47.3797 94.6802 3 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 47.1803 94.6802 0 + vertex 47.1803 94.6802 3 + vertex 47.28 94.6765 0 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 47.28 94.6765 0 + vertex 47.1803 94.6802 3 + vertex 47.28 94.6765 3 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 47.0813 94.6914 0 + vertex 47.0813 94.6914 3 + vertex 47.1803 94.6802 0 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 47.1803 94.6802 0 + vertex 47.0813 94.6914 3 + vertex 47.1803 94.6802 3 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 46.9833 94.7099 0 + vertex 46.9833 94.7099 3 + vertex 47.0813 94.6914 0 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 47.0813 94.6914 0 + vertex 46.9833 94.7099 3 + vertex 47.0813 94.6914 3 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 46.8869 94.7357 0 + vertex 46.8869 94.7357 3 + vertex 46.9833 94.7099 0 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 46.9833 94.7099 0 + vertex 46.8869 94.7357 3 + vertex 46.9833 94.7099 3 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 46.7928 94.7687 0 + vertex 46.7928 94.7687 3 + vertex 46.8869 94.7357 0 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 46.8869 94.7357 0 + vertex 46.7928 94.7687 3 + vertex 46.8869 94.7357 3 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 46.7014 94.8086 0 + vertex 46.7014 94.8086 3 + vertex 46.7928 94.7687 0 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 46.7928 94.7687 0 + vertex 46.7014 94.8086 3 + vertex 46.7928 94.7687 3 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 46.6133 94.8552 0 + vertex 46.6133 94.8552 3 + vertex 46.7014 94.8086 0 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 46.7014 94.8086 0 + vertex 46.6133 94.8552 3 + vertex 46.7014 94.8086 3 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 46.5288 94.9082 0 + vertex 46.5288 94.9082 3 + vertex 46.6133 94.8552 0 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 46.6133 94.8552 0 + vertex 46.5288 94.9082 3 + vertex 46.6133 94.8552 3 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 46.4486 94.9674 0 + vertex 46.4486 94.9674 3 + vertex 46.5288 94.9082 0 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 46.5288 94.9082 0 + vertex 46.4486 94.9674 3 + vertex 46.5288 94.9082 3 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 46.373 95.0325 0 + vertex 46.373 95.0325 3 + vertex 46.4486 94.9674 0 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 46.4486 94.9674 0 + vertex 46.373 95.0325 3 + vertex 46.4486 94.9674 3 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 46.3025 95.103 0 + vertex 46.3025 95.103 3 + vertex 46.373 95.0325 0 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 46.373 95.0325 0 + vertex 46.3025 95.103 3 + vertex 46.373 95.0325 3 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 46.3025 95.103 0 + vertex 46.2374 95.1786 0 + vertex 46.2374 95.1786 3 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 46.3025 95.103 3 + vertex 46.3025 95.103 0 + vertex 46.2374 95.1786 3 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 46.2374 95.1786 0 + vertex 46.1782 95.2588 0 + vertex 46.1782 95.2588 3 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 46.2374 95.1786 3 + vertex 46.2374 95.1786 0 + vertex 46.1782 95.2588 3 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 46.1782 95.2588 0 + vertex 46.1252 95.3432 0 + vertex 46.1252 95.3432 3 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 46.1782 95.2588 3 + vertex 46.1782 95.2588 0 + vertex 46.1252 95.3432 3 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 46.1252 95.3432 0 + vertex 46.0786 95.4314 0 + vertex 46.0786 95.4314 3 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 46.1252 95.3432 3 + vertex 46.1252 95.3432 0 + vertex 46.0786 95.4314 3 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 46.0786 95.4314 0 + vertex 46.0387 95.5228 0 + vertex 46.0387 95.5228 3 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 46.0786 95.4314 3 + vertex 46.0786 95.4314 0 + vertex 46.0387 95.5228 3 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 46.0387 95.5228 0 + vertex 46.0057 95.6169 0 + vertex 46.0057 95.6169 3 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 46.0387 95.5228 3 + vertex 46.0387 95.5228 0 + vertex 46.0057 95.6169 3 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 46.0057 95.6169 0 + vertex 45.9799 95.7133 0 + vertex 45.9799 95.7133 3 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 46.0057 95.6169 3 + vertex 46.0057 95.6169 0 + vertex 45.9799 95.7133 3 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 45.9799 95.7133 0 + vertex 45.9614 95.8113 0 + vertex 45.9614 95.8113 3 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 45.9799 95.7133 3 + vertex 45.9799 95.7133 0 + vertex 45.9614 95.8113 3 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 45.9614 95.8113 0 + vertex 45.9502 95.9103 0 + vertex 45.9502 95.9103 3 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 45.9614 95.8113 3 + vertex 45.9614 95.8113 0 + vertex 45.9502 95.9103 3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 49.88 10 1.3 + vertex 49.88 10 0 + vertex 49.88 33.4333 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 49.88 33.4333 1.3 + vertex 49.88 10 1.3 + vertex 49.88 33.4333 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 49.88 33.4333 1.3 + vertex 49.88 33.4333 0 + vertex 91.73 33.4333 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 91.73 33.4333 1.3 + vertex 49.88 33.4333 1.3 + vertex 91.73 33.4333 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 91.73 10 0 + vertex 91.73 10 1.3 + vertex 91.73 33.4333 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 91.73 33.4333 0 + vertex 91.73 10 1.3 + vertex 91.73 33.4333 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 88.73 10 0 + vertex 88.73 10 3 + vertex 91.73 10 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 91.73 10 1.3 + vertex 88.73 10 3 + vertex 94.73 10 3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 94.33 10 0 + vertex 94.73 10 3 + vertex 94.73 10 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 94.33 10 1.3 + vertex 94.73 10 3 + vertex 94.33 10 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 91.73 10 0 + vertex 88.73 10 3 + vertex 91.73 10 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 91.73 10 1.3 + vertex 94.73 10 3 + vertex 94.33 10 1.3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 88.73 10 3 + vertex 88.73 10 0 + vertex 88.73 6 3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 88.73 6 3 + vertex 88.73 10 0 + vertex 88.73 6 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 82.73 6 0 + vertex 82.73 6 3 + vertex 88.73 6 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 88.73 6 0 + vertex 82.73 6 3 + vertex 88.73 6 3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 82.73 1.3 0 + vertex 82.73 1.3 3 + vertex 82.73 6 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 82.73 6 0 + vertex 82.73 1.3 3 + vertex 82.73 6 3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 50.28 1.3 3 + vertex 50.28 1.3 0 + vertex 50.28 10 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 50.28 10 3 + vertex 50.28 1.3 3 + vertex 50.28 10 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 49.88 34.7333 1.3 + vertex 49.88 34.7333 0 + vertex 49.88 66.8667 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 49.88 66.8667 1.3 + vertex 49.88 34.7333 1.3 + vertex 49.88 66.8667 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 49.88 66.8667 1.3 + vertex 49.88 66.8667 0 + vertex 91.73 66.8667 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 91.73 66.8667 1.3 + vertex 49.88 66.8667 1.3 + vertex 91.73 66.8667 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 91.73 34.7333 0 + vertex 91.73 34.7333 1.3 + vertex 91.73 66.8667 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 91.73 66.8667 0 + vertex 91.73 34.7333 1.3 + vertex 91.73 66.8667 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 49.88 34.7333 0 + vertex 49.88 34.7333 1.3 + vertex 91.73 34.7333 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 91.73 34.7333 0 + vertex 49.88 34.7333 1.3 + vertex 91.73 34.7333 1.3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 49.88 68.1667 1.3 + vertex 49.88 68.1667 0 + vertex 49.88 91.6 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 49.88 91.6 1.3 + vertex 49.88 68.1667 1.3 + vertex 49.88 91.6 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 50.28 100.3 0 + vertex 50.28 100.3 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 50.28 91.6 0 + vertex 50.28 100.3 0 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 82.73 100.3 3 + vertex 82.73 100.3 0 + vertex 82.73 95.6 3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 82.73 95.6 3 + vertex 82.73 100.3 0 + vertex 82.73 95.6 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 88.73 95.6 0 + vertex 88.73 95.6 3 + vertex 82.73 95.6 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 82.73 95.6 0 + vertex 88.73 95.6 0 + vertex 82.73 95.6 3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 88.73 91.6 0 + vertex 88.73 91.6 3 + vertex 88.73 95.6 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 88.73 95.6 0 + vertex 88.73 91.6 3 + vertex 88.73 95.6 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 94.73 91.6 3 + vertex 91.73 91.6 1.3 + vertex 94.33 91.6 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 91.73 91.6 0 + vertex 91.73 91.6 1.3 + vertex 88.73 91.6 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 94.33 91.6 0 + vertex 94.73 91.6 0 + vertex 94.33 91.6 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 94.73 91.6 0 + vertex 94.73 91.6 3 + vertex 94.33 91.6 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 94.73 91.6 3 + vertex 88.73 91.6 3 + vertex 91.73 91.6 1.3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 88.73 91.6 3 + vertex 88.73 91.6 0 + vertex 91.73 91.6 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 91.73 68.1667 0 + vertex 91.73 68.1667 1.3 + vertex 91.73 91.6 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 91.73 91.6 0 + vertex 91.73 68.1667 1.3 + vertex 91.73 91.6 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 49.88 68.1667 0 + vertex 49.88 68.1667 1.3 + vertex 91.73 68.1667 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 91.73 68.1667 0 + vertex 49.88 68.1667 1.3 + vertex 91.73 68.1667 1.3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 84.4002 3.28965 0 + vertex 84.4002 3.28965 3 + vertex 84.3965 3.19 3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 84.3965 3.19 0 + vertex 84.4002 3.28965 0 + vertex 84.3965 3.19 3 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 84.3965 3.19 3 + vertex 84.4002 3.09035 3 + vertex 84.4002 3.09035 0 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 84.3965 3.19 0 + vertex 84.3965 3.19 3 + vertex 84.4002 3.09035 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 84.4114 3.38875 0 + vertex 84.4114 3.38875 3 + vertex 84.4002 3.28965 3 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 84.4002 3.28965 0 + vertex 84.4114 3.38875 0 + vertex 84.4002 3.28965 3 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 84.4299 3.48673 0 + vertex 84.4299 3.48673 3 + vertex 84.4114 3.38875 3 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 84.4114 3.38875 0 + vertex 84.4299 3.48673 0 + vertex 84.4114 3.38875 3 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 84.4557 3.58306 0 + vertex 84.4557 3.58306 3 + vertex 84.4299 3.48673 3 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 84.4299 3.48673 0 + vertex 84.4557 3.58306 0 + vertex 84.4299 3.48673 3 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 84.4887 3.67718 0 + vertex 84.4887 3.67718 3 + vertex 84.4557 3.58306 3 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 84.4557 3.58306 0 + vertex 84.4887 3.67718 0 + vertex 84.4557 3.58306 3 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 84.5286 3.76858 0 + vertex 84.5286 3.76858 3 + vertex 84.4887 3.67718 3 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 84.4887 3.67718 0 + vertex 84.5286 3.76858 0 + vertex 84.4887 3.67718 3 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 84.5752 3.85675 0 + vertex 84.5752 3.85675 3 + vertex 84.5286 3.76858 3 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 84.5286 3.76858 0 + vertex 84.5752 3.85675 0 + vertex 84.5286 3.76858 3 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 84.6282 3.94119 0 + vertex 84.6282 3.94119 3 + vertex 84.5752 3.85675 3 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 84.5752 3.85675 0 + vertex 84.6282 3.94119 0 + vertex 84.5752 3.85675 3 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 84.6874 4.02142 0 + vertex 84.6874 4.02142 3 + vertex 84.6282 3.94119 3 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 84.6282 3.94119 0 + vertex 84.6874 4.02142 0 + vertex 84.6282 3.94119 3 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 84.7525 4.09701 0 + vertex 84.7525 4.09701 3 + vertex 84.6874 4.02142 3 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 84.6874 4.02142 0 + vertex 84.7525 4.09701 0 + vertex 84.6874 4.02142 3 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 84.823 4.16752 0 + vertex 84.823 4.16752 3 + vertex 84.7525 4.09701 3 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 84.7525 4.09701 0 + vertex 84.823 4.16752 0 + vertex 84.7525 4.09701 3 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 84.8986 4.23257 0 + vertex 84.8986 4.23257 3 + vertex 84.823 4.16752 3 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 84.823 4.16752 0 + vertex 84.8986 4.23257 0 + vertex 84.823 4.16752 3 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 84.9788 4.29179 0 + vertex 84.9788 4.29179 3 + vertex 84.8986 4.23257 3 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 84.8986 4.23257 0 + vertex 84.9788 4.29179 0 + vertex 84.8986 4.23257 3 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 85.0632 4.34484 0 + vertex 85.0632 4.34484 3 + vertex 84.9788 4.29179 3 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 84.9788 4.29179 0 + vertex 85.0632 4.34484 0 + vertex 84.9788 4.29179 3 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 85.1514 4.39144 0 + vertex 85.1514 4.39144 3 + vertex 85.0632 4.34484 3 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 85.0632 4.34484 0 + vertex 85.1514 4.39144 0 + vertex 85.0632 4.34484 3 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 85.2428 4.43132 0 + vertex 85.2428 4.43132 3 + vertex 85.1514 4.39144 3 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 85.1514 4.39144 0 + vertex 85.2428 4.43132 0 + vertex 85.1514 4.39144 3 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 85.3369 4.46426 0 + vertex 85.3369 4.46426 3 + vertex 85.2428 4.43132 3 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 85.2428 4.43132 0 + vertex 85.3369 4.46426 0 + vertex 85.2428 4.43132 3 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 85.4333 4.49007 0 + vertex 85.4333 4.49007 3 + vertex 85.3369 4.46426 3 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 85.3369 4.46426 0 + vertex 85.4333 4.49007 0 + vertex 85.3369 4.46426 3 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 85.5313 4.50861 0 + vertex 85.5313 4.50861 3 + vertex 85.4333 4.49007 3 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 85.4333 4.49007 0 + vertex 85.5313 4.50861 0 + vertex 85.4333 4.49007 3 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 85.6303 4.51977 0 + vertex 85.6303 4.51977 3 + vertex 85.5313 4.50861 3 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 85.5313 4.50861 0 + vertex 85.6303 4.51977 0 + vertex 85.5313 4.50861 3 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 85.73 4.5235 0 + vertex 85.73 4.5235 3 + vertex 85.6303 4.51977 3 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 85.6303 4.51977 0 + vertex 85.73 4.5235 0 + vertex 85.6303 4.51977 3 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 85.8297 4.51977 0 + vertex 85.8297 4.51977 3 + vertex 85.73 4.5235 3 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 85.73 4.5235 0 + vertex 85.8297 4.51977 0 + vertex 85.73 4.5235 3 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 85.9287 4.50861 0 + vertex 85.9287 4.50861 3 + vertex 85.8297 4.51977 3 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 85.8297 4.51977 0 + vertex 85.9287 4.50861 0 + vertex 85.8297 4.51977 3 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 86.0267 4.49007 0 + vertex 86.0267 4.49007 3 + vertex 85.9287 4.50861 3 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 85.9287 4.50861 0 + vertex 86.0267 4.49007 0 + vertex 85.9287 4.50861 3 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 86.1231 4.46426 0 + vertex 86.1231 4.46426 3 + vertex 86.0267 4.49007 3 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 86.0267 4.49007 0 + vertex 86.1231 4.46426 0 + vertex 86.0267 4.49007 3 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 86.2172 4.43132 0 + vertex 86.2172 4.43132 3 + vertex 86.1231 4.46426 3 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 86.1231 4.46426 0 + vertex 86.2172 4.43132 0 + vertex 86.1231 4.46426 3 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 86.3086 4.39144 0 + vertex 86.3086 4.39144 3 + vertex 86.2172 4.43132 3 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 86.2172 4.43132 0 + vertex 86.3086 4.39144 0 + vertex 86.2172 4.43132 3 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 86.3967 4.34484 0 + vertex 86.3967 4.34484 3 + vertex 86.3086 4.39144 3 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 86.3086 4.39144 0 + vertex 86.3967 4.34484 0 + vertex 86.3086 4.39144 3 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 86.4812 4.29179 0 + vertex 86.4812 4.29179 3 + vertex 86.3967 4.34484 3 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 86.3967 4.34484 0 + vertex 86.4812 4.29179 0 + vertex 86.3967 4.34484 3 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 86.5614 4.23257 0 + vertex 86.5614 4.23257 3 + vertex 86.4812 4.29179 3 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 86.4812 4.29179 0 + vertex 86.5614 4.23257 0 + vertex 86.4812 4.29179 3 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 86.637 4.16752 0 + vertex 86.637 4.16752 3 + vertex 86.5614 4.23257 3 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 86.5614 4.23257 0 + vertex 86.637 4.16752 0 + vertex 86.5614 4.23257 3 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 86.7075 4.09701 0 + vertex 86.7075 4.09701 3 + vertex 86.637 4.16752 3 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 86.637 4.16752 0 + vertex 86.7075 4.09701 0 + vertex 86.637 4.16752 3 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 86.7726 4.02142 3 + vertex 86.7075 4.09701 3 + vertex 86.7726 4.02142 0 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 86.7726 4.02142 0 + vertex 86.7075 4.09701 3 + vertex 86.7075 4.09701 0 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 86.8318 3.94119 3 + vertex 86.7726 4.02142 3 + vertex 86.8318 3.94119 0 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 86.8318 3.94119 0 + vertex 86.7726 4.02142 3 + vertex 86.7726 4.02142 0 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 86.8848 3.85675 3 + vertex 86.8318 3.94119 3 + vertex 86.8848 3.85675 0 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 86.8848 3.85675 0 + vertex 86.8318 3.94119 3 + vertex 86.8318 3.94119 0 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 86.9314 3.76858 3 + vertex 86.8848 3.85675 3 + vertex 86.9314 3.76858 0 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 86.9314 3.76858 0 + vertex 86.8848 3.85675 3 + vertex 86.8848 3.85675 0 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 86.9713 3.67718 3 + vertex 86.9314 3.76858 3 + vertex 86.9713 3.67718 0 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 86.9713 3.67718 0 + vertex 86.9314 3.76858 3 + vertex 86.9314 3.76858 0 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 87.0043 3.58306 3 + vertex 86.9713 3.67718 3 + vertex 87.0043 3.58306 0 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 87.0043 3.58306 0 + vertex 86.9713 3.67718 3 + vertex 86.9713 3.67718 0 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 87.0301 3.48673 3 + vertex 87.0043 3.58306 3 + vertex 87.0301 3.48673 0 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 87.0301 3.48673 0 + vertex 87.0043 3.58306 3 + vertex 87.0043 3.58306 0 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 87.0486 3.38875 3 + vertex 87.0301 3.48673 3 + vertex 87.0486 3.38875 0 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 87.0486 3.38875 0 + vertex 87.0301 3.48673 3 + vertex 87.0301 3.48673 0 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 87.0598 3.28965 3 + vertex 87.0486 3.38875 3 + vertex 87.0598 3.28965 0 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 87.0598 3.28965 0 + vertex 87.0486 3.38875 3 + vertex 87.0486 3.38875 0 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 87.0635 3.19 3 + vertex 87.0598 3.28965 3 + vertex 87.0635 3.19 0 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 87.0635 3.19 0 + vertex 87.0598 3.28965 3 + vertex 87.0598 3.28965 0 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 87.0635 3.19 3 + vertex 87.0635 3.19 0 + vertex 87.0598 3.09035 3 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 87.0598 3.09035 3 + vertex 87.0635 3.19 0 + vertex 87.0598 3.09035 0 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 87.0598 3.09035 3 + vertex 87.0598 3.09035 0 + vertex 87.0486 2.99125 3 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 87.0486 2.99125 3 + vertex 87.0598 3.09035 0 + vertex 87.0486 2.99125 0 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 87.0486 2.99125 3 + vertex 87.0486 2.99125 0 + vertex 87.0301 2.89327 3 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 87.0301 2.89327 3 + vertex 87.0486 2.99125 0 + vertex 87.0301 2.89327 0 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 87.0301 2.89327 3 + vertex 87.0301 2.89327 0 + vertex 87.0043 2.79694 3 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 87.0043 2.79694 3 + vertex 87.0301 2.89327 0 + vertex 87.0043 2.79694 0 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 87.0043 2.79694 3 + vertex 87.0043 2.79694 0 + vertex 86.9713 2.70282 3 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 86.9713 2.70282 3 + vertex 87.0043 2.79694 0 + vertex 86.9713 2.70282 0 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 86.9713 2.70282 3 + vertex 86.9713 2.70282 0 + vertex 86.9314 2.61142 3 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 86.9314 2.61142 3 + vertex 86.9713 2.70282 0 + vertex 86.9314 2.61142 0 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 86.9314 2.61142 3 + vertex 86.9314 2.61142 0 + vertex 86.8848 2.52325 3 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 86.8848 2.52325 3 + vertex 86.9314 2.61142 0 + vertex 86.8848 2.52325 0 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 86.8848 2.52325 3 + vertex 86.8848 2.52325 0 + vertex 86.8318 2.43881 3 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 86.8318 2.43881 3 + vertex 86.8848 2.52325 0 + vertex 86.8318 2.43881 0 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 86.8318 2.43881 3 + vertex 86.8318 2.43881 0 + vertex 86.7726 2.35858 3 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 86.7726 2.35858 3 + vertex 86.8318 2.43881 0 + vertex 86.7726 2.35858 0 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 86.7726 2.35858 3 + vertex 86.7726 2.35858 0 + vertex 86.7075 2.28299 3 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 86.7075 2.28299 3 + vertex 86.7726 2.35858 0 + vertex 86.7075 2.28299 0 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 86.7075 2.28299 3 + vertex 86.7075 2.28299 0 + vertex 86.637 2.21247 3 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 86.637 2.21247 3 + vertex 86.7075 2.28299 0 + vertex 86.637 2.21247 0 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 86.637 2.21247 3 + vertex 86.637 2.21247 0 + vertex 86.5614 2.14743 3 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 86.5614 2.14743 3 + vertex 86.637 2.21247 0 + vertex 86.5614 2.14743 0 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 86.5614 2.14743 3 + vertex 86.5614 2.14743 0 + vertex 86.4812 2.08821 3 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 86.4812 2.08821 3 + vertex 86.5614 2.14743 0 + vertex 86.4812 2.08821 0 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 86.4812 2.08821 3 + vertex 86.4812 2.08821 0 + vertex 86.3967 2.03516 3 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 86.3967 2.03516 3 + vertex 86.4812 2.08821 0 + vertex 86.3967 2.03516 0 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 86.3967 2.03516 3 + vertex 86.3967 2.03516 0 + vertex 86.3086 1.98856 3 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 86.3086 1.98856 3 + vertex 86.3967 2.03516 0 + vertex 86.3086 1.98856 0 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 86.3086 1.98856 3 + vertex 86.3086 1.98856 0 + vertex 86.2172 1.94868 3 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 86.2172 1.94868 3 + vertex 86.3086 1.98856 0 + vertex 86.2172 1.94868 0 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 86.2172 1.94868 3 + vertex 86.2172 1.94868 0 + vertex 86.1231 1.91574 3 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 86.1231 1.91574 3 + vertex 86.2172 1.94868 0 + vertex 86.1231 1.91574 0 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 86.1231 1.91574 3 + vertex 86.1231 1.91574 0 + vertex 86.0267 1.88993 3 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 86.0267 1.88993 3 + vertex 86.1231 1.91574 0 + vertex 86.0267 1.88993 0 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 86.0267 1.88993 3 + vertex 86.0267 1.88993 0 + vertex 85.9287 1.87139 3 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 85.9287 1.87139 3 + vertex 86.0267 1.88993 0 + vertex 85.9287 1.87139 0 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 85.9287 1.87139 3 + vertex 85.9287 1.87139 0 + vertex 85.8297 1.86023 3 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 85.8297 1.86023 3 + vertex 85.9287 1.87139 0 + vertex 85.8297 1.86023 0 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 85.8297 1.86023 3 + vertex 85.8297 1.86023 0 + vertex 85.73 1.8565 3 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 85.73 1.8565 3 + vertex 85.8297 1.86023 0 + vertex 85.73 1.8565 0 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 85.73 1.8565 3 + vertex 85.73 1.8565 0 + vertex 85.6303 1.86023 3 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 85.6303 1.86023 3 + vertex 85.73 1.8565 0 + vertex 85.6303 1.86023 0 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 85.6303 1.86023 3 + vertex 85.6303 1.86023 0 + vertex 85.5313 1.87139 3 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 85.5313 1.87139 3 + vertex 85.6303 1.86023 0 + vertex 85.5313 1.87139 0 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 85.5313 1.87139 3 + vertex 85.5313 1.87139 0 + vertex 85.4333 1.88993 3 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 85.4333 1.88993 3 + vertex 85.5313 1.87139 0 + vertex 85.4333 1.88993 0 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 85.4333 1.88993 3 + vertex 85.4333 1.88993 0 + vertex 85.3369 1.91574 3 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 85.3369 1.91574 3 + vertex 85.4333 1.88993 0 + vertex 85.3369 1.91574 0 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 85.3369 1.91574 3 + vertex 85.3369 1.91574 0 + vertex 85.2428 1.94868 3 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 85.2428 1.94868 3 + vertex 85.3369 1.91574 0 + vertex 85.2428 1.94868 0 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 85.2428 1.94868 3 + vertex 85.2428 1.94868 0 + vertex 85.1514 1.98856 3 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 85.1514 1.98856 3 + vertex 85.2428 1.94868 0 + vertex 85.1514 1.98856 0 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 85.1514 1.98856 3 + vertex 85.1514 1.98856 0 + vertex 85.0632 2.03516 3 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 85.0632 2.03516 3 + vertex 85.1514 1.98856 0 + vertex 85.0632 2.03516 0 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 85.0632 2.03516 3 + vertex 85.0632 2.03516 0 + vertex 84.9788 2.08821 3 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 84.9788 2.08821 3 + vertex 85.0632 2.03516 0 + vertex 84.9788 2.08821 0 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 84.9788 2.08821 3 + vertex 84.9788 2.08821 0 + vertex 84.8986 2.14743 3 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 84.8986 2.14743 3 + vertex 84.9788 2.08821 0 + vertex 84.8986 2.14743 0 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 84.8986 2.14743 3 + vertex 84.8986 2.14743 0 + vertex 84.823 2.21247 3 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 84.823 2.21247 3 + vertex 84.8986 2.14743 0 + vertex 84.823 2.21247 0 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 84.823 2.21247 3 + vertex 84.823 2.21247 0 + vertex 84.7525 2.28299 3 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 84.7525 2.28299 3 + vertex 84.823 2.21247 0 + vertex 84.7525 2.28299 0 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 84.6874 2.35858 3 + vertex 84.7525 2.28299 3 + vertex 84.7525 2.28299 0 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 84.6874 2.35858 0 + vertex 84.6874 2.35858 3 + vertex 84.7525 2.28299 0 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 84.6282 2.43881 3 + vertex 84.6874 2.35858 3 + vertex 84.6874 2.35858 0 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 84.6282 2.43881 0 + vertex 84.6282 2.43881 3 + vertex 84.6874 2.35858 0 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 84.5752 2.52325 3 + vertex 84.6282 2.43881 3 + vertex 84.6282 2.43881 0 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 84.5752 2.52325 0 + vertex 84.5752 2.52325 3 + vertex 84.6282 2.43881 0 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 84.5286 2.61142 3 + vertex 84.5752 2.52325 3 + vertex 84.5752 2.52325 0 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 84.5286 2.61142 0 + vertex 84.5286 2.61142 3 + vertex 84.5752 2.52325 0 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 84.4887 2.70282 3 + vertex 84.5286 2.61142 3 + vertex 84.5286 2.61142 0 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 84.4887 2.70282 0 + vertex 84.4887 2.70282 3 + vertex 84.5286 2.61142 0 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 84.4557 2.79694 3 + vertex 84.4887 2.70282 3 + vertex 84.4887 2.70282 0 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 84.4557 2.79694 0 + vertex 84.4557 2.79694 3 + vertex 84.4887 2.70282 0 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 84.4299 2.89327 3 + vertex 84.4557 2.79694 3 + vertex 84.4557 2.79694 0 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 84.4299 2.89327 0 + vertex 84.4299 2.89327 3 + vertex 84.4557 2.79694 0 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 84.4114 2.99125 3 + vertex 84.4299 2.89327 3 + vertex 84.4299 2.89327 0 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 84.4114 2.99125 0 + vertex 84.4114 2.99125 3 + vertex 84.4299 2.89327 0 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 84.4002 3.09035 3 + vertex 84.4114 2.99125 3 + vertex 84.4114 2.99125 0 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 84.4002 3.09035 0 + vertex 84.4002 3.09035 3 + vertex 84.4114 2.99125 0 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 84.4002 98.3103 0 + vertex 84.3965 98.41 0 + vertex 84.3965 98.41 3 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 84.4002 98.3103 3 + vertex 84.4002 98.3103 0 + vertex 84.3965 98.41 3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 84.3965 98.41 3 + vertex 84.3965 98.41 0 + vertex 84.4002 98.5097 0 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 84.4002 98.5097 3 + vertex 84.3965 98.41 3 + vertex 84.4002 98.5097 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 84.4002 98.5097 3 + vertex 84.4002 98.5097 0 + vertex 84.4114 98.6087 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 84.4114 98.6087 3 + vertex 84.4002 98.5097 3 + vertex 84.4114 98.6087 0 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 84.4114 98.6087 3 + vertex 84.4114 98.6087 0 + vertex 84.4299 98.7067 0 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 84.4299 98.7067 3 + vertex 84.4114 98.6087 3 + vertex 84.4299 98.7067 0 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 84.4299 98.7067 3 + vertex 84.4299 98.7067 0 + vertex 84.4557 98.8031 0 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 84.4557 98.8031 3 + vertex 84.4299 98.7067 3 + vertex 84.4557 98.8031 0 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 84.4557 98.8031 3 + vertex 84.4557 98.8031 0 + vertex 84.4887 98.8972 0 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 84.4887 98.8972 3 + vertex 84.4557 98.8031 3 + vertex 84.4887 98.8972 0 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 84.4887 98.8972 3 + vertex 84.4887 98.8972 0 + vertex 84.5286 98.9886 0 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 84.5286 98.9886 3 + vertex 84.4887 98.8972 3 + vertex 84.5286 98.9886 0 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 84.5286 98.9886 3 + vertex 84.5286 98.9886 0 + vertex 84.5752 99.0767 0 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 84.5752 99.0767 3 + vertex 84.5286 98.9886 3 + vertex 84.5752 99.0767 0 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 84.5752 99.0767 3 + vertex 84.5752 99.0767 0 + vertex 84.6282 99.1612 0 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 84.6282 99.1612 3 + vertex 84.5752 99.0767 3 + vertex 84.6282 99.1612 0 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 84.6282 99.1612 3 + vertex 84.6282 99.1612 0 + vertex 84.6874 99.2414 0 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 84.6874 99.2414 3 + vertex 84.6282 99.1612 3 + vertex 84.6874 99.2414 0 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 84.6874 99.2414 3 + vertex 84.6874 99.2414 0 + vertex 84.7525 99.317 0 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 84.7525 99.317 3 + vertex 84.6874 99.2414 3 + vertex 84.7525 99.317 0 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 84.7525 99.317 3 + vertex 84.7525 99.317 0 + vertex 84.823 99.3875 0 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 84.823 99.3875 3 + vertex 84.7525 99.317 3 + vertex 84.823 99.3875 0 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 84.823 99.3875 3 + vertex 84.823 99.3875 0 + vertex 84.8986 99.4526 0 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 84.8986 99.4526 3 + vertex 84.823 99.3875 3 + vertex 84.8986 99.4526 0 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 84.8986 99.4526 3 + vertex 84.8986 99.4526 0 + vertex 84.9788 99.5118 0 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 84.9788 99.5118 3 + vertex 84.8986 99.4526 3 + vertex 84.9788 99.5118 0 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 84.9788 99.5118 3 + vertex 84.9788 99.5118 0 + vertex 85.0632 99.5648 0 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 85.0632 99.5648 3 + vertex 84.9788 99.5118 3 + vertex 85.0632 99.5648 0 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 85.0632 99.5648 3 + vertex 85.0632 99.5648 0 + vertex 85.1514 99.6114 0 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 85.1514 99.6114 3 + vertex 85.0632 99.5648 3 + vertex 85.1514 99.6114 0 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 85.1514 99.6114 3 + vertex 85.1514 99.6114 0 + vertex 85.2428 99.6513 0 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 85.2428 99.6513 3 + vertex 85.1514 99.6114 3 + vertex 85.2428 99.6513 0 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 85.2428 99.6513 3 + vertex 85.2428 99.6513 0 + vertex 85.3369 99.6843 0 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 85.3369 99.6843 3 + vertex 85.2428 99.6513 3 + vertex 85.3369 99.6843 0 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 85.3369 99.6843 3 + vertex 85.3369 99.6843 0 + vertex 85.4333 99.7101 0 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 85.4333 99.7101 3 + vertex 85.3369 99.6843 3 + vertex 85.4333 99.7101 0 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 85.4333 99.7101 3 + vertex 85.4333 99.7101 0 + vertex 85.5313 99.7286 0 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 85.5313 99.7286 3 + vertex 85.4333 99.7101 3 + vertex 85.5313 99.7286 0 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 85.5313 99.7286 3 + vertex 85.5313 99.7286 0 + vertex 85.6303 99.7398 0 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 85.6303 99.7398 3 + vertex 85.5313 99.7286 3 + vertex 85.6303 99.7398 0 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 85.6303 99.7398 3 + vertex 85.6303 99.7398 0 + vertex 85.73 99.7435 0 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 85.73 99.7435 3 + vertex 85.6303 99.7398 3 + vertex 85.73 99.7435 0 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 85.73 99.7435 3 + vertex 85.73 99.7435 0 + vertex 85.8297 99.7398 0 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 85.8297 99.7398 3 + vertex 85.73 99.7435 3 + vertex 85.8297 99.7398 0 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 85.8297 99.7398 3 + vertex 85.8297 99.7398 0 + vertex 85.9287 99.7286 0 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 85.9287 99.7286 3 + vertex 85.8297 99.7398 3 + vertex 85.9287 99.7286 0 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 85.9287 99.7286 3 + vertex 85.9287 99.7286 0 + vertex 86.0267 99.7101 0 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 86.0267 99.7101 3 + vertex 85.9287 99.7286 3 + vertex 86.0267 99.7101 0 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 86.0267 99.7101 3 + vertex 86.0267 99.7101 0 + vertex 86.1231 99.6843 0 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 86.1231 99.6843 3 + vertex 86.0267 99.7101 3 + vertex 86.1231 99.6843 0 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 86.1231 99.6843 3 + vertex 86.1231 99.6843 0 + vertex 86.2172 99.6513 0 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 86.2172 99.6513 3 + vertex 86.1231 99.6843 3 + vertex 86.2172 99.6513 0 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 86.2172 99.6513 3 + vertex 86.2172 99.6513 0 + vertex 86.3086 99.6114 0 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 86.3086 99.6114 3 + vertex 86.2172 99.6513 3 + vertex 86.3086 99.6114 0 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 86.3086 99.6114 3 + vertex 86.3086 99.6114 0 + vertex 86.3967 99.5648 0 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 86.3967 99.5648 3 + vertex 86.3086 99.6114 3 + vertex 86.3967 99.5648 0 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 86.3967 99.5648 3 + vertex 86.3967 99.5648 0 + vertex 86.4812 99.5118 0 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 86.4812 99.5118 3 + vertex 86.3967 99.5648 3 + vertex 86.4812 99.5118 0 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 86.4812 99.5118 3 + vertex 86.4812 99.5118 0 + vertex 86.5614 99.4526 0 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 86.5614 99.4526 3 + vertex 86.4812 99.5118 3 + vertex 86.5614 99.4526 0 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 86.5614 99.4526 3 + vertex 86.5614 99.4526 0 + vertex 86.637 99.3875 0 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 86.637 99.3875 3 + vertex 86.5614 99.4526 3 + vertex 86.637 99.3875 0 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 86.637 99.3875 3 + vertex 86.637 99.3875 0 + vertex 86.7075 99.317 0 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 86.7075 99.317 3 + vertex 86.637 99.3875 3 + vertex 86.7075 99.317 0 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 86.7075 99.317 0 + vertex 86.7726 99.2414 0 + vertex 86.7075 99.317 3 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 86.7075 99.317 3 + vertex 86.7726 99.2414 0 + vertex 86.7726 99.2414 3 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 86.7726 99.2414 0 + vertex 86.8318 99.1612 0 + vertex 86.7726 99.2414 3 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 86.7726 99.2414 3 + vertex 86.8318 99.1612 0 + vertex 86.8318 99.1612 3 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 86.8318 99.1612 0 + vertex 86.8848 99.0767 0 + vertex 86.8318 99.1612 3 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 86.8318 99.1612 3 + vertex 86.8848 99.0767 0 + vertex 86.8848 99.0767 3 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 86.8848 99.0767 0 + vertex 86.9314 98.9886 0 + vertex 86.8848 99.0767 3 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 86.8848 99.0767 3 + vertex 86.9314 98.9886 0 + vertex 86.9314 98.9886 3 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 86.9314 98.9886 0 + vertex 86.9713 98.8972 0 + vertex 86.9314 98.9886 3 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 86.9314 98.9886 3 + vertex 86.9713 98.8972 0 + vertex 86.9713 98.8972 3 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 86.9713 98.8972 0 + vertex 87.0043 98.8031 0 + vertex 86.9713 98.8972 3 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 86.9713 98.8972 3 + vertex 87.0043 98.8031 0 + vertex 87.0043 98.8031 3 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 87.0043 98.8031 0 + vertex 87.0301 98.7067 0 + vertex 87.0043 98.8031 3 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 87.0043 98.8031 3 + vertex 87.0301 98.7067 0 + vertex 87.0301 98.7067 3 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 87.0301 98.7067 0 + vertex 87.0486 98.6087 0 + vertex 87.0301 98.7067 3 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 87.0301 98.7067 3 + vertex 87.0486 98.6087 0 + vertex 87.0486 98.6087 3 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 87.0486 98.6087 0 + vertex 87.0598 98.5097 0 + vertex 87.0486 98.6087 3 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 87.0486 98.6087 3 + vertex 87.0598 98.5097 0 + vertex 87.0598 98.5097 3 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 87.0598 98.5097 0 + vertex 87.0635 98.41 0 + vertex 87.0598 98.5097 3 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 87.0598 98.5097 3 + vertex 87.0635 98.41 0 + vertex 87.0635 98.41 3 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 87.0598 98.3103 0 + vertex 87.0598 98.3103 3 + vertex 87.0635 98.41 0 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 87.0635 98.41 0 + vertex 87.0598 98.3103 3 + vertex 87.0635 98.41 3 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 87.0486 98.2113 0 + vertex 87.0486 98.2113 3 + vertex 87.0598 98.3103 0 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 87.0598 98.3103 0 + vertex 87.0486 98.2113 3 + vertex 87.0598 98.3103 3 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 87.0301 98.1133 0 + vertex 87.0301 98.1133 3 + vertex 87.0486 98.2113 0 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 87.0486 98.2113 0 + vertex 87.0301 98.1133 3 + vertex 87.0486 98.2113 3 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 87.0043 98.0169 0 + vertex 87.0043 98.0169 3 + vertex 87.0301 98.1133 0 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 87.0301 98.1133 0 + vertex 87.0043 98.0169 3 + vertex 87.0301 98.1133 3 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 86.9713 97.9228 0 + vertex 86.9713 97.9228 3 + vertex 87.0043 98.0169 0 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 87.0043 98.0169 0 + vertex 86.9713 97.9228 3 + vertex 87.0043 98.0169 3 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 86.9314 97.8314 0 + vertex 86.9314 97.8314 3 + vertex 86.9713 97.9228 0 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 86.9713 97.9228 0 + vertex 86.9314 97.8314 3 + vertex 86.9713 97.9228 3 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 86.8848 97.7432 0 + vertex 86.8848 97.7432 3 + vertex 86.9314 97.8314 0 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 86.9314 97.8314 0 + vertex 86.8848 97.7432 3 + vertex 86.9314 97.8314 3 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 86.8318 97.6588 0 + vertex 86.8318 97.6588 3 + vertex 86.8848 97.7432 0 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 86.8848 97.7432 0 + vertex 86.8318 97.6588 3 + vertex 86.8848 97.7432 3 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 86.7726 97.5786 0 + vertex 86.7726 97.5786 3 + vertex 86.8318 97.6588 0 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 86.8318 97.6588 0 + vertex 86.7726 97.5786 3 + vertex 86.8318 97.6588 3 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 86.7075 97.503 0 + vertex 86.7075 97.503 3 + vertex 86.7726 97.5786 0 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 86.7726 97.5786 0 + vertex 86.7075 97.503 3 + vertex 86.7726 97.5786 3 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 86.637 97.4325 0 + vertex 86.637 97.4325 3 + vertex 86.7075 97.503 0 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 86.7075 97.503 0 + vertex 86.637 97.4325 3 + vertex 86.7075 97.503 3 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 86.5614 97.3674 0 + vertex 86.5614 97.3674 3 + vertex 86.637 97.4325 0 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 86.637 97.4325 0 + vertex 86.5614 97.3674 3 + vertex 86.637 97.4325 3 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 86.4812 97.3082 0 + vertex 86.4812 97.3082 3 + vertex 86.5614 97.3674 0 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 86.5614 97.3674 0 + vertex 86.4812 97.3082 3 + vertex 86.5614 97.3674 3 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 86.3967 97.2552 0 + vertex 86.3967 97.2552 3 + vertex 86.4812 97.3082 0 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 86.4812 97.3082 0 + vertex 86.3967 97.2552 3 + vertex 86.4812 97.3082 3 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 86.3086 97.2086 0 + vertex 86.3086 97.2086 3 + vertex 86.3967 97.2552 0 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 86.3967 97.2552 0 + vertex 86.3086 97.2086 3 + vertex 86.3967 97.2552 3 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 86.2172 97.1687 0 + vertex 86.2172 97.1687 3 + vertex 86.3086 97.2086 0 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 86.3086 97.2086 0 + vertex 86.2172 97.1687 3 + vertex 86.3086 97.2086 3 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 86.1231 97.1357 0 + vertex 86.1231 97.1357 3 + vertex 86.2172 97.1687 0 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 86.2172 97.1687 0 + vertex 86.1231 97.1357 3 + vertex 86.2172 97.1687 3 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 86.0267 97.1099 0 + vertex 86.0267 97.1099 3 + vertex 86.1231 97.1357 0 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 86.1231 97.1357 0 + vertex 86.0267 97.1099 3 + vertex 86.1231 97.1357 3 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 85.9287 97.0914 0 + vertex 85.9287 97.0914 3 + vertex 86.0267 97.1099 0 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 86.0267 97.1099 0 + vertex 85.9287 97.0914 3 + vertex 86.0267 97.1099 3 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 85.8297 97.0802 0 + vertex 85.8297 97.0802 3 + vertex 85.9287 97.0914 0 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 85.9287 97.0914 0 + vertex 85.8297 97.0802 3 + vertex 85.9287 97.0914 3 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 85.73 97.0765 0 + vertex 85.73 97.0765 3 + vertex 85.8297 97.0802 0 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 85.8297 97.0802 0 + vertex 85.73 97.0765 3 + vertex 85.8297 97.0802 3 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 85.6303 97.0802 0 + vertex 85.6303 97.0802 3 + vertex 85.73 97.0765 0 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 85.73 97.0765 0 + vertex 85.6303 97.0802 3 + vertex 85.73 97.0765 3 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 85.5313 97.0914 0 + vertex 85.5313 97.0914 3 + vertex 85.6303 97.0802 0 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 85.6303 97.0802 0 + vertex 85.5313 97.0914 3 + vertex 85.6303 97.0802 3 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 85.4333 97.1099 0 + vertex 85.4333 97.1099 3 + vertex 85.5313 97.0914 0 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 85.5313 97.0914 0 + vertex 85.4333 97.1099 3 + vertex 85.5313 97.0914 3 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 85.3369 97.1357 0 + vertex 85.3369 97.1357 3 + vertex 85.4333 97.1099 0 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 85.4333 97.1099 0 + vertex 85.3369 97.1357 3 + vertex 85.4333 97.1099 3 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 85.2428 97.1687 0 + vertex 85.2428 97.1687 3 + vertex 85.3369 97.1357 0 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 85.3369 97.1357 0 + vertex 85.2428 97.1687 3 + vertex 85.3369 97.1357 3 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 85.1514 97.2086 0 + vertex 85.1514 97.2086 3 + vertex 85.2428 97.1687 0 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 85.2428 97.1687 0 + vertex 85.1514 97.2086 3 + vertex 85.2428 97.1687 3 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 85.0632 97.2552 0 + vertex 85.0632 97.2552 3 + vertex 85.1514 97.2086 0 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 85.1514 97.2086 0 + vertex 85.0632 97.2552 3 + vertex 85.1514 97.2086 3 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 84.9788 97.3082 0 + vertex 84.9788 97.3082 3 + vertex 85.0632 97.2552 0 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 85.0632 97.2552 0 + vertex 84.9788 97.3082 3 + vertex 85.0632 97.2552 3 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 84.8986 97.3674 0 + vertex 84.8986 97.3674 3 + vertex 84.9788 97.3082 0 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 84.9788 97.3082 0 + vertex 84.8986 97.3674 3 + vertex 84.9788 97.3082 3 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 84.823 97.4325 0 + vertex 84.823 97.4325 3 + vertex 84.8986 97.3674 0 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 84.8986 97.3674 0 + vertex 84.823 97.4325 3 + vertex 84.8986 97.3674 3 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 84.7525 97.503 0 + vertex 84.7525 97.503 3 + vertex 84.823 97.4325 0 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 84.823 97.4325 0 + vertex 84.7525 97.503 3 + vertex 84.823 97.4325 3 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 84.7525 97.503 0 + vertex 84.6874 97.5786 0 + vertex 84.6874 97.5786 3 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 84.7525 97.503 3 + vertex 84.7525 97.503 0 + vertex 84.6874 97.5786 3 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 84.6874 97.5786 0 + vertex 84.6282 97.6588 0 + vertex 84.6282 97.6588 3 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 84.6874 97.5786 3 + vertex 84.6874 97.5786 0 + vertex 84.6282 97.6588 3 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 84.6282 97.6588 0 + vertex 84.5752 97.7432 0 + vertex 84.5752 97.7432 3 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 84.6282 97.6588 3 + vertex 84.6282 97.6588 0 + vertex 84.5752 97.7432 3 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 84.5752 97.7432 0 + vertex 84.5286 97.8314 0 + vertex 84.5286 97.8314 3 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 84.5752 97.7432 3 + vertex 84.5752 97.7432 0 + vertex 84.5286 97.8314 3 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 84.5286 97.8314 0 + vertex 84.4887 97.9228 0 + vertex 84.4887 97.9228 3 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 84.5286 97.8314 3 + vertex 84.5286 97.8314 0 + vertex 84.4887 97.9228 3 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 84.4887 97.9228 0 + vertex 84.4557 98.0169 0 + vertex 84.4557 98.0169 3 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 84.4887 97.9228 3 + vertex 84.4887 97.9228 0 + vertex 84.4557 98.0169 3 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 84.4557 98.0169 0 + vertex 84.4299 98.1133 0 + vertex 84.4299 98.1133 3 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 84.4557 98.0169 3 + vertex 84.4557 98.0169 0 + vertex 84.4299 98.1133 3 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 84.4299 98.1133 0 + vertex 84.4114 98.2113 0 + vertex 84.4114 98.2113 3 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 84.4299 98.1133 3 + vertex 84.4299 98.1133 0 + vertex 84.4114 98.2113 3 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 84.4114 98.2113 0 + vertex 84.4002 98.3103 0 + vertex 84.4002 98.3103 3 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 84.4114 98.2113 3 + vertex 84.4114 98.2113 0 + vertex 84.4002 98.3103 3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 90.4002 5.68965 0 + vertex 90.4002 5.68965 3 + vertex 90.3965 5.59 3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 90.3965 5.59 0 + vertex 90.4002 5.68965 0 + vertex 90.3965 5.59 3 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 90.3965 5.59 3 + vertex 90.4002 5.49035 3 + vertex 90.4002 5.49035 0 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 90.3965 5.59 0 + vertex 90.3965 5.59 3 + vertex 90.4002 5.49035 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 90.4114 5.78875 0 + vertex 90.4114 5.78875 3 + vertex 90.4002 5.68965 3 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 90.4002 5.68965 0 + vertex 90.4114 5.78875 0 + vertex 90.4002 5.68965 3 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 90.4299 5.88673 0 + vertex 90.4299 5.88673 3 + vertex 90.4114 5.78875 3 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 90.4114 5.78875 0 + vertex 90.4299 5.88673 0 + vertex 90.4114 5.78875 3 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 90.4557 5.98306 0 + vertex 90.4557 5.98306 3 + vertex 90.4299 5.88673 3 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 90.4299 5.88673 0 + vertex 90.4557 5.98306 0 + vertex 90.4299 5.88673 3 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 90.4887 6.07718 0 + vertex 90.4887 6.07718 3 + vertex 90.4557 5.98306 3 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 90.4557 5.98306 0 + vertex 90.4887 6.07718 0 + vertex 90.4557 5.98306 3 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 90.5286 6.16858 0 + vertex 90.5286 6.16858 3 + vertex 90.4887 6.07718 3 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 90.4887 6.07718 0 + vertex 90.5286 6.16858 0 + vertex 90.4887 6.07718 3 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 90.5752 6.25675 0 + vertex 90.5752 6.25675 3 + vertex 90.5286 6.16858 3 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 90.5286 6.16858 0 + vertex 90.5752 6.25675 0 + vertex 90.5286 6.16858 3 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 90.6282 6.34119 0 + vertex 90.6282 6.34119 3 + vertex 90.5752 6.25675 3 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 90.5752 6.25675 0 + vertex 90.6282 6.34119 0 + vertex 90.5752 6.25675 3 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 90.6874 6.42142 0 + vertex 90.6874 6.42142 3 + vertex 90.6282 6.34119 3 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 90.6282 6.34119 0 + vertex 90.6874 6.42142 0 + vertex 90.6282 6.34119 3 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 90.7525 6.49701 0 + vertex 90.7525 6.49701 3 + vertex 90.6874 6.42142 3 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 90.6874 6.42142 0 + vertex 90.7525 6.49701 0 + vertex 90.6874 6.42142 3 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 90.823 6.56752 0 + vertex 90.823 6.56752 3 + vertex 90.7525 6.49701 3 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 90.7525 6.49701 0 + vertex 90.823 6.56752 0 + vertex 90.7525 6.49701 3 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 90.8986 6.63257 0 + vertex 90.8986 6.63257 3 + vertex 90.823 6.56752 3 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 90.823 6.56752 0 + vertex 90.8986 6.63257 0 + vertex 90.823 6.56752 3 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 90.9788 6.69179 0 + vertex 90.9788 6.69179 3 + vertex 90.8986 6.63257 3 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 90.8986 6.63257 0 + vertex 90.9788 6.69179 0 + vertex 90.8986 6.63257 3 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 91.0632 6.74484 0 + vertex 91.0632 6.74484 3 + vertex 90.9788 6.69179 3 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 90.9788 6.69179 0 + vertex 91.0632 6.74484 0 + vertex 90.9788 6.69179 3 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 91.1514 6.79144 0 + vertex 91.1514 6.79144 3 + vertex 91.0632 6.74484 3 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 91.0632 6.74484 0 + vertex 91.1514 6.79144 0 + vertex 91.0632 6.74484 3 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 91.2428 6.83132 0 + vertex 91.2428 6.83132 3 + vertex 91.1514 6.79144 3 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 91.1514 6.79144 0 + vertex 91.2428 6.83132 0 + vertex 91.1514 6.79144 3 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 91.3369 6.86426 0 + vertex 91.3369 6.86426 3 + vertex 91.2428 6.83132 3 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 91.2428 6.83132 0 + vertex 91.3369 6.86426 0 + vertex 91.2428 6.83132 3 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 91.4333 6.89007 0 + vertex 91.4333 6.89007 3 + vertex 91.3369 6.86426 3 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 91.3369 6.86426 0 + vertex 91.4333 6.89007 0 + vertex 91.3369 6.86426 3 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 91.5313 6.90861 0 + vertex 91.5313 6.90861 3 + vertex 91.4333 6.89007 3 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 91.4333 6.89007 0 + vertex 91.5313 6.90861 0 + vertex 91.4333 6.89007 3 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 91.6303 6.91977 0 + vertex 91.6303 6.91977 3 + vertex 91.5313 6.90861 3 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 91.5313 6.90861 0 + vertex 91.6303 6.91977 0 + vertex 91.5313 6.90861 3 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 91.73 6.9235 0 + vertex 91.73 6.9235 3 + vertex 91.6303 6.91977 3 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 91.6303 6.91977 0 + vertex 91.73 6.9235 0 + vertex 91.6303 6.91977 3 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 91.8297 6.91977 0 + vertex 91.8297 6.91977 3 + vertex 91.73 6.9235 3 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 91.73 6.9235 0 + vertex 91.8297 6.91977 0 + vertex 91.73 6.9235 3 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 91.9287 6.90861 0 + vertex 91.9287 6.90861 3 + vertex 91.8297 6.91977 3 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 91.8297 6.91977 0 + vertex 91.9287 6.90861 0 + vertex 91.8297 6.91977 3 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 92.0267 6.89007 0 + vertex 92.0267 6.89007 3 + vertex 91.9287 6.90861 3 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 91.9287 6.90861 0 + vertex 92.0267 6.89007 0 + vertex 91.9287 6.90861 3 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 92.1231 6.86426 0 + vertex 92.1231 6.86426 3 + vertex 92.0267 6.89007 3 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 92.0267 6.89007 0 + vertex 92.1231 6.86426 0 + vertex 92.0267 6.89007 3 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 92.2172 6.83132 0 + vertex 92.2172 6.83132 3 + vertex 92.1231 6.86426 3 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 92.1231 6.86426 0 + vertex 92.2172 6.83132 0 + vertex 92.1231 6.86426 3 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 92.3086 6.79144 0 + vertex 92.3086 6.79144 3 + vertex 92.2172 6.83132 3 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 92.2172 6.83132 0 + vertex 92.3086 6.79144 0 + vertex 92.2172 6.83132 3 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 92.3967 6.74484 0 + vertex 92.3967 6.74484 3 + vertex 92.3086 6.79144 3 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 92.3086 6.79144 0 + vertex 92.3967 6.74484 0 + vertex 92.3086 6.79144 3 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 92.4812 6.69179 0 + vertex 92.4812 6.69179 3 + vertex 92.3967 6.74484 3 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 92.3967 6.74484 0 + vertex 92.4812 6.69179 0 + vertex 92.3967 6.74484 3 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 92.5614 6.63257 0 + vertex 92.5614 6.63257 3 + vertex 92.4812 6.69179 3 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 92.4812 6.69179 0 + vertex 92.5614 6.63257 0 + vertex 92.4812 6.69179 3 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 92.637 6.56752 0 + vertex 92.637 6.56752 3 + vertex 92.5614 6.63257 3 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 92.5614 6.63257 0 + vertex 92.637 6.56752 0 + vertex 92.5614 6.63257 3 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 92.7075 6.49701 0 + vertex 92.7075 6.49701 3 + vertex 92.637 6.56752 3 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 92.637 6.56752 0 + vertex 92.7075 6.49701 0 + vertex 92.637 6.56752 3 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 92.7726 6.42142 3 + vertex 92.7075 6.49701 3 + vertex 92.7726 6.42142 0 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 92.7726 6.42142 0 + vertex 92.7075 6.49701 3 + vertex 92.7075 6.49701 0 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 92.8318 6.34119 3 + vertex 92.7726 6.42142 3 + vertex 92.8318 6.34119 0 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 92.8318 6.34119 0 + vertex 92.7726 6.42142 3 + vertex 92.7726 6.42142 0 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 92.8848 6.25675 3 + vertex 92.8318 6.34119 3 + vertex 92.8848 6.25675 0 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 92.8848 6.25675 0 + vertex 92.8318 6.34119 3 + vertex 92.8318 6.34119 0 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 92.9314 6.16858 3 + vertex 92.8848 6.25675 3 + vertex 92.9314 6.16858 0 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 92.9314 6.16858 0 + vertex 92.8848 6.25675 3 + vertex 92.8848 6.25675 0 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 92.9713 6.07718 3 + vertex 92.9314 6.16858 3 + vertex 92.9713 6.07718 0 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 92.9713 6.07718 0 + vertex 92.9314 6.16858 3 + vertex 92.9314 6.16858 0 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 93.0043 5.98306 3 + vertex 92.9713 6.07718 3 + vertex 93.0043 5.98306 0 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 93.0043 5.98306 0 + vertex 92.9713 6.07718 3 + vertex 92.9713 6.07718 0 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 93.0301 5.88673 3 + vertex 93.0043 5.98306 3 + vertex 93.0301 5.88673 0 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 93.0301 5.88673 0 + vertex 93.0043 5.98306 3 + vertex 93.0043 5.98306 0 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 93.0486 5.78875 3 + vertex 93.0301 5.88673 3 + vertex 93.0486 5.78875 0 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 93.0486 5.78875 0 + vertex 93.0301 5.88673 3 + vertex 93.0301 5.88673 0 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 93.0598 5.68965 3 + vertex 93.0486 5.78875 3 + vertex 93.0598 5.68965 0 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 93.0598 5.68965 0 + vertex 93.0486 5.78875 3 + vertex 93.0486 5.78875 0 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 93.0635 5.59 3 + vertex 93.0598 5.68965 3 + vertex 93.0635 5.59 0 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 93.0635 5.59 0 + vertex 93.0598 5.68965 3 + vertex 93.0598 5.68965 0 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 93.0635 5.59 3 + vertex 93.0635 5.59 0 + vertex 93.0598 5.49035 3 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 93.0598 5.49035 3 + vertex 93.0635 5.59 0 + vertex 93.0598 5.49035 0 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 93.0598 5.49035 3 + vertex 93.0598 5.49035 0 + vertex 93.0486 5.39125 3 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 93.0486 5.39125 3 + vertex 93.0598 5.49035 0 + vertex 93.0486 5.39125 0 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 93.0486 5.39125 3 + vertex 93.0486 5.39125 0 + vertex 93.0301 5.29327 3 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 93.0301 5.29327 3 + vertex 93.0486 5.39125 0 + vertex 93.0301 5.29327 0 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 93.0301 5.29327 3 + vertex 93.0301 5.29327 0 + vertex 93.0043 5.19694 3 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 93.0043 5.19694 3 + vertex 93.0301 5.29327 0 + vertex 93.0043 5.19694 0 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 93.0043 5.19694 3 + vertex 93.0043 5.19694 0 + vertex 92.9713 5.10282 3 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 92.9713 5.10282 3 + vertex 93.0043 5.19694 0 + vertex 92.9713 5.10282 0 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 92.9713 5.10282 3 + vertex 92.9713 5.10282 0 + vertex 92.9314 5.01142 3 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 92.9314 5.01142 3 + vertex 92.9713 5.10282 0 + vertex 92.9314 5.01142 0 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 92.9314 5.01142 3 + vertex 92.9314 5.01142 0 + vertex 92.8848 4.92325 3 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 92.8848 4.92325 3 + vertex 92.9314 5.01142 0 + vertex 92.8848 4.92325 0 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 92.8848 4.92325 3 + vertex 92.8848 4.92325 0 + vertex 92.8318 4.83881 3 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 92.8318 4.83881 3 + vertex 92.8848 4.92325 0 + vertex 92.8318 4.83881 0 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 92.8318 4.83881 3 + vertex 92.8318 4.83881 0 + vertex 92.7726 4.75858 3 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 92.7726 4.75858 3 + vertex 92.8318 4.83881 0 + vertex 92.7726 4.75858 0 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 92.7726 4.75858 3 + vertex 92.7726 4.75858 0 + vertex 92.7075 4.68299 3 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 92.7075 4.68299 3 + vertex 92.7726 4.75858 0 + vertex 92.7075 4.68299 0 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 92.7075 4.68299 3 + vertex 92.7075 4.68299 0 + vertex 92.637 4.61247 3 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 92.637 4.61247 3 + vertex 92.7075 4.68299 0 + vertex 92.637 4.61247 0 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 92.637 4.61247 3 + vertex 92.637 4.61247 0 + vertex 92.5614 4.54743 3 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 92.5614 4.54743 3 + vertex 92.637 4.61247 0 + vertex 92.5614 4.54743 0 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 92.5614 4.54743 3 + vertex 92.5614 4.54743 0 + vertex 92.4812 4.48821 3 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 92.4812 4.48821 3 + vertex 92.5614 4.54743 0 + vertex 92.4812 4.48821 0 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 92.4812 4.48821 3 + vertex 92.4812 4.48821 0 + vertex 92.3967 4.43515 3 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 92.3967 4.43515 3 + vertex 92.4812 4.48821 0 + vertex 92.3967 4.43515 0 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 92.3967 4.43515 3 + vertex 92.3967 4.43515 0 + vertex 92.3086 4.38856 3 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 92.3086 4.38856 3 + vertex 92.3967 4.43515 0 + vertex 92.3086 4.38856 0 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 92.3086 4.38856 3 + vertex 92.3086 4.38856 0 + vertex 92.2172 4.34868 3 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 92.2172 4.34868 3 + vertex 92.3086 4.38856 0 + vertex 92.2172 4.34868 0 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 92.2172 4.34868 3 + vertex 92.2172 4.34868 0 + vertex 92.1231 4.31574 3 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 92.1231 4.31574 3 + vertex 92.2172 4.34868 0 + vertex 92.1231 4.31574 0 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 92.1231 4.31574 3 + vertex 92.1231 4.31574 0 + vertex 92.0267 4.28993 3 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 92.0267 4.28993 3 + vertex 92.1231 4.31574 0 + vertex 92.0267 4.28993 0 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 92.0267 4.28993 3 + vertex 92.0267 4.28993 0 + vertex 91.9287 4.27139 3 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 91.9287 4.27139 3 + vertex 92.0267 4.28993 0 + vertex 91.9287 4.27139 0 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 91.9287 4.27139 3 + vertex 91.9287 4.27139 0 + vertex 91.8297 4.26023 3 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 91.8297 4.26023 3 + vertex 91.9287 4.27139 0 + vertex 91.8297 4.26023 0 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 91.8297 4.26023 3 + vertex 91.8297 4.26023 0 + vertex 91.73 4.2565 3 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 91.73 4.2565 3 + vertex 91.8297 4.26023 0 + vertex 91.73 4.2565 0 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 91.73 4.2565 3 + vertex 91.73 4.2565 0 + vertex 91.6303 4.26023 3 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 91.6303 4.26023 3 + vertex 91.73 4.2565 0 + vertex 91.6303 4.26023 0 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 91.6303 4.26023 3 + vertex 91.6303 4.26023 0 + vertex 91.5313 4.27139 3 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 91.5313 4.27139 3 + vertex 91.6303 4.26023 0 + vertex 91.5313 4.27139 0 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 91.5313 4.27139 3 + vertex 91.5313 4.27139 0 + vertex 91.4333 4.28993 3 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 91.4333 4.28993 3 + vertex 91.5313 4.27139 0 + vertex 91.4333 4.28993 0 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 91.4333 4.28993 3 + vertex 91.4333 4.28993 0 + vertex 91.3369 4.31574 3 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 91.3369 4.31574 3 + vertex 91.4333 4.28993 0 + vertex 91.3369 4.31574 0 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 91.3369 4.31574 3 + vertex 91.3369 4.31574 0 + vertex 91.2428 4.34868 3 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 91.2428 4.34868 3 + vertex 91.3369 4.31574 0 + vertex 91.2428 4.34868 0 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 91.2428 4.34868 3 + vertex 91.2428 4.34868 0 + vertex 91.1514 4.38856 3 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 91.1514 4.38856 3 + vertex 91.2428 4.34868 0 + vertex 91.1514 4.38856 0 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 91.1514 4.38856 3 + vertex 91.1514 4.38856 0 + vertex 91.0632 4.43515 3 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 91.0632 4.43515 3 + vertex 91.1514 4.38856 0 + vertex 91.0632 4.43515 0 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 91.0632 4.43515 3 + vertex 91.0632 4.43515 0 + vertex 90.9788 4.48821 3 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 90.9788 4.48821 3 + vertex 91.0632 4.43515 0 + vertex 90.9788 4.48821 0 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 90.9788 4.48821 3 + vertex 90.9788 4.48821 0 + vertex 90.8986 4.54743 3 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 90.8986 4.54743 3 + vertex 90.9788 4.48821 0 + vertex 90.8986 4.54743 0 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 90.8986 4.54743 3 + vertex 90.8986 4.54743 0 + vertex 90.823 4.61247 3 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 90.823 4.61247 3 + vertex 90.8986 4.54743 0 + vertex 90.823 4.61247 0 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 90.823 4.61247 3 + vertex 90.823 4.61247 0 + vertex 90.7525 4.68299 3 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 90.7525 4.68299 3 + vertex 90.823 4.61247 0 + vertex 90.7525 4.68299 0 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 90.6874 4.75858 3 + vertex 90.7525 4.68299 3 + vertex 90.7525 4.68299 0 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 90.6874 4.75858 0 + vertex 90.6874 4.75858 3 + vertex 90.7525 4.68299 0 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 90.6282 4.83881 3 + vertex 90.6874 4.75858 3 + vertex 90.6874 4.75858 0 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 90.6282 4.83881 0 + vertex 90.6282 4.83881 3 + vertex 90.6874 4.75858 0 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 90.5752 4.92325 3 + vertex 90.6282 4.83881 3 + vertex 90.6282 4.83881 0 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 90.5752 4.92325 0 + vertex 90.5752 4.92325 3 + vertex 90.6282 4.83881 0 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 90.5286 5.01142 3 + vertex 90.5752 4.92325 3 + vertex 90.5752 4.92325 0 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 90.5286 5.01142 0 + vertex 90.5286 5.01142 3 + vertex 90.5752 4.92325 0 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 90.4887 5.10282 3 + vertex 90.5286 5.01142 3 + vertex 90.5286 5.01142 0 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 90.4887 5.10282 0 + vertex 90.4887 5.10282 3 + vertex 90.5286 5.01142 0 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 90.4557 5.19694 3 + vertex 90.4887 5.10282 3 + vertex 90.4887 5.10282 0 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 90.4557 5.19694 0 + vertex 90.4557 5.19694 3 + vertex 90.4887 5.10282 0 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 90.4299 5.29327 3 + vertex 90.4557 5.19694 3 + vertex 90.4557 5.19694 0 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 90.4299 5.29327 0 + vertex 90.4299 5.29327 3 + vertex 90.4557 5.19694 0 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 90.4114 5.39125 3 + vertex 90.4299 5.29327 3 + vertex 90.4299 5.29327 0 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 90.4114 5.39125 0 + vertex 90.4114 5.39125 3 + vertex 90.4299 5.29327 0 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 90.4002 5.49035 3 + vertex 90.4114 5.39125 3 + vertex 90.4114 5.39125 0 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 90.4002 5.49035 0 + vertex 90.4002 5.49035 3 + vertex 90.4114 5.39125 0 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 90.4002 95.9103 0 + vertex 90.3965 96.01 0 + vertex 90.3965 96.01 3 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 90.4002 95.9103 3 + vertex 90.4002 95.9103 0 + vertex 90.3965 96.01 3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 90.3965 96.01 3 + vertex 90.3965 96.01 0 + vertex 90.4002 96.1097 0 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 90.4002 96.1097 3 + vertex 90.3965 96.01 3 + vertex 90.4002 96.1097 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 90.4002 96.1097 3 + vertex 90.4002 96.1097 0 + vertex 90.4114 96.2087 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 90.4114 96.2087 3 + vertex 90.4002 96.1097 3 + vertex 90.4114 96.2087 0 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 90.4114 96.2087 3 + vertex 90.4114 96.2087 0 + vertex 90.4299 96.3067 0 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 90.4299 96.3067 3 + vertex 90.4114 96.2087 3 + vertex 90.4299 96.3067 0 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 90.4299 96.3067 3 + vertex 90.4299 96.3067 0 + vertex 90.4557 96.4031 0 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 90.4557 96.4031 3 + vertex 90.4299 96.3067 3 + vertex 90.4557 96.4031 0 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 90.4557 96.4031 3 + vertex 90.4557 96.4031 0 + vertex 90.4887 96.4972 0 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 90.4887 96.4972 3 + vertex 90.4557 96.4031 3 + vertex 90.4887 96.4972 0 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 90.4887 96.4972 3 + vertex 90.4887 96.4972 0 + vertex 90.5286 96.5886 0 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 90.5286 96.5886 3 + vertex 90.4887 96.4972 3 + vertex 90.5286 96.5886 0 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 90.5286 96.5886 3 + vertex 90.5286 96.5886 0 + vertex 90.5752 96.6767 0 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 90.5752 96.6767 3 + vertex 90.5286 96.5886 3 + vertex 90.5752 96.6767 0 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 90.5752 96.6767 3 + vertex 90.5752 96.6767 0 + vertex 90.6282 96.7612 0 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 90.6282 96.7612 3 + vertex 90.5752 96.6767 3 + vertex 90.6282 96.7612 0 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 90.6282 96.7612 3 + vertex 90.6282 96.7612 0 + vertex 90.6874 96.8414 0 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 90.6874 96.8414 3 + vertex 90.6282 96.7612 3 + vertex 90.6874 96.8414 0 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 90.6874 96.8414 3 + vertex 90.6874 96.8414 0 + vertex 90.7525 96.917 0 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 90.7525 96.917 3 + vertex 90.6874 96.8414 3 + vertex 90.7525 96.917 0 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 90.7525 96.917 3 + vertex 90.7525 96.917 0 + vertex 90.823 96.9875 0 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 90.823 96.9875 3 + vertex 90.7525 96.917 3 + vertex 90.823 96.9875 0 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 90.823 96.9875 3 + vertex 90.823 96.9875 0 + vertex 90.8986 97.0526 0 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 90.8986 97.0526 3 + vertex 90.823 96.9875 3 + vertex 90.8986 97.0526 0 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 90.8986 97.0526 3 + vertex 90.8986 97.0526 0 + vertex 90.9788 97.1118 0 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 90.9788 97.1118 3 + vertex 90.8986 97.0526 3 + vertex 90.9788 97.1118 0 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 90.9788 97.1118 3 + vertex 90.9788 97.1118 0 + vertex 91.0632 97.1648 0 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 91.0632 97.1648 3 + vertex 90.9788 97.1118 3 + vertex 91.0632 97.1648 0 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 91.0632 97.1648 3 + vertex 91.0632 97.1648 0 + vertex 91.1514 97.2114 0 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 91.1514 97.2114 3 + vertex 91.0632 97.1648 3 + vertex 91.1514 97.2114 0 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 91.1514 97.2114 3 + vertex 91.1514 97.2114 0 + vertex 91.2428 97.2513 0 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 91.2428 97.2513 3 + vertex 91.1514 97.2114 3 + vertex 91.2428 97.2513 0 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 91.2428 97.2513 3 + vertex 91.2428 97.2513 0 + vertex 91.3369 97.2843 0 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 91.3369 97.2843 3 + vertex 91.2428 97.2513 3 + vertex 91.3369 97.2843 0 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 91.3369 97.2843 3 + vertex 91.3369 97.2843 0 + vertex 91.4333 97.3101 0 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 91.4333 97.3101 3 + vertex 91.3369 97.2843 3 + vertex 91.4333 97.3101 0 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 91.4333 97.3101 3 + vertex 91.4333 97.3101 0 + vertex 91.5313 97.3286 0 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 91.5313 97.3286 3 + vertex 91.4333 97.3101 3 + vertex 91.5313 97.3286 0 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 91.5313 97.3286 3 + vertex 91.5313 97.3286 0 + vertex 91.6303 97.3398 0 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 91.6303 97.3398 3 + vertex 91.5313 97.3286 3 + vertex 91.6303 97.3398 0 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 91.6303 97.3398 3 + vertex 91.6303 97.3398 0 + vertex 91.73 97.3435 0 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 91.73 97.3435 3 + vertex 91.6303 97.3398 3 + vertex 91.73 97.3435 0 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 91.73 97.3435 3 + vertex 91.73 97.3435 0 + vertex 91.8297 97.3398 0 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 91.8297 97.3398 3 + vertex 91.73 97.3435 3 + vertex 91.8297 97.3398 0 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 91.8297 97.3398 3 + vertex 91.8297 97.3398 0 + vertex 91.9287 97.3286 0 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 91.9287 97.3286 3 + vertex 91.8297 97.3398 3 + vertex 91.9287 97.3286 0 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 91.9287 97.3286 3 + vertex 91.9287 97.3286 0 + vertex 92.0267 97.3101 0 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 92.0267 97.3101 3 + vertex 91.9287 97.3286 3 + vertex 92.0267 97.3101 0 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 92.0267 97.3101 3 + vertex 92.0267 97.3101 0 + vertex 92.1231 97.2843 0 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 92.1231 97.2843 3 + vertex 92.0267 97.3101 3 + vertex 92.1231 97.2843 0 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 92.1231 97.2843 3 + vertex 92.1231 97.2843 0 + vertex 92.2172 97.2513 0 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 92.2172 97.2513 3 + vertex 92.1231 97.2843 3 + vertex 92.2172 97.2513 0 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 92.2172 97.2513 3 + vertex 92.2172 97.2513 0 + vertex 92.3086 97.2114 0 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 92.3086 97.2114 3 + vertex 92.2172 97.2513 3 + vertex 92.3086 97.2114 0 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 92.3086 97.2114 3 + vertex 92.3086 97.2114 0 + vertex 92.3967 97.1648 0 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 92.3967 97.1648 3 + vertex 92.3086 97.2114 3 + vertex 92.3967 97.1648 0 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 92.3967 97.1648 3 + vertex 92.3967 97.1648 0 + vertex 92.4812 97.1118 0 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 92.4812 97.1118 3 + vertex 92.3967 97.1648 3 + vertex 92.4812 97.1118 0 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 92.4812 97.1118 3 + vertex 92.4812 97.1118 0 + vertex 92.5614 97.0526 0 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 92.5614 97.0526 3 + vertex 92.4812 97.1118 3 + vertex 92.5614 97.0526 0 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 92.5614 97.0526 3 + vertex 92.5614 97.0526 0 + vertex 92.637 96.9875 0 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 92.637 96.9875 3 + vertex 92.5614 97.0526 3 + vertex 92.637 96.9875 0 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 92.637 96.9875 3 + vertex 92.637 96.9875 0 + vertex 92.7075 96.917 0 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 92.7075 96.917 3 + vertex 92.637 96.9875 3 + vertex 92.7075 96.917 0 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 92.7075 96.917 0 + vertex 92.7726 96.8414 0 + vertex 92.7075 96.917 3 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 92.7075 96.917 3 + vertex 92.7726 96.8414 0 + vertex 92.7726 96.8414 3 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 92.7726 96.8414 0 + vertex 92.8318 96.7612 0 + vertex 92.7726 96.8414 3 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 92.7726 96.8414 3 + vertex 92.8318 96.7612 0 + vertex 92.8318 96.7612 3 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 92.8318 96.7612 0 + vertex 92.8848 96.6767 0 + vertex 92.8318 96.7612 3 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 92.8318 96.7612 3 + vertex 92.8848 96.6767 0 + vertex 92.8848 96.6767 3 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 92.8848 96.6767 0 + vertex 92.9314 96.5886 0 + vertex 92.8848 96.6767 3 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 92.8848 96.6767 3 + vertex 92.9314 96.5886 0 + vertex 92.9314 96.5886 3 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 92.9314 96.5886 0 + vertex 92.9713 96.4972 0 + vertex 92.9314 96.5886 3 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 92.9314 96.5886 3 + vertex 92.9713 96.4972 0 + vertex 92.9713 96.4972 3 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 92.9713 96.4972 0 + vertex 93.0043 96.4031 0 + vertex 92.9713 96.4972 3 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 92.9713 96.4972 3 + vertex 93.0043 96.4031 0 + vertex 93.0043 96.4031 3 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 93.0043 96.4031 0 + vertex 93.0301 96.3067 0 + vertex 93.0043 96.4031 3 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 93.0043 96.4031 3 + vertex 93.0301 96.3067 0 + vertex 93.0301 96.3067 3 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 93.0301 96.3067 0 + vertex 93.0486 96.2087 0 + vertex 93.0301 96.3067 3 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 93.0301 96.3067 3 + vertex 93.0486 96.2087 0 + vertex 93.0486 96.2087 3 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 93.0486 96.2087 0 + vertex 93.0598 96.1097 0 + vertex 93.0486 96.2087 3 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 93.0486 96.2087 3 + vertex 93.0598 96.1097 0 + vertex 93.0598 96.1097 3 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 93.0598 96.1097 0 + vertex 93.0635 96.01 0 + vertex 93.0598 96.1097 3 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 93.0598 96.1097 3 + vertex 93.0635 96.01 0 + vertex 93.0635 96.01 3 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 93.0598 95.9103 0 + vertex 93.0598 95.9103 3 + vertex 93.0635 96.01 0 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 93.0635 96.01 0 + vertex 93.0598 95.9103 3 + vertex 93.0635 96.01 3 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 93.0486 95.8113 0 + vertex 93.0486 95.8113 3 + vertex 93.0598 95.9103 0 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 93.0598 95.9103 0 + vertex 93.0486 95.8113 3 + vertex 93.0598 95.9103 3 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 93.0301 95.7133 0 + vertex 93.0301 95.7133 3 + vertex 93.0486 95.8113 0 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 93.0486 95.8113 0 + vertex 93.0301 95.7133 3 + vertex 93.0486 95.8113 3 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 93.0043 95.6169 0 + vertex 93.0043 95.6169 3 + vertex 93.0301 95.7133 0 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 93.0301 95.7133 0 + vertex 93.0043 95.6169 3 + vertex 93.0301 95.7133 3 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 92.9713 95.5228 0 + vertex 92.9713 95.5228 3 + vertex 93.0043 95.6169 0 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 93.0043 95.6169 0 + vertex 92.9713 95.5228 3 + vertex 93.0043 95.6169 3 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 92.9314 95.4314 0 + vertex 92.9314 95.4314 3 + vertex 92.9713 95.5228 0 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 92.9713 95.5228 0 + vertex 92.9314 95.4314 3 + vertex 92.9713 95.5228 3 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 92.8848 95.3432 0 + vertex 92.8848 95.3432 3 + vertex 92.9314 95.4314 0 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 92.9314 95.4314 0 + vertex 92.8848 95.3432 3 + vertex 92.9314 95.4314 3 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 92.8318 95.2588 0 + vertex 92.8318 95.2588 3 + vertex 92.8848 95.3432 0 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 92.8848 95.3432 0 + vertex 92.8318 95.2588 3 + vertex 92.8848 95.3432 3 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 92.7726 95.1786 0 + vertex 92.7726 95.1786 3 + vertex 92.8318 95.2588 0 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 92.8318 95.2588 0 + vertex 92.7726 95.1786 3 + vertex 92.8318 95.2588 3 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 92.7075 95.103 0 + vertex 92.7075 95.103 3 + vertex 92.7726 95.1786 0 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 92.7726 95.1786 0 + vertex 92.7075 95.103 3 + vertex 92.7726 95.1786 3 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 92.637 95.0325 0 + vertex 92.637 95.0325 3 + vertex 92.7075 95.103 0 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 92.7075 95.103 0 + vertex 92.637 95.0325 3 + vertex 92.7075 95.103 3 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 92.5614 94.9674 0 + vertex 92.5614 94.9674 3 + vertex 92.637 95.0325 0 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 92.637 95.0325 0 + vertex 92.5614 94.9674 3 + vertex 92.637 95.0325 3 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 92.4812 94.9082 0 + vertex 92.4812 94.9082 3 + vertex 92.5614 94.9674 0 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 92.5614 94.9674 0 + vertex 92.4812 94.9082 3 + vertex 92.5614 94.9674 3 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 92.3967 94.8552 0 + vertex 92.3967 94.8552 3 + vertex 92.4812 94.9082 0 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 92.4812 94.9082 0 + vertex 92.3967 94.8552 3 + vertex 92.4812 94.9082 3 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 92.3086 94.8086 0 + vertex 92.3086 94.8086 3 + vertex 92.3967 94.8552 0 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 92.3967 94.8552 0 + vertex 92.3086 94.8086 3 + vertex 92.3967 94.8552 3 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 92.2172 94.7687 0 + vertex 92.2172 94.7687 3 + vertex 92.3086 94.8086 0 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 92.3086 94.8086 0 + vertex 92.2172 94.7687 3 + vertex 92.3086 94.8086 3 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 92.1231 94.7357 0 + vertex 92.1231 94.7357 3 + vertex 92.2172 94.7687 0 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 92.2172 94.7687 0 + vertex 92.1231 94.7357 3 + vertex 92.2172 94.7687 3 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 92.0267 94.7099 0 + vertex 92.0267 94.7099 3 + vertex 92.1231 94.7357 0 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 92.1231 94.7357 0 + vertex 92.0267 94.7099 3 + vertex 92.1231 94.7357 3 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 91.9287 94.6914 0 + vertex 91.9287 94.6914 3 + vertex 92.0267 94.7099 0 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 92.0267 94.7099 0 + vertex 91.9287 94.6914 3 + vertex 92.0267 94.7099 3 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 91.8297 94.6802 0 + vertex 91.8297 94.6802 3 + vertex 91.9287 94.6914 0 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 91.9287 94.6914 0 + vertex 91.8297 94.6802 3 + vertex 91.9287 94.6914 3 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 91.73 94.6765 0 + vertex 91.73 94.6765 3 + vertex 91.8297 94.6802 0 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 91.8297 94.6802 0 + vertex 91.73 94.6765 3 + vertex 91.8297 94.6802 3 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 91.6303 94.6802 0 + vertex 91.6303 94.6802 3 + vertex 91.73 94.6765 0 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 91.73 94.6765 0 + vertex 91.6303 94.6802 3 + vertex 91.73 94.6765 3 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 91.5313 94.6914 0 + vertex 91.5313 94.6914 3 + vertex 91.6303 94.6802 0 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 91.6303 94.6802 0 + vertex 91.5313 94.6914 3 + vertex 91.6303 94.6802 3 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 91.4333 94.7099 0 + vertex 91.4333 94.7099 3 + vertex 91.5313 94.6914 0 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 91.5313 94.6914 0 + vertex 91.4333 94.7099 3 + vertex 91.5313 94.6914 3 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 91.3369 94.7357 0 + vertex 91.3369 94.7357 3 + vertex 91.4333 94.7099 0 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 91.4333 94.7099 0 + vertex 91.3369 94.7357 3 + vertex 91.4333 94.7099 3 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 91.2428 94.7687 0 + vertex 91.2428 94.7687 3 + vertex 91.3369 94.7357 0 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 91.3369 94.7357 0 + vertex 91.2428 94.7687 3 + vertex 91.3369 94.7357 3 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 91.1514 94.8086 0 + vertex 91.1514 94.8086 3 + vertex 91.2428 94.7687 0 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 91.2428 94.7687 0 + vertex 91.1514 94.8086 3 + vertex 91.2428 94.7687 3 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 91.0632 94.8552 0 + vertex 91.0632 94.8552 3 + vertex 91.1514 94.8086 0 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 91.1514 94.8086 0 + vertex 91.0632 94.8552 3 + vertex 91.1514 94.8086 3 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 90.9788 94.9082 0 + vertex 90.9788 94.9082 3 + vertex 91.0632 94.8552 0 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 91.0632 94.8552 0 + vertex 90.9788 94.9082 3 + vertex 91.0632 94.8552 3 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 90.8986 94.9674 0 + vertex 90.8986 94.9674 3 + vertex 90.9788 94.9082 0 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 90.9788 94.9082 0 + vertex 90.8986 94.9674 3 + vertex 90.9788 94.9082 3 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 90.823 95.0325 0 + vertex 90.823 95.0325 3 + vertex 90.8986 94.9674 0 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 90.8986 94.9674 0 + vertex 90.823 95.0325 3 + vertex 90.8986 94.9674 3 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 90.7525 95.103 0 + vertex 90.7525 95.103 3 + vertex 90.823 95.0325 0 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 90.823 95.0325 0 + vertex 90.7525 95.103 3 + vertex 90.823 95.0325 3 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 90.7525 95.103 0 + vertex 90.6874 95.1786 0 + vertex 90.6874 95.1786 3 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 90.7525 95.103 3 + vertex 90.7525 95.103 0 + vertex 90.6874 95.1786 3 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 90.6874 95.1786 0 + vertex 90.6282 95.2588 0 + vertex 90.6282 95.2588 3 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 90.6874 95.1786 3 + vertex 90.6874 95.1786 0 + vertex 90.6282 95.2588 3 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 90.6282 95.2588 0 + vertex 90.5752 95.3432 0 + vertex 90.5752 95.3432 3 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 90.6282 95.2588 3 + vertex 90.6282 95.2588 0 + vertex 90.5752 95.3432 3 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 90.5752 95.3432 0 + vertex 90.5286 95.4314 0 + vertex 90.5286 95.4314 3 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 90.5752 95.3432 3 + vertex 90.5752 95.3432 0 + vertex 90.5286 95.4314 3 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 90.5286 95.4314 0 + vertex 90.4887 95.5228 0 + vertex 90.4887 95.5228 3 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 90.5286 95.4314 3 + vertex 90.5286 95.4314 0 + vertex 90.4887 95.5228 3 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 90.4887 95.5228 0 + vertex 90.4557 95.6169 0 + vertex 90.4557 95.6169 3 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 90.4887 95.5228 3 + vertex 90.4887 95.5228 0 + vertex 90.4557 95.6169 3 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 90.4557 95.6169 0 + vertex 90.4299 95.7133 0 + vertex 90.4299 95.7133 3 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 90.4557 95.6169 3 + vertex 90.4557 95.6169 0 + vertex 90.4299 95.7133 3 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 90.4299 95.7133 0 + vertex 90.4114 95.8113 0 + vertex 90.4114 95.8113 3 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 90.4299 95.7133 3 + vertex 90.4299 95.7133 0 + vertex 90.4114 95.8113 3 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 90.4114 95.8113 0 + vertex 90.4002 95.9103 0 + vertex 90.4002 95.9103 3 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 90.4114 95.8113 3 + vertex 90.4114 95.8113 0 + vertex 90.4002 95.9103 3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 94.33 10 1.3 + vertex 94.33 10 0 + vertex 94.33 33.4333 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 94.33 33.4333 1.3 + vertex 94.33 10 1.3 + vertex 94.33 33.4333 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 94.33 33.4333 1.3 + vertex 94.33 33.4333 0 + vertex 137.5 33.4333 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 137.5 33.4333 1.3 + vertex 94.33 33.4333 1.3 + vertex 137.5 33.4333 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 137.5 1.3 0 + vertex 137.5 1.3 1.3 + vertex 137.5 33.4333 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 137.5 33.4333 0 + vertex 137.5 1.3 1.3 + vertex 137.5 33.4333 1.3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 120.48 1.3 3 + vertex 120.48 1.3 0 + vertex 120.48 6 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 120.48 6 3 + vertex 120.48 1.3 3 + vertex 120.48 6 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 114.48 6 0 + vertex 114.48 6 3 + vertex 120.48 6 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 120.48 6 0 + vertex 114.48 6 3 + vertex 120.48 6 3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 114.48 1.3 0 + vertex 114.48 1.3 3 + vertex 114.48 6 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 114.48 6 0 + vertex 114.48 1.3 3 + vertex 114.48 6 3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 94.73 1.3 3 + vertex 94.73 1.3 0 + vertex 94.73 10 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 94.73 10 3 + vertex 94.73 1.3 3 + vertex 94.73 10 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 94.33 34.7333 1.3 + vertex 94.33 34.7333 0 + vertex 94.33 66.8667 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 94.33 66.8667 1.3 + vertex 94.33 34.7333 1.3 + vertex 94.33 66.8667 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 94.33 66.8667 1.3 + vertex 94.33 66.8667 0 + vertex 137.5 66.8667 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 137.5 66.8667 1.3 + vertex 94.33 66.8667 1.3 + vertex 137.5 66.8667 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 137.5 34.7333 0 + vertex 137.5 34.7333 1.3 + vertex 137.5 66.8667 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 137.5 66.8667 0 + vertex 137.5 34.7333 1.3 + vertex 137.5 66.8667 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 94.33 34.7333 0 + vertex 94.33 34.7333 1.3 + vertex 137.5 34.7333 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 137.5 34.7333 0 + vertex 94.33 34.7333 1.3 + vertex 137.5 34.7333 1.3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 94.33 68.1667 1.3 + vertex 94.33 68.1667 0 + vertex 94.33 91.6 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 94.33 91.6 1.3 + vertex 94.33 68.1667 1.3 + vertex 94.33 91.6 0 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 94.73 100.3 0 + vertex 94.73 100.3 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 94.73 91.6 0 + vertex 94.73 100.3 0 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 114.48 100.3 3 + vertex 114.48 100.3 0 + vertex 114.48 95.6 3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 114.48 95.6 3 + vertex 114.48 100.3 0 + vertex 114.48 95.6 0 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 120.48 95.6 0 + vertex 120.48 95.6 3 + vertex 114.48 95.6 3 + endloop + endfacet + facet normal 0 -1 0 + outer loop + vertex 114.48 95.6 0 + vertex 120.48 95.6 0 + vertex 114.48 95.6 3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 120.48 100.3 0 + vertex 120.48 100.3 3 + vertex 120.48 95.6 3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 120.48 95.6 0 + vertex 120.48 100.3 0 + vertex 120.48 95.6 3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 137.5 68.1667 0 + vertex 137.5 68.1667 1.3 + vertex 137.5 100.3 0 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 137.5 100.3 0 + vertex 137.5 68.1667 1.3 + vertex 137.5 100.3 1.3 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 94.33 68.1667 0 + vertex 94.33 68.1667 1.3 + vertex 137.5 68.1667 0 + endloop + endfacet + facet normal 0 1 0 + outer loop + vertex 137.5 68.1667 0 + vertex 94.33 68.1667 1.3 + vertex 137.5 68.1667 1.3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 116.15 3.28965 0 + vertex 116.15 3.28965 3 + vertex 116.147 3.19 3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 116.147 3.19 0 + vertex 116.15 3.28965 0 + vertex 116.147 3.19 3 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 116.147 3.19 3 + vertex 116.15 3.09035 3 + vertex 116.15 3.09035 0 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 116.147 3.19 0 + vertex 116.147 3.19 3 + vertex 116.15 3.09035 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 116.161 3.38875 0 + vertex 116.161 3.38875 3 + vertex 116.15 3.28965 3 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 116.15 3.28965 0 + vertex 116.161 3.38875 0 + vertex 116.15 3.28965 3 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 116.18 3.48673 0 + vertex 116.18 3.48673 3 + vertex 116.161 3.38875 3 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 116.161 3.38875 0 + vertex 116.18 3.48673 0 + vertex 116.161 3.38875 3 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 116.206 3.58306 0 + vertex 116.206 3.58306 3 + vertex 116.18 3.48673 3 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 116.18 3.48673 0 + vertex 116.206 3.58306 0 + vertex 116.18 3.48673 3 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 116.239 3.67718 0 + vertex 116.239 3.67718 3 + vertex 116.206 3.58306 3 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 116.206 3.58306 0 + vertex 116.239 3.67718 0 + vertex 116.206 3.58306 3 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 116.279 3.76858 0 + vertex 116.279 3.76858 3 + vertex 116.239 3.67718 3 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 116.239 3.67718 0 + vertex 116.279 3.76858 0 + vertex 116.239 3.67718 3 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 116.325 3.85675 0 + vertex 116.325 3.85675 3 + vertex 116.279 3.76858 3 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 116.279 3.76858 0 + vertex 116.325 3.85675 0 + vertex 116.279 3.76858 3 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 116.378 3.94119 0 + vertex 116.378 3.94119 3 + vertex 116.325 3.85675 3 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 116.325 3.85675 0 + vertex 116.378 3.94119 0 + vertex 116.325 3.85675 3 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 116.437 4.02142 0 + vertex 116.437 4.02142 3 + vertex 116.378 3.94119 3 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 116.378 3.94119 0 + vertex 116.437 4.02142 0 + vertex 116.378 3.94119 3 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 116.502 4.09701 0 + vertex 116.502 4.09701 3 + vertex 116.437 4.02142 3 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 116.437 4.02142 0 + vertex 116.502 4.09701 0 + vertex 116.437 4.02142 3 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 116.573 4.16752 0 + vertex 116.573 4.16752 3 + vertex 116.502 4.09701 3 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 116.502 4.09701 0 + vertex 116.573 4.16752 0 + vertex 116.502 4.09701 3 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 116.649 4.23257 0 + vertex 116.649 4.23257 3 + vertex 116.573 4.16752 3 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 116.573 4.16752 0 + vertex 116.649 4.23257 0 + vertex 116.573 4.16752 3 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 116.729 4.29179 0 + vertex 116.729 4.29179 3 + vertex 116.649 4.23257 3 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 116.649 4.23257 0 + vertex 116.729 4.29179 0 + vertex 116.649 4.23257 3 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 116.813 4.34484 0 + vertex 116.813 4.34484 3 + vertex 116.729 4.29179 3 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 116.729 4.29179 0 + vertex 116.813 4.34484 0 + vertex 116.729 4.29179 3 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 116.901 4.39144 0 + vertex 116.901 4.39144 3 + vertex 116.813 4.34484 3 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 116.813 4.34484 0 + vertex 116.901 4.39144 0 + vertex 116.813 4.34484 3 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 116.993 4.43132 0 + vertex 116.993 4.43132 3 + vertex 116.901 4.39144 3 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 116.901 4.39144 0 + vertex 116.993 4.43132 0 + vertex 116.901 4.39144 3 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 117.087 4.46426 0 + vertex 117.087 4.46426 3 + vertex 116.993 4.43132 3 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 116.993 4.43132 0 + vertex 117.087 4.46426 0 + vertex 116.993 4.43132 3 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 117.183 4.49007 0 + vertex 117.183 4.49007 3 + vertex 117.087 4.46426 3 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 117.087 4.46426 0 + vertex 117.183 4.49007 0 + vertex 117.087 4.46426 3 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 117.281 4.50861 0 + vertex 117.281 4.50861 3 + vertex 117.183 4.49007 3 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 117.183 4.49007 0 + vertex 117.281 4.50861 0 + vertex 117.183 4.49007 3 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 117.38 4.51977 0 + vertex 117.38 4.51977 3 + vertex 117.281 4.50861 3 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 117.281 4.50861 0 + vertex 117.38 4.51977 0 + vertex 117.281 4.50861 3 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 117.48 4.5235 0 + vertex 117.48 4.5235 3 + vertex 117.38 4.51977 3 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 117.38 4.51977 0 + vertex 117.48 4.5235 0 + vertex 117.38 4.51977 3 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 117.58 4.51977 0 + vertex 117.58 4.51977 3 + vertex 117.48 4.5235 3 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 117.48 4.5235 0 + vertex 117.58 4.51977 0 + vertex 117.48 4.5235 3 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 117.679 4.50861 0 + vertex 117.679 4.50861 3 + vertex 117.58 4.51977 3 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 117.58 4.51977 0 + vertex 117.679 4.50861 0 + vertex 117.58 4.51977 3 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 117.777 4.49007 0 + vertex 117.777 4.49007 3 + vertex 117.679 4.50861 3 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 117.679 4.50861 0 + vertex 117.777 4.49007 0 + vertex 117.679 4.50861 3 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 117.873 4.46426 0 + vertex 117.873 4.46426 3 + vertex 117.777 4.49007 3 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 117.777 4.49007 0 + vertex 117.873 4.46426 0 + vertex 117.777 4.49007 3 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 117.967 4.43132 0 + vertex 117.967 4.43132 3 + vertex 117.873 4.46426 3 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 117.873 4.46426 0 + vertex 117.967 4.43132 0 + vertex 117.873 4.46426 3 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 118.059 4.39144 0 + vertex 118.059 4.39144 3 + vertex 117.967 4.43132 3 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 117.967 4.43132 0 + vertex 118.059 4.39144 0 + vertex 117.967 4.43132 3 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 118.147 4.34484 0 + vertex 118.147 4.34484 3 + vertex 118.059 4.39144 3 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 118.059 4.39144 0 + vertex 118.147 4.34484 0 + vertex 118.059 4.39144 3 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 118.231 4.29179 0 + vertex 118.231 4.29179 3 + vertex 118.147 4.34484 3 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 118.147 4.34484 0 + vertex 118.231 4.29179 0 + vertex 118.147 4.34484 3 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 118.311 4.23257 0 + vertex 118.311 4.23257 3 + vertex 118.231 4.29179 3 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 118.231 4.29179 0 + vertex 118.311 4.23257 0 + vertex 118.231 4.29179 3 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 118.387 4.16752 0 + vertex 118.387 4.16752 3 + vertex 118.311 4.23257 3 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 118.311 4.23257 0 + vertex 118.387 4.16752 0 + vertex 118.311 4.23257 3 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 118.458 4.09701 0 + vertex 118.458 4.09701 3 + vertex 118.387 4.16752 3 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 118.387 4.16752 0 + vertex 118.458 4.09701 0 + vertex 118.387 4.16752 3 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 118.523 4.02142 3 + vertex 118.458 4.09701 3 + vertex 118.523 4.02142 0 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 118.523 4.02142 0 + vertex 118.458 4.09701 3 + vertex 118.458 4.09701 0 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 118.582 3.94119 3 + vertex 118.523 4.02142 3 + vertex 118.582 3.94119 0 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 118.582 3.94119 0 + vertex 118.523 4.02142 3 + vertex 118.523 4.02142 0 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 118.635 3.85675 3 + vertex 118.582 3.94119 3 + vertex 118.635 3.85675 0 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 118.635 3.85675 0 + vertex 118.582 3.94119 3 + vertex 118.582 3.94119 0 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 118.681 3.76858 3 + vertex 118.635 3.85675 3 + vertex 118.681 3.76858 0 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 118.681 3.76858 0 + vertex 118.635 3.85675 3 + vertex 118.635 3.85675 0 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 118.721 3.67718 3 + vertex 118.681 3.76858 3 + vertex 118.721 3.67718 0 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 118.721 3.67718 0 + vertex 118.681 3.76858 3 + vertex 118.681 3.76858 0 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 118.754 3.58306 3 + vertex 118.721 3.67718 3 + vertex 118.754 3.58306 0 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 118.754 3.58306 0 + vertex 118.721 3.67718 3 + vertex 118.721 3.67718 0 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 118.78 3.48673 3 + vertex 118.754 3.58306 3 + vertex 118.78 3.48673 0 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 118.78 3.48673 0 + vertex 118.754 3.58306 3 + vertex 118.754 3.58306 0 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 118.799 3.38875 3 + vertex 118.78 3.48673 3 + vertex 118.799 3.38875 0 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 118.799 3.38875 0 + vertex 118.78 3.48673 3 + vertex 118.78 3.48673 0 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 118.81 3.28965 3 + vertex 118.799 3.38875 3 + vertex 118.81 3.28965 0 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 118.81 3.28965 0 + vertex 118.799 3.38875 3 + vertex 118.799 3.38875 0 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 118.813 3.19 3 + vertex 118.81 3.28965 3 + vertex 118.813 3.19 0 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 118.813 3.19 0 + vertex 118.81 3.28965 3 + vertex 118.81 3.28965 0 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 118.813 3.19 3 + vertex 118.813 3.19 0 + vertex 118.81 3.09035 3 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 118.81 3.09035 3 + vertex 118.813 3.19 0 + vertex 118.81 3.09035 0 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 118.81 3.09035 3 + vertex 118.81 3.09035 0 + vertex 118.799 2.99125 3 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 118.799 2.99125 3 + vertex 118.81 3.09035 0 + vertex 118.799 2.99125 0 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 118.799 2.99125 3 + vertex 118.799 2.99125 0 + vertex 118.78 2.89327 3 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 118.78 2.89327 3 + vertex 118.799 2.99125 0 + vertex 118.78 2.89327 0 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 118.78 2.89327 3 + vertex 118.78 2.89327 0 + vertex 118.754 2.79694 3 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 118.754 2.79694 3 + vertex 118.78 2.89327 0 + vertex 118.754 2.79694 0 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 118.754 2.79694 3 + vertex 118.754 2.79694 0 + vertex 118.721 2.70282 3 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 118.721 2.70282 3 + vertex 118.754 2.79694 0 + vertex 118.721 2.70282 0 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 118.721 2.70282 3 + vertex 118.721 2.70282 0 + vertex 118.681 2.61142 3 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 118.681 2.61142 3 + vertex 118.721 2.70282 0 + vertex 118.681 2.61142 0 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 118.681 2.61142 3 + vertex 118.681 2.61142 0 + vertex 118.635 2.52325 3 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 118.635 2.52325 3 + vertex 118.681 2.61142 0 + vertex 118.635 2.52325 0 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 118.635 2.52325 3 + vertex 118.635 2.52325 0 + vertex 118.582 2.43881 3 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 118.582 2.43881 3 + vertex 118.635 2.52325 0 + vertex 118.582 2.43881 0 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 118.582 2.43881 3 + vertex 118.582 2.43881 0 + vertex 118.523 2.35858 3 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 118.523 2.35858 3 + vertex 118.582 2.43881 0 + vertex 118.523 2.35858 0 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 118.523 2.35858 3 + vertex 118.523 2.35858 0 + vertex 118.458 2.28299 3 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 118.458 2.28299 3 + vertex 118.523 2.35858 0 + vertex 118.458 2.28299 0 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 118.458 2.28299 3 + vertex 118.458 2.28299 0 + vertex 118.387 2.21247 3 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 118.387 2.21247 3 + vertex 118.458 2.28299 0 + vertex 118.387 2.21247 0 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 118.387 2.21247 3 + vertex 118.387 2.21247 0 + vertex 118.311 2.14743 3 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 118.311 2.14743 3 + vertex 118.387 2.21247 0 + vertex 118.311 2.14743 0 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 118.311 2.14743 3 + vertex 118.311 2.14743 0 + vertex 118.231 2.08821 3 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 118.231 2.08821 3 + vertex 118.311 2.14743 0 + vertex 118.231 2.08821 0 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 118.231 2.08821 3 + vertex 118.231 2.08821 0 + vertex 118.147 2.03516 3 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 118.147 2.03516 3 + vertex 118.231 2.08821 0 + vertex 118.147 2.03516 0 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 118.147 2.03516 3 + vertex 118.147 2.03516 0 + vertex 118.059 1.98856 3 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 118.059 1.98856 3 + vertex 118.147 2.03516 0 + vertex 118.059 1.98856 0 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 118.059 1.98856 3 + vertex 118.059 1.98856 0 + vertex 117.967 1.94868 3 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 117.967 1.94868 3 + vertex 118.059 1.98856 0 + vertex 117.967 1.94868 0 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 117.967 1.94868 3 + vertex 117.967 1.94868 0 + vertex 117.873 1.91574 3 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 117.873 1.91574 3 + vertex 117.967 1.94868 0 + vertex 117.873 1.91574 0 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 117.873 1.91574 3 + vertex 117.873 1.91574 0 + vertex 117.777 1.88993 3 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 117.777 1.88993 3 + vertex 117.873 1.91574 0 + vertex 117.777 1.88993 0 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 117.777 1.88993 3 + vertex 117.777 1.88993 0 + vertex 117.679 1.87139 3 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 117.679 1.87139 3 + vertex 117.777 1.88993 0 + vertex 117.679 1.87139 0 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 117.679 1.87139 3 + vertex 117.679 1.87139 0 + vertex 117.58 1.86023 3 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 117.58 1.86023 3 + vertex 117.679 1.87139 0 + vertex 117.58 1.86023 0 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 117.58 1.86023 3 + vertex 117.58 1.86023 0 + vertex 117.48 1.8565 3 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 117.48 1.8565 3 + vertex 117.58 1.86023 0 + vertex 117.48 1.8565 0 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 117.48 1.8565 3 + vertex 117.48 1.8565 0 + vertex 117.38 1.86023 3 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 117.38 1.86023 3 + vertex 117.48 1.8565 0 + vertex 117.38 1.86023 0 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 117.38 1.86023 3 + vertex 117.38 1.86023 0 + vertex 117.281 1.87139 3 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 117.281 1.87139 3 + vertex 117.38 1.86023 0 + vertex 117.281 1.87139 0 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 117.281 1.87139 3 + vertex 117.281 1.87139 0 + vertex 117.183 1.88993 3 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 117.183 1.88993 3 + vertex 117.281 1.87139 0 + vertex 117.183 1.88993 0 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 117.183 1.88993 3 + vertex 117.183 1.88993 0 + vertex 117.087 1.91574 3 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 117.087 1.91574 3 + vertex 117.183 1.88993 0 + vertex 117.087 1.91574 0 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 117.087 1.91574 3 + vertex 117.087 1.91574 0 + vertex 116.993 1.94868 3 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 116.993 1.94868 3 + vertex 117.087 1.91574 0 + vertex 116.993 1.94868 0 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 116.993 1.94868 3 + vertex 116.993 1.94868 0 + vertex 116.901 1.98856 3 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 116.901 1.98856 3 + vertex 116.993 1.94868 0 + vertex 116.901 1.98856 0 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 116.901 1.98856 3 + vertex 116.901 1.98856 0 + vertex 116.813 2.03516 3 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 116.813 2.03516 3 + vertex 116.901 1.98856 0 + vertex 116.813 2.03516 0 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 116.813 2.03516 3 + vertex 116.813 2.03516 0 + vertex 116.729 2.08821 3 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 116.729 2.08821 3 + vertex 116.813 2.03516 0 + vertex 116.729 2.08821 0 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 116.729 2.08821 3 + vertex 116.729 2.08821 0 + vertex 116.649 2.14743 3 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 116.649 2.14743 3 + vertex 116.729 2.08821 0 + vertex 116.649 2.14743 0 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 116.649 2.14743 3 + vertex 116.649 2.14743 0 + vertex 116.573 2.21247 3 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 116.573 2.21247 3 + vertex 116.649 2.14743 0 + vertex 116.573 2.21247 0 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 116.573 2.21247 3 + vertex 116.573 2.21247 0 + vertex 116.502 2.28299 3 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 116.502 2.28299 3 + vertex 116.573 2.21247 0 + vertex 116.502 2.28299 0 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 116.437 2.35858 3 + vertex 116.502 2.28299 3 + vertex 116.502 2.28299 0 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 116.437 2.35858 0 + vertex 116.437 2.35858 3 + vertex 116.502 2.28299 0 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 116.378 2.43881 3 + vertex 116.437 2.35858 3 + vertex 116.437 2.35858 0 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 116.378 2.43881 0 + vertex 116.378 2.43881 3 + vertex 116.437 2.35858 0 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 116.325 2.52325 3 + vertex 116.378 2.43881 3 + vertex 116.378 2.43881 0 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 116.325 2.52325 0 + vertex 116.325 2.52325 3 + vertex 116.378 2.43881 0 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 116.279 2.61142 3 + vertex 116.325 2.52325 3 + vertex 116.325 2.52325 0 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 116.279 2.61142 0 + vertex 116.279 2.61142 3 + vertex 116.325 2.52325 0 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 116.239 2.70282 3 + vertex 116.279 2.61142 3 + vertex 116.279 2.61142 0 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 116.239 2.70282 0 + vertex 116.239 2.70282 3 + vertex 116.279 2.61142 0 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 116.206 2.79694 3 + vertex 116.239 2.70282 3 + vertex 116.239 2.70282 0 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 116.206 2.79694 0 + vertex 116.206 2.79694 3 + vertex 116.239 2.70282 0 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 116.18 2.89327 3 + vertex 116.206 2.79694 3 + vertex 116.206 2.79694 0 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 116.18 2.89327 0 + vertex 116.18 2.89327 3 + vertex 116.206 2.79694 0 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 116.161 2.99125 3 + vertex 116.18 2.89327 3 + vertex 116.18 2.89327 0 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 116.161 2.99125 0 + vertex 116.161 2.99125 3 + vertex 116.18 2.89327 0 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 116.15 3.09035 3 + vertex 116.161 2.99125 3 + vertex 116.161 2.99125 0 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 116.15 3.09035 0 + vertex 116.15 3.09035 3 + vertex 116.161 2.99125 0 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 116.15 98.3103 0 + vertex 116.147 98.41 0 + vertex 116.147 98.41 3 + endloop + endfacet + facet normal 0.999301 0.0373937 0 + outer loop + vertex 116.15 98.3103 3 + vertex 116.15 98.3103 0 + vertex 116.147 98.41 3 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 116.147 98.41 3 + vertex 116.147 98.41 0 + vertex 116.15 98.5097 0 + endloop + endfacet + facet normal 0.999301 -0.0373937 0 + outer loop + vertex 116.15 98.5097 3 + vertex 116.147 98.41 3 + vertex 116.15 98.5097 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 116.15 98.5097 3 + vertex 116.15 98.5097 0 + vertex 116.161 98.6087 0 + endloop + endfacet + facet normal 0.993713 -0.111961 0 + outer loop + vertex 116.161 98.6087 3 + vertex 116.15 98.5097 3 + vertex 116.161 98.6087 0 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 116.161 98.6087 3 + vertex 116.161 98.6087 0 + vertex 116.18 98.7067 0 + endloop + endfacet + facet normal 0.982566 -0.185916 0 + outer loop + vertex 116.18 98.7067 3 + vertex 116.161 98.6087 3 + vertex 116.18 98.7067 0 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 116.18 98.7067 3 + vertex 116.18 98.7067 0 + vertex 116.206 98.8031 0 + endloop + endfacet + facet normal 0.965926 -0.25882 0 + outer loop + vertex 116.206 98.8031 3 + vertex 116.18 98.7067 3 + vertex 116.206 98.8031 0 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 116.206 98.8031 3 + vertex 116.206 98.8031 0 + vertex 116.239 98.8972 0 + endloop + endfacet + facet normal 0.943884 -0.330278 0 + outer loop + vertex 116.239 98.8972 3 + vertex 116.206 98.8031 3 + vertex 116.239 98.8972 0 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 116.239 98.8972 3 + vertex 116.239 98.8972 0 + vertex 116.279 98.9886 0 + endloop + endfacet + facet normal 0.916563 -0.39989 0 + outer loop + vertex 116.279 98.9886 3 + vertex 116.239 98.8972 3 + vertex 116.279 98.9886 0 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 116.279 98.9886 3 + vertex 116.279 98.9886 0 + vertex 116.325 99.0767 0 + endloop + endfacet + facet normal 0.884116 -0.467268 0 + outer loop + vertex 116.325 99.0767 3 + vertex 116.279 98.9886 3 + vertex 116.325 99.0767 0 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 116.325 99.0767 3 + vertex 116.325 99.0767 0 + vertex 116.378 99.1612 0 + endloop + endfacet + facet normal 0.846721 -0.532037 0 + outer loop + vertex 116.378 99.1612 3 + vertex 116.325 99.0767 3 + vertex 116.378 99.1612 0 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 116.378 99.1612 3 + vertex 116.378 99.1612 0 + vertex 116.437 99.2414 0 + endloop + endfacet + facet normal 0.804601 -0.593816 0 + outer loop + vertex 116.437 99.2414 3 + vertex 116.378 99.1612 3 + vertex 116.437 99.2414 0 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 116.437 99.2414 3 + vertex 116.437 99.2414 0 + vertex 116.502 99.317 0 + endloop + endfacet + facet normal 0.757972 -0.652287 0 + outer loop + vertex 116.502 99.317 3 + vertex 116.437 99.2414 3 + vertex 116.502 99.317 0 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 116.502 99.317 3 + vertex 116.502 99.317 0 + vertex 116.573 99.3875 0 + endloop + endfacet + facet normal 0.707107 -0.707107 0 + outer loop + vertex 116.573 99.3875 3 + vertex 116.502 99.317 3 + vertex 116.573 99.3875 0 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 116.573 99.3875 3 + vertex 116.573 99.3875 0 + vertex 116.649 99.4526 0 + endloop + endfacet + facet normal 0.652287 -0.757972 0 + outer loop + vertex 116.649 99.4526 3 + vertex 116.573 99.3875 3 + vertex 116.649 99.4526 0 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 116.649 99.4526 3 + vertex 116.649 99.4526 0 + vertex 116.729 99.5118 0 + endloop + endfacet + facet normal 0.593816 -0.804601 0 + outer loop + vertex 116.729 99.5118 3 + vertex 116.649 99.4526 3 + vertex 116.729 99.5118 0 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 116.729 99.5118 3 + vertex 116.729 99.5118 0 + vertex 116.813 99.5648 0 + endloop + endfacet + facet normal 0.532037 -0.846721 0 + outer loop + vertex 116.813 99.5648 3 + vertex 116.729 99.5118 3 + vertex 116.813 99.5648 0 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 116.813 99.5648 3 + vertex 116.813 99.5648 0 + vertex 116.901 99.6114 0 + endloop + endfacet + facet normal 0.467268 -0.884116 0 + outer loop + vertex 116.901 99.6114 3 + vertex 116.813 99.5648 3 + vertex 116.901 99.6114 0 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 116.901 99.6114 3 + vertex 116.901 99.6114 0 + vertex 116.993 99.6513 0 + endloop + endfacet + facet normal 0.39989 -0.916563 0 + outer loop + vertex 116.993 99.6513 3 + vertex 116.901 99.6114 3 + vertex 116.993 99.6513 0 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 116.993 99.6513 3 + vertex 116.993 99.6513 0 + vertex 117.087 99.6843 0 + endloop + endfacet + facet normal 0.330278 -0.943884 0 + outer loop + vertex 117.087 99.6843 3 + vertex 116.993 99.6513 3 + vertex 117.087 99.6843 0 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 117.087 99.6843 3 + vertex 117.087 99.6843 0 + vertex 117.183 99.7101 0 + endloop + endfacet + facet normal 0.25882 -0.965926 0 + outer loop + vertex 117.183 99.7101 3 + vertex 117.087 99.6843 3 + vertex 117.183 99.7101 0 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 117.183 99.7101 3 + vertex 117.183 99.7101 0 + vertex 117.281 99.7286 0 + endloop + endfacet + facet normal 0.185916 -0.982566 0 + outer loop + vertex 117.281 99.7286 3 + vertex 117.183 99.7101 3 + vertex 117.281 99.7286 0 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 117.281 99.7286 3 + vertex 117.281 99.7286 0 + vertex 117.38 99.7398 0 + endloop + endfacet + facet normal 0.111961 -0.993713 0 + outer loop + vertex 117.38 99.7398 3 + vertex 117.281 99.7286 3 + vertex 117.38 99.7398 0 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 117.38 99.7398 3 + vertex 117.38 99.7398 0 + vertex 117.48 99.7435 0 + endloop + endfacet + facet normal 0.0373937 -0.999301 0 + outer loop + vertex 117.48 99.7435 3 + vertex 117.38 99.7398 3 + vertex 117.48 99.7435 0 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 117.48 99.7435 3 + vertex 117.48 99.7435 0 + vertex 117.58 99.7398 0 + endloop + endfacet + facet normal -0.0373937 -0.999301 0 + outer loop + vertex 117.58 99.7398 3 + vertex 117.48 99.7435 3 + vertex 117.58 99.7398 0 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 117.58 99.7398 3 + vertex 117.58 99.7398 0 + vertex 117.679 99.7286 0 + endloop + endfacet + facet normal -0.111961 -0.993713 0 + outer loop + vertex 117.679 99.7286 3 + vertex 117.58 99.7398 3 + vertex 117.679 99.7286 0 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 117.679 99.7286 3 + vertex 117.679 99.7286 0 + vertex 117.777 99.7101 0 + endloop + endfacet + facet normal -0.185916 -0.982566 0 + outer loop + vertex 117.777 99.7101 3 + vertex 117.679 99.7286 3 + vertex 117.777 99.7101 0 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 117.777 99.7101 3 + vertex 117.777 99.7101 0 + vertex 117.873 99.6843 0 + endloop + endfacet + facet normal -0.25882 -0.965926 0 + outer loop + vertex 117.873 99.6843 3 + vertex 117.777 99.7101 3 + vertex 117.873 99.6843 0 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 117.873 99.6843 3 + vertex 117.873 99.6843 0 + vertex 117.967 99.6513 0 + endloop + endfacet + facet normal -0.330278 -0.943884 0 + outer loop + vertex 117.967 99.6513 3 + vertex 117.873 99.6843 3 + vertex 117.967 99.6513 0 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 117.967 99.6513 3 + vertex 117.967 99.6513 0 + vertex 118.059 99.6114 0 + endloop + endfacet + facet normal -0.39989 -0.916563 0 + outer loop + vertex 118.059 99.6114 3 + vertex 117.967 99.6513 3 + vertex 118.059 99.6114 0 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 118.059 99.6114 3 + vertex 118.059 99.6114 0 + vertex 118.147 99.5648 0 + endloop + endfacet + facet normal -0.467268 -0.884116 0 + outer loop + vertex 118.147 99.5648 3 + vertex 118.059 99.6114 3 + vertex 118.147 99.5648 0 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 118.147 99.5648 3 + vertex 118.147 99.5648 0 + vertex 118.231 99.5118 0 + endloop + endfacet + facet normal -0.532037 -0.846721 0 + outer loop + vertex 118.231 99.5118 3 + vertex 118.147 99.5648 3 + vertex 118.231 99.5118 0 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 118.231 99.5118 3 + vertex 118.231 99.5118 0 + vertex 118.311 99.4526 0 + endloop + endfacet + facet normal -0.593816 -0.804601 0 + outer loop + vertex 118.311 99.4526 3 + vertex 118.231 99.5118 3 + vertex 118.311 99.4526 0 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 118.311 99.4526 3 + vertex 118.311 99.4526 0 + vertex 118.387 99.3875 0 + endloop + endfacet + facet normal -0.652287 -0.757972 0 + outer loop + vertex 118.387 99.3875 3 + vertex 118.311 99.4526 3 + vertex 118.387 99.3875 0 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 118.387 99.3875 3 + vertex 118.387 99.3875 0 + vertex 118.458 99.317 0 + endloop + endfacet + facet normal -0.707107 -0.707107 0 + outer loop + vertex 118.458 99.317 3 + vertex 118.387 99.3875 3 + vertex 118.458 99.317 0 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 118.458 99.317 0 + vertex 118.523 99.2414 0 + vertex 118.458 99.317 3 + endloop + endfacet + facet normal -0.757972 -0.652287 0 + outer loop + vertex 118.458 99.317 3 + vertex 118.523 99.2414 0 + vertex 118.523 99.2414 3 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 118.523 99.2414 0 + vertex 118.582 99.1612 0 + vertex 118.523 99.2414 3 + endloop + endfacet + facet normal -0.804601 -0.593816 0 + outer loop + vertex 118.523 99.2414 3 + vertex 118.582 99.1612 0 + vertex 118.582 99.1612 3 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 118.582 99.1612 0 + vertex 118.635 99.0767 0 + vertex 118.582 99.1612 3 + endloop + endfacet + facet normal -0.846721 -0.532037 0 + outer loop + vertex 118.582 99.1612 3 + vertex 118.635 99.0767 0 + vertex 118.635 99.0767 3 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 118.635 99.0767 0 + vertex 118.681 98.9886 0 + vertex 118.635 99.0767 3 + endloop + endfacet + facet normal -0.884116 -0.467268 0 + outer loop + vertex 118.635 99.0767 3 + vertex 118.681 98.9886 0 + vertex 118.681 98.9886 3 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 118.681 98.9886 0 + vertex 118.721 98.8972 0 + vertex 118.681 98.9886 3 + endloop + endfacet + facet normal -0.916563 -0.39989 0 + outer loop + vertex 118.681 98.9886 3 + vertex 118.721 98.8972 0 + vertex 118.721 98.8972 3 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 118.721 98.8972 0 + vertex 118.754 98.8031 0 + vertex 118.721 98.8972 3 + endloop + endfacet + facet normal -0.943884 -0.330278 0 + outer loop + vertex 118.721 98.8972 3 + vertex 118.754 98.8031 0 + vertex 118.754 98.8031 3 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 118.754 98.8031 0 + vertex 118.78 98.7067 0 + vertex 118.754 98.8031 3 + endloop + endfacet + facet normal -0.965926 -0.25882 0 + outer loop + vertex 118.754 98.8031 3 + vertex 118.78 98.7067 0 + vertex 118.78 98.7067 3 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 118.78 98.7067 0 + vertex 118.799 98.6087 0 + vertex 118.78 98.7067 3 + endloop + endfacet + facet normal -0.982566 -0.185916 0 + outer loop + vertex 118.78 98.7067 3 + vertex 118.799 98.6087 0 + vertex 118.799 98.6087 3 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 118.799 98.6087 0 + vertex 118.81 98.5097 0 + vertex 118.799 98.6087 3 + endloop + endfacet + facet normal -0.993713 -0.111961 0 + outer loop + vertex 118.799 98.6087 3 + vertex 118.81 98.5097 0 + vertex 118.81 98.5097 3 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 118.81 98.5097 0 + vertex 118.813 98.41 0 + vertex 118.81 98.5097 3 + endloop + endfacet + facet normal -0.999301 -0.0373937 0 + outer loop + vertex 118.81 98.5097 3 + vertex 118.813 98.41 0 + vertex 118.813 98.41 3 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 118.81 98.3103 0 + vertex 118.81 98.3103 3 + vertex 118.813 98.41 0 + endloop + endfacet + facet normal -0.999301 0.0373937 0 + outer loop + vertex 118.813 98.41 0 + vertex 118.81 98.3103 3 + vertex 118.813 98.41 3 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 118.799 98.2113 0 + vertex 118.799 98.2113 3 + vertex 118.81 98.3103 0 + endloop + endfacet + facet normal -0.993713 0.111961 0 + outer loop + vertex 118.81 98.3103 0 + vertex 118.799 98.2113 3 + vertex 118.81 98.3103 3 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 118.78 98.1133 0 + vertex 118.78 98.1133 3 + vertex 118.799 98.2113 0 + endloop + endfacet + facet normal -0.982566 0.185916 0 + outer loop + vertex 118.799 98.2113 0 + vertex 118.78 98.1133 3 + vertex 118.799 98.2113 3 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 118.754 98.0169 0 + vertex 118.754 98.0169 3 + vertex 118.78 98.1133 0 + endloop + endfacet + facet normal -0.965926 0.25882 0 + outer loop + vertex 118.78 98.1133 0 + vertex 118.754 98.0169 3 + vertex 118.78 98.1133 3 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 118.721 97.9228 0 + vertex 118.721 97.9228 3 + vertex 118.754 98.0169 0 + endloop + endfacet + facet normal -0.943884 0.330278 0 + outer loop + vertex 118.754 98.0169 0 + vertex 118.721 97.9228 3 + vertex 118.754 98.0169 3 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 118.681 97.8314 0 + vertex 118.681 97.8314 3 + vertex 118.721 97.9228 0 + endloop + endfacet + facet normal -0.916563 0.39989 0 + outer loop + vertex 118.721 97.9228 0 + vertex 118.681 97.8314 3 + vertex 118.721 97.9228 3 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 118.635 97.7432 0 + vertex 118.635 97.7432 3 + vertex 118.681 97.8314 0 + endloop + endfacet + facet normal -0.884116 0.467268 0 + outer loop + vertex 118.681 97.8314 0 + vertex 118.635 97.7432 3 + vertex 118.681 97.8314 3 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 118.582 97.6588 0 + vertex 118.582 97.6588 3 + vertex 118.635 97.7432 0 + endloop + endfacet + facet normal -0.846721 0.532037 0 + outer loop + vertex 118.635 97.7432 0 + vertex 118.582 97.6588 3 + vertex 118.635 97.7432 3 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 118.523 97.5786 0 + vertex 118.523 97.5786 3 + vertex 118.582 97.6588 0 + endloop + endfacet + facet normal -0.804601 0.593816 0 + outer loop + vertex 118.582 97.6588 0 + vertex 118.523 97.5786 3 + vertex 118.582 97.6588 3 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 118.458 97.503 0 + vertex 118.458 97.503 3 + vertex 118.523 97.5786 0 + endloop + endfacet + facet normal -0.757972 0.652287 0 + outer loop + vertex 118.523 97.5786 0 + vertex 118.458 97.503 3 + vertex 118.523 97.5786 3 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 118.387 97.4325 0 + vertex 118.387 97.4325 3 + vertex 118.458 97.503 0 + endloop + endfacet + facet normal -0.707107 0.707107 0 + outer loop + vertex 118.458 97.503 0 + vertex 118.387 97.4325 3 + vertex 118.458 97.503 3 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 118.311 97.3674 0 + vertex 118.311 97.3674 3 + vertex 118.387 97.4325 0 + endloop + endfacet + facet normal -0.652287 0.757972 0 + outer loop + vertex 118.387 97.4325 0 + vertex 118.311 97.3674 3 + vertex 118.387 97.4325 3 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 118.231 97.3082 0 + vertex 118.231 97.3082 3 + vertex 118.311 97.3674 0 + endloop + endfacet + facet normal -0.593816 0.804601 0 + outer loop + vertex 118.311 97.3674 0 + vertex 118.231 97.3082 3 + vertex 118.311 97.3674 3 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 118.147 97.2552 0 + vertex 118.147 97.2552 3 + vertex 118.231 97.3082 0 + endloop + endfacet + facet normal -0.532037 0.846721 0 + outer loop + vertex 118.231 97.3082 0 + vertex 118.147 97.2552 3 + vertex 118.231 97.3082 3 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 118.059 97.2086 0 + vertex 118.059 97.2086 3 + vertex 118.147 97.2552 0 + endloop + endfacet + facet normal -0.467268 0.884116 0 + outer loop + vertex 118.147 97.2552 0 + vertex 118.059 97.2086 3 + vertex 118.147 97.2552 3 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 117.967 97.1687 0 + vertex 117.967 97.1687 3 + vertex 118.059 97.2086 0 + endloop + endfacet + facet normal -0.39989 0.916563 0 + outer loop + vertex 118.059 97.2086 0 + vertex 117.967 97.1687 3 + vertex 118.059 97.2086 3 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 117.873 97.1357 0 + vertex 117.873 97.1357 3 + vertex 117.967 97.1687 0 + endloop + endfacet + facet normal -0.330278 0.943884 0 + outer loop + vertex 117.967 97.1687 0 + vertex 117.873 97.1357 3 + vertex 117.967 97.1687 3 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 117.777 97.1099 0 + vertex 117.777 97.1099 3 + vertex 117.873 97.1357 0 + endloop + endfacet + facet normal -0.25882 0.965926 0 + outer loop + vertex 117.873 97.1357 0 + vertex 117.777 97.1099 3 + vertex 117.873 97.1357 3 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 117.679 97.0914 0 + vertex 117.679 97.0914 3 + vertex 117.777 97.1099 0 + endloop + endfacet + facet normal -0.185916 0.982566 0 + outer loop + vertex 117.777 97.1099 0 + vertex 117.679 97.0914 3 + vertex 117.777 97.1099 3 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 117.58 97.0802 0 + vertex 117.58 97.0802 3 + vertex 117.679 97.0914 0 + endloop + endfacet + facet normal -0.111961 0.993713 0 + outer loop + vertex 117.679 97.0914 0 + vertex 117.58 97.0802 3 + vertex 117.679 97.0914 3 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 117.48 97.0765 0 + vertex 117.48 97.0765 3 + vertex 117.58 97.0802 0 + endloop + endfacet + facet normal -0.0373937 0.999301 0 + outer loop + vertex 117.58 97.0802 0 + vertex 117.48 97.0765 3 + vertex 117.58 97.0802 3 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 117.38 97.0802 0 + vertex 117.38 97.0802 3 + vertex 117.48 97.0765 0 + endloop + endfacet + facet normal 0.0373937 0.999301 0 + outer loop + vertex 117.48 97.0765 0 + vertex 117.38 97.0802 3 + vertex 117.48 97.0765 3 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 117.281 97.0914 0 + vertex 117.281 97.0914 3 + vertex 117.38 97.0802 0 + endloop + endfacet + facet normal 0.111961 0.993713 0 + outer loop + vertex 117.38 97.0802 0 + vertex 117.281 97.0914 3 + vertex 117.38 97.0802 3 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 117.183 97.1099 0 + vertex 117.183 97.1099 3 + vertex 117.281 97.0914 0 + endloop + endfacet + facet normal 0.185916 0.982566 0 + outer loop + vertex 117.281 97.0914 0 + vertex 117.183 97.1099 3 + vertex 117.281 97.0914 3 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 117.087 97.1357 0 + vertex 117.087 97.1357 3 + vertex 117.183 97.1099 0 + endloop + endfacet + facet normal 0.25882 0.965926 0 + outer loop + vertex 117.183 97.1099 0 + vertex 117.087 97.1357 3 + vertex 117.183 97.1099 3 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 116.993 97.1687 0 + vertex 116.993 97.1687 3 + vertex 117.087 97.1357 0 + endloop + endfacet + facet normal 0.330278 0.943884 0 + outer loop + vertex 117.087 97.1357 0 + vertex 116.993 97.1687 3 + vertex 117.087 97.1357 3 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 116.901 97.2086 0 + vertex 116.901 97.2086 3 + vertex 116.993 97.1687 0 + endloop + endfacet + facet normal 0.39989 0.916563 0 + outer loop + vertex 116.993 97.1687 0 + vertex 116.901 97.2086 3 + vertex 116.993 97.1687 3 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 116.813 97.2552 0 + vertex 116.813 97.2552 3 + vertex 116.901 97.2086 0 + endloop + endfacet + facet normal 0.467268 0.884116 0 + outer loop + vertex 116.901 97.2086 0 + vertex 116.813 97.2552 3 + vertex 116.901 97.2086 3 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 116.729 97.3082 0 + vertex 116.729 97.3082 3 + vertex 116.813 97.2552 0 + endloop + endfacet + facet normal 0.532037 0.846721 0 + outer loop + vertex 116.813 97.2552 0 + vertex 116.729 97.3082 3 + vertex 116.813 97.2552 3 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 116.649 97.3674 0 + vertex 116.649 97.3674 3 + vertex 116.729 97.3082 0 + endloop + endfacet + facet normal 0.593816 0.804601 0 + outer loop + vertex 116.729 97.3082 0 + vertex 116.649 97.3674 3 + vertex 116.729 97.3082 3 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 116.573 97.4325 0 + vertex 116.573 97.4325 3 + vertex 116.649 97.3674 0 + endloop + endfacet + facet normal 0.652287 0.757972 0 + outer loop + vertex 116.649 97.3674 0 + vertex 116.573 97.4325 3 + vertex 116.649 97.3674 3 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 116.502 97.503 0 + vertex 116.502 97.503 3 + vertex 116.573 97.4325 0 + endloop + endfacet + facet normal 0.707107 0.707107 0 + outer loop + vertex 116.573 97.4325 0 + vertex 116.502 97.503 3 + vertex 116.573 97.4325 3 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 116.502 97.503 0 + vertex 116.437 97.5786 0 + vertex 116.437 97.5786 3 + endloop + endfacet + facet normal 0.757972 0.652287 0 + outer loop + vertex 116.502 97.503 3 + vertex 116.502 97.503 0 + vertex 116.437 97.5786 3 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 116.437 97.5786 0 + vertex 116.378 97.6588 0 + vertex 116.378 97.6588 3 + endloop + endfacet + facet normal 0.804601 0.593816 0 + outer loop + vertex 116.437 97.5786 3 + vertex 116.437 97.5786 0 + vertex 116.378 97.6588 3 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 116.378 97.6588 0 + vertex 116.325 97.7432 0 + vertex 116.325 97.7432 3 + endloop + endfacet + facet normal 0.846721 0.532037 0 + outer loop + vertex 116.378 97.6588 3 + vertex 116.378 97.6588 0 + vertex 116.325 97.7432 3 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 116.325 97.7432 0 + vertex 116.279 97.8314 0 + vertex 116.279 97.8314 3 + endloop + endfacet + facet normal 0.884116 0.467268 0 + outer loop + vertex 116.325 97.7432 3 + vertex 116.325 97.7432 0 + vertex 116.279 97.8314 3 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 116.279 97.8314 0 + vertex 116.239 97.9228 0 + vertex 116.239 97.9228 3 + endloop + endfacet + facet normal 0.916563 0.39989 0 + outer loop + vertex 116.279 97.8314 3 + vertex 116.279 97.8314 0 + vertex 116.239 97.9228 3 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 116.239 97.9228 0 + vertex 116.206 98.0169 0 + vertex 116.206 98.0169 3 + endloop + endfacet + facet normal 0.943884 0.330278 0 + outer loop + vertex 116.239 97.9228 3 + vertex 116.239 97.9228 0 + vertex 116.206 98.0169 3 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 116.206 98.0169 0 + vertex 116.18 98.1133 0 + vertex 116.18 98.1133 3 + endloop + endfacet + facet normal 0.965926 0.25882 0 + outer loop + vertex 116.206 98.0169 3 + vertex 116.206 98.0169 0 + vertex 116.18 98.1133 3 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 116.18 98.1133 0 + vertex 116.161 98.2113 0 + vertex 116.161 98.2113 3 + endloop + endfacet + facet normal 0.982566 0.185916 0 + outer loop + vertex 116.18 98.1133 3 + vertex 116.18 98.1133 0 + vertex 116.161 98.2113 3 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 116.161 98.2113 0 + vertex 116.15 98.3103 0 + vertex 116.15 98.3103 3 + endloop + endfacet + facet normal 0.993713 0.111961 0 + outer loop + vertex 116.161 98.2113 3 + vertex 116.161 98.2113 0 + vertex 116.15 98.3103 3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 140.1 101.6 1.3 + vertex 140.1 101.6 10.35 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 140.1 100.3 1.3 + vertex 140.1 101.6 1.3 + vertex 140.1 100.3 10.35 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 140.1 100.3 10.35 + vertex 140.1 101.6 10.35 + vertex 120.1 101.6 10.35 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.1 100.3 10.35 + vertex 140.1 100.3 10.35 + vertex 120.1 101.6 10.35 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 120.1 100.3 4.3 + vertex 120.1 100.3 10.35 + vertex 120.1 101.6 4.3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 120.1 101.6 4.3 + vertex 120.1 100.3 10.35 + vertex 120.1 101.6 10.35 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.5 101.6 4.3 + vertex 38.5 100.3 4.3 + vertex 120.1 100.3 4.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.1 101.6 4.3 + vertex 38.5 101.6 4.3 + vertex 120.1 100.3 4.3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 38.5 100.3 10.35 + vertex 38.5 100.3 4.3 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 1 0 0 + outer loop + vertex 38.5 101.6 10.35 + vertex 38.5 100.3 10.35 + vertex 38.5 101.6 4.3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.5 100.3 10.35 + vertex 38.5 101.6 10.35 + vertex 18.5 101.6 10.35 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 18.5 100.3 10.35 + vertex 38.5 100.3 10.35 + vertex 18.5 101.6 10.35 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 18.5 100.3 4.3 + vertex 18.5 100.3 10.35 + vertex 18.5 101.6 4.3 + endloop + endfacet + facet normal -1 0 0 + outer loop + vertex 18.5 101.6 4.3 + vertex 18.5 100.3 10.35 + vertex 18.5 101.6 10.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.2639 101.6 2.54577 + vertex 27.1702 101.6 6.25035 + vertex 27.4647 101.6 2.4863 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.6684 101.6 2.43741 + vertex 27.1814 101.6 6.15125 + vertex 27.8743 101.6 2.39925 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.8743 101.6 2.39925 + vertex 27.1999 101.6 6.05327 + vertex 28.0819 101.6 2.37191 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.0819 101.6 2.37191 + vertex 27.2257 101.6 5.95694 + vertex 28.2907 101.6 2.35548 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.5 101.6 2.35 + vertex 27.2587 101.6 5.86282 + vertex 28.7093 101.6 2.35548 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.7093 101.6 2.35548 + vertex 27.2986 101.6 5.77142 + vertex 28.9181 101.6 2.37191 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.1257 101.6 2.39925 + vertex 27.3452 101.6 5.68325 + vertex 29.3316 101.6 2.43741 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.3316 101.6 2.43741 + vertex 27.3982 101.6 5.59881 + vertex 29.5353 101.6 2.4863 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.7361 101.6 2.54577 + vertex 27.4574 101.6 5.51858 + vertex 29.9335 101.6 2.61568 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.9335 101.6 2.61568 + vertex 27.5225 101.6 5.44299 + vertex 30.1269 101.6 2.69582 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 30.1269 101.6 2.69582 + vertex 27.593 101.6 5.37247 + vertex 30.316 101.6 2.78597 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 30.5 101.6 2.8859 + vertex 27.6686 101.6 5.30743 + vertex 30.6786 101.6 2.99532 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 30.6786 101.6 2.99532 + vertex 27.7488 101.6 5.24821 + vertex 30.8511 101.6 3.11393 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 31.0173 101.6 3.24142 + vertex 27.8332 101.6 5.19515 + vertex 31.1765 101.6 3.37742 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 31.1765 101.6 3.37742 + vertex 27.9214 101.6 5.14856 + vertex 31.3284 101.6 3.52157 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 31.4726 101.6 3.67348 + vertex 28.0128 101.6 5.10868 + vertex 31.6086 101.6 3.83272 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 31.6086 101.6 3.83272 + vertex 28.1069 101.6 5.07574 + vertex 31.7361 101.6 3.99886 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 31.7361 101.6 3.99886 + vertex 28.2033 101.6 5.04993 + vertex 31.8547 101.6 4.17144 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 31.9641 101.6 4.35 + vertex 28.3013 101.6 5.03139 + vertex 32.064 101.6 4.53404 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 32.064 101.6 4.53404 + vertex 28.4003 101.6 5.02023 + vertex 32.1542 101.6 4.72305 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 32.2343 101.6 4.91653 + vertex 28.5 101.6 5.0165 + vertex 32.3042 101.6 5.11393 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 32.3042 101.6 5.11393 + vertex 28.5997 101.6 5.02023 + vertex 32.3637 101.6 5.31472 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 32.4126 101.6 5.51835 + vertex 28.6987 101.6 5.03139 + vertex 32.4508 101.6 5.72426 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 32.4508 101.6 5.72426 + vertex 28.7967 101.6 5.04993 + vertex 32.4781 101.6 5.93189 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 32.4781 101.6 5.93189 + vertex 28.8931 101.6 5.07574 + vertex 32.4945 101.6 6.14066 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 32.4945 101.6 6.14066 + vertex 28.9872 101.6 5.10868 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.9872 101.6 5.10868 + vertex 29.0786 101.6 5.14856 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.0786 101.6 5.14856 + vertex 29.1667 101.6 5.19515 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.1667 101.6 5.19515 + vertex 29.2512 101.6 5.24821 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.2512 101.6 5.24821 + vertex 29.3314 101.6 5.30743 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.3314 101.6 5.30743 + vertex 29.407 101.6 5.37247 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.407 101.6 5.37247 + vertex 29.4775 101.6 5.44299 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.4775 101.6 5.44299 + vertex 29.5426 101.6 5.51858 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.5426 101.6 5.51858 + vertex 29.6018 101.6 5.59881 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.6018 101.6 5.59881 + vertex 29.6548 101.6 5.68325 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.6548 101.6 5.68325 + vertex 29.7014 101.6 5.77142 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.7014 101.6 5.77142 + vertex 29.7413 101.6 5.86282 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.7413 101.6 5.86282 + vertex 29.7743 101.6 5.95694 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.7743 101.6 5.95694 + vertex 29.8001 101.6 6.05327 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.8001 101.6 6.05327 + vertex 29.8186 101.6 6.15125 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.8186 101.6 6.15125 + vertex 29.8298 101.6 6.25035 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.8298 101.6 6.25035 + vertex 29.8335 101.6 6.35 + vertex 32.5 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.8931 101.6 5.07574 + vertex 28.9872 101.6 5.10868 + vertex 32.4945 101.6 6.14066 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.7967 101.6 5.04993 + vertex 28.8931 101.6 5.07574 + vertex 32.4781 101.6 5.93189 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.6987 101.6 5.03139 + vertex 28.7967 101.6 5.04993 + vertex 32.4508 101.6 5.72426 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 32.3637 101.6 5.31472 + vertex 28.6987 101.6 5.03139 + vertex 32.4126 101.6 5.51835 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.5997 101.6 5.02023 + vertex 28.6987 101.6 5.03139 + vertex 32.3637 101.6 5.31472 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.5 101.6 5.0165 + vertex 28.5997 101.6 5.02023 + vertex 32.3042 101.6 5.11393 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 32.1542 101.6 4.72305 + vertex 28.5 101.6 5.0165 + vertex 32.2343 101.6 4.91653 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.4003 101.6 5.02023 + vertex 28.5 101.6 5.0165 + vertex 32.1542 101.6 4.72305 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.3013 101.6 5.03139 + vertex 28.4003 101.6 5.02023 + vertex 32.064 101.6 4.53404 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 31.8547 101.6 4.17144 + vertex 28.3013 101.6 5.03139 + vertex 31.9641 101.6 4.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.2033 101.6 5.04993 + vertex 28.3013 101.6 5.03139 + vertex 31.8547 101.6 4.17144 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.1069 101.6 5.07574 + vertex 28.2033 101.6 5.04993 + vertex 31.7361 101.6 3.99886 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.0128 101.6 5.10868 + vertex 28.1069 101.6 5.07574 + vertex 31.6086 101.6 3.83272 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 31.3284 101.6 3.52157 + vertex 28.0128 101.6 5.10868 + vertex 31.4726 101.6 3.67348 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.9214 101.6 5.14856 + vertex 28.0128 101.6 5.10868 + vertex 31.3284 101.6 3.52157 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.8332 101.6 5.19515 + vertex 27.9214 101.6 5.14856 + vertex 31.1765 101.6 3.37742 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 30.8511 101.6 3.11393 + vertex 27.8332 101.6 5.19515 + vertex 31.0173 101.6 3.24142 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.7488 101.6 5.24821 + vertex 27.8332 101.6 5.19515 + vertex 30.8511 101.6 3.11393 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.6686 101.6 5.30743 + vertex 27.7488 101.6 5.24821 + vertex 30.6786 101.6 2.99532 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 30.316 101.6 2.78597 + vertex 27.6686 101.6 5.30743 + vertex 30.5 101.6 2.8859 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.593 101.6 5.37247 + vertex 27.6686 101.6 5.30743 + vertex 30.316 101.6 2.78597 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.5225 101.6 5.44299 + vertex 27.593 101.6 5.37247 + vertex 30.1269 101.6 2.69582 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.4574 101.6 5.51858 + vertex 27.5225 101.6 5.44299 + vertex 29.9335 101.6 2.61568 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 29.5353 101.6 2.4863 + vertex 27.4574 101.6 5.51858 + vertex 29.7361 101.6 2.54577 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.3982 101.6 5.59881 + vertex 27.4574 101.6 5.51858 + vertex 29.5353 101.6 2.4863 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.3452 101.6 5.68325 + vertex 27.3982 101.6 5.59881 + vertex 29.3316 101.6 2.43741 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.9181 101.6 2.37191 + vertex 27.3452 101.6 5.68325 + vertex 29.1257 101.6 2.39925 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.2986 101.6 5.77142 + vertex 27.3452 101.6 5.68325 + vertex 28.9181 101.6 2.37191 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.2587 101.6 5.86282 + vertex 27.2986 101.6 5.77142 + vertex 28.7093 101.6 2.35548 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 28.2907 101.6 2.35548 + vertex 27.2587 101.6 5.86282 + vertex 28.5 101.6 2.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.2257 101.6 5.95694 + vertex 27.2587 101.6 5.86282 + vertex 28.2907 101.6 2.35548 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.1999 101.6 6.05327 + vertex 27.2257 101.6 5.95694 + vertex 28.0819 101.6 2.37191 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.1814 101.6 6.15125 + vertex 27.1999 101.6 6.05327 + vertex 27.8743 101.6 2.39925 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.4647 101.6 2.4863 + vertex 27.1814 101.6 6.15125 + vertex 27.6684 101.6 2.43741 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.1702 101.6 6.25035 + vertex 27.1814 101.6 6.15125 + vertex 27.4647 101.6 2.4863 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.1665 101.6 6.35 + vertex 27.1702 101.6 6.25035 + vertex 27.2639 101.6 2.54577 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.1665 101.6 6.35 + vertex 27.2639 101.6 2.54577 + vertex 27.0665 101.6 2.61568 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 27.1665 101.6 6.35 + vertex 27.0665 101.6 2.61568 + vertex 26.8731 101.6 2.69582 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 26.8731 101.6 2.69582 + vertex 26.684 101.6 2.78597 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 26.684 101.6 2.78597 + vertex 26.5 101.6 2.8859 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 26.5 101.6 2.8859 + vertex 26.3214 101.6 2.99532 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 26.3214 101.6 2.99532 + vertex 26.1489 101.6 3.11393 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 26.1489 101.6 3.11393 + vertex 25.9827 101.6 3.24142 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 25.9827 101.6 3.24142 + vertex 25.8235 101.6 3.37742 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 25.8235 101.6 3.37742 + vertex 25.6716 101.6 3.52157 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 25.6716 101.6 3.52157 + vertex 25.5274 101.6 3.67348 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 25.5274 101.6 3.67348 + vertex 25.3914 101.6 3.83272 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 25.3914 101.6 3.83272 + vertex 25.2639 101.6 3.99886 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 25.2639 101.6 3.99886 + vertex 25.1453 101.6 4.17144 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 25.1453 101.6 4.17144 + vertex 25.0359 101.6 4.35 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 25.0359 101.6 4.35 + vertex 24.936 101.6 4.53404 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 24.936 101.6 4.53404 + vertex 24.8458 101.6 4.72305 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 24.8458 101.6 4.72305 + vertex 24.7657 101.6 4.91653 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 24.7657 101.6 4.91653 + vertex 24.6958 101.6 5.11393 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 24.6958 101.6 5.11393 + vertex 24.6363 101.6 5.31472 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 24.6363 101.6 5.31472 + vertex 24.5874 101.6 5.51835 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 24.5874 101.6 5.51835 + vertex 24.5492 101.6 5.72426 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 24.5492 101.6 5.72426 + vertex 24.5219 101.6 5.93189 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 24.5219 101.6 5.93189 + vertex 24.5055 101.6 6.14066 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 24.5055 101.6 6.14066 + vertex 24.5 101.6 6.35 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0.999301 8.30306e-18 -0.0373937 + outer loop + vertex 27.1702 98.6 6.44965 + vertex 27.1665 98.6 6.35 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0.999301 8.30306e-18 -0.0373937 + outer loop + vertex 27.1702 101.6 6.44965 + vertex 27.1702 98.6 6.44965 + vertex 27.1665 101.6 6.35 + endloop + endfacet + facet normal 0.993713 2.48604e-17 -0.111961 + outer loop + vertex 27.1814 98.6 6.54875 + vertex 27.1702 98.6 6.44965 + vertex 27.1702 101.6 6.44965 + endloop + endfacet + facet normal 0.993713 2.48604e-17 -0.111961 + outer loop + vertex 27.1814 101.6 6.54875 + vertex 27.1814 98.6 6.54875 + vertex 27.1702 101.6 6.44965 + endloop + endfacet + facet normal 0.982566 4.12816e-17 -0.185916 + outer loop + vertex 27.1999 98.6 6.64673 + vertex 27.1814 98.6 6.54875 + vertex 27.1814 101.6 6.54875 + endloop + endfacet + facet normal 0.982566 4.12816e-17 -0.185916 + outer loop + vertex 27.1999 101.6 6.64673 + vertex 27.1999 98.6 6.64673 + vertex 27.1814 101.6 6.54875 + endloop + endfacet + facet normal 0.965926 5.74695e-17 -0.25882 + outer loop + vertex 27.2257 98.6 6.74306 + vertex 27.1999 98.6 6.64673 + vertex 27.1999 101.6 6.64673 + endloop + endfacet + facet normal 0.965926 5.74695e-17 -0.25882 + outer loop + vertex 27.2257 101.6 6.74306 + vertex 27.2257 98.6 6.74306 + vertex 27.1999 101.6 6.64673 + endloop + endfacet + facet normal 0.943884 7.33365e-17 -0.330278 + outer loop + vertex 27.2587 98.6 6.83718 + vertex 27.2257 98.6 6.74306 + vertex 27.2257 101.6 6.74306 + endloop + endfacet + facet normal 0.943884 7.33365e-17 -0.330278 + outer loop + vertex 27.2587 101.6 6.83718 + vertex 27.2587 98.6 6.83718 + vertex 27.2257 101.6 6.74306 + endloop + endfacet + facet normal 0.916563 8.87933e-17 -0.39989 + outer loop + vertex 27.2986 98.6 6.92858 + vertex 27.2587 98.6 6.83718 + vertex 27.2587 101.6 6.83718 + endloop + endfacet + facet normal 0.916563 8.87933e-17 -0.39989 + outer loop + vertex 27.2986 101.6 6.92858 + vertex 27.2986 98.6 6.92858 + vertex 27.2587 101.6 6.83718 + endloop + endfacet + facet normal 0.884116 1.03754e-16 -0.467268 + outer loop + vertex 27.3452 98.6 7.01675 + vertex 27.2986 98.6 6.92858 + vertex 27.2986 101.6 6.92858 + endloop + endfacet + facet normal 0.884116 1.03754e-16 -0.467268 + outer loop + vertex 27.3452 101.6 7.01675 + vertex 27.3452 98.6 7.01675 + vertex 27.2986 101.6 6.92858 + endloop + endfacet + facet normal 0.846721 1.18136e-16 -0.532037 + outer loop + vertex 27.3982 98.6 7.10119 + vertex 27.3452 98.6 7.01675 + vertex 27.3452 101.6 7.01675 + endloop + endfacet + facet normal 0.846721 1.18136e-16 -0.532037 + outer loop + vertex 27.3982 101.6 7.10119 + vertex 27.3982 98.6 7.10119 + vertex 27.3452 101.6 7.01675 + endloop + endfacet + facet normal 0.804601 1.31854e-16 -0.593816 + outer loop + vertex 27.4574 98.6 7.18142 + vertex 27.3982 98.6 7.10119 + vertex 27.3982 101.6 7.10119 + endloop + endfacet + facet normal 0.804601 1.31854e-16 -0.593816 + outer loop + vertex 27.4574 101.6 7.18142 + vertex 27.4574 98.6 7.18142 + vertex 27.3982 101.6 7.10119 + endloop + endfacet + facet normal 0.757972 1.44837e-16 -0.652287 + outer loop + vertex 27.5225 98.6 7.25701 + vertex 27.4574 98.6 7.18142 + vertex 27.4574 101.6 7.18142 + endloop + endfacet + facet normal 0.757972 1.44837e-16 -0.652287 + outer loop + vertex 27.5225 101.6 7.25701 + vertex 27.5225 98.6 7.25701 + vertex 27.4574 101.6 7.18142 + endloop + endfacet + facet normal 0.707107 1.57009e-16 -0.707107 + outer loop + vertex 27.593 98.6 7.32752 + vertex 27.5225 98.6 7.25701 + vertex 27.5225 101.6 7.25701 + endloop + endfacet + facet normal 0.707107 1.57009e-16 -0.707107 + outer loop + vertex 27.593 101.6 7.32752 + vertex 27.593 98.6 7.32752 + vertex 27.5225 101.6 7.25701 + endloop + endfacet + facet normal 0.652287 1.68304e-16 -0.757972 + outer loop + vertex 27.593 98.6 7.32752 + vertex 27.593 101.6 7.32752 + vertex 27.6686 98.6 7.39257 + endloop + endfacet + facet normal 0.652287 1.68304e-16 -0.757972 + outer loop + vertex 27.6686 98.6 7.39257 + vertex 27.593 101.6 7.32752 + vertex 27.6686 101.6 7.39257 + endloop + endfacet + facet normal 0.593816 1.78657e-16 -0.804601 + outer loop + vertex 27.6686 98.6 7.39257 + vertex 27.6686 101.6 7.39257 + vertex 27.7488 98.6 7.45179 + endloop + endfacet + facet normal 0.593816 1.78657e-16 -0.804601 + outer loop + vertex 27.7488 98.6 7.45179 + vertex 27.6686 101.6 7.39257 + vertex 27.7488 101.6 7.45179 + endloop + endfacet + facet normal 0.532037 1.8801e-16 -0.846721 + outer loop + vertex 27.7488 98.6 7.45179 + vertex 27.7488 101.6 7.45179 + vertex 27.8332 98.6 7.50484 + endloop + endfacet + facet normal 0.532037 1.8801e-16 -0.846721 + outer loop + vertex 27.8332 98.6 7.50484 + vertex 27.7488 101.6 7.45179 + vertex 27.8332 101.6 7.50484 + endloop + endfacet + facet normal 0.467268 1.96313e-16 -0.884116 + outer loop + vertex 27.8332 98.6 7.50484 + vertex 27.8332 101.6 7.50484 + vertex 27.9214 98.6 7.55144 + endloop + endfacet + facet normal 0.467268 1.96313e-16 -0.884116 + outer loop + vertex 27.9214 98.6 7.55144 + vertex 27.8332 101.6 7.50484 + vertex 27.9214 101.6 7.55144 + endloop + endfacet + facet normal 0.39989 2.03518e-16 -0.916563 + outer loop + vertex 27.9214 98.6 7.55144 + vertex 27.9214 101.6 7.55144 + vertex 28.0128 98.6 7.59132 + endloop + endfacet + facet normal 0.39989 2.03518e-16 -0.916563 + outer loop + vertex 28.0128 98.6 7.59132 + vertex 27.9214 101.6 7.55144 + vertex 28.0128 101.6 7.59132 + endloop + endfacet + facet normal 0.330278 2.09584e-16 -0.943884 + outer loop + vertex 28.0128 98.6 7.59132 + vertex 28.0128 101.6 7.59132 + vertex 28.1069 98.6 7.62426 + endloop + endfacet + facet normal 0.330278 2.09584e-16 -0.943884 + outer loop + vertex 28.1069 98.6 7.62426 + vertex 28.0128 101.6 7.59132 + vertex 28.1069 101.6 7.62426 + endloop + endfacet + facet normal 0.25882 2.14479e-16 -0.965926 + outer loop + vertex 28.1069 98.6 7.62426 + vertex 28.1069 101.6 7.62426 + vertex 28.2033 98.6 7.65007 + endloop + endfacet + facet normal 0.25882 2.14479e-16 -0.965926 + outer loop + vertex 28.2033 98.6 7.65007 + vertex 28.1069 101.6 7.62426 + vertex 28.2033 101.6 7.65007 + endloop + endfacet + facet normal 0.185916 2.18173e-16 -0.982566 + outer loop + vertex 28.2033 98.6 7.65007 + vertex 28.2033 101.6 7.65007 + vertex 28.3013 98.6 7.66861 + endloop + endfacet + facet normal 0.185916 2.18173e-16 -0.982566 + outer loop + vertex 28.3013 98.6 7.66861 + vertex 28.2033 101.6 7.65007 + vertex 28.3013 101.6 7.66861 + endloop + endfacet + facet normal 0.111961 2.20649e-16 -0.993713 + outer loop + vertex 28.3013 98.6 7.66861 + vertex 28.3013 101.6 7.66861 + vertex 28.4003 98.6 7.67977 + endloop + endfacet + facet normal 0.111961 2.20649e-16 -0.993713 + outer loop + vertex 28.4003 98.6 7.67977 + vertex 28.3013 101.6 7.66861 + vertex 28.4003 101.6 7.67977 + endloop + endfacet + facet normal 0.0373937 2.21889e-16 -0.999301 + outer loop + vertex 28.4003 98.6 7.67977 + vertex 28.4003 101.6 7.67977 + vertex 28.5 98.6 7.6835 + endloop + endfacet + facet normal 0.0373937 2.21889e-16 -0.999301 + outer loop + vertex 28.5 98.6 7.6835 + vertex 28.4003 101.6 7.67977 + vertex 28.5 101.6 7.6835 + endloop + endfacet + facet normal -0.0373937 2.21889e-16 -0.999301 + outer loop + vertex 28.5 98.6 7.6835 + vertex 28.5 101.6 7.6835 + vertex 28.5997 98.6 7.67977 + endloop + endfacet + facet normal -0.0373937 2.21889e-16 -0.999301 + outer loop + vertex 28.5997 98.6 7.67977 + vertex 28.5 101.6 7.6835 + vertex 28.5997 101.6 7.67977 + endloop + endfacet + facet normal -0.111961 2.20649e-16 -0.993713 + outer loop + vertex 28.5997 98.6 7.67977 + vertex 28.5997 101.6 7.67977 + vertex 28.6987 98.6 7.66861 + endloop + endfacet + facet normal -0.111961 2.20649e-16 -0.993713 + outer loop + vertex 28.6987 98.6 7.66861 + vertex 28.5997 101.6 7.67977 + vertex 28.6987 101.6 7.66861 + endloop + endfacet + facet normal -0.185916 2.18173e-16 -0.982566 + outer loop + vertex 28.6987 98.6 7.66861 + vertex 28.6987 101.6 7.66861 + vertex 28.7967 98.6 7.65007 + endloop + endfacet + facet normal -0.185916 2.18173e-16 -0.982566 + outer loop + vertex 28.7967 98.6 7.65007 + vertex 28.6987 101.6 7.66861 + vertex 28.7967 101.6 7.65007 + endloop + endfacet + facet normal -0.25882 2.14479e-16 -0.965926 + outer loop + vertex 28.7967 98.6 7.65007 + vertex 28.7967 101.6 7.65007 + vertex 28.8931 98.6 7.62426 + endloop + endfacet + facet normal -0.25882 2.14479e-16 -0.965926 + outer loop + vertex 28.8931 98.6 7.62426 + vertex 28.7967 101.6 7.65007 + vertex 28.8931 101.6 7.62426 + endloop + endfacet + facet normal -0.330278 2.09584e-16 -0.943884 + outer loop + vertex 28.8931 98.6 7.62426 + vertex 28.8931 101.6 7.62426 + vertex 28.9872 98.6 7.59132 + endloop + endfacet + facet normal -0.330278 2.09584e-16 -0.943884 + outer loop + vertex 28.9872 98.6 7.59132 + vertex 28.8931 101.6 7.62426 + vertex 28.9872 101.6 7.59132 + endloop + endfacet + facet normal -0.39989 2.03518e-16 -0.916563 + outer loop + vertex 28.9872 98.6 7.59132 + vertex 28.9872 101.6 7.59132 + vertex 29.0786 98.6 7.55144 + endloop + endfacet + facet normal -0.39989 2.03518e-16 -0.916563 + outer loop + vertex 29.0786 98.6 7.55144 + vertex 28.9872 101.6 7.59132 + vertex 29.0786 101.6 7.55144 + endloop + endfacet + facet normal -0.467268 1.96313e-16 -0.884116 + outer loop + vertex 29.0786 98.6 7.55144 + vertex 29.0786 101.6 7.55144 + vertex 29.1667 98.6 7.50484 + endloop + endfacet + facet normal -0.467268 1.96313e-16 -0.884116 + outer loop + vertex 29.1667 98.6 7.50484 + vertex 29.0786 101.6 7.55144 + vertex 29.1667 101.6 7.50484 + endloop + endfacet + facet normal -0.532037 1.8801e-16 -0.846721 + outer loop + vertex 29.1667 98.6 7.50484 + vertex 29.1667 101.6 7.50484 + vertex 29.2512 98.6 7.45179 + endloop + endfacet + facet normal -0.532037 1.8801e-16 -0.846721 + outer loop + vertex 29.2512 98.6 7.45179 + vertex 29.1667 101.6 7.50484 + vertex 29.2512 101.6 7.45179 + endloop + endfacet + facet normal -0.593816 1.78657e-16 -0.804601 + outer loop + vertex 29.2512 98.6 7.45179 + vertex 29.2512 101.6 7.45179 + vertex 29.3314 98.6 7.39257 + endloop + endfacet + facet normal -0.593816 1.78657e-16 -0.804601 + outer loop + vertex 29.3314 98.6 7.39257 + vertex 29.2512 101.6 7.45179 + vertex 29.3314 101.6 7.39257 + endloop + endfacet + facet normal -0.652287 1.68304e-16 -0.757972 + outer loop + vertex 29.3314 98.6 7.39257 + vertex 29.3314 101.6 7.39257 + vertex 29.407 98.6 7.32752 + endloop + endfacet + facet normal -0.652287 1.68304e-16 -0.757972 + outer loop + vertex 29.407 98.6 7.32752 + vertex 29.3314 101.6 7.39257 + vertex 29.407 101.6 7.32752 + endloop + endfacet + facet normal -0.707107 1.57009e-16 -0.707107 + outer loop + vertex 29.407 98.6 7.32752 + vertex 29.407 101.6 7.32752 + vertex 29.4775 98.6 7.25701 + endloop + endfacet + facet normal -0.707107 1.57009e-16 -0.707107 + outer loop + vertex 29.4775 98.6 7.25701 + vertex 29.407 101.6 7.32752 + vertex 29.4775 101.6 7.25701 + endloop + endfacet + facet normal -0.757972 1.44837e-16 -0.652287 + outer loop + vertex 29.4775 98.6 7.25701 + vertex 29.4775 101.6 7.25701 + vertex 29.5426 98.6 7.18142 + endloop + endfacet + facet normal -0.757972 1.44837e-16 -0.652287 + outer loop + vertex 29.5426 98.6 7.18142 + vertex 29.4775 101.6 7.25701 + vertex 29.5426 101.6 7.18142 + endloop + endfacet + facet normal -0.804601 1.31854e-16 -0.593816 + outer loop + vertex 29.5426 98.6 7.18142 + vertex 29.5426 101.6 7.18142 + vertex 29.6018 98.6 7.10119 + endloop + endfacet + facet normal -0.804601 1.31854e-16 -0.593816 + outer loop + vertex 29.6018 98.6 7.10119 + vertex 29.5426 101.6 7.18142 + vertex 29.6018 101.6 7.10119 + endloop + endfacet + facet normal -0.846721 1.18136e-16 -0.532037 + outer loop + vertex 29.6018 98.6 7.10119 + vertex 29.6018 101.6 7.10119 + vertex 29.6548 98.6 7.01675 + endloop + endfacet + facet normal -0.846721 1.18136e-16 -0.532037 + outer loop + vertex 29.6548 98.6 7.01675 + vertex 29.6018 101.6 7.10119 + vertex 29.6548 101.6 7.01675 + endloop + endfacet + facet normal -0.884116 1.03754e-16 -0.467268 + outer loop + vertex 29.6548 98.6 7.01675 + vertex 29.6548 101.6 7.01675 + vertex 29.7014 98.6 6.92858 + endloop + endfacet + facet normal -0.884116 1.03754e-16 -0.467268 + outer loop + vertex 29.7014 98.6 6.92858 + vertex 29.6548 101.6 7.01675 + vertex 29.7014 101.6 6.92858 + endloop + endfacet + facet normal -0.916563 8.87933e-17 -0.39989 + outer loop + vertex 29.7014 98.6 6.92858 + vertex 29.7014 101.6 6.92858 + vertex 29.7413 98.6 6.83718 + endloop + endfacet + facet normal -0.916563 8.87933e-17 -0.39989 + outer loop + vertex 29.7413 98.6 6.83718 + vertex 29.7014 101.6 6.92858 + vertex 29.7413 101.6 6.83718 + endloop + endfacet + facet normal -0.943884 7.33365e-17 -0.330278 + outer loop + vertex 29.7413 98.6 6.83718 + vertex 29.7413 101.6 6.83718 + vertex 29.7743 98.6 6.74306 + endloop + endfacet + facet normal -0.943884 7.33365e-17 -0.330278 + outer loop + vertex 29.7743 98.6 6.74306 + vertex 29.7413 101.6 6.83718 + vertex 29.7743 101.6 6.74306 + endloop + endfacet + facet normal -0.965926 5.74695e-17 -0.25882 + outer loop + vertex 29.7743 98.6 6.74306 + vertex 29.7743 101.6 6.74306 + vertex 29.8001 98.6 6.64673 + endloop + endfacet + facet normal -0.965926 5.74695e-17 -0.25882 + outer loop + vertex 29.8001 98.6 6.64673 + vertex 29.7743 101.6 6.74306 + vertex 29.8001 101.6 6.64673 + endloop + endfacet + facet normal -0.982566 4.12816e-17 -0.185916 + outer loop + vertex 29.8001 98.6 6.64673 + vertex 29.8001 101.6 6.64673 + vertex 29.8186 98.6 6.54875 + endloop + endfacet + facet normal -0.982566 4.12816e-17 -0.185916 + outer loop + vertex 29.8186 98.6 6.54875 + vertex 29.8001 101.6 6.64673 + vertex 29.8186 101.6 6.54875 + endloop + endfacet + facet normal -0.993713 2.48604e-17 -0.111961 + outer loop + vertex 29.8186 98.6 6.54875 + vertex 29.8186 101.6 6.54875 + vertex 29.8298 98.6 6.44965 + endloop + endfacet + facet normal -0.993713 2.48604e-17 -0.111961 + outer loop + vertex 29.8298 98.6 6.44965 + vertex 29.8186 101.6 6.54875 + vertex 29.8298 101.6 6.44965 + endloop + endfacet + facet normal -0.999301 8.30306e-18 -0.0373937 + outer loop + vertex 29.8298 98.6 6.44965 + vertex 29.8298 101.6 6.44965 + vertex 29.8335 98.6 6.35 + endloop + endfacet + facet normal -0.999301 8.30306e-18 -0.0373937 + outer loop + vertex 29.8335 98.6 6.35 + vertex 29.8298 101.6 6.44965 + vertex 29.8335 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.864 101.6 2.54577 + vertex 128.77 101.6 6.25035 + vertex 129.065 101.6 2.4863 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.268 101.6 2.43741 + vertex 128.781 101.6 6.15125 + vertex 129.474 101.6 2.39925 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.474 101.6 2.39925 + vertex 128.8 101.6 6.05327 + vertex 129.682 101.6 2.37191 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.682 101.6 2.37191 + vertex 128.826 101.6 5.95694 + vertex 129.891 101.6 2.35548 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.1 101.6 2.35 + vertex 128.859 101.6 5.86282 + vertex 130.309 101.6 2.35548 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.309 101.6 2.35548 + vertex 128.899 101.6 5.77142 + vertex 130.518 101.6 2.37191 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.726 101.6 2.39925 + vertex 128.945 101.6 5.68325 + vertex 130.932 101.6 2.43741 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.932 101.6 2.43741 + vertex 128.998 101.6 5.59881 + vertex 131.135 101.6 2.4863 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.336 101.6 2.54577 + vertex 129.057 101.6 5.51858 + vertex 131.533 101.6 2.61568 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.533 101.6 2.61568 + vertex 129.122 101.6 5.44299 + vertex 131.727 101.6 2.69582 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.727 101.6 2.69582 + vertex 129.193 101.6 5.37247 + vertex 131.916 101.6 2.78597 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 132.1 101.6 2.8859 + vertex 129.269 101.6 5.30743 + vertex 132.279 101.6 2.99532 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 132.279 101.6 2.99532 + vertex 129.349 101.6 5.24821 + vertex 132.451 101.6 3.11393 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 132.617 101.6 3.24142 + vertex 129.433 101.6 5.19515 + vertex 132.777 101.6 3.37742 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 132.777 101.6 3.37742 + vertex 129.521 101.6 5.14856 + vertex 132.928 101.6 3.52157 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 133.073 101.6 3.67348 + vertex 129.613 101.6 5.10868 + vertex 133.209 101.6 3.83272 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 133.209 101.6 3.83272 + vertex 129.707 101.6 5.07574 + vertex 133.336 101.6 3.99886 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 133.336 101.6 3.99886 + vertex 129.803 101.6 5.04993 + vertex 133.455 101.6 4.17144 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 133.564 101.6 4.35 + vertex 129.901 101.6 5.03139 + vertex 133.664 101.6 4.53404 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 133.664 101.6 4.53404 + vertex 130 101.6 5.02023 + vertex 133.754 101.6 4.72305 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 133.834 101.6 4.91653 + vertex 130.1 101.6 5.0165 + vertex 133.904 101.6 5.11393 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 133.904 101.6 5.11393 + vertex 130.2 101.6 5.02023 + vertex 133.964 101.6 5.31472 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 134.013 101.6 5.51835 + vertex 130.299 101.6 5.03139 + vertex 134.051 101.6 5.72426 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 134.051 101.6 5.72426 + vertex 130.397 101.6 5.04993 + vertex 134.078 101.6 5.93189 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 134.078 101.6 5.93189 + vertex 130.493 101.6 5.07574 + vertex 134.095 101.6 6.14066 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 134.095 101.6 6.14066 + vertex 130.587 101.6 5.10868 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.587 101.6 5.10868 + vertex 130.679 101.6 5.14856 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.679 101.6 5.14856 + vertex 130.767 101.6 5.19515 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.767 101.6 5.19515 + vertex 130.851 101.6 5.24821 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.851 101.6 5.24821 + vertex 130.931 101.6 5.30743 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.931 101.6 5.30743 + vertex 131.007 101.6 5.37247 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.007 101.6 5.37247 + vertex 131.078 101.6 5.44299 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.078 101.6 5.44299 + vertex 131.143 101.6 5.51858 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.143 101.6 5.51858 + vertex 131.202 101.6 5.59881 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.202 101.6 5.59881 + vertex 131.255 101.6 5.68325 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.255 101.6 5.68325 + vertex 131.301 101.6 5.77142 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.301 101.6 5.77142 + vertex 131.341 101.6 5.86282 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.341 101.6 5.86282 + vertex 131.374 101.6 5.95694 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.374 101.6 5.95694 + vertex 131.4 101.6 6.05327 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.4 101.6 6.05327 + vertex 131.419 101.6 6.15125 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.419 101.6 6.15125 + vertex 131.43 101.6 6.25035 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.43 101.6 6.25035 + vertex 131.433 101.6 6.35 + vertex 134.1 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.493 101.6 5.07574 + vertex 130.587 101.6 5.10868 + vertex 134.095 101.6 6.14066 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.397 101.6 5.04993 + vertex 130.493 101.6 5.07574 + vertex 134.078 101.6 5.93189 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.299 101.6 5.03139 + vertex 130.397 101.6 5.04993 + vertex 134.051 101.6 5.72426 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 133.964 101.6 5.31472 + vertex 130.299 101.6 5.03139 + vertex 134.013 101.6 5.51835 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.2 101.6 5.02023 + vertex 130.299 101.6 5.03139 + vertex 133.964 101.6 5.31472 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.1 101.6 5.0165 + vertex 130.2 101.6 5.02023 + vertex 133.904 101.6 5.11393 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 133.754 101.6 4.72305 + vertex 130.1 101.6 5.0165 + vertex 133.834 101.6 4.91653 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130 101.6 5.02023 + vertex 130.1 101.6 5.0165 + vertex 133.754 101.6 4.72305 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.901 101.6 5.03139 + vertex 130 101.6 5.02023 + vertex 133.664 101.6 4.53404 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 133.455 101.6 4.17144 + vertex 129.901 101.6 5.03139 + vertex 133.564 101.6 4.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.803 101.6 5.04993 + vertex 129.901 101.6 5.03139 + vertex 133.455 101.6 4.17144 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.707 101.6 5.07574 + vertex 129.803 101.6 5.04993 + vertex 133.336 101.6 3.99886 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.613 101.6 5.10868 + vertex 129.707 101.6 5.07574 + vertex 133.209 101.6 3.83272 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 132.928 101.6 3.52157 + vertex 129.613 101.6 5.10868 + vertex 133.073 101.6 3.67348 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.521 101.6 5.14856 + vertex 129.613 101.6 5.10868 + vertex 132.928 101.6 3.52157 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.433 101.6 5.19515 + vertex 129.521 101.6 5.14856 + vertex 132.777 101.6 3.37742 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 132.451 101.6 3.11393 + vertex 129.433 101.6 5.19515 + vertex 132.617 101.6 3.24142 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.349 101.6 5.24821 + vertex 129.433 101.6 5.19515 + vertex 132.451 101.6 3.11393 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.269 101.6 5.30743 + vertex 129.349 101.6 5.24821 + vertex 132.279 101.6 2.99532 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.916 101.6 2.78597 + vertex 129.269 101.6 5.30743 + vertex 132.1 101.6 2.8859 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.193 101.6 5.37247 + vertex 129.269 101.6 5.30743 + vertex 131.916 101.6 2.78597 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.122 101.6 5.44299 + vertex 129.193 101.6 5.37247 + vertex 131.727 101.6 2.69582 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.057 101.6 5.51858 + vertex 129.122 101.6 5.44299 + vertex 131.533 101.6 2.61568 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 131.135 101.6 2.4863 + vertex 129.057 101.6 5.51858 + vertex 131.336 101.6 2.54577 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.998 101.6 5.59881 + vertex 129.057 101.6 5.51858 + vertex 131.135 101.6 2.4863 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.945 101.6 5.68325 + vertex 128.998 101.6 5.59881 + vertex 130.932 101.6 2.43741 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 130.518 101.6 2.37191 + vertex 128.945 101.6 5.68325 + vertex 130.726 101.6 2.39925 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.899 101.6 5.77142 + vertex 128.945 101.6 5.68325 + vertex 130.518 101.6 2.37191 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.859 101.6 5.86282 + vertex 128.899 101.6 5.77142 + vertex 130.309 101.6 2.35548 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.891 101.6 2.35548 + vertex 128.859 101.6 5.86282 + vertex 130.1 101.6 2.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.826 101.6 5.95694 + vertex 128.859 101.6 5.86282 + vertex 129.891 101.6 2.35548 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.8 101.6 6.05327 + vertex 128.826 101.6 5.95694 + vertex 129.682 101.6 2.37191 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.781 101.6 6.15125 + vertex 128.8 101.6 6.05327 + vertex 129.474 101.6 2.39925 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 129.065 101.6 2.4863 + vertex 128.781 101.6 6.15125 + vertex 129.268 101.6 2.43741 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.77 101.6 6.25035 + vertex 128.781 101.6 6.15125 + vertex 129.065 101.6 2.4863 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.766 101.6 6.35 + vertex 128.77 101.6 6.25035 + vertex 128.864 101.6 2.54577 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.766 101.6 6.35 + vertex 128.864 101.6 2.54577 + vertex 128.667 101.6 2.61568 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.766 101.6 6.35 + vertex 128.667 101.6 2.61568 + vertex 128.473 101.6 2.69582 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.473 101.6 2.69582 + vertex 128.284 101.6 2.78597 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.284 101.6 2.78597 + vertex 128.1 101.6 2.8859 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 128.1 101.6 2.8859 + vertex 127.921 101.6 2.99532 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 127.921 101.6 2.99532 + vertex 127.749 101.6 3.11393 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 127.749 101.6 3.11393 + vertex 127.583 101.6 3.24142 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 127.583 101.6 3.24142 + vertex 127.423 101.6 3.37742 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 127.423 101.6 3.37742 + vertex 127.272 101.6 3.52157 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 127.272 101.6 3.52157 + vertex 127.127 101.6 3.67348 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 127.127 101.6 3.67348 + vertex 126.991 101.6 3.83272 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 126.991 101.6 3.83272 + vertex 126.864 101.6 3.99886 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 126.864 101.6 3.99886 + vertex 126.745 101.6 4.17144 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 126.745 101.6 4.17144 + vertex 126.636 101.6 4.35 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 126.636 101.6 4.35 + vertex 126.536 101.6 4.53404 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 126.536 101.6 4.53404 + vertex 126.446 101.6 4.72305 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 126.446 101.6 4.72305 + vertex 126.366 101.6 4.91653 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 126.366 101.6 4.91653 + vertex 126.296 101.6 5.11393 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 126.296 101.6 5.11393 + vertex 126.236 101.6 5.31472 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 126.236 101.6 5.31472 + vertex 126.187 101.6 5.51835 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 126.187 101.6 5.51835 + vertex 126.149 101.6 5.72426 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 126.149 101.6 5.72426 + vertex 126.122 101.6 5.93189 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 126.122 101.6 5.93189 + vertex 126.105 101.6 6.14066 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0 1 2.22045e-16 + outer loop + vertex 126.105 101.6 6.14066 + vertex 126.1 101.6 6.35 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0.999301 8.30306e-18 -0.0373937 + outer loop + vertex 128.77 98.6 6.44965 + vertex 128.766 98.6 6.35 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0.999301 8.30306e-18 -0.0373937 + outer loop + vertex 128.77 101.6 6.44965 + vertex 128.77 98.6 6.44965 + vertex 128.766 101.6 6.35 + endloop + endfacet + facet normal 0.993713 2.48604e-17 -0.111961 + outer loop + vertex 128.781 98.6 6.54875 + vertex 128.77 98.6 6.44965 + vertex 128.77 101.6 6.44965 + endloop + endfacet + facet normal 0.993713 2.48604e-17 -0.111961 + outer loop + vertex 128.781 101.6 6.54875 + vertex 128.781 98.6 6.54875 + vertex 128.77 101.6 6.44965 + endloop + endfacet + facet normal 0.982566 4.12816e-17 -0.185916 + outer loop + vertex 128.8 98.6 6.64673 + vertex 128.781 98.6 6.54875 + vertex 128.781 101.6 6.54875 + endloop + endfacet + facet normal 0.982566 4.12816e-17 -0.185916 + outer loop + vertex 128.8 101.6 6.64673 + vertex 128.8 98.6 6.64673 + vertex 128.781 101.6 6.54875 + endloop + endfacet + facet normal 0.965926 5.74695e-17 -0.25882 + outer loop + vertex 128.826 98.6 6.74306 + vertex 128.8 98.6 6.64673 + vertex 128.8 101.6 6.64673 + endloop + endfacet + facet normal 0.965926 5.74695e-17 -0.25882 + outer loop + vertex 128.826 101.6 6.74306 + vertex 128.826 98.6 6.74306 + vertex 128.8 101.6 6.64673 + endloop + endfacet + facet normal 0.943884 7.33365e-17 -0.330278 + outer loop + vertex 128.859 98.6 6.83718 + vertex 128.826 98.6 6.74306 + vertex 128.826 101.6 6.74306 + endloop + endfacet + facet normal 0.943884 7.33365e-17 -0.330278 + outer loop + vertex 128.859 101.6 6.83718 + vertex 128.859 98.6 6.83718 + vertex 128.826 101.6 6.74306 + endloop + endfacet + facet normal 0.916563 8.87933e-17 -0.39989 + outer loop + vertex 128.899 98.6 6.92858 + vertex 128.859 98.6 6.83718 + vertex 128.859 101.6 6.83718 + endloop + endfacet + facet normal 0.916563 8.87933e-17 -0.39989 + outer loop + vertex 128.899 101.6 6.92858 + vertex 128.899 98.6 6.92858 + vertex 128.859 101.6 6.83718 + endloop + endfacet + facet normal 0.884116 1.03754e-16 -0.467268 + outer loop + vertex 128.945 98.6 7.01675 + vertex 128.899 98.6 6.92858 + vertex 128.899 101.6 6.92858 + endloop + endfacet + facet normal 0.884116 1.03754e-16 -0.467268 + outer loop + vertex 128.945 101.6 7.01675 + vertex 128.945 98.6 7.01675 + vertex 128.899 101.6 6.92858 + endloop + endfacet + facet normal 0.846721 1.18136e-16 -0.532037 + outer loop + vertex 128.998 98.6 7.10119 + vertex 128.945 98.6 7.01675 + vertex 128.945 101.6 7.01675 + endloop + endfacet + facet normal 0.846721 1.18136e-16 -0.532037 + outer loop + vertex 128.998 101.6 7.10119 + vertex 128.998 98.6 7.10119 + vertex 128.945 101.6 7.01675 + endloop + endfacet + facet normal 0.804601 1.31854e-16 -0.593816 + outer loop + vertex 129.057 98.6 7.18142 + vertex 128.998 98.6 7.10119 + vertex 128.998 101.6 7.10119 + endloop + endfacet + facet normal 0.804601 1.31854e-16 -0.593816 + outer loop + vertex 129.057 101.6 7.18142 + vertex 129.057 98.6 7.18142 + vertex 128.998 101.6 7.10119 + endloop + endfacet + facet normal 0.757972 1.44837e-16 -0.652287 + outer loop + vertex 129.122 98.6 7.25701 + vertex 129.057 98.6 7.18142 + vertex 129.057 101.6 7.18142 + endloop + endfacet + facet normal 0.757972 1.44837e-16 -0.652287 + outer loop + vertex 129.122 101.6 7.25701 + vertex 129.122 98.6 7.25701 + vertex 129.057 101.6 7.18142 + endloop + endfacet + facet normal 0.707107 1.57009e-16 -0.707107 + outer loop + vertex 129.193 98.6 7.32752 + vertex 129.122 98.6 7.25701 + vertex 129.122 101.6 7.25701 + endloop + endfacet + facet normal 0.707107 1.57009e-16 -0.707107 + outer loop + vertex 129.193 101.6 7.32752 + vertex 129.193 98.6 7.32752 + vertex 129.122 101.6 7.25701 + endloop + endfacet + facet normal 0.652287 1.68304e-16 -0.757972 + outer loop + vertex 129.193 98.6 7.32752 + vertex 129.193 101.6 7.32752 + vertex 129.269 98.6 7.39257 + endloop + endfacet + facet normal 0.652287 1.68304e-16 -0.757972 + outer loop + vertex 129.269 98.6 7.39257 + vertex 129.193 101.6 7.32752 + vertex 129.269 101.6 7.39257 + endloop + endfacet + facet normal 0.593816 1.78657e-16 -0.804601 + outer loop + vertex 129.269 98.6 7.39257 + vertex 129.269 101.6 7.39257 + vertex 129.349 98.6 7.45179 + endloop + endfacet + facet normal 0.593816 1.78657e-16 -0.804601 + outer loop + vertex 129.349 98.6 7.45179 + vertex 129.269 101.6 7.39257 + vertex 129.349 101.6 7.45179 + endloop + endfacet + facet normal 0.532037 1.8801e-16 -0.846721 + outer loop + vertex 129.349 98.6 7.45179 + vertex 129.349 101.6 7.45179 + vertex 129.433 98.6 7.50484 + endloop + endfacet + facet normal 0.532037 1.8801e-16 -0.846721 + outer loop + vertex 129.433 98.6 7.50484 + vertex 129.349 101.6 7.45179 + vertex 129.433 101.6 7.50484 + endloop + endfacet + facet normal 0.467268 1.96313e-16 -0.884116 + outer loop + vertex 129.433 98.6 7.50484 + vertex 129.433 101.6 7.50484 + vertex 129.521 98.6 7.55144 + endloop + endfacet + facet normal 0.467268 1.96313e-16 -0.884116 + outer loop + vertex 129.521 98.6 7.55144 + vertex 129.433 101.6 7.50484 + vertex 129.521 101.6 7.55144 + endloop + endfacet + facet normal 0.39989 2.03518e-16 -0.916563 + outer loop + vertex 129.521 98.6 7.55144 + vertex 129.521 101.6 7.55144 + vertex 129.613 98.6 7.59132 + endloop + endfacet + facet normal 0.39989 2.03518e-16 -0.916563 + outer loop + vertex 129.613 98.6 7.59132 + vertex 129.521 101.6 7.55144 + vertex 129.613 101.6 7.59132 + endloop + endfacet + facet normal 0.330278 2.09584e-16 -0.943884 + outer loop + vertex 129.613 98.6 7.59132 + vertex 129.613 101.6 7.59132 + vertex 129.707 98.6 7.62426 + endloop + endfacet + facet normal 0.330278 2.09584e-16 -0.943884 + outer loop + vertex 129.707 98.6 7.62426 + vertex 129.613 101.6 7.59132 + vertex 129.707 101.6 7.62426 + endloop + endfacet + facet normal 0.25882 2.14479e-16 -0.965926 + outer loop + vertex 129.707 98.6 7.62426 + vertex 129.707 101.6 7.62426 + vertex 129.803 98.6 7.65007 + endloop + endfacet + facet normal 0.25882 2.14479e-16 -0.965926 + outer loop + vertex 129.803 98.6 7.65007 + vertex 129.707 101.6 7.62426 + vertex 129.803 101.6 7.65007 + endloop + endfacet + facet normal 0.185916 2.18173e-16 -0.982566 + outer loop + vertex 129.803 98.6 7.65007 + vertex 129.803 101.6 7.65007 + vertex 129.901 98.6 7.66861 + endloop + endfacet + facet normal 0.185916 2.18173e-16 -0.982566 + outer loop + vertex 129.901 98.6 7.66861 + vertex 129.803 101.6 7.65007 + vertex 129.901 101.6 7.66861 + endloop + endfacet + facet normal 0.111961 2.20649e-16 -0.993713 + outer loop + vertex 129.901 98.6 7.66861 + vertex 129.901 101.6 7.66861 + vertex 130 98.6 7.67977 + endloop + endfacet + facet normal 0.111961 2.20649e-16 -0.993713 + outer loop + vertex 130 98.6 7.67977 + vertex 129.901 101.6 7.66861 + vertex 130 101.6 7.67977 + endloop + endfacet + facet normal 0.0373937 2.21889e-16 -0.999301 + outer loop + vertex 130 98.6 7.67977 + vertex 130 101.6 7.67977 + vertex 130.1 98.6 7.6835 + endloop + endfacet + facet normal 0.0373937 2.21889e-16 -0.999301 + outer loop + vertex 130.1 98.6 7.6835 + vertex 130 101.6 7.67977 + vertex 130.1 101.6 7.6835 + endloop + endfacet + facet normal -0.0373937 2.21889e-16 -0.999301 + outer loop + vertex 130.1 98.6 7.6835 + vertex 130.1 101.6 7.6835 + vertex 130.2 98.6 7.67977 + endloop + endfacet + facet normal -0.0373937 2.21889e-16 -0.999301 + outer loop + vertex 130.2 98.6 7.67977 + vertex 130.1 101.6 7.6835 + vertex 130.2 101.6 7.67977 + endloop + endfacet + facet normal -0.111961 2.20649e-16 -0.993713 + outer loop + vertex 130.2 98.6 7.67977 + vertex 130.2 101.6 7.67977 + vertex 130.299 98.6 7.66861 + endloop + endfacet + facet normal -0.111961 2.20649e-16 -0.993713 + outer loop + vertex 130.299 98.6 7.66861 + vertex 130.2 101.6 7.67977 + vertex 130.299 101.6 7.66861 + endloop + endfacet + facet normal -0.185916 2.18173e-16 -0.982566 + outer loop + vertex 130.299 98.6 7.66861 + vertex 130.299 101.6 7.66861 + vertex 130.397 98.6 7.65007 + endloop + endfacet + facet normal -0.185916 2.18173e-16 -0.982566 + outer loop + vertex 130.397 98.6 7.65007 + vertex 130.299 101.6 7.66861 + vertex 130.397 101.6 7.65007 + endloop + endfacet + facet normal -0.25882 2.14479e-16 -0.965926 + outer loop + vertex 130.397 98.6 7.65007 + vertex 130.397 101.6 7.65007 + vertex 130.493 98.6 7.62426 + endloop + endfacet + facet normal -0.25882 2.14479e-16 -0.965926 + outer loop + vertex 130.493 98.6 7.62426 + vertex 130.397 101.6 7.65007 + vertex 130.493 101.6 7.62426 + endloop + endfacet + facet normal -0.330278 2.09584e-16 -0.943884 + outer loop + vertex 130.493 98.6 7.62426 + vertex 130.493 101.6 7.62426 + vertex 130.587 98.6 7.59132 + endloop + endfacet + facet normal -0.330278 2.09584e-16 -0.943884 + outer loop + vertex 130.587 98.6 7.59132 + vertex 130.493 101.6 7.62426 + vertex 130.587 101.6 7.59132 + endloop + endfacet + facet normal -0.39989 2.03518e-16 -0.916563 + outer loop + vertex 130.587 98.6 7.59132 + vertex 130.587 101.6 7.59132 + vertex 130.679 98.6 7.55144 + endloop + endfacet + facet normal -0.39989 2.03518e-16 -0.916563 + outer loop + vertex 130.679 98.6 7.55144 + vertex 130.587 101.6 7.59132 + vertex 130.679 101.6 7.55144 + endloop + endfacet + facet normal -0.467268 1.96313e-16 -0.884116 + outer loop + vertex 130.679 98.6 7.55144 + vertex 130.679 101.6 7.55144 + vertex 130.767 98.6 7.50484 + endloop + endfacet + facet normal -0.467268 1.96313e-16 -0.884116 + outer loop + vertex 130.767 98.6 7.50484 + vertex 130.679 101.6 7.55144 + vertex 130.767 101.6 7.50484 + endloop + endfacet + facet normal -0.532037 1.8801e-16 -0.846721 + outer loop + vertex 130.767 98.6 7.50484 + vertex 130.767 101.6 7.50484 + vertex 130.851 98.6 7.45179 + endloop + endfacet + facet normal -0.532037 1.8801e-16 -0.846721 + outer loop + vertex 130.851 98.6 7.45179 + vertex 130.767 101.6 7.50484 + vertex 130.851 101.6 7.45179 + endloop + endfacet + facet normal -0.593816 1.78657e-16 -0.804601 + outer loop + vertex 130.851 98.6 7.45179 + vertex 130.851 101.6 7.45179 + vertex 130.931 98.6 7.39257 + endloop + endfacet + facet normal -0.593816 1.78657e-16 -0.804601 + outer loop + vertex 130.931 98.6 7.39257 + vertex 130.851 101.6 7.45179 + vertex 130.931 101.6 7.39257 + endloop + endfacet + facet normal -0.652287 1.68304e-16 -0.757972 + outer loop + vertex 130.931 98.6 7.39257 + vertex 130.931 101.6 7.39257 + vertex 131.007 98.6 7.32752 + endloop + endfacet + facet normal -0.652287 1.68304e-16 -0.757972 + outer loop + vertex 131.007 98.6 7.32752 + vertex 130.931 101.6 7.39257 + vertex 131.007 101.6 7.32752 + endloop + endfacet + facet normal -0.707107 1.57009e-16 -0.707107 + outer loop + vertex 131.007 98.6 7.32752 + vertex 131.007 101.6 7.32752 + vertex 131.078 98.6 7.25701 + endloop + endfacet + facet normal -0.707107 1.57009e-16 -0.707107 + outer loop + vertex 131.078 98.6 7.25701 + vertex 131.007 101.6 7.32752 + vertex 131.078 101.6 7.25701 + endloop + endfacet + facet normal -0.757972 1.44837e-16 -0.652287 + outer loop + vertex 131.078 98.6 7.25701 + vertex 131.078 101.6 7.25701 + vertex 131.143 98.6 7.18142 + endloop + endfacet + facet normal -0.757972 1.44837e-16 -0.652287 + outer loop + vertex 131.143 98.6 7.18142 + vertex 131.078 101.6 7.25701 + vertex 131.143 101.6 7.18142 + endloop + endfacet + facet normal -0.804601 1.31854e-16 -0.593816 + outer loop + vertex 131.143 98.6 7.18142 + vertex 131.143 101.6 7.18142 + vertex 131.202 98.6 7.10119 + endloop + endfacet + facet normal -0.804601 1.31854e-16 -0.593816 + outer loop + vertex 131.202 98.6 7.10119 + vertex 131.143 101.6 7.18142 + vertex 131.202 101.6 7.10119 + endloop + endfacet + facet normal -0.846721 1.18136e-16 -0.532037 + outer loop + vertex 131.202 98.6 7.10119 + vertex 131.202 101.6 7.10119 + vertex 131.255 98.6 7.01675 + endloop + endfacet + facet normal -0.846721 1.18136e-16 -0.532037 + outer loop + vertex 131.255 98.6 7.01675 + vertex 131.202 101.6 7.10119 + vertex 131.255 101.6 7.01675 + endloop + endfacet + facet normal -0.884116 1.03754e-16 -0.467268 + outer loop + vertex 131.255 98.6 7.01675 + vertex 131.255 101.6 7.01675 + vertex 131.301 98.6 6.92858 + endloop + endfacet + facet normal -0.884116 1.03754e-16 -0.467268 + outer loop + vertex 131.301 98.6 6.92858 + vertex 131.255 101.6 7.01675 + vertex 131.301 101.6 6.92858 + endloop + endfacet + facet normal -0.916563 8.87933e-17 -0.39989 + outer loop + vertex 131.301 98.6 6.92858 + vertex 131.301 101.6 6.92858 + vertex 131.341 98.6 6.83718 + endloop + endfacet + facet normal -0.916563 8.87933e-17 -0.39989 + outer loop + vertex 131.341 98.6 6.83718 + vertex 131.301 101.6 6.92858 + vertex 131.341 101.6 6.83718 + endloop + endfacet + facet normal -0.943884 7.33365e-17 -0.330278 + outer loop + vertex 131.341 98.6 6.83718 + vertex 131.341 101.6 6.83718 + vertex 131.374 98.6 6.74306 + endloop + endfacet + facet normal -0.943884 7.33365e-17 -0.330278 + outer loop + vertex 131.374 98.6 6.74306 + vertex 131.341 101.6 6.83718 + vertex 131.374 101.6 6.74306 + endloop + endfacet + facet normal -0.965926 5.74695e-17 -0.25882 + outer loop + vertex 131.374 98.6 6.74306 + vertex 131.374 101.6 6.74306 + vertex 131.4 98.6 6.64673 + endloop + endfacet + facet normal -0.965926 5.74695e-17 -0.25882 + outer loop + vertex 131.4 98.6 6.64673 + vertex 131.374 101.6 6.74306 + vertex 131.4 101.6 6.64673 + endloop + endfacet + facet normal -0.982566 4.12816e-17 -0.185916 + outer loop + vertex 131.4 98.6 6.64673 + vertex 131.4 101.6 6.64673 + vertex 131.419 98.6 6.54875 + endloop + endfacet + facet normal -0.982566 4.12816e-17 -0.185916 + outer loop + vertex 131.419 98.6 6.54875 + vertex 131.4 101.6 6.64673 + vertex 131.419 101.6 6.54875 + endloop + endfacet + facet normal -0.993713 2.48604e-17 -0.111961 + outer loop + vertex 131.419 98.6 6.54875 + vertex 131.419 101.6 6.54875 + vertex 131.43 98.6 6.44965 + endloop + endfacet + facet normal -0.993713 2.48604e-17 -0.111961 + outer loop + vertex 131.43 98.6 6.44965 + vertex 131.419 101.6 6.54875 + vertex 131.43 101.6 6.44965 + endloop + endfacet + facet normal -0.999301 8.30306e-18 -0.0373937 + outer loop + vertex 131.43 98.6 6.44965 + vertex 131.43 101.6 6.44965 + vertex 131.433 98.6 6.35 + endloop + endfacet + facet normal -0.999301 8.30306e-18 -0.0373937 + outer loop + vertex 131.433 98.6 6.35 + vertex 131.43 101.6 6.44965 + vertex 131.433 101.6 6.35 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.281 99.7286 3 + vertex 120.48 100.3 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.281 99.7286 3 + vertex 117.38 99.7398 3 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.147 98.41 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.147 98.41 3 + vertex 116.15 98.5097 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.15 98.5097 3 + vertex 116.161 98.6087 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.059 97.2086 3 + vertex 117.967 97.1687 3 + vertex 120.48 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.161 98.6087 3 + vertex 116.18 98.7067 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.18 98.7067 3 + vertex 116.206 98.8031 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.206 98.8031 3 + vertex 116.239 98.8972 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.279 98.9886 3 + vertex 116.325 99.0767 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.681 98.9886 3 + vertex 118.721 98.8972 3 + vertex 120.48 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.325 99.0767 3 + vertex 116.378 99.1612 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.378 99.1612 3 + vertex 116.437 99.2414 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.437 99.2414 3 + vertex 116.502 99.317 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.502 99.317 3 + vertex 116.573 99.3875 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.573 99.3875 3 + vertex 116.649 99.4526 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.873 99.6843 3 + vertex 117.967 99.6513 3 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.649 99.4526 3 + vertex 116.729 99.5118 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.729 99.5118 3 + vertex 116.813 99.5648 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.813 99.5648 3 + vertex 116.901 99.6114 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.901 99.6114 3 + vertex 116.993 99.6513 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.58 99.7398 3 + vertex 117.679 99.7286 3 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.993 99.6513 3 + vertex 117.087 99.6843 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.087 99.6843 3 + vertex 117.183 99.7101 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.183 99.7101 3 + vertex 117.281 99.7286 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.38 99.7398 3 + vertex 117.48 99.7435 3 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.777 99.7101 3 + vertex 117.873 99.6843 3 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.679 99.7286 3 + vertex 117.777 99.7101 3 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.967 99.6513 3 + vertex 118.059 99.6114 3 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.059 99.6114 3 + vertex 118.147 99.5648 3 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.81 98.5097 3 + vertex 118.813 98.41 3 + vertex 120.48 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.231 99.5118 3 + vertex 120.48 100.3 3 + vertex 118.147 99.5648 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.311 99.4526 3 + vertex 120.48 100.3 3 + vertex 118.231 99.5118 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.387 99.3875 3 + vertex 120.48 100.3 3 + vertex 118.311 99.4526 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.458 99.317 3 + vertex 120.48 100.3 3 + vertex 118.387 99.3875 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.523 99.2414 3 + vertex 120.48 100.3 3 + vertex 118.458 99.317 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.582 99.1612 3 + vertex 120.48 100.3 3 + vertex 118.523 99.2414 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.635 99.0767 3 + vertex 120.48 100.3 3 + vertex 118.582 99.1612 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 120.48 100.3 3 + vertex 118.635 99.0767 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.721 98.8972 3 + vertex 118.754 98.8031 3 + vertex 120.48 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 118.635 99.0767 3 + vertex 118.681 98.9886 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.754 98.8031 3 + vertex 118.78 98.7067 3 + vertex 120.48 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.78 98.7067 3 + vertex 118.799 98.6087 3 + vertex 120.48 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.799 98.6087 3 + vertex 118.81 98.5097 3 + vertex 120.48 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.311 97.3674 3 + vertex 118.231 97.3082 3 + vertex 120.48 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 118.813 98.41 3 + vertex 118.81 98.3103 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 118.81 98.3103 3 + vertex 118.799 98.2113 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 118.799 98.2113 3 + vertex 118.78 98.1133 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 118.78 98.1133 3 + vertex 118.754 98.0169 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 118.754 98.0169 3 + vertex 118.721 97.9228 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 118.721 97.9228 3 + vertex 118.681 97.8314 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 118.681 97.8314 3 + vertex 118.635 97.7432 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 118.635 97.7432 3 + vertex 118.582 97.6588 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 118.582 97.6588 3 + vertex 118.523 97.5786 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 118.523 97.5786 3 + vertex 118.458 97.503 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 118.458 97.503 3 + vertex 118.387 97.4325 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.231 97.3082 3 + vertex 118.147 97.2552 3 + vertex 120.48 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 95.6 3 + vertex 118.387 97.4325 3 + vertex 118.311 97.3674 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.147 97.2552 3 + vertex 118.059 97.2086 3 + vertex 120.48 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 120.48 95.6 3 + vertex 117.967 97.1687 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 117.967 97.1687 3 + vertex 117.873 97.1357 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 117.873 97.1357 3 + vertex 117.777 97.1099 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 117.777 97.1099 3 + vertex 117.679 97.0914 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 117.679 97.0914 3 + vertex 117.58 97.0802 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 117.58 97.0802 3 + vertex 117.48 97.0765 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 117.48 97.0765 3 + vertex 117.38 97.0802 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 117.38 97.0802 3 + vertex 117.281 97.0914 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 117.281 97.0914 3 + vertex 117.183 97.1099 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 117.183 97.1099 3 + vertex 117.087 97.1357 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 117.087 97.1357 3 + vertex 116.993 97.1687 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.993 97.1687 3 + vertex 116.901 97.2086 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.901 97.2086 3 + vertex 116.813 97.2552 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.813 97.2552 3 + vertex 116.729 97.3082 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.729 97.3082 3 + vertex 116.649 97.3674 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.649 97.3674 3 + vertex 116.573 97.4325 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.573 97.4325 3 + vertex 116.502 97.503 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.502 97.503 3 + vertex 116.437 97.5786 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.437 97.5786 3 + vertex 116.378 97.6588 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.378 97.6588 3 + vertex 116.325 97.7432 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.325 97.7432 3 + vertex 116.279 97.8314 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.279 97.8314 3 + vertex 116.239 97.9228 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.239 97.9228 3 + vertex 116.206 98.0169 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.206 98.0169 3 + vertex 116.18 98.1133 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.18 98.1133 3 + vertex 116.161 98.2113 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.15 98.3103 3 + vertex 116.147 98.41 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 95.6 3 + vertex 116.161 98.2113 3 + vertex 116.15 98.3103 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.239 98.8972 3 + vertex 116.279 98.9886 3 + vertex 114.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.48 99.7435 3 + vertex 117.58 99.7398 3 + vertex 120.48 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 88.73 95.6 3 + vertex 88.73 91.6 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.8848 99.0767 3 + vertex 88.73 95.6 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.3369 94.7357 3 + vertex 88.73 95.6 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.73 99.7435 3 + vertex 85.8297 99.7398 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4002 98.3103 3 + vertex 84.3965 98.41 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.3965 98.41 3 + vertex 84.4002 98.5097 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4002 98.5097 3 + vertex 84.4114 98.6087 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.3086 97.2086 3 + vertex 86.2172 97.1687 3 + vertex 88.73 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4114 98.6087 3 + vertex 84.4299 98.7067 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4299 98.7067 3 + vertex 84.4557 98.8031 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4557 98.8031 3 + vertex 84.4887 98.8972 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.9314 98.9886 3 + vertex 86.9713 98.8972 3 + vertex 88.73 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.7075 99.317 3 + vertex 86.7726 99.2414 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 84.5286 98.9886 3 + vertex 84.5752 99.0767 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.637 99.3875 3 + vertex 86.7075 99.317 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 84.5752 99.0767 3 + vertex 84.6282 99.1612 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.5614 97.3674 3 + vertex 86.4812 97.3082 3 + vertex 88.73 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 84.6282 99.1612 3 + vertex 84.6874 99.2414 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 84.6874 99.2414 3 + vertex 84.7525 99.317 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.4812 99.5118 3 + vertex 86.5614 99.4526 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 84.7525 99.317 3 + vertex 84.823 99.3875 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.3967 99.5648 3 + vertex 86.4812 99.5118 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 84.823 99.3875 3 + vertex 84.8986 99.4526 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.3086 99.6114 3 + vertex 86.3967 99.5648 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 84.8986 99.4526 3 + vertex 84.9788 99.5118 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.2172 99.6513 3 + vertex 86.3086 99.6114 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 84.9788 99.5118 3 + vertex 85.0632 99.5648 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.5614 99.4526 3 + vertex 86.637 99.3875 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 85.0632 99.5648 3 + vertex 85.1514 99.6114 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 85.1514 99.6114 3 + vertex 85.2428 99.6513 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.0267 99.7101 3 + vertex 86.1231 99.6843 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 85.2428 99.6513 3 + vertex 85.3369 99.6843 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.9287 99.7286 3 + vertex 86.0267 99.7101 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 85.3369 99.6843 3 + vertex 85.4333 99.7101 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.8297 99.7398 3 + vertex 85.9287 99.7286 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 85.4333 99.7101 3 + vertex 85.5313 99.7286 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 85.6303 99.7398 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 100.3 3 + vertex 85.5313 99.7286 3 + vertex 85.6303 99.7398 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.6303 99.7398 3 + vertex 85.73 99.7435 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.7726 99.2414 3 + vertex 86.8318 99.1612 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.8318 99.1612 3 + vertex 86.8848 99.0767 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.9314 98.9886 3 + vertex 88.73 95.6 3 + vertex 86.8848 99.0767 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.9713 98.8972 3 + vertex 87.0043 98.8031 3 + vertex 88.73 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0301 98.7067 3 + vertex 88.73 95.6 3 + vertex 87.0043 98.8031 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0486 98.6087 3 + vertex 88.73 95.6 3 + vertex 87.0301 98.7067 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0598 98.5097 3 + vertex 88.73 95.6 3 + vertex 87.0486 98.6087 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0635 98.41 3 + vertex 88.73 95.6 3 + vertex 87.0598 98.5097 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0598 98.3103 3 + vertex 88.73 95.6 3 + vertex 87.0635 98.41 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0486 98.2113 3 + vertex 88.73 95.6 3 + vertex 87.0598 98.3103 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0301 98.1133 3 + vertex 88.73 95.6 3 + vertex 87.0486 98.2113 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0043 98.0169 3 + vertex 88.73 95.6 3 + vertex 87.0301 98.1133 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.9713 97.9228 3 + vertex 88.73 95.6 3 + vertex 87.0043 98.0169 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.9314 97.8314 3 + vertex 88.73 95.6 3 + vertex 86.9713 97.9228 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.8848 97.7432 3 + vertex 88.73 95.6 3 + vertex 86.9314 97.8314 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.8318 97.6588 3 + vertex 88.73 95.6 3 + vertex 86.8848 97.7432 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.7726 97.5786 3 + vertex 88.73 95.6 3 + vertex 86.8318 97.6588 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.7075 97.503 3 + vertex 88.73 95.6 3 + vertex 86.7726 97.5786 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.637 97.4325 3 + vertex 88.73 95.6 3 + vertex 86.7075 97.503 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.5614 97.3674 3 + vertex 88.73 95.6 3 + vertex 86.637 97.4325 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.4812 97.3082 3 + vertex 86.3967 97.2552 3 + vertex 88.73 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.3967 97.2552 3 + vertex 86.3086 97.2086 3 + vertex 88.73 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.5286 97.8314 3 + vertex 84.4887 97.9228 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.6282 97.6588 3 + vertex 84.5752 97.7432 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4887 98.8972 3 + vertex 84.5286 98.9886 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.1231 99.6843 3 + vertex 86.2172 99.6513 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.8986 97.0526 3 + vertex 90.9788 97.1118 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4299 98.1133 3 + vertex 84.4114 98.2113 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4557 98.0169 3 + vertex 84.4299 98.1133 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4887 97.9228 3 + vertex 84.4557 98.0169 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.0632 97.1648 3 + vertex 91.1514 97.2114 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.5752 97.7432 3 + vertex 84.5286 97.8314 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4114 98.2113 3 + vertex 84.4002 98.3103 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.2428 94.7687 3 + vertex 91.1514 94.8086 3 + vertex 88.73 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4002 96.1097 3 + vertex 88.73 95.6 3 + vertex 90.3965 96.01 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4114 96.2087 3 + vertex 88.73 95.6 3 + vertex 90.4002 96.1097 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4299 96.3067 3 + vertex 88.73 95.6 3 + vertex 90.4114 96.2087 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4557 96.4031 3 + vertex 88.73 95.6 3 + vertex 90.4299 96.3067 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.5313 97.3286 3 + vertex 91.6303 97.3398 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4887 96.4972 3 + vertex 88.73 95.6 3 + vertex 90.4557 96.4031 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.5286 96.5886 3 + vertex 88.73 95.6 3 + vertex 90.4887 96.4972 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.5752 96.6767 3 + vertex 88.73 95.6 3 + vertex 90.5286 96.5886 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.6282 96.7612 3 + vertex 88.73 95.6 3 + vertex 90.5752 96.6767 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.6874 96.8414 3 + vertex 88.73 95.6 3 + vertex 90.6282 96.7612 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.1514 97.2114 3 + vertex 91.2428 97.2513 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.7525 96.917 3 + vertex 88.73 95.6 3 + vertex 90.6874 96.8414 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.823 96.9875 3 + vertex 88.73 95.6 3 + vertex 90.7525 96.917 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.8986 97.0526 3 + vertex 88.73 95.6 3 + vertex 90.823 96.9875 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.9788 97.1118 3 + vertex 91.0632 97.1648 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 88.73 95.6 3 + vertex 90.8986 97.0526 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.4333 97.3101 3 + vertex 91.5313 97.3286 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.2428 97.2513 3 + vertex 91.3369 97.2843 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.3369 97.2843 3 + vertex 91.4333 97.3101 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0598 95.9103 3 + vertex 93.0486 95.8113 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.6303 97.3398 3 + vertex 91.73 97.3435 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.73 97.3435 3 + vertex 91.8297 97.3398 3 + vertex 94.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0043 96.4031 3 + vertex 93.0301 96.3067 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.73 94.6765 3 + vertex 91.6303 94.6802 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.8848 95.3432 3 + vertex 92.8318 95.2588 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 91.8297 97.3398 3 + vertex 91.9287 97.3286 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 91.9287 97.3286 3 + vertex 92.0267 97.3101 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.0267 97.3101 3 + vertex 92.1231 97.2843 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.9713 95.5228 3 + vertex 92.9314 95.4314 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.1231 97.2843 3 + vertex 92.2172 97.2513 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.9314 95.4314 3 + vertex 92.8848 95.3432 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.2172 97.2513 3 + vertex 92.3086 97.2114 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.3086 97.2114 3 + vertex 92.3967 97.1648 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0301 95.7133 3 + vertex 93.0043 95.6169 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.3967 97.1648 3 + vertex 92.4812 97.1118 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0486 95.8113 3 + vertex 93.0301 95.7133 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.4812 97.1118 3 + vertex 92.5614 97.0526 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0043 95.6169 3 + vertex 92.9713 95.5228 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.5614 97.0526 3 + vertex 92.637 96.9875 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.637 96.9875 3 + vertex 92.7075 96.917 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0635 96.01 3 + vertex 93.0598 95.9103 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.7075 96.917 3 + vertex 92.7726 96.8414 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0598 96.1097 3 + vertex 93.0635 96.01 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.7726 96.8414 3 + vertex 92.8318 96.7612 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0486 96.2087 3 + vertex 93.0598 96.1097 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.8318 96.7612 3 + vertex 92.8848 96.6767 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0301 96.3067 3 + vertex 93.0486 96.2087 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.8848 96.6767 3 + vertex 92.9314 96.5886 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.9713 96.4972 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 100.3 3 + vertex 92.9314 96.5886 3 + vertex 92.9713 96.4972 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.9713 96.4972 3 + vertex 93.0043 96.4031 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.8318 95.2588 3 + vertex 92.7726 95.1786 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.7726 95.1786 3 + vertex 92.7075 95.103 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.7075 95.103 3 + vertex 92.637 95.0325 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.637 95.0325 3 + vertex 92.5614 94.9674 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.5614 94.9674 3 + vertex 92.4812 94.9082 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.4812 94.9082 3 + vertex 92.3967 94.8552 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.3967 94.8552 3 + vertex 92.3086 94.8086 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.3086 94.8086 3 + vertex 92.2172 94.7687 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.2172 94.7687 3 + vertex 92.1231 94.7357 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.1231 94.7357 3 + vertex 92.0267 94.7099 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.0267 94.7099 3 + vertex 91.9287 94.6914 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.9287 94.6914 3 + vertex 91.8297 94.6802 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.8297 94.6802 3 + vertex 91.73 94.6765 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.6303 94.6802 3 + vertex 91.5313 94.6914 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.5313 94.6914 3 + vertex 91.4333 94.7099 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.4333 94.7099 3 + vertex 91.3369 94.7357 3 + vertex 94.73 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.2428 94.7687 3 + vertex 88.73 95.6 3 + vertex 91.3369 94.7357 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.1514 94.8086 3 + vertex 91.0632 94.8552 3 + vertex 88.73 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.0632 94.8552 3 + vertex 90.9788 94.9082 3 + vertex 88.73 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.8986 94.9674 3 + vertex 88.73 95.6 3 + vertex 90.9788 94.9082 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.823 95.0325 3 + vertex 88.73 95.6 3 + vertex 90.8986 94.9674 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.7525 95.103 3 + vertex 88.73 95.6 3 + vertex 90.823 95.0325 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.6874 95.1786 3 + vertex 88.73 95.6 3 + vertex 90.7525 95.103 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.6282 95.2588 3 + vertex 88.73 95.6 3 + vertex 90.6874 95.1786 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.5752 95.3432 3 + vertex 88.73 95.6 3 + vertex 90.6282 95.2588 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.5286 95.4314 3 + vertex 88.73 95.6 3 + vertex 90.5752 95.3432 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4887 95.5228 3 + vertex 88.73 95.6 3 + vertex 90.5286 95.4314 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4557 95.6169 3 + vertex 88.73 95.6 3 + vertex 90.4887 95.5228 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4299 95.7133 3 + vertex 88.73 95.6 3 + vertex 90.4557 95.6169 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4114 95.8113 3 + vertex 88.73 95.6 3 + vertex 90.4299 95.7133 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4002 95.9103 3 + vertex 88.73 95.6 3 + vertex 90.4114 95.8113 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.3965 96.01 3 + vertex 88.73 95.6 3 + vertex 90.4002 95.9103 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 84.6282 97.6588 3 + vertex 82.73 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 84.6874 97.5786 3 + vertex 84.6282 97.6588 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 84.7525 97.503 3 + vertex 84.6874 97.5786 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 84.823 97.4325 3 + vertex 84.7525 97.503 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 84.8986 97.3674 3 + vertex 84.823 97.4325 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 84.9788 97.3082 3 + vertex 84.8986 97.3674 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 85.0632 97.2552 3 + vertex 84.9788 97.3082 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 85.1514 97.2086 3 + vertex 85.0632 97.2552 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 85.2428 97.1687 3 + vertex 85.1514 97.2086 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 85.3369 97.1357 3 + vertex 85.2428 97.1687 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 85.4333 97.1099 3 + vertex 85.3369 97.1357 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 85.5313 97.0914 3 + vertex 85.4333 97.1099 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 85.6303 97.0802 3 + vertex 85.5313 97.0914 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 85.73 97.0765 3 + vertex 85.6303 97.0802 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 85.8297 97.0802 3 + vertex 85.73 97.0765 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 85.9287 97.0914 3 + vertex 85.8297 97.0802 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 86.0267 97.1099 3 + vertex 85.9287 97.0914 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 86.1231 97.1357 3 + vertex 86.0267 97.1099 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 86.2172 97.1687 3 + vertex 86.1231 97.1357 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 95.6 3 + vertex 88.73 95.6 3 + vertex 86.2172 97.1687 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 44.28 95.6 3 + vertex 44.28 91.6 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.4348 99.0767 3 + vertex 44.28 95.6 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.8869 94.7357 3 + vertex 44.28 95.6 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.28 99.7435 3 + vertex 41.3797 99.7398 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 39.9502 98.3103 3 + vertex 39.9465 98.41 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 39.9465 98.41 3 + vertex 39.9502 98.5097 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 39.9502 98.5097 3 + vertex 39.9614 98.6087 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.8586 97.2086 3 + vertex 41.7672 97.1687 3 + vertex 44.28 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 39.9614 98.6087 3 + vertex 39.9799 98.7067 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 39.9799 98.7067 3 + vertex 40.0057 98.8031 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.0057 98.8031 3 + vertex 40.0387 98.8972 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.4814 98.9886 3 + vertex 42.5213 98.8972 3 + vertex 44.28 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.2575 99.317 3 + vertex 42.3226 99.2414 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 40.0786 98.9886 3 + vertex 40.1252 99.0767 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.187 99.3875 3 + vertex 42.2575 99.317 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 40.1252 99.0767 3 + vertex 40.1782 99.1612 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.1114 97.3674 3 + vertex 42.0312 97.3082 3 + vertex 44.28 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 40.1782 99.1612 3 + vertex 40.2374 99.2414 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 40.2374 99.2414 3 + vertex 40.3025 99.317 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.0312 99.5118 3 + vertex 42.1114 99.4526 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 40.3025 99.317 3 + vertex 40.373 99.3875 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.9467 99.5648 3 + vertex 42.0312 99.5118 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 40.373 99.3875 3 + vertex 40.4486 99.4526 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.8586 99.6114 3 + vertex 41.9467 99.5648 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 40.4486 99.4526 3 + vertex 40.5288 99.5118 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.7672 99.6513 3 + vertex 41.8586 99.6114 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 40.5288 99.5118 3 + vertex 40.6133 99.5648 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.1114 99.4526 3 + vertex 42.187 99.3875 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 40.6133 99.5648 3 + vertex 40.7014 99.6114 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 40.7014 99.6114 3 + vertex 40.7928 99.6513 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.5767 99.7101 3 + vertex 41.6731 99.6843 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 40.7928 99.6513 3 + vertex 40.8869 99.6843 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.4787 99.7286 3 + vertex 41.5767 99.7101 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 40.8869 99.6843 3 + vertex 40.9833 99.7101 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.3797 99.7398 3 + vertex 41.4787 99.7286 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 40.9833 99.7101 3 + vertex 41.0813 99.7286 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 41.1803 99.7398 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 100.3 3 + vertex 41.0813 99.7286 3 + vertex 41.1803 99.7398 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.1803 99.7398 3 + vertex 41.28 99.7435 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.3226 99.2414 3 + vertex 42.3818 99.1612 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.3818 99.1612 3 + vertex 42.4348 99.0767 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.4814 98.9886 3 + vertex 44.28 95.6 3 + vertex 42.4348 99.0767 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5213 98.8972 3 + vertex 42.5543 98.8031 3 + vertex 44.28 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5801 98.7067 3 + vertex 44.28 95.6 3 + vertex 42.5543 98.8031 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5986 98.6087 3 + vertex 44.28 95.6 3 + vertex 42.5801 98.7067 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.6098 98.5097 3 + vertex 44.28 95.6 3 + vertex 42.5986 98.6087 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.6135 98.41 3 + vertex 44.28 95.6 3 + vertex 42.6098 98.5097 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.6098 98.3103 3 + vertex 44.28 95.6 3 + vertex 42.6135 98.41 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5986 98.2113 3 + vertex 44.28 95.6 3 + vertex 42.6098 98.3103 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5801 98.1133 3 + vertex 44.28 95.6 3 + vertex 42.5986 98.2113 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5543 98.0169 3 + vertex 44.28 95.6 3 + vertex 42.5801 98.1133 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5213 97.9228 3 + vertex 44.28 95.6 3 + vertex 42.5543 98.0169 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.4814 97.8314 3 + vertex 44.28 95.6 3 + vertex 42.5213 97.9228 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.4348 97.7432 3 + vertex 44.28 95.6 3 + vertex 42.4814 97.8314 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.3818 97.6588 3 + vertex 44.28 95.6 3 + vertex 42.4348 97.7432 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.3226 97.5786 3 + vertex 44.28 95.6 3 + vertex 42.3818 97.6588 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.2575 97.503 3 + vertex 44.28 95.6 3 + vertex 42.3226 97.5786 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.187 97.4325 3 + vertex 44.28 95.6 3 + vertex 42.2575 97.503 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.1114 97.3674 3 + vertex 44.28 95.6 3 + vertex 42.187 97.4325 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.0312 97.3082 3 + vertex 41.9467 97.2552 3 + vertex 44.28 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.9467 97.2552 3 + vertex 41.8586 97.2086 3 + vertex 44.28 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.0786 97.8314 3 + vertex 40.0387 97.9228 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.1782 97.6588 3 + vertex 40.1252 97.7432 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.0387 98.8972 3 + vertex 40.0786 98.9886 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.6731 99.6843 3 + vertex 41.7672 99.6513 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.4486 97.0526 3 + vertex 46.5288 97.1118 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 39.9799 98.1133 3 + vertex 39.9614 98.2113 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.0057 98.0169 3 + vertex 39.9799 98.1133 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.0387 97.9228 3 + vertex 40.0057 98.0169 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.6133 97.1648 3 + vertex 46.7014 97.2114 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.1252 97.7432 3 + vertex 40.0786 97.8314 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 39.9614 98.2113 3 + vertex 39.9502 98.3103 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.7928 94.7687 3 + vertex 46.7014 94.8086 3 + vertex 44.28 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9502 96.1097 3 + vertex 44.28 95.6 3 + vertex 45.9465 96.01 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9614 96.2087 3 + vertex 44.28 95.6 3 + vertex 45.9502 96.1097 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9799 96.3067 3 + vertex 44.28 95.6 3 + vertex 45.9614 96.2087 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.0057 96.4031 3 + vertex 44.28 95.6 3 + vertex 45.9799 96.3067 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.0813 97.3286 3 + vertex 47.1803 97.3398 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.0387 96.4972 3 + vertex 44.28 95.6 3 + vertex 46.0057 96.4031 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.0786 96.5886 3 + vertex 44.28 95.6 3 + vertex 46.0387 96.4972 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.1252 96.6767 3 + vertex 44.28 95.6 3 + vertex 46.0786 96.5886 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.1782 96.7612 3 + vertex 44.28 95.6 3 + vertex 46.1252 96.6767 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.2374 96.8414 3 + vertex 44.28 95.6 3 + vertex 46.1782 96.7612 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.7014 97.2114 3 + vertex 46.7928 97.2513 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.3025 96.917 3 + vertex 44.28 95.6 3 + vertex 46.2374 96.8414 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.373 96.9875 3 + vertex 44.28 95.6 3 + vertex 46.3025 96.917 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.4486 97.0526 3 + vertex 44.28 95.6 3 + vertex 46.373 96.9875 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.5288 97.1118 3 + vertex 46.6133 97.1648 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 44.28 95.6 3 + vertex 46.4486 97.0526 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.9833 97.3101 3 + vertex 47.0813 97.3286 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.7928 97.2513 3 + vertex 46.8869 97.2843 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.8869 97.2843 3 + vertex 46.9833 97.3101 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.6098 95.9103 3 + vertex 48.5986 95.8113 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.1803 97.3398 3 + vertex 47.28 97.3435 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.28 97.3435 3 + vertex 47.3797 97.3398 3 + vertex 50.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5543 96.4031 3 + vertex 48.5801 96.3067 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.28 94.6765 3 + vertex 47.1803 94.6802 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.4348 95.3432 3 + vertex 48.3818 95.2588 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 47.3797 97.3398 3 + vertex 47.4787 97.3286 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 47.4787 97.3286 3 + vertex 47.5767 97.3101 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 47.5767 97.3101 3 + vertex 47.6731 97.2843 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5213 95.5228 3 + vertex 48.4814 95.4314 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 47.6731 97.2843 3 + vertex 47.7672 97.2513 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.4814 95.4314 3 + vertex 48.4348 95.3432 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 47.7672 97.2513 3 + vertex 47.8586 97.2114 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 47.8586 97.2114 3 + vertex 47.9467 97.1648 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5801 95.7133 3 + vertex 48.5543 95.6169 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 47.9467 97.1648 3 + vertex 48.0312 97.1118 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5986 95.8113 3 + vertex 48.5801 95.7133 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 48.0312 97.1118 3 + vertex 48.1114 97.0526 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5543 95.6169 3 + vertex 48.5213 95.5228 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 48.1114 97.0526 3 + vertex 48.187 96.9875 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 48.187 96.9875 3 + vertex 48.2575 96.917 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.6135 96.01 3 + vertex 48.6098 95.9103 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 48.2575 96.917 3 + vertex 48.3226 96.8414 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.6098 96.1097 3 + vertex 48.6135 96.01 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 48.3226 96.8414 3 + vertex 48.3818 96.7612 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5986 96.2087 3 + vertex 48.6098 96.1097 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 48.3818 96.7612 3 + vertex 48.4348 96.6767 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5801 96.3067 3 + vertex 48.5986 96.2087 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 48.4348 96.6767 3 + vertex 48.4814 96.5886 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 48.5213 96.4972 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 100.3 3 + vertex 48.4814 96.5886 3 + vertex 48.5213 96.4972 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5213 96.4972 3 + vertex 48.5543 96.4031 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.3818 95.2588 3 + vertex 48.3226 95.1786 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.3226 95.1786 3 + vertex 48.2575 95.103 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.2575 95.103 3 + vertex 48.187 95.0325 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.187 95.0325 3 + vertex 48.1114 94.9674 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.1114 94.9674 3 + vertex 48.0312 94.9082 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.0312 94.9082 3 + vertex 47.9467 94.8552 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.9467 94.8552 3 + vertex 47.8586 94.8086 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.8586 94.8086 3 + vertex 47.7672 94.7687 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.7672 94.7687 3 + vertex 47.6731 94.7357 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.6731 94.7357 3 + vertex 47.5767 94.7099 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.5767 94.7099 3 + vertex 47.4787 94.6914 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.4787 94.6914 3 + vertex 47.3797 94.6802 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.3797 94.6802 3 + vertex 47.28 94.6765 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.1803 94.6802 3 + vertex 47.0813 94.6914 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.0813 94.6914 3 + vertex 46.9833 94.7099 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.9833 94.7099 3 + vertex 46.8869 94.7357 3 + vertex 50.28 91.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.7928 94.7687 3 + vertex 44.28 95.6 3 + vertex 46.8869 94.7357 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.7014 94.8086 3 + vertex 46.6133 94.8552 3 + vertex 44.28 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.6133 94.8552 3 + vertex 46.5288 94.9082 3 + vertex 44.28 95.6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.4486 94.9674 3 + vertex 44.28 95.6 3 + vertex 46.5288 94.9082 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.373 95.0325 3 + vertex 44.28 95.6 3 + vertex 46.4486 94.9674 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.3025 95.103 3 + vertex 44.28 95.6 3 + vertex 46.373 95.0325 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.2374 95.1786 3 + vertex 44.28 95.6 3 + vertex 46.3025 95.103 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.1782 95.2588 3 + vertex 44.28 95.6 3 + vertex 46.2374 95.1786 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.1252 95.3432 3 + vertex 44.28 95.6 3 + vertex 46.1782 95.2588 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.0786 95.4314 3 + vertex 44.28 95.6 3 + vertex 46.1252 95.3432 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.0387 95.5228 3 + vertex 44.28 95.6 3 + vertex 46.0786 95.4314 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.0057 95.6169 3 + vertex 44.28 95.6 3 + vertex 46.0387 95.5228 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9799 95.7133 3 + vertex 44.28 95.6 3 + vertex 46.0057 95.6169 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9614 95.8113 3 + vertex 44.28 95.6 3 + vertex 45.9799 95.7133 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9502 95.9103 3 + vertex 44.28 95.6 3 + vertex 45.9614 95.8113 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9465 96.01 3 + vertex 44.28 95.6 3 + vertex 45.9502 95.9103 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 40.1782 97.6588 3 + vertex 38.28 100.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 40.2374 97.5786 3 + vertex 40.1782 97.6588 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 40.3025 97.503 3 + vertex 40.2374 97.5786 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 40.373 97.4325 3 + vertex 40.3025 97.503 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 40.4486 97.3674 3 + vertex 40.373 97.4325 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 40.5288 97.3082 3 + vertex 40.4486 97.3674 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 40.6133 97.2552 3 + vertex 40.5288 97.3082 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 40.7014 97.2086 3 + vertex 40.6133 97.2552 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 40.7928 97.1687 3 + vertex 40.7014 97.2086 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 40.8869 97.1357 3 + vertex 40.7928 97.1687 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 40.9833 97.1099 3 + vertex 40.8869 97.1357 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 41.0813 97.0914 3 + vertex 40.9833 97.1099 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 41.1803 97.0802 3 + vertex 41.0813 97.0914 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 41.28 97.0765 3 + vertex 41.1803 97.0802 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 41.3797 97.0802 3 + vertex 41.28 97.0765 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 41.4787 97.0914 3 + vertex 41.3797 97.0802 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 41.5767 97.1099 3 + vertex 41.4787 97.0914 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 41.6731 97.1357 3 + vertex 41.5767 97.1099 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 41.7672 97.1687 3 + vertex 41.6731 97.1357 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 95.6 3 + vertex 44.28 95.6 3 + vertex 41.7672 97.1687 3 + endloop + endfacet + facet normal -0.999657 5.81259e-18 -0.0261776 + outer loop + vertex 24.5055 100.3 6.14066 + vertex 24.5055 98.6 6.14066 + vertex 24.5 100.3 6.35 + endloop + endfacet + facet normal -0.999657 5.81259e-18 -0.0261776 + outer loop + vertex 24.5 100.3 6.35 + vertex 24.5055 98.6 6.14066 + vertex 24.5 98.6 6.35 + endloop + endfacet + facet normal -0.999657 -5.81259e-18 0.0261776 + outer loop + vertex 24.5055 100.3 6.55934 + vertex 24.5 100.3 6.35 + vertex 24.5055 98.6 6.55934 + endloop + endfacet + facet normal -0.999657 -5.81259e-18 0.0261776 + outer loop + vertex 24.5055 98.6 6.55934 + vertex 24.5 100.3 6.35 + vertex 24.5 98.6 6.35 + endloop + endfacet + facet normal -0.996918 1.74208e-17 -0.0784565 + outer loop + vertex 24.5219 100.3 5.93189 + vertex 24.5219 98.6 5.93189 + vertex 24.5055 100.3 6.14066 + endloop + endfacet + facet normal -0.996918 1.74208e-17 -0.0784565 + outer loop + vertex 24.5055 100.3 6.14066 + vertex 24.5219 98.6 5.93189 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal -0.991444 2.89835e-17 -0.13053 + outer loop + vertex 24.5492 100.3 5.72426 + vertex 24.5492 98.6 5.72426 + vertex 24.5219 100.3 5.93189 + endloop + endfacet + facet normal -0.991444 2.89835e-17 -0.13053 + outer loop + vertex 24.5219 100.3 5.93189 + vertex 24.5492 98.6 5.72426 + vertex 24.5219 98.6 5.93189 + endloop + endfacet + facet normal -0.983255 4.04644e-17 -0.182236 + outer loop + vertex 24.5874 100.3 5.51835 + vertex 24.5874 98.6 5.51835 + vertex 24.5492 100.3 5.72426 + endloop + endfacet + facet normal -0.983255 4.04644e-17 -0.182236 + outer loop + vertex 24.5492 100.3 5.72426 + vertex 24.5874 98.6 5.51835 + vertex 24.5492 98.6 5.72426 + endloop + endfacet + facet normal -0.97237 5.18353e-17 -0.233445 + outer loop + vertex 24.6363 100.3 5.31472 + vertex 24.6363 98.6 5.31472 + vertex 24.5874 100.3 5.51835 + endloop + endfacet + facet normal -0.97237 5.18353e-17 -0.233445 + outer loop + vertex 24.5874 100.3 5.51835 + vertex 24.6363 98.6 5.31472 + vertex 24.5874 98.6 5.51835 + endloop + endfacet + facet normal -0.95882 6.30638e-17 -0.284014 + outer loop + vertex 24.6958 100.3 5.11393 + vertex 24.6958 98.6 5.11393 + vertex 24.6363 100.3 5.31472 + endloop + endfacet + facet normal -0.95882 6.30638e-17 -0.284014 + outer loop + vertex 24.6363 100.3 5.31472 + vertex 24.6958 98.6 5.11393 + vertex 24.6363 98.6 5.31472 + endloop + endfacet + facet normal -0.942642 7.41196e-17 -0.333805 + outer loop + vertex 24.7657 100.3 4.91653 + vertex 24.7657 98.6 4.91653 + vertex 24.6958 100.3 5.11393 + endloop + endfacet + facet normal -0.942642 7.41196e-17 -0.333805 + outer loop + vertex 24.6958 100.3 5.11393 + vertex 24.7657 98.6 4.91653 + vertex 24.6958 98.6 5.11393 + endloop + endfacet + facet normal -0.923879 8.49728e-17 -0.382684 + outer loop + vertex 24.8458 100.3 4.72305 + vertex 24.8458 98.6 4.72305 + vertex 24.7657 100.3 4.91653 + endloop + endfacet + facet normal -0.923879 8.49728e-17 -0.382684 + outer loop + vertex 24.7657 100.3 4.91653 + vertex 24.8458 98.6 4.72305 + vertex 24.7657 98.6 4.91653 + endloop + endfacet + facet normal -0.902584 9.55931e-17 -0.430513 + outer loop + vertex 24.936 100.3 4.53404 + vertex 24.936 98.6 4.53404 + vertex 24.8458 100.3 4.72305 + endloop + endfacet + facet normal -0.902584 9.55931e-17 -0.430513 + outer loop + vertex 24.8458 100.3 4.72305 + vertex 24.936 98.6 4.53404 + vertex 24.8458 98.6 4.72305 + endloop + endfacet + facet normal -0.878818 1.0595e-16 -0.477157 + outer loop + vertex 25.0359 100.3 4.35 + vertex 25.0359 98.6 4.35 + vertex 24.936 100.3 4.53404 + endloop + endfacet + facet normal -0.878818 1.0595e-16 -0.477157 + outer loop + vertex 24.936 100.3 4.53404 + vertex 25.0359 98.6 4.35 + vertex 24.936 98.6 4.53404 + endloop + endfacet + facet normal -0.852639 1.16019e-16 -0.522501 + outer loop + vertex 25.1453 100.3 4.17144 + vertex 25.1453 98.6 4.17144 + vertex 25.0359 100.3 4.35 + endloop + endfacet + facet normal -0.852639 1.16019e-16 -0.522501 + outer loop + vertex 25.0359 100.3 4.35 + vertex 25.1453 98.6 4.17144 + vertex 25.0359 98.6 4.35 + endloop + endfacet + facet normal -0.824127 1.25767e-16 -0.566405 + outer loop + vertex 25.2639 100.3 3.99886 + vertex 25.2639 98.6 3.99886 + vertex 25.1453 100.3 4.17144 + endloop + endfacet + facet normal -0.824127 1.25767e-16 -0.566405 + outer loop + vertex 25.1453 100.3 4.17144 + vertex 25.2639 98.6 3.99886 + vertex 25.1453 98.6 4.17144 + endloop + endfacet + facet normal -0.793354 1.35172e-16 -0.60876 + outer loop + vertex 25.3914 100.3 3.83272 + vertex 25.3914 98.6 3.83272 + vertex 25.2639 100.3 3.99886 + endloop + endfacet + facet normal -0.793354 1.35172e-16 -0.60876 + outer loop + vertex 25.2639 100.3 3.99886 + vertex 25.3914 98.6 3.83272 + vertex 25.2639 98.6 3.99886 + endloop + endfacet + facet normal -0.760403 1.44207e-16 -0.649451 + outer loop + vertex 25.5274 100.3 3.67348 + vertex 25.5274 98.6 3.67348 + vertex 25.3914 100.3 3.83272 + endloop + endfacet + facet normal -0.760403 1.44207e-16 -0.649451 + outer loop + vertex 25.3914 100.3 3.83272 + vertex 25.5274 98.6 3.67348 + vertex 25.3914 98.6 3.83272 + endloop + endfacet + facet normal -0.725375 1.52845e-16 -0.688353 + outer loop + vertex 25.6716 100.3 3.52157 + vertex 25.6716 98.6 3.52157 + vertex 25.5274 100.3 3.67348 + endloop + endfacet + facet normal -0.725375 1.52845e-16 -0.688353 + outer loop + vertex 25.5274 100.3 3.67348 + vertex 25.6716 98.6 3.52157 + vertex 25.5274 98.6 3.67348 + endloop + endfacet + facet normal -0.688353 1.61066e-16 -0.725375 + outer loop + vertex 25.8235 100.3 3.37742 + vertex 25.8235 98.6 3.37742 + vertex 25.6716 100.3 3.52157 + endloop + endfacet + facet normal -0.688353 1.61066e-16 -0.725375 + outer loop + vertex 25.6716 100.3 3.52157 + vertex 25.8235 98.6 3.37742 + vertex 25.6716 98.6 3.52157 + endloop + endfacet + facet normal -0.649451 1.68843e-16 -0.760403 + outer loop + vertex 25.9827 100.3 3.24142 + vertex 25.9827 98.6 3.24142 + vertex 25.8235 100.3 3.37742 + endloop + endfacet + facet normal -0.649451 1.68843e-16 -0.760403 + outer loop + vertex 25.8235 100.3 3.37742 + vertex 25.9827 98.6 3.24142 + vertex 25.8235 98.6 3.37742 + endloop + endfacet + facet normal -0.60876 1.7616e-16 -0.793354 + outer loop + vertex 26.1489 100.3 3.11393 + vertex 26.1489 98.6 3.11393 + vertex 25.9827 100.3 3.24142 + endloop + endfacet + facet normal -0.60876 1.7616e-16 -0.793354 + outer loop + vertex 25.9827 100.3 3.24142 + vertex 26.1489 98.6 3.11393 + vertex 25.9827 98.6 3.24142 + endloop + endfacet + facet normal -0.566405 1.82993e-16 -0.824127 + outer loop + vertex 26.3214 100.3 2.99532 + vertex 26.3214 98.6 2.99532 + vertex 26.1489 100.3 3.11393 + endloop + endfacet + facet normal -0.566405 1.82993e-16 -0.824127 + outer loop + vertex 26.1489 100.3 3.11393 + vertex 26.3214 98.6 2.99532 + vertex 26.1489 98.6 3.11393 + endloop + endfacet + facet normal -0.522501 1.89324e-16 -0.852639 + outer loop + vertex 26.5 100.3 2.8859 + vertex 26.5 98.6 2.8859 + vertex 26.3214 100.3 2.99532 + endloop + endfacet + facet normal -0.522501 1.89324e-16 -0.852639 + outer loop + vertex 26.3214 100.3 2.99532 + vertex 26.5 98.6 2.8859 + vertex 26.3214 98.6 2.99532 + endloop + endfacet + facet normal -0.477157 1.95137e-16 -0.878818 + outer loop + vertex 26.684 100.3 2.78597 + vertex 26.684 98.6 2.78597 + vertex 26.5 100.3 2.8859 + endloop + endfacet + facet normal -0.477157 1.95137e-16 -0.878818 + outer loop + vertex 26.5 100.3 2.8859 + vertex 26.684 98.6 2.78597 + vertex 26.5 98.6 2.8859 + endloop + endfacet + facet normal -0.430513 2.00414e-16 -0.902584 + outer loop + vertex 26.8731 100.3 2.69582 + vertex 26.8731 98.6 2.69582 + vertex 26.684 100.3 2.78597 + endloop + endfacet + facet normal -0.430513 2.00414e-16 -0.902584 + outer loop + vertex 26.684 100.3 2.78597 + vertex 26.8731 98.6 2.69582 + vertex 26.684 98.6 2.78597 + endloop + endfacet + facet normal -0.382684 2.05142e-16 -0.923879 + outer loop + vertex 27.0665 100.3 2.61568 + vertex 27.0665 98.6 2.61568 + vertex 26.8731 100.3 2.69582 + endloop + endfacet + facet normal -0.382684 2.05142e-16 -0.923879 + outer loop + vertex 26.8731 100.3 2.69582 + vertex 27.0665 98.6 2.61568 + vertex 26.8731 98.6 2.69582 + endloop + endfacet + facet normal -0.333805 2.09309e-16 -0.942642 + outer loop + vertex 27.2639 100.3 2.54577 + vertex 27.2639 98.6 2.54577 + vertex 27.0665 100.3 2.61568 + endloop + endfacet + facet normal -0.333805 2.09309e-16 -0.942642 + outer loop + vertex 27.0665 100.3 2.61568 + vertex 27.2639 98.6 2.54577 + vertex 27.0665 98.6 2.61568 + endloop + endfacet + facet normal -0.284014 2.12901e-16 -0.95882 + outer loop + vertex 27.4647 100.3 2.4863 + vertex 27.4647 98.6 2.4863 + vertex 27.2639 100.3 2.54577 + endloop + endfacet + facet normal -0.284014 2.12901e-16 -0.95882 + outer loop + vertex 27.2639 100.3 2.54577 + vertex 27.4647 98.6 2.4863 + vertex 27.2639 98.6 2.54577 + endloop + endfacet + facet normal -0.233445 2.15909e-16 -0.97237 + outer loop + vertex 27.6684 100.3 2.43741 + vertex 27.6684 98.6 2.43741 + vertex 27.4647 100.3 2.4863 + endloop + endfacet + facet normal -0.233445 2.15909e-16 -0.97237 + outer loop + vertex 27.4647 100.3 2.4863 + vertex 27.6684 98.6 2.43741 + vertex 27.4647 98.6 2.4863 + endloop + endfacet + facet normal -0.182236 2.18326e-16 -0.983255 + outer loop + vertex 27.8743 100.3 2.39925 + vertex 27.8743 98.6 2.39925 + vertex 27.6684 100.3 2.43741 + endloop + endfacet + facet normal -0.182236 2.18326e-16 -0.983255 + outer loop + vertex 27.6684 100.3 2.43741 + vertex 27.8743 98.6 2.39925 + vertex 27.6684 98.6 2.43741 + endloop + endfacet + facet normal -0.13053 2.20145e-16 -0.991444 + outer loop + vertex 28.0819 100.3 2.37191 + vertex 28.0819 98.6 2.37191 + vertex 27.8743 100.3 2.39925 + endloop + endfacet + facet normal -0.13053 2.20145e-16 -0.991444 + outer loop + vertex 27.8743 100.3 2.39925 + vertex 28.0819 98.6 2.37191 + vertex 27.8743 98.6 2.39925 + endloop + endfacet + facet normal -0.0784565 2.2136e-16 -0.996918 + outer loop + vertex 28.2907 100.3 2.35548 + vertex 28.2907 98.6 2.35548 + vertex 28.0819 100.3 2.37191 + endloop + endfacet + facet normal -0.0784565 2.2136e-16 -0.996918 + outer loop + vertex 28.0819 100.3 2.37191 + vertex 28.2907 98.6 2.35548 + vertex 28.0819 98.6 2.37191 + endloop + endfacet + facet normal -0.0261776 2.21969e-16 -0.999657 + outer loop + vertex 28.5 100.3 2.35 + vertex 28.5 98.6 2.35 + vertex 28.2907 100.3 2.35548 + endloop + endfacet + facet normal -0.0261776 2.21969e-16 -0.999657 + outer loop + vertex 28.2907 100.3 2.35548 + vertex 28.5 98.6 2.35 + vertex 28.2907 98.6 2.35548 + endloop + endfacet + facet normal 0.0261776 2.21969e-16 -0.999657 + outer loop + vertex 28.7093 100.3 2.35548 + vertex 28.7093 98.6 2.35548 + vertex 28.5 100.3 2.35 + endloop + endfacet + facet normal 0.0261776 2.21969e-16 -0.999657 + outer loop + vertex 28.5 100.3 2.35 + vertex 28.7093 98.6 2.35548 + vertex 28.5 98.6 2.35 + endloop + endfacet + facet normal 0.0784565 2.2136e-16 -0.996918 + outer loop + vertex 28.9181 100.3 2.37191 + vertex 28.9181 98.6 2.37191 + vertex 28.7093 100.3 2.35548 + endloop + endfacet + facet normal 0.0784565 2.2136e-16 -0.996918 + outer loop + vertex 28.7093 100.3 2.35548 + vertex 28.9181 98.6 2.37191 + vertex 28.7093 98.6 2.35548 + endloop + endfacet + facet normal 0.13053 2.20145e-16 -0.991444 + outer loop + vertex 29.1257 100.3 2.39925 + vertex 29.1257 98.6 2.39925 + vertex 28.9181 100.3 2.37191 + endloop + endfacet + facet normal 0.13053 2.20145e-16 -0.991444 + outer loop + vertex 28.9181 100.3 2.37191 + vertex 29.1257 98.6 2.39925 + vertex 28.9181 98.6 2.37191 + endloop + endfacet + facet normal 0.182236 2.18326e-16 -0.983255 + outer loop + vertex 29.3316 100.3 2.43741 + vertex 29.3316 98.6 2.43741 + vertex 29.1257 100.3 2.39925 + endloop + endfacet + facet normal 0.182236 2.18326e-16 -0.983255 + outer loop + vertex 29.1257 100.3 2.39925 + vertex 29.3316 98.6 2.43741 + vertex 29.1257 98.6 2.39925 + endloop + endfacet + facet normal 0.233445 2.15909e-16 -0.97237 + outer loop + vertex 29.5353 100.3 2.4863 + vertex 29.5353 98.6 2.4863 + vertex 29.3316 100.3 2.43741 + endloop + endfacet + facet normal 0.233445 2.15909e-16 -0.97237 + outer loop + vertex 29.3316 100.3 2.43741 + vertex 29.5353 98.6 2.4863 + vertex 29.3316 98.6 2.43741 + endloop + endfacet + facet normal 0.284014 2.12901e-16 -0.95882 + outer loop + vertex 29.7361 100.3 2.54577 + vertex 29.7361 98.6 2.54577 + vertex 29.5353 100.3 2.4863 + endloop + endfacet + facet normal 0.284014 2.12901e-16 -0.95882 + outer loop + vertex 29.5353 100.3 2.4863 + vertex 29.7361 98.6 2.54577 + vertex 29.5353 98.6 2.4863 + endloop + endfacet + facet normal 0.333805 2.09309e-16 -0.942642 + outer loop + vertex 29.9335 100.3 2.61568 + vertex 29.9335 98.6 2.61568 + vertex 29.7361 100.3 2.54577 + endloop + endfacet + facet normal 0.333805 2.09309e-16 -0.942642 + outer loop + vertex 29.7361 100.3 2.54577 + vertex 29.9335 98.6 2.61568 + vertex 29.7361 98.6 2.54577 + endloop + endfacet + facet normal 0.382684 2.05142e-16 -0.923879 + outer loop + vertex 30.1269 100.3 2.69582 + vertex 30.1269 98.6 2.69582 + vertex 29.9335 100.3 2.61568 + endloop + endfacet + facet normal 0.382684 2.05142e-16 -0.923879 + outer loop + vertex 29.9335 100.3 2.61568 + vertex 30.1269 98.6 2.69582 + vertex 29.9335 98.6 2.61568 + endloop + endfacet + facet normal 0.430513 2.00414e-16 -0.902584 + outer loop + vertex 30.316 100.3 2.78597 + vertex 30.316 98.6 2.78597 + vertex 30.1269 100.3 2.69582 + endloop + endfacet + facet normal 0.430513 2.00414e-16 -0.902584 + outer loop + vertex 30.1269 100.3 2.69582 + vertex 30.316 98.6 2.78597 + vertex 30.1269 98.6 2.69582 + endloop + endfacet + facet normal 0.477157 1.95137e-16 -0.878818 + outer loop + vertex 30.5 100.3 2.8859 + vertex 30.5 98.6 2.8859 + vertex 30.316 100.3 2.78597 + endloop + endfacet + facet normal 0.477157 1.95137e-16 -0.878818 + outer loop + vertex 30.316 100.3 2.78597 + vertex 30.5 98.6 2.8859 + vertex 30.316 98.6 2.78597 + endloop + endfacet + facet normal 0.522501 1.89324e-16 -0.852639 + outer loop + vertex 30.6786 100.3 2.99532 + vertex 30.6786 98.6 2.99532 + vertex 30.5 100.3 2.8859 + endloop + endfacet + facet normal 0.522501 1.89324e-16 -0.852639 + outer loop + vertex 30.5 100.3 2.8859 + vertex 30.6786 98.6 2.99532 + vertex 30.5 98.6 2.8859 + endloop + endfacet + facet normal 0.566405 1.82993e-16 -0.824127 + outer loop + vertex 30.8511 100.3 3.11393 + vertex 30.8511 98.6 3.11393 + vertex 30.6786 100.3 2.99532 + endloop + endfacet + facet normal 0.566405 1.82993e-16 -0.824127 + outer loop + vertex 30.6786 100.3 2.99532 + vertex 30.8511 98.6 3.11393 + vertex 30.6786 98.6 2.99532 + endloop + endfacet + facet normal 0.60876 1.7616e-16 -0.793354 + outer loop + vertex 31.0173 100.3 3.24142 + vertex 31.0173 98.6 3.24142 + vertex 30.8511 100.3 3.11393 + endloop + endfacet + facet normal 0.60876 1.7616e-16 -0.793354 + outer loop + vertex 30.8511 100.3 3.11393 + vertex 31.0173 98.6 3.24142 + vertex 30.8511 98.6 3.11393 + endloop + endfacet + facet normal 0.649451 1.68843e-16 -0.760403 + outer loop + vertex 31.1765 100.3 3.37742 + vertex 31.1765 98.6 3.37742 + vertex 31.0173 100.3 3.24142 + endloop + endfacet + facet normal 0.649451 1.68843e-16 -0.760403 + outer loop + vertex 31.0173 100.3 3.24142 + vertex 31.1765 98.6 3.37742 + vertex 31.0173 98.6 3.24142 + endloop + endfacet + facet normal 0.688353 1.61066e-16 -0.725375 + outer loop + vertex 31.3284 100.3 3.52157 + vertex 31.3284 98.6 3.52157 + vertex 31.1765 100.3 3.37742 + endloop + endfacet + facet normal 0.688353 1.61066e-16 -0.725375 + outer loop + vertex 31.1765 100.3 3.37742 + vertex 31.3284 98.6 3.52157 + vertex 31.1765 98.6 3.37742 + endloop + endfacet + facet normal 0.725375 1.52845e-16 -0.688353 + outer loop + vertex 31.3284 100.3 3.52157 + vertex 31.4726 100.3 3.67348 + vertex 31.4726 98.6 3.67348 + endloop + endfacet + facet normal 0.725375 1.52845e-16 -0.688353 + outer loop + vertex 31.3284 98.6 3.52157 + vertex 31.3284 100.3 3.52157 + vertex 31.4726 98.6 3.67348 + endloop + endfacet + facet normal 0.760403 1.44207e-16 -0.649451 + outer loop + vertex 31.4726 100.3 3.67348 + vertex 31.6086 100.3 3.83272 + vertex 31.6086 98.6 3.83272 + endloop + endfacet + facet normal 0.760403 1.44207e-16 -0.649451 + outer loop + vertex 31.4726 98.6 3.67348 + vertex 31.4726 100.3 3.67348 + vertex 31.6086 98.6 3.83272 + endloop + endfacet + facet normal 0.793354 1.35172e-16 -0.60876 + outer loop + vertex 31.6086 100.3 3.83272 + vertex 31.7361 100.3 3.99886 + vertex 31.7361 98.6 3.99886 + endloop + endfacet + facet normal 0.793354 1.35172e-16 -0.60876 + outer loop + vertex 31.6086 98.6 3.83272 + vertex 31.6086 100.3 3.83272 + vertex 31.7361 98.6 3.99886 + endloop + endfacet + facet normal 0.824127 1.25767e-16 -0.566405 + outer loop + vertex 31.7361 100.3 3.99886 + vertex 31.8547 100.3 4.17144 + vertex 31.8547 98.6 4.17144 + endloop + endfacet + facet normal 0.824127 1.25767e-16 -0.566405 + outer loop + vertex 31.7361 98.6 3.99886 + vertex 31.7361 100.3 3.99886 + vertex 31.8547 98.6 4.17144 + endloop + endfacet + facet normal 0.852639 1.16019e-16 -0.522501 + outer loop + vertex 31.8547 100.3 4.17144 + vertex 31.9641 100.3 4.35 + vertex 31.9641 98.6 4.35 + endloop + endfacet + facet normal 0.852639 1.16019e-16 -0.522501 + outer loop + vertex 31.8547 98.6 4.17144 + vertex 31.8547 100.3 4.17144 + vertex 31.9641 98.6 4.35 + endloop + endfacet + facet normal 0.878818 1.0595e-16 -0.477157 + outer loop + vertex 31.9641 100.3 4.35 + vertex 32.064 100.3 4.53404 + vertex 32.064 98.6 4.53404 + endloop + endfacet + facet normal 0.878818 1.0595e-16 -0.477157 + outer loop + vertex 31.9641 98.6 4.35 + vertex 31.9641 100.3 4.35 + vertex 32.064 98.6 4.53404 + endloop + endfacet + facet normal 0.902584 9.55931e-17 -0.430513 + outer loop + vertex 32.064 100.3 4.53404 + vertex 32.1542 100.3 4.72305 + vertex 32.1542 98.6 4.72305 + endloop + endfacet + facet normal 0.902584 9.55931e-17 -0.430513 + outer loop + vertex 32.064 98.6 4.53404 + vertex 32.064 100.3 4.53404 + vertex 32.1542 98.6 4.72305 + endloop + endfacet + facet normal 0.923879 8.49728e-17 -0.382684 + outer loop + vertex 32.1542 100.3 4.72305 + vertex 32.2343 100.3 4.91653 + vertex 32.2343 98.6 4.91653 + endloop + endfacet + facet normal 0.923879 8.49728e-17 -0.382684 + outer loop + vertex 32.1542 98.6 4.72305 + vertex 32.1542 100.3 4.72305 + vertex 32.2343 98.6 4.91653 + endloop + endfacet + facet normal 0.942642 7.41196e-17 -0.333805 + outer loop + vertex 32.2343 100.3 4.91653 + vertex 32.3042 100.3 5.11393 + vertex 32.3042 98.6 5.11393 + endloop + endfacet + facet normal 0.942642 7.41196e-17 -0.333805 + outer loop + vertex 32.2343 98.6 4.91653 + vertex 32.2343 100.3 4.91653 + vertex 32.3042 98.6 5.11393 + endloop + endfacet + facet normal 0.95882 6.30638e-17 -0.284014 + outer loop + vertex 32.3042 100.3 5.11393 + vertex 32.3637 100.3 5.31472 + vertex 32.3637 98.6 5.31472 + endloop + endfacet + facet normal 0.95882 6.30638e-17 -0.284014 + outer loop + vertex 32.3042 98.6 5.11393 + vertex 32.3042 100.3 5.11393 + vertex 32.3637 98.6 5.31472 + endloop + endfacet + facet normal 0.97237 5.18353e-17 -0.233445 + outer loop + vertex 32.3637 100.3 5.31472 + vertex 32.4126 100.3 5.51835 + vertex 32.4126 98.6 5.51835 + endloop + endfacet + facet normal 0.97237 5.18353e-17 -0.233445 + outer loop + vertex 32.3637 98.6 5.31472 + vertex 32.3637 100.3 5.31472 + vertex 32.4126 98.6 5.51835 + endloop + endfacet + facet normal 0.983255 4.04644e-17 -0.182236 + outer loop + vertex 32.4126 100.3 5.51835 + vertex 32.4508 100.3 5.72426 + vertex 32.4508 98.6 5.72426 + endloop + endfacet + facet normal 0.983255 4.04644e-17 -0.182236 + outer loop + vertex 32.4126 98.6 5.51835 + vertex 32.4126 100.3 5.51835 + vertex 32.4508 98.6 5.72426 + endloop + endfacet + facet normal 0.991444 2.89835e-17 -0.13053 + outer loop + vertex 32.4508 100.3 5.72426 + vertex 32.4781 100.3 5.93189 + vertex 32.4781 98.6 5.93189 + endloop + endfacet + facet normal 0.991444 2.89835e-17 -0.13053 + outer loop + vertex 32.4508 98.6 5.72426 + vertex 32.4508 100.3 5.72426 + vertex 32.4781 98.6 5.93189 + endloop + endfacet + facet normal 0.996918 1.74208e-17 -0.0784565 + outer loop + vertex 32.4781 100.3 5.93189 + vertex 32.4945 100.3 6.14066 + vertex 32.4945 98.6 6.14066 + endloop + endfacet + facet normal 0.996918 1.74208e-17 -0.0784565 + outer loop + vertex 32.4781 98.6 5.93189 + vertex 32.4781 100.3 5.93189 + vertex 32.4945 98.6 6.14066 + endloop + endfacet + facet normal 0.999657 5.81259e-18 -0.0261776 + outer loop + vertex 32.4945 100.3 6.14066 + vertex 32.5 100.3 6.35 + vertex 32.5 98.6 6.35 + endloop + endfacet + facet normal 0.999657 5.81259e-18 -0.0261776 + outer loop + vertex 32.4945 98.6 6.14066 + vertex 32.4945 100.3 6.14066 + vertex 32.5 98.6 6.35 + endloop + endfacet + facet normal 0.999657 -5.81259e-18 0.0261776 + outer loop + vertex 32.5 98.6 6.35 + vertex 32.5 100.3 6.35 + vertex 32.4945 100.3 6.55934 + endloop + endfacet + facet normal 0.999657 -5.81259e-18 0.0261776 + outer loop + vertex 32.4945 98.6 6.55934 + vertex 32.5 98.6 6.35 + vertex 32.4945 100.3 6.55934 + endloop + endfacet + facet normal 0.996918 -1.74208e-17 0.0784565 + outer loop + vertex 32.4945 98.6 6.55934 + vertex 32.4945 100.3 6.55934 + vertex 32.4781 100.3 6.76811 + endloop + endfacet + facet normal 0.996918 -1.74208e-17 0.0784565 + outer loop + vertex 32.4781 98.6 6.76811 + vertex 32.4945 98.6 6.55934 + vertex 32.4781 100.3 6.76811 + endloop + endfacet + facet normal 0.991444 -2.89835e-17 0.13053 + outer loop + vertex 32.4781 98.6 6.76811 + vertex 32.4781 100.3 6.76811 + vertex 32.4508 100.3 6.97574 + endloop + endfacet + facet normal 0.991444 -2.89835e-17 0.13053 + outer loop + vertex 32.4508 98.6 6.97574 + vertex 32.4781 98.6 6.76811 + vertex 32.4508 100.3 6.97574 + endloop + endfacet + facet normal 0.983255 -4.04644e-17 0.182236 + outer loop + vertex 32.4508 98.6 6.97574 + vertex 32.4508 100.3 6.97574 + vertex 32.4126 100.3 7.18165 + endloop + endfacet + facet normal 0.983255 -4.04644e-17 0.182236 + outer loop + vertex 32.4126 98.6 7.18165 + vertex 32.4508 98.6 6.97574 + vertex 32.4126 100.3 7.18165 + endloop + endfacet + facet normal 0.97237 -5.18353e-17 0.233445 + outer loop + vertex 32.4126 98.6 7.18165 + vertex 32.4126 100.3 7.18165 + vertex 32.3637 100.3 7.38528 + endloop + endfacet + facet normal 0.97237 -5.18353e-17 0.233445 + outer loop + vertex 32.3637 98.6 7.38528 + vertex 32.4126 98.6 7.18165 + vertex 32.3637 100.3 7.38528 + endloop + endfacet + facet normal 0.95882 -6.30638e-17 0.284014 + outer loop + vertex 32.3637 98.6 7.38528 + vertex 32.3637 100.3 7.38528 + vertex 32.3042 100.3 7.58607 + endloop + endfacet + facet normal 0.95882 -6.30638e-17 0.284014 + outer loop + vertex 32.3042 98.6 7.58607 + vertex 32.3637 98.6 7.38528 + vertex 32.3042 100.3 7.58607 + endloop + endfacet + facet normal 0.942642 -7.41196e-17 0.333805 + outer loop + vertex 32.3042 98.6 7.58607 + vertex 32.3042 100.3 7.58607 + vertex 32.2343 100.3 7.78347 + endloop + endfacet + facet normal 0.942642 -7.41196e-17 0.333805 + outer loop + vertex 32.2343 98.6 7.78347 + vertex 32.3042 98.6 7.58607 + vertex 32.2343 100.3 7.78347 + endloop + endfacet + facet normal 0.923879 -8.49728e-17 0.382684 + outer loop + vertex 32.2343 98.6 7.78347 + vertex 32.2343 100.3 7.78347 + vertex 32.1542 100.3 7.97695 + endloop + endfacet + facet normal 0.923879 -8.49728e-17 0.382684 + outer loop + vertex 32.1542 98.6 7.97695 + vertex 32.2343 98.6 7.78347 + vertex 32.1542 100.3 7.97695 + endloop + endfacet + facet normal 0.902584 -9.55931e-17 0.430513 + outer loop + vertex 32.1542 98.6 7.97695 + vertex 32.1542 100.3 7.97695 + vertex 32.064 100.3 8.16596 + endloop + endfacet + facet normal 0.902584 -9.55931e-17 0.430513 + outer loop + vertex 32.064 98.6 8.16596 + vertex 32.1542 98.6 7.97695 + vertex 32.064 100.3 8.16596 + endloop + endfacet + facet normal 0.878818 -1.0595e-16 0.477157 + outer loop + vertex 32.064 98.6 8.16596 + vertex 32.064 100.3 8.16596 + vertex 31.9641 100.3 8.35 + endloop + endfacet + facet normal 0.878818 -1.0595e-16 0.477157 + outer loop + vertex 31.9641 98.6 8.35 + vertex 32.064 98.6 8.16596 + vertex 31.9641 100.3 8.35 + endloop + endfacet + facet normal 0.852639 -1.16019e-16 0.522501 + outer loop + vertex 31.9641 98.6 8.35 + vertex 31.9641 100.3 8.35 + vertex 31.8547 100.3 8.52856 + endloop + endfacet + facet normal 0.852639 -1.16019e-16 0.522501 + outer loop + vertex 31.8547 98.6 8.52856 + vertex 31.9641 98.6 8.35 + vertex 31.8547 100.3 8.52856 + endloop + endfacet + facet normal 0.824127 -1.25767e-16 0.566405 + outer loop + vertex 31.8547 98.6 8.52856 + vertex 31.8547 100.3 8.52856 + vertex 31.7361 100.3 8.70114 + endloop + endfacet + facet normal 0.824127 -1.25767e-16 0.566405 + outer loop + vertex 31.7361 98.6 8.70114 + vertex 31.8547 98.6 8.52856 + vertex 31.7361 100.3 8.70114 + endloop + endfacet + facet normal 0.793354 -1.35172e-16 0.60876 + outer loop + vertex 31.7361 98.6 8.70114 + vertex 31.7361 100.3 8.70114 + vertex 31.6086 100.3 8.86728 + endloop + endfacet + facet normal 0.793354 -1.35172e-16 0.60876 + outer loop + vertex 31.6086 98.6 8.86728 + vertex 31.7361 98.6 8.70114 + vertex 31.6086 100.3 8.86728 + endloop + endfacet + facet normal 0.760403 -1.44207e-16 0.649451 + outer loop + vertex 31.6086 98.6 8.86728 + vertex 31.6086 100.3 8.86728 + vertex 31.4726 100.3 9.02652 + endloop + endfacet + facet normal 0.760403 -1.44207e-16 0.649451 + outer loop + vertex 31.4726 98.6 9.02652 + vertex 31.6086 98.6 8.86728 + vertex 31.4726 100.3 9.02652 + endloop + endfacet + facet normal 0.725375 -1.52845e-16 0.688353 + outer loop + vertex 31.4726 98.6 9.02652 + vertex 31.4726 100.3 9.02652 + vertex 31.3284 100.3 9.17843 + endloop + endfacet + facet normal 0.725375 -1.52845e-16 0.688353 + outer loop + vertex 31.3284 98.6 9.17843 + vertex 31.4726 98.6 9.02652 + vertex 31.3284 100.3 9.17843 + endloop + endfacet + facet normal 0.688353 -1.61066e-16 0.725375 + outer loop + vertex 31.3284 98.6 9.17843 + vertex 31.3284 100.3 9.17843 + vertex 31.1765 100.3 9.32258 + endloop + endfacet + facet normal 0.688353 -1.61066e-16 0.725375 + outer loop + vertex 31.1765 98.6 9.32258 + vertex 31.3284 98.6 9.17843 + vertex 31.1765 100.3 9.32258 + endloop + endfacet + facet normal 0.649451 -1.68843e-16 0.760403 + outer loop + vertex 31.1765 98.6 9.32258 + vertex 31.1765 100.3 9.32258 + vertex 31.0173 100.3 9.45858 + endloop + endfacet + facet normal 0.649451 -1.68843e-16 0.760403 + outer loop + vertex 31.0173 98.6 9.45858 + vertex 31.1765 98.6 9.32258 + vertex 31.0173 100.3 9.45858 + endloop + endfacet + facet normal 0.60876 -1.7616e-16 0.793354 + outer loop + vertex 31.0173 98.6 9.45858 + vertex 31.0173 100.3 9.45858 + vertex 30.8511 100.3 9.58607 + endloop + endfacet + facet normal 0.60876 -1.7616e-16 0.793354 + outer loop + vertex 30.8511 98.6 9.58607 + vertex 31.0173 98.6 9.45858 + vertex 30.8511 100.3 9.58607 + endloop + endfacet + facet normal 0.566405 -1.82993e-16 0.824127 + outer loop + vertex 30.8511 98.6 9.58607 + vertex 30.8511 100.3 9.58607 + vertex 30.6786 100.3 9.70468 + endloop + endfacet + facet normal 0.566405 -1.82993e-16 0.824127 + outer loop + vertex 30.6786 98.6 9.70468 + vertex 30.8511 98.6 9.58607 + vertex 30.6786 100.3 9.70468 + endloop + endfacet + facet normal 0.522501 -1.89324e-16 0.852639 + outer loop + vertex 30.6786 98.6 9.70468 + vertex 30.6786 100.3 9.70468 + vertex 30.5 100.3 9.8141 + endloop + endfacet + facet normal 0.522501 -1.89324e-16 0.852639 + outer loop + vertex 30.5 98.6 9.8141 + vertex 30.6786 98.6 9.70468 + vertex 30.5 100.3 9.8141 + endloop + endfacet + facet normal 0.477157 -1.95137e-16 0.878818 + outer loop + vertex 30.5 98.6 9.8141 + vertex 30.5 100.3 9.8141 + vertex 30.316 100.3 9.91403 + endloop + endfacet + facet normal 0.477157 -1.95137e-16 0.878818 + outer loop + vertex 30.316 98.6 9.91403 + vertex 30.5 98.6 9.8141 + vertex 30.316 100.3 9.91403 + endloop + endfacet + facet normal 0.430513 -2.00414e-16 0.902584 + outer loop + vertex 30.316 98.6 9.91403 + vertex 30.316 100.3 9.91403 + vertex 30.1269 100.3 10.0042 + endloop + endfacet + facet normal 0.430513 -2.00414e-16 0.902584 + outer loop + vertex 30.1269 98.6 10.0042 + vertex 30.316 98.6 9.91403 + vertex 30.1269 100.3 10.0042 + endloop + endfacet + facet normal 0.382684 -2.05142e-16 0.923879 + outer loop + vertex 30.1269 98.6 10.0042 + vertex 30.1269 100.3 10.0042 + vertex 29.9335 100.3 10.0843 + endloop + endfacet + facet normal 0.382684 -2.05142e-16 0.923879 + outer loop + vertex 29.9335 98.6 10.0843 + vertex 30.1269 98.6 10.0042 + vertex 29.9335 100.3 10.0843 + endloop + endfacet + facet normal 0.333805 -2.09309e-16 0.942642 + outer loop + vertex 29.9335 98.6 10.0843 + vertex 29.9335 100.3 10.0843 + vertex 29.7361 100.3 10.1542 + endloop + endfacet + facet normal 0.333805 -2.09309e-16 0.942642 + outer loop + vertex 29.7361 98.6 10.1542 + vertex 29.9335 98.6 10.0843 + vertex 29.7361 100.3 10.1542 + endloop + endfacet + facet normal 0.284014 -2.12901e-16 0.95882 + outer loop + vertex 29.7361 98.6 10.1542 + vertex 29.7361 100.3 10.1542 + vertex 29.5353 100.3 10.2137 + endloop + endfacet + facet normal 0.284014 -2.12901e-16 0.95882 + outer loop + vertex 29.5353 98.6 10.2137 + vertex 29.7361 98.6 10.1542 + vertex 29.5353 100.3 10.2137 + endloop + endfacet + facet normal 0.233445 -2.15909e-16 0.97237 + outer loop + vertex 29.5353 98.6 10.2137 + vertex 29.5353 100.3 10.2137 + vertex 29.3316 100.3 10.2626 + endloop + endfacet + facet normal 0.233445 -2.15909e-16 0.97237 + outer loop + vertex 29.3316 98.6 10.2626 + vertex 29.5353 98.6 10.2137 + vertex 29.3316 100.3 10.2626 + endloop + endfacet + facet normal 0.182236 -2.18326e-16 0.983255 + outer loop + vertex 29.3316 98.6 10.2626 + vertex 29.3316 100.3 10.2626 + vertex 29.1257 100.3 10.3008 + endloop + endfacet + facet normal 0.182236 -2.18326e-16 0.983255 + outer loop + vertex 29.1257 98.6 10.3008 + vertex 29.3316 98.6 10.2626 + vertex 29.1257 100.3 10.3008 + endloop + endfacet + facet normal 0.13053 -2.20145e-16 0.991444 + outer loop + vertex 29.1257 98.6 10.3008 + vertex 29.1257 100.3 10.3008 + vertex 28.9181 100.3 10.3281 + endloop + endfacet + facet normal 0.13053 -2.20145e-16 0.991444 + outer loop + vertex 28.9181 98.6 10.3281 + vertex 29.1257 98.6 10.3008 + vertex 28.9181 100.3 10.3281 + endloop + endfacet + facet normal 0.0784565 -2.2136e-16 0.996918 + outer loop + vertex 28.9181 98.6 10.3281 + vertex 28.9181 100.3 10.3281 + vertex 28.7093 100.3 10.3445 + endloop + endfacet + facet normal 0.0784565 -2.2136e-16 0.996918 + outer loop + vertex 28.7093 98.6 10.3445 + vertex 28.9181 98.6 10.3281 + vertex 28.7093 100.3 10.3445 + endloop + endfacet + facet normal 0.0261776 -2.21969e-16 0.999657 + outer loop + vertex 28.7093 98.6 10.3445 + vertex 28.7093 100.3 10.3445 + vertex 28.5 100.3 10.35 + endloop + endfacet + facet normal 0.0261776 -2.21969e-16 0.999657 + outer loop + vertex 28.5 98.6 10.35 + vertex 28.7093 98.6 10.3445 + vertex 28.5 100.3 10.35 + endloop + endfacet + facet normal -0.0261776 -2.21969e-16 0.999657 + outer loop + vertex 28.5 98.6 10.35 + vertex 28.5 100.3 10.35 + vertex 28.2907 100.3 10.3445 + endloop + endfacet + facet normal -0.0261776 -2.21969e-16 0.999657 + outer loop + vertex 28.2907 98.6 10.3445 + vertex 28.5 98.6 10.35 + vertex 28.2907 100.3 10.3445 + endloop + endfacet + facet normal -0.0784565 -2.2136e-16 0.996918 + outer loop + vertex 28.2907 98.6 10.3445 + vertex 28.2907 100.3 10.3445 + vertex 28.0819 100.3 10.3281 + endloop + endfacet + facet normal -0.0784565 -2.2136e-16 0.996918 + outer loop + vertex 28.0819 98.6 10.3281 + vertex 28.2907 98.6 10.3445 + vertex 28.0819 100.3 10.3281 + endloop + endfacet + facet normal -0.13053 -2.20145e-16 0.991444 + outer loop + vertex 28.0819 98.6 10.3281 + vertex 28.0819 100.3 10.3281 + vertex 27.8743 100.3 10.3008 + endloop + endfacet + facet normal -0.13053 -2.20145e-16 0.991444 + outer loop + vertex 27.8743 98.6 10.3008 + vertex 28.0819 98.6 10.3281 + vertex 27.8743 100.3 10.3008 + endloop + endfacet + facet normal -0.182236 -2.18326e-16 0.983255 + outer loop + vertex 27.8743 98.6 10.3008 + vertex 27.8743 100.3 10.3008 + vertex 27.6684 100.3 10.2626 + endloop + endfacet + facet normal -0.182236 -2.18326e-16 0.983255 + outer loop + vertex 27.6684 98.6 10.2626 + vertex 27.8743 98.6 10.3008 + vertex 27.6684 100.3 10.2626 + endloop + endfacet + facet normal -0.233445 -2.15909e-16 0.97237 + outer loop + vertex 27.6684 98.6 10.2626 + vertex 27.6684 100.3 10.2626 + vertex 27.4647 100.3 10.2137 + endloop + endfacet + facet normal -0.233445 -2.15909e-16 0.97237 + outer loop + vertex 27.4647 98.6 10.2137 + vertex 27.6684 98.6 10.2626 + vertex 27.4647 100.3 10.2137 + endloop + endfacet + facet normal -0.284014 -2.12901e-16 0.95882 + outer loop + vertex 27.4647 98.6 10.2137 + vertex 27.4647 100.3 10.2137 + vertex 27.2639 100.3 10.1542 + endloop + endfacet + facet normal -0.284014 -2.12901e-16 0.95882 + outer loop + vertex 27.2639 98.6 10.1542 + vertex 27.4647 98.6 10.2137 + vertex 27.2639 100.3 10.1542 + endloop + endfacet + facet normal -0.333805 -2.09309e-16 0.942642 + outer loop + vertex 27.2639 98.6 10.1542 + vertex 27.2639 100.3 10.1542 + vertex 27.0665 100.3 10.0843 + endloop + endfacet + facet normal -0.333805 -2.09309e-16 0.942642 + outer loop + vertex 27.0665 98.6 10.0843 + vertex 27.2639 98.6 10.1542 + vertex 27.0665 100.3 10.0843 + endloop + endfacet + facet normal -0.382684 -2.05142e-16 0.923879 + outer loop + vertex 27.0665 98.6 10.0843 + vertex 27.0665 100.3 10.0843 + vertex 26.8731 100.3 10.0042 + endloop + endfacet + facet normal -0.382684 -2.05142e-16 0.923879 + outer loop + vertex 26.8731 98.6 10.0042 + vertex 27.0665 98.6 10.0843 + vertex 26.8731 100.3 10.0042 + endloop + endfacet + facet normal -0.430513 -2.00414e-16 0.902584 + outer loop + vertex 26.8731 98.6 10.0042 + vertex 26.8731 100.3 10.0042 + vertex 26.684 100.3 9.91403 + endloop + endfacet + facet normal -0.430513 -2.00414e-16 0.902584 + outer loop + vertex 26.684 98.6 9.91403 + vertex 26.8731 98.6 10.0042 + vertex 26.684 100.3 9.91403 + endloop + endfacet + facet normal -0.477157 -1.95137e-16 0.878818 + outer loop + vertex 26.684 98.6 9.91403 + vertex 26.684 100.3 9.91403 + vertex 26.5 100.3 9.8141 + endloop + endfacet + facet normal -0.477157 -1.95137e-16 0.878818 + outer loop + vertex 26.5 98.6 9.8141 + vertex 26.684 98.6 9.91403 + vertex 26.5 100.3 9.8141 + endloop + endfacet + facet normal -0.522501 -1.89324e-16 0.852639 + outer loop + vertex 26.5 98.6 9.8141 + vertex 26.5 100.3 9.8141 + vertex 26.3214 100.3 9.70468 + endloop + endfacet + facet normal -0.522501 -1.89324e-16 0.852639 + outer loop + vertex 26.3214 98.6 9.70468 + vertex 26.5 98.6 9.8141 + vertex 26.3214 100.3 9.70468 + endloop + endfacet + facet normal -0.566405 -1.82993e-16 0.824127 + outer loop + vertex 26.3214 98.6 9.70468 + vertex 26.3214 100.3 9.70468 + vertex 26.1489 100.3 9.58607 + endloop + endfacet + facet normal -0.566405 -1.82993e-16 0.824127 + outer loop + vertex 26.1489 98.6 9.58607 + vertex 26.3214 98.6 9.70468 + vertex 26.1489 100.3 9.58607 + endloop + endfacet + facet normal -0.60876 -1.7616e-16 0.793354 + outer loop + vertex 26.1489 98.6 9.58607 + vertex 26.1489 100.3 9.58607 + vertex 25.9827 100.3 9.45858 + endloop + endfacet + facet normal -0.60876 -1.7616e-16 0.793354 + outer loop + vertex 25.9827 98.6 9.45858 + vertex 26.1489 98.6 9.58607 + vertex 25.9827 100.3 9.45858 + endloop + endfacet + facet normal -0.649451 -1.68843e-16 0.760403 + outer loop + vertex 25.9827 98.6 9.45858 + vertex 25.9827 100.3 9.45858 + vertex 25.8235 100.3 9.32258 + endloop + endfacet + facet normal -0.649451 -1.68843e-16 0.760403 + outer loop + vertex 25.8235 98.6 9.32258 + vertex 25.9827 98.6 9.45858 + vertex 25.8235 100.3 9.32258 + endloop + endfacet + facet normal -0.688353 -1.61066e-16 0.725375 + outer loop + vertex 25.8235 98.6 9.32258 + vertex 25.8235 100.3 9.32258 + vertex 25.6716 100.3 9.17843 + endloop + endfacet + facet normal -0.688353 -1.61066e-16 0.725375 + outer loop + vertex 25.6716 98.6 9.17843 + vertex 25.8235 98.6 9.32258 + vertex 25.6716 100.3 9.17843 + endloop + endfacet + facet normal -0.725375 -1.52845e-16 0.688353 + outer loop + vertex 25.6716 100.3 9.17843 + vertex 25.5274 100.3 9.02652 + vertex 25.6716 98.6 9.17843 + endloop + endfacet + facet normal -0.725375 -1.52845e-16 0.688353 + outer loop + vertex 25.6716 98.6 9.17843 + vertex 25.5274 100.3 9.02652 + vertex 25.5274 98.6 9.02652 + endloop + endfacet + facet normal -0.760403 -1.44207e-16 0.649451 + outer loop + vertex 25.5274 100.3 9.02652 + vertex 25.3914 100.3 8.86728 + vertex 25.5274 98.6 9.02652 + endloop + endfacet + facet normal -0.760403 -1.44207e-16 0.649451 + outer loop + vertex 25.5274 98.6 9.02652 + vertex 25.3914 100.3 8.86728 + vertex 25.3914 98.6 8.86728 + endloop + endfacet + facet normal -0.793354 -1.35172e-16 0.60876 + outer loop + vertex 25.3914 100.3 8.86728 + vertex 25.2639 100.3 8.70114 + vertex 25.3914 98.6 8.86728 + endloop + endfacet + facet normal -0.793354 -1.35172e-16 0.60876 + outer loop + vertex 25.3914 98.6 8.86728 + vertex 25.2639 100.3 8.70114 + vertex 25.2639 98.6 8.70114 + endloop + endfacet + facet normal -0.824127 -1.25767e-16 0.566405 + outer loop + vertex 25.2639 100.3 8.70114 + vertex 25.1453 100.3 8.52856 + vertex 25.2639 98.6 8.70114 + endloop + endfacet + facet normal -0.824127 -1.25767e-16 0.566405 + outer loop + vertex 25.2639 98.6 8.70114 + vertex 25.1453 100.3 8.52856 + vertex 25.1453 98.6 8.52856 + endloop + endfacet + facet normal -0.852639 -1.16019e-16 0.522501 + outer loop + vertex 25.1453 100.3 8.52856 + vertex 25.0359 100.3 8.35 + vertex 25.1453 98.6 8.52856 + endloop + endfacet + facet normal -0.852639 -1.16019e-16 0.522501 + outer loop + vertex 25.1453 98.6 8.52856 + vertex 25.0359 100.3 8.35 + vertex 25.0359 98.6 8.35 + endloop + endfacet + facet normal -0.878818 -1.0595e-16 0.477157 + outer loop + vertex 25.0359 100.3 8.35 + vertex 24.936 100.3 8.16596 + vertex 25.0359 98.6 8.35 + endloop + endfacet + facet normal -0.878818 -1.0595e-16 0.477157 + outer loop + vertex 25.0359 98.6 8.35 + vertex 24.936 100.3 8.16596 + vertex 24.936 98.6 8.16596 + endloop + endfacet + facet normal -0.902584 -9.55931e-17 0.430513 + outer loop + vertex 24.936 100.3 8.16596 + vertex 24.8458 100.3 7.97695 + vertex 24.936 98.6 8.16596 + endloop + endfacet + facet normal -0.902584 -9.55931e-17 0.430513 + outer loop + vertex 24.936 98.6 8.16596 + vertex 24.8458 100.3 7.97695 + vertex 24.8458 98.6 7.97695 + endloop + endfacet + facet normal -0.923879 -8.49728e-17 0.382684 + outer loop + vertex 24.8458 100.3 7.97695 + vertex 24.7657 100.3 7.78347 + vertex 24.8458 98.6 7.97695 + endloop + endfacet + facet normal -0.923879 -8.49728e-17 0.382684 + outer loop + vertex 24.8458 98.6 7.97695 + vertex 24.7657 100.3 7.78347 + vertex 24.7657 98.6 7.78347 + endloop + endfacet + facet normal -0.942642 -7.41196e-17 0.333805 + outer loop + vertex 24.7657 100.3 7.78347 + vertex 24.6958 100.3 7.58607 + vertex 24.7657 98.6 7.78347 + endloop + endfacet + facet normal -0.942642 -7.41196e-17 0.333805 + outer loop + vertex 24.7657 98.6 7.78347 + vertex 24.6958 100.3 7.58607 + vertex 24.6958 98.6 7.58607 + endloop + endfacet + facet normal -0.95882 -6.30638e-17 0.284014 + outer loop + vertex 24.6958 100.3 7.58607 + vertex 24.6363 100.3 7.38528 + vertex 24.6958 98.6 7.58607 + endloop + endfacet + facet normal -0.95882 -6.30638e-17 0.284014 + outer loop + vertex 24.6958 98.6 7.58607 + vertex 24.6363 100.3 7.38528 + vertex 24.6363 98.6 7.38528 + endloop + endfacet + facet normal -0.97237 -5.18353e-17 0.233445 + outer loop + vertex 24.6363 100.3 7.38528 + vertex 24.5874 100.3 7.18165 + vertex 24.6363 98.6 7.38528 + endloop + endfacet + facet normal -0.97237 -5.18353e-17 0.233445 + outer loop + vertex 24.6363 98.6 7.38528 + vertex 24.5874 100.3 7.18165 + vertex 24.5874 98.6 7.18165 + endloop + endfacet + facet normal -0.983255 -4.04644e-17 0.182236 + outer loop + vertex 24.5874 100.3 7.18165 + vertex 24.5492 100.3 6.97574 + vertex 24.5874 98.6 7.18165 + endloop + endfacet + facet normal -0.983255 -4.04644e-17 0.182236 + outer loop + vertex 24.5874 98.6 7.18165 + vertex 24.5492 100.3 6.97574 + vertex 24.5492 98.6 6.97574 + endloop + endfacet + facet normal -0.991444 -2.89835e-17 0.13053 + outer loop + vertex 24.5492 100.3 6.97574 + vertex 24.5219 100.3 6.76811 + vertex 24.5492 98.6 6.97574 + endloop + endfacet + facet normal -0.991444 -2.89835e-17 0.13053 + outer loop + vertex 24.5492 98.6 6.97574 + vertex 24.5219 100.3 6.76811 + vertex 24.5219 98.6 6.76811 + endloop + endfacet + facet normal -0.996918 -1.74208e-17 0.0784565 + outer loop + vertex 24.5219 100.3 6.76811 + vertex 24.5055 100.3 6.55934 + vertex 24.5219 98.6 6.76811 + endloop + endfacet + facet normal -0.996918 -1.74208e-17 0.0784565 + outer loop + vertex 24.5219 98.6 6.76811 + vertex 24.5055 100.3 6.55934 + vertex 24.5055 98.6 6.55934 + endloop + endfacet + facet normal -0.999657 5.81259e-18 -0.0261776 + outer loop + vertex 126.105 100.3 6.14066 + vertex 126.105 98.6 6.14066 + vertex 126.1 100.3 6.35 + endloop + endfacet + facet normal -0.999657 5.81259e-18 -0.0261776 + outer loop + vertex 126.1 100.3 6.35 + vertex 126.105 98.6 6.14066 + vertex 126.1 98.6 6.35 + endloop + endfacet + facet normal -0.999657 -5.81259e-18 0.0261776 + outer loop + vertex 126.105 100.3 6.55934 + vertex 126.1 100.3 6.35 + vertex 126.105 98.6 6.55934 + endloop + endfacet + facet normal -0.999657 -5.81259e-18 0.0261776 + outer loop + vertex 126.105 98.6 6.55934 + vertex 126.1 100.3 6.35 + vertex 126.1 98.6 6.35 + endloop + endfacet + facet normal -0.996918 1.74208e-17 -0.0784565 + outer loop + vertex 126.122 100.3 5.93189 + vertex 126.122 98.6 5.93189 + vertex 126.105 100.3 6.14066 + endloop + endfacet + facet normal -0.996918 1.74208e-17 -0.0784565 + outer loop + vertex 126.105 100.3 6.14066 + vertex 126.122 98.6 5.93189 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal -0.991444 2.89835e-17 -0.13053 + outer loop + vertex 126.149 100.3 5.72426 + vertex 126.149 98.6 5.72426 + vertex 126.122 100.3 5.93189 + endloop + endfacet + facet normal -0.991444 2.89835e-17 -0.13053 + outer loop + vertex 126.122 100.3 5.93189 + vertex 126.149 98.6 5.72426 + vertex 126.122 98.6 5.93189 + endloop + endfacet + facet normal -0.983255 4.04644e-17 -0.182236 + outer loop + vertex 126.187 100.3 5.51835 + vertex 126.187 98.6 5.51835 + vertex 126.149 100.3 5.72426 + endloop + endfacet + facet normal -0.983255 4.04644e-17 -0.182236 + outer loop + vertex 126.149 100.3 5.72426 + vertex 126.187 98.6 5.51835 + vertex 126.149 98.6 5.72426 + endloop + endfacet + facet normal -0.97237 5.18353e-17 -0.233445 + outer loop + vertex 126.236 100.3 5.31472 + vertex 126.236 98.6 5.31472 + vertex 126.187 100.3 5.51835 + endloop + endfacet + facet normal -0.97237 5.18353e-17 -0.233445 + outer loop + vertex 126.187 100.3 5.51835 + vertex 126.236 98.6 5.31472 + vertex 126.187 98.6 5.51835 + endloop + endfacet + facet normal -0.95882 6.30638e-17 -0.284014 + outer loop + vertex 126.296 100.3 5.11393 + vertex 126.296 98.6 5.11393 + vertex 126.236 100.3 5.31472 + endloop + endfacet + facet normal -0.95882 6.30638e-17 -0.284014 + outer loop + vertex 126.236 100.3 5.31472 + vertex 126.296 98.6 5.11393 + vertex 126.236 98.6 5.31472 + endloop + endfacet + facet normal -0.942642 7.41196e-17 -0.333805 + outer loop + vertex 126.366 100.3 4.91653 + vertex 126.366 98.6 4.91653 + vertex 126.296 100.3 5.11393 + endloop + endfacet + facet normal -0.942642 7.41196e-17 -0.333805 + outer loop + vertex 126.296 100.3 5.11393 + vertex 126.366 98.6 4.91653 + vertex 126.296 98.6 5.11393 + endloop + endfacet + facet normal -0.923879 8.49728e-17 -0.382684 + outer loop + vertex 126.446 100.3 4.72305 + vertex 126.446 98.6 4.72305 + vertex 126.366 100.3 4.91653 + endloop + endfacet + facet normal -0.923879 8.49728e-17 -0.382684 + outer loop + vertex 126.366 100.3 4.91653 + vertex 126.446 98.6 4.72305 + vertex 126.366 98.6 4.91653 + endloop + endfacet + facet normal -0.902584 9.55931e-17 -0.430513 + outer loop + vertex 126.536 100.3 4.53404 + vertex 126.536 98.6 4.53404 + vertex 126.446 100.3 4.72305 + endloop + endfacet + facet normal -0.902584 9.55931e-17 -0.430513 + outer loop + vertex 126.446 100.3 4.72305 + vertex 126.536 98.6 4.53404 + vertex 126.446 98.6 4.72305 + endloop + endfacet + facet normal -0.878818 1.0595e-16 -0.477157 + outer loop + vertex 126.636 100.3 4.35 + vertex 126.636 98.6 4.35 + vertex 126.536 100.3 4.53404 + endloop + endfacet + facet normal -0.878818 1.0595e-16 -0.477157 + outer loop + vertex 126.536 100.3 4.53404 + vertex 126.636 98.6 4.35 + vertex 126.536 98.6 4.53404 + endloop + endfacet + facet normal -0.852639 1.16019e-16 -0.522501 + outer loop + vertex 126.745 100.3 4.17144 + vertex 126.745 98.6 4.17144 + vertex 126.636 100.3 4.35 + endloop + endfacet + facet normal -0.852639 1.16019e-16 -0.522501 + outer loop + vertex 126.636 100.3 4.35 + vertex 126.745 98.6 4.17144 + vertex 126.636 98.6 4.35 + endloop + endfacet + facet normal -0.824127 1.25767e-16 -0.566405 + outer loop + vertex 126.864 100.3 3.99886 + vertex 126.864 98.6 3.99886 + vertex 126.745 100.3 4.17144 + endloop + endfacet + facet normal -0.824127 1.25767e-16 -0.566405 + outer loop + vertex 126.745 100.3 4.17144 + vertex 126.864 98.6 3.99886 + vertex 126.745 98.6 4.17144 + endloop + endfacet + facet normal -0.793354 1.35172e-16 -0.60876 + outer loop + vertex 126.991 100.3 3.83272 + vertex 126.991 98.6 3.83272 + vertex 126.864 100.3 3.99886 + endloop + endfacet + facet normal -0.793354 1.35172e-16 -0.60876 + outer loop + vertex 126.864 100.3 3.99886 + vertex 126.991 98.6 3.83272 + vertex 126.864 98.6 3.99886 + endloop + endfacet + facet normal -0.760403 1.44207e-16 -0.649451 + outer loop + vertex 127.127 100.3 3.67348 + vertex 127.127 98.6 3.67348 + vertex 126.991 100.3 3.83272 + endloop + endfacet + facet normal -0.760403 1.44207e-16 -0.649451 + outer loop + vertex 126.991 100.3 3.83272 + vertex 127.127 98.6 3.67348 + vertex 126.991 98.6 3.83272 + endloop + endfacet + facet normal -0.725375 1.52845e-16 -0.688353 + outer loop + vertex 127.272 100.3 3.52157 + vertex 127.272 98.6 3.52157 + vertex 127.127 100.3 3.67348 + endloop + endfacet + facet normal -0.725375 1.52845e-16 -0.688353 + outer loop + vertex 127.127 100.3 3.67348 + vertex 127.272 98.6 3.52157 + vertex 127.127 98.6 3.67348 + endloop + endfacet + facet normal -0.688353 1.61066e-16 -0.725375 + outer loop + vertex 127.423 100.3 3.37742 + vertex 127.423 98.6 3.37742 + vertex 127.272 100.3 3.52157 + endloop + endfacet + facet normal -0.688353 1.61066e-16 -0.725375 + outer loop + vertex 127.272 100.3 3.52157 + vertex 127.423 98.6 3.37742 + vertex 127.272 98.6 3.52157 + endloop + endfacet + facet normal -0.649451 1.68843e-16 -0.760403 + outer loop + vertex 127.583 100.3 3.24142 + vertex 127.583 98.6 3.24142 + vertex 127.423 100.3 3.37742 + endloop + endfacet + facet normal -0.649451 1.68843e-16 -0.760403 + outer loop + vertex 127.423 100.3 3.37742 + vertex 127.583 98.6 3.24142 + vertex 127.423 98.6 3.37742 + endloop + endfacet + facet normal -0.60876 1.7616e-16 -0.793354 + outer loop + vertex 127.749 100.3 3.11393 + vertex 127.749 98.6 3.11393 + vertex 127.583 100.3 3.24142 + endloop + endfacet + facet normal -0.60876 1.7616e-16 -0.793354 + outer loop + vertex 127.583 100.3 3.24142 + vertex 127.749 98.6 3.11393 + vertex 127.583 98.6 3.24142 + endloop + endfacet + facet normal -0.566405 1.82993e-16 -0.824127 + outer loop + vertex 127.921 100.3 2.99532 + vertex 127.921 98.6 2.99532 + vertex 127.749 100.3 3.11393 + endloop + endfacet + facet normal -0.566405 1.82993e-16 -0.824127 + outer loop + vertex 127.749 100.3 3.11393 + vertex 127.921 98.6 2.99532 + vertex 127.749 98.6 3.11393 + endloop + endfacet + facet normal -0.522501 1.89324e-16 -0.852639 + outer loop + vertex 128.1 100.3 2.8859 + vertex 128.1 98.6 2.8859 + vertex 127.921 100.3 2.99532 + endloop + endfacet + facet normal -0.522501 1.89324e-16 -0.852639 + outer loop + vertex 127.921 100.3 2.99532 + vertex 128.1 98.6 2.8859 + vertex 127.921 98.6 2.99532 + endloop + endfacet + facet normal -0.477157 1.95137e-16 -0.878818 + outer loop + vertex 128.284 100.3 2.78597 + vertex 128.284 98.6 2.78597 + vertex 128.1 100.3 2.8859 + endloop + endfacet + facet normal -0.477157 1.95137e-16 -0.878818 + outer loop + vertex 128.1 100.3 2.8859 + vertex 128.284 98.6 2.78597 + vertex 128.1 98.6 2.8859 + endloop + endfacet + facet normal -0.430513 2.00414e-16 -0.902584 + outer loop + vertex 128.473 100.3 2.69582 + vertex 128.473 98.6 2.69582 + vertex 128.284 100.3 2.78597 + endloop + endfacet + facet normal -0.430513 2.00414e-16 -0.902584 + outer loop + vertex 128.284 100.3 2.78597 + vertex 128.473 98.6 2.69582 + vertex 128.284 98.6 2.78597 + endloop + endfacet + facet normal -0.382684 2.05142e-16 -0.923879 + outer loop + vertex 128.667 100.3 2.61568 + vertex 128.667 98.6 2.61568 + vertex 128.473 100.3 2.69582 + endloop + endfacet + facet normal -0.382684 2.05142e-16 -0.923879 + outer loop + vertex 128.473 100.3 2.69582 + vertex 128.667 98.6 2.61568 + vertex 128.473 98.6 2.69582 + endloop + endfacet + facet normal -0.333805 2.09309e-16 -0.942642 + outer loop + vertex 128.864 100.3 2.54577 + vertex 128.864 98.6 2.54577 + vertex 128.667 100.3 2.61568 + endloop + endfacet + facet normal -0.333805 2.09309e-16 -0.942642 + outer loop + vertex 128.667 100.3 2.61568 + vertex 128.864 98.6 2.54577 + vertex 128.667 98.6 2.61568 + endloop + endfacet + facet normal -0.284014 2.12901e-16 -0.95882 + outer loop + vertex 129.065 100.3 2.4863 + vertex 129.065 98.6 2.4863 + vertex 128.864 100.3 2.54577 + endloop + endfacet + facet normal -0.284014 2.12901e-16 -0.95882 + outer loop + vertex 128.864 100.3 2.54577 + vertex 129.065 98.6 2.4863 + vertex 128.864 98.6 2.54577 + endloop + endfacet + facet normal -0.233445 2.15909e-16 -0.97237 + outer loop + vertex 129.268 100.3 2.43741 + vertex 129.268 98.6 2.43741 + vertex 129.065 100.3 2.4863 + endloop + endfacet + facet normal -0.233445 2.15909e-16 -0.97237 + outer loop + vertex 129.065 100.3 2.4863 + vertex 129.268 98.6 2.43741 + vertex 129.065 98.6 2.4863 + endloop + endfacet + facet normal -0.182236 2.18326e-16 -0.983255 + outer loop + vertex 129.474 100.3 2.39925 + vertex 129.474 98.6 2.39925 + vertex 129.268 100.3 2.43741 + endloop + endfacet + facet normal -0.182236 2.18326e-16 -0.983255 + outer loop + vertex 129.268 100.3 2.43741 + vertex 129.474 98.6 2.39925 + vertex 129.268 98.6 2.43741 + endloop + endfacet + facet normal -0.13053 2.20145e-16 -0.991444 + outer loop + vertex 129.682 100.3 2.37191 + vertex 129.682 98.6 2.37191 + vertex 129.474 100.3 2.39925 + endloop + endfacet + facet normal -0.13053 2.20145e-16 -0.991444 + outer loop + vertex 129.474 100.3 2.39925 + vertex 129.682 98.6 2.37191 + vertex 129.474 98.6 2.39925 + endloop + endfacet + facet normal -0.0784565 2.2136e-16 -0.996918 + outer loop + vertex 129.891 100.3 2.35548 + vertex 129.891 98.6 2.35548 + vertex 129.682 100.3 2.37191 + endloop + endfacet + facet normal -0.0784565 2.2136e-16 -0.996918 + outer loop + vertex 129.682 100.3 2.37191 + vertex 129.891 98.6 2.35548 + vertex 129.682 98.6 2.37191 + endloop + endfacet + facet normal -0.0261776 2.21969e-16 -0.999657 + outer loop + vertex 130.1 100.3 2.35 + vertex 130.1 98.6 2.35 + vertex 129.891 100.3 2.35548 + endloop + endfacet + facet normal -0.0261776 2.21969e-16 -0.999657 + outer loop + vertex 129.891 100.3 2.35548 + vertex 130.1 98.6 2.35 + vertex 129.891 98.6 2.35548 + endloop + endfacet + facet normal 0.0261776 2.21969e-16 -0.999657 + outer loop + vertex 130.309 100.3 2.35548 + vertex 130.309 98.6 2.35548 + vertex 130.1 100.3 2.35 + endloop + endfacet + facet normal 0.0261776 2.21969e-16 -0.999657 + outer loop + vertex 130.1 100.3 2.35 + vertex 130.309 98.6 2.35548 + vertex 130.1 98.6 2.35 + endloop + endfacet + facet normal 0.0784565 2.2136e-16 -0.996918 + outer loop + vertex 130.518 100.3 2.37191 + vertex 130.518 98.6 2.37191 + vertex 130.309 100.3 2.35548 + endloop + endfacet + facet normal 0.0784565 2.2136e-16 -0.996918 + outer loop + vertex 130.309 100.3 2.35548 + vertex 130.518 98.6 2.37191 + vertex 130.309 98.6 2.35548 + endloop + endfacet + facet normal 0.13053 2.20145e-16 -0.991444 + outer loop + vertex 130.726 100.3 2.39925 + vertex 130.726 98.6 2.39925 + vertex 130.518 100.3 2.37191 + endloop + endfacet + facet normal 0.13053 2.20145e-16 -0.991444 + outer loop + vertex 130.518 100.3 2.37191 + vertex 130.726 98.6 2.39925 + vertex 130.518 98.6 2.37191 + endloop + endfacet + facet normal 0.182236 2.18326e-16 -0.983255 + outer loop + vertex 130.932 100.3 2.43741 + vertex 130.932 98.6 2.43741 + vertex 130.726 100.3 2.39925 + endloop + endfacet + facet normal 0.182236 2.18326e-16 -0.983255 + outer loop + vertex 130.726 100.3 2.39925 + vertex 130.932 98.6 2.43741 + vertex 130.726 98.6 2.39925 + endloop + endfacet + facet normal 0.233445 2.15909e-16 -0.97237 + outer loop + vertex 131.135 100.3 2.4863 + vertex 131.135 98.6 2.4863 + vertex 130.932 100.3 2.43741 + endloop + endfacet + facet normal 0.233445 2.15909e-16 -0.97237 + outer loop + vertex 130.932 100.3 2.43741 + vertex 131.135 98.6 2.4863 + vertex 130.932 98.6 2.43741 + endloop + endfacet + facet normal 0.284014 2.12901e-16 -0.95882 + outer loop + vertex 131.336 100.3 2.54577 + vertex 131.336 98.6 2.54577 + vertex 131.135 100.3 2.4863 + endloop + endfacet + facet normal 0.284014 2.12901e-16 -0.95882 + outer loop + vertex 131.135 100.3 2.4863 + vertex 131.336 98.6 2.54577 + vertex 131.135 98.6 2.4863 + endloop + endfacet + facet normal 0.333805 2.09309e-16 -0.942642 + outer loop + vertex 131.533 100.3 2.61568 + vertex 131.533 98.6 2.61568 + vertex 131.336 100.3 2.54577 + endloop + endfacet + facet normal 0.333805 2.09309e-16 -0.942642 + outer loop + vertex 131.336 100.3 2.54577 + vertex 131.533 98.6 2.61568 + vertex 131.336 98.6 2.54577 + endloop + endfacet + facet normal 0.382684 2.05142e-16 -0.923879 + outer loop + vertex 131.727 100.3 2.69582 + vertex 131.727 98.6 2.69582 + vertex 131.533 100.3 2.61568 + endloop + endfacet + facet normal 0.382684 2.05142e-16 -0.923879 + outer loop + vertex 131.533 100.3 2.61568 + vertex 131.727 98.6 2.69582 + vertex 131.533 98.6 2.61568 + endloop + endfacet + facet normal 0.430513 2.00414e-16 -0.902584 + outer loop + vertex 131.916 100.3 2.78597 + vertex 131.916 98.6 2.78597 + vertex 131.727 100.3 2.69582 + endloop + endfacet + facet normal 0.430513 2.00414e-16 -0.902584 + outer loop + vertex 131.727 100.3 2.69582 + vertex 131.916 98.6 2.78597 + vertex 131.727 98.6 2.69582 + endloop + endfacet + facet normal 0.477157 1.95137e-16 -0.878818 + outer loop + vertex 132.1 100.3 2.8859 + vertex 132.1 98.6 2.8859 + vertex 131.916 100.3 2.78597 + endloop + endfacet + facet normal 0.477157 1.95137e-16 -0.878818 + outer loop + vertex 131.916 100.3 2.78597 + vertex 132.1 98.6 2.8859 + vertex 131.916 98.6 2.78597 + endloop + endfacet + facet normal 0.522501 1.89324e-16 -0.852639 + outer loop + vertex 132.279 100.3 2.99532 + vertex 132.279 98.6 2.99532 + vertex 132.1 100.3 2.8859 + endloop + endfacet + facet normal 0.522501 1.89324e-16 -0.852639 + outer loop + vertex 132.1 100.3 2.8859 + vertex 132.279 98.6 2.99532 + vertex 132.1 98.6 2.8859 + endloop + endfacet + facet normal 0.566405 1.82993e-16 -0.824127 + outer loop + vertex 132.451 100.3 3.11393 + vertex 132.451 98.6 3.11393 + vertex 132.279 100.3 2.99532 + endloop + endfacet + facet normal 0.566405 1.82993e-16 -0.824127 + outer loop + vertex 132.279 100.3 2.99532 + vertex 132.451 98.6 3.11393 + vertex 132.279 98.6 2.99532 + endloop + endfacet + facet normal 0.60876 1.7616e-16 -0.793354 + outer loop + vertex 132.617 100.3 3.24142 + vertex 132.617 98.6 3.24142 + vertex 132.451 100.3 3.11393 + endloop + endfacet + facet normal 0.60876 1.7616e-16 -0.793354 + outer loop + vertex 132.451 100.3 3.11393 + vertex 132.617 98.6 3.24142 + vertex 132.451 98.6 3.11393 + endloop + endfacet + facet normal 0.649451 1.68843e-16 -0.760403 + outer loop + vertex 132.777 100.3 3.37742 + vertex 132.777 98.6 3.37742 + vertex 132.617 100.3 3.24142 + endloop + endfacet + facet normal 0.649451 1.68843e-16 -0.760403 + outer loop + vertex 132.617 100.3 3.24142 + vertex 132.777 98.6 3.37742 + vertex 132.617 98.6 3.24142 + endloop + endfacet + facet normal 0.688353 1.61066e-16 -0.725375 + outer loop + vertex 132.928 100.3 3.52157 + vertex 132.928 98.6 3.52157 + vertex 132.777 100.3 3.37742 + endloop + endfacet + facet normal 0.688353 1.61066e-16 -0.725375 + outer loop + vertex 132.777 100.3 3.37742 + vertex 132.928 98.6 3.52157 + vertex 132.777 98.6 3.37742 + endloop + endfacet + facet normal 0.725375 1.52845e-16 -0.688353 + outer loop + vertex 132.928 100.3 3.52157 + vertex 133.073 100.3 3.67348 + vertex 133.073 98.6 3.67348 + endloop + endfacet + facet normal 0.725375 1.52845e-16 -0.688353 + outer loop + vertex 132.928 98.6 3.52157 + vertex 132.928 100.3 3.52157 + vertex 133.073 98.6 3.67348 + endloop + endfacet + facet normal 0.760403 1.44207e-16 -0.649451 + outer loop + vertex 133.073 100.3 3.67348 + vertex 133.209 100.3 3.83272 + vertex 133.209 98.6 3.83272 + endloop + endfacet + facet normal 0.760403 1.44207e-16 -0.649451 + outer loop + vertex 133.073 98.6 3.67348 + vertex 133.073 100.3 3.67348 + vertex 133.209 98.6 3.83272 + endloop + endfacet + facet normal 0.793354 1.35172e-16 -0.60876 + outer loop + vertex 133.209 100.3 3.83272 + vertex 133.336 100.3 3.99886 + vertex 133.336 98.6 3.99886 + endloop + endfacet + facet normal 0.793354 1.35172e-16 -0.60876 + outer loop + vertex 133.209 98.6 3.83272 + vertex 133.209 100.3 3.83272 + vertex 133.336 98.6 3.99886 + endloop + endfacet + facet normal 0.824127 1.25767e-16 -0.566405 + outer loop + vertex 133.336 100.3 3.99886 + vertex 133.455 100.3 4.17144 + vertex 133.455 98.6 4.17144 + endloop + endfacet + facet normal 0.824127 1.25767e-16 -0.566405 + outer loop + vertex 133.336 98.6 3.99886 + vertex 133.336 100.3 3.99886 + vertex 133.455 98.6 4.17144 + endloop + endfacet + facet normal 0.852639 1.16019e-16 -0.522501 + outer loop + vertex 133.455 100.3 4.17144 + vertex 133.564 100.3 4.35 + vertex 133.564 98.6 4.35 + endloop + endfacet + facet normal 0.852639 1.16019e-16 -0.522501 + outer loop + vertex 133.455 98.6 4.17144 + vertex 133.455 100.3 4.17144 + vertex 133.564 98.6 4.35 + endloop + endfacet + facet normal 0.878818 1.0595e-16 -0.477157 + outer loop + vertex 133.564 100.3 4.35 + vertex 133.664 100.3 4.53404 + vertex 133.664 98.6 4.53404 + endloop + endfacet + facet normal 0.878818 1.0595e-16 -0.477157 + outer loop + vertex 133.564 98.6 4.35 + vertex 133.564 100.3 4.35 + vertex 133.664 98.6 4.53404 + endloop + endfacet + facet normal 0.902584 9.55931e-17 -0.430513 + outer loop + vertex 133.664 100.3 4.53404 + vertex 133.754 100.3 4.72305 + vertex 133.754 98.6 4.72305 + endloop + endfacet + facet normal 0.902584 9.55931e-17 -0.430513 + outer loop + vertex 133.664 98.6 4.53404 + vertex 133.664 100.3 4.53404 + vertex 133.754 98.6 4.72305 + endloop + endfacet + facet normal 0.923879 8.49728e-17 -0.382684 + outer loop + vertex 133.754 100.3 4.72305 + vertex 133.834 100.3 4.91653 + vertex 133.834 98.6 4.91653 + endloop + endfacet + facet normal 0.923879 8.49728e-17 -0.382684 + outer loop + vertex 133.754 98.6 4.72305 + vertex 133.754 100.3 4.72305 + vertex 133.834 98.6 4.91653 + endloop + endfacet + facet normal 0.942642 7.41196e-17 -0.333805 + outer loop + vertex 133.834 100.3 4.91653 + vertex 133.904 100.3 5.11393 + vertex 133.904 98.6 5.11393 + endloop + endfacet + facet normal 0.942642 7.41196e-17 -0.333805 + outer loop + vertex 133.834 98.6 4.91653 + vertex 133.834 100.3 4.91653 + vertex 133.904 98.6 5.11393 + endloop + endfacet + facet normal 0.95882 6.30638e-17 -0.284014 + outer loop + vertex 133.904 100.3 5.11393 + vertex 133.964 100.3 5.31472 + vertex 133.964 98.6 5.31472 + endloop + endfacet + facet normal 0.95882 6.30638e-17 -0.284014 + outer loop + vertex 133.904 98.6 5.11393 + vertex 133.904 100.3 5.11393 + vertex 133.964 98.6 5.31472 + endloop + endfacet + facet normal 0.97237 5.18353e-17 -0.233445 + outer loop + vertex 133.964 100.3 5.31472 + vertex 134.013 100.3 5.51835 + vertex 134.013 98.6 5.51835 + endloop + endfacet + facet normal 0.97237 5.18353e-17 -0.233445 + outer loop + vertex 133.964 98.6 5.31472 + vertex 133.964 100.3 5.31472 + vertex 134.013 98.6 5.51835 + endloop + endfacet + facet normal 0.983255 4.04644e-17 -0.182236 + outer loop + vertex 134.013 100.3 5.51835 + vertex 134.051 100.3 5.72426 + vertex 134.051 98.6 5.72426 + endloop + endfacet + facet normal 0.983255 4.04644e-17 -0.182236 + outer loop + vertex 134.013 98.6 5.51835 + vertex 134.013 100.3 5.51835 + vertex 134.051 98.6 5.72426 + endloop + endfacet + facet normal 0.991444 2.89835e-17 -0.13053 + outer loop + vertex 134.051 100.3 5.72426 + vertex 134.078 100.3 5.93189 + vertex 134.078 98.6 5.93189 + endloop + endfacet + facet normal 0.991444 2.89835e-17 -0.13053 + outer loop + vertex 134.051 98.6 5.72426 + vertex 134.051 100.3 5.72426 + vertex 134.078 98.6 5.93189 + endloop + endfacet + facet normal 0.996918 1.74208e-17 -0.0784565 + outer loop + vertex 134.078 100.3 5.93189 + vertex 134.095 100.3 6.14066 + vertex 134.095 98.6 6.14066 + endloop + endfacet + facet normal 0.996918 1.74208e-17 -0.0784565 + outer loop + vertex 134.078 98.6 5.93189 + vertex 134.078 100.3 5.93189 + vertex 134.095 98.6 6.14066 + endloop + endfacet + facet normal 0.999657 5.81259e-18 -0.0261776 + outer loop + vertex 134.095 100.3 6.14066 + vertex 134.1 100.3 6.35 + vertex 134.1 98.6 6.35 + endloop + endfacet + facet normal 0.999657 5.81259e-18 -0.0261776 + outer loop + vertex 134.095 98.6 6.14066 + vertex 134.095 100.3 6.14066 + vertex 134.1 98.6 6.35 + endloop + endfacet + facet normal 0.999657 -5.81259e-18 0.0261776 + outer loop + vertex 134.1 98.6 6.35 + vertex 134.1 100.3 6.35 + vertex 134.095 100.3 6.55934 + endloop + endfacet + facet normal 0.999657 -5.81259e-18 0.0261776 + outer loop + vertex 134.095 98.6 6.55934 + vertex 134.1 98.6 6.35 + vertex 134.095 100.3 6.55934 + endloop + endfacet + facet normal 0.996918 -1.74208e-17 0.0784565 + outer loop + vertex 134.095 98.6 6.55934 + vertex 134.095 100.3 6.55934 + vertex 134.078 100.3 6.76811 + endloop + endfacet + facet normal 0.996918 -1.74208e-17 0.0784565 + outer loop + vertex 134.078 98.6 6.76811 + vertex 134.095 98.6 6.55934 + vertex 134.078 100.3 6.76811 + endloop + endfacet + facet normal 0.991444 -2.89835e-17 0.13053 + outer loop + vertex 134.078 98.6 6.76811 + vertex 134.078 100.3 6.76811 + vertex 134.051 100.3 6.97574 + endloop + endfacet + facet normal 0.991444 -2.89835e-17 0.13053 + outer loop + vertex 134.051 98.6 6.97574 + vertex 134.078 98.6 6.76811 + vertex 134.051 100.3 6.97574 + endloop + endfacet + facet normal 0.983255 -4.04644e-17 0.182236 + outer loop + vertex 134.051 98.6 6.97574 + vertex 134.051 100.3 6.97574 + vertex 134.013 100.3 7.18165 + endloop + endfacet + facet normal 0.983255 -4.04644e-17 0.182236 + outer loop + vertex 134.013 98.6 7.18165 + vertex 134.051 98.6 6.97574 + vertex 134.013 100.3 7.18165 + endloop + endfacet + facet normal 0.97237 -5.18353e-17 0.233445 + outer loop + vertex 134.013 98.6 7.18165 + vertex 134.013 100.3 7.18165 + vertex 133.964 100.3 7.38528 + endloop + endfacet + facet normal 0.97237 -5.18353e-17 0.233445 + outer loop + vertex 133.964 98.6 7.38528 + vertex 134.013 98.6 7.18165 + vertex 133.964 100.3 7.38528 + endloop + endfacet + facet normal 0.95882 -6.30638e-17 0.284014 + outer loop + vertex 133.964 98.6 7.38528 + vertex 133.964 100.3 7.38528 + vertex 133.904 100.3 7.58607 + endloop + endfacet + facet normal 0.95882 -6.30638e-17 0.284014 + outer loop + vertex 133.904 98.6 7.58607 + vertex 133.964 98.6 7.38528 + vertex 133.904 100.3 7.58607 + endloop + endfacet + facet normal 0.942642 -7.41196e-17 0.333805 + outer loop + vertex 133.904 98.6 7.58607 + vertex 133.904 100.3 7.58607 + vertex 133.834 100.3 7.78347 + endloop + endfacet + facet normal 0.942642 -7.41196e-17 0.333805 + outer loop + vertex 133.834 98.6 7.78347 + vertex 133.904 98.6 7.58607 + vertex 133.834 100.3 7.78347 + endloop + endfacet + facet normal 0.923879 -8.49728e-17 0.382684 + outer loop + vertex 133.834 98.6 7.78347 + vertex 133.834 100.3 7.78347 + vertex 133.754 100.3 7.97695 + endloop + endfacet + facet normal 0.923879 -8.49728e-17 0.382684 + outer loop + vertex 133.754 98.6 7.97695 + vertex 133.834 98.6 7.78347 + vertex 133.754 100.3 7.97695 + endloop + endfacet + facet normal 0.902584 -9.55931e-17 0.430513 + outer loop + vertex 133.754 98.6 7.97695 + vertex 133.754 100.3 7.97695 + vertex 133.664 100.3 8.16596 + endloop + endfacet + facet normal 0.902584 -9.55931e-17 0.430513 + outer loop + vertex 133.664 98.6 8.16596 + vertex 133.754 98.6 7.97695 + vertex 133.664 100.3 8.16596 + endloop + endfacet + facet normal 0.878818 -1.0595e-16 0.477157 + outer loop + vertex 133.664 98.6 8.16596 + vertex 133.664 100.3 8.16596 + vertex 133.564 100.3 8.35 + endloop + endfacet + facet normal 0.878818 -1.0595e-16 0.477157 + outer loop + vertex 133.564 98.6 8.35 + vertex 133.664 98.6 8.16596 + vertex 133.564 100.3 8.35 + endloop + endfacet + facet normal 0.852639 -1.16019e-16 0.522501 + outer loop + vertex 133.564 98.6 8.35 + vertex 133.564 100.3 8.35 + vertex 133.455 100.3 8.52856 + endloop + endfacet + facet normal 0.852639 -1.16019e-16 0.522501 + outer loop + vertex 133.455 98.6 8.52856 + vertex 133.564 98.6 8.35 + vertex 133.455 100.3 8.52856 + endloop + endfacet + facet normal 0.824127 -1.25767e-16 0.566405 + outer loop + vertex 133.455 98.6 8.52856 + vertex 133.455 100.3 8.52856 + vertex 133.336 100.3 8.70114 + endloop + endfacet + facet normal 0.824127 -1.25767e-16 0.566405 + outer loop + vertex 133.336 98.6 8.70114 + vertex 133.455 98.6 8.52856 + vertex 133.336 100.3 8.70114 + endloop + endfacet + facet normal 0.793354 -1.35172e-16 0.60876 + outer loop + vertex 133.336 98.6 8.70114 + vertex 133.336 100.3 8.70114 + vertex 133.209 100.3 8.86728 + endloop + endfacet + facet normal 0.793354 -1.35172e-16 0.60876 + outer loop + vertex 133.209 98.6 8.86728 + vertex 133.336 98.6 8.70114 + vertex 133.209 100.3 8.86728 + endloop + endfacet + facet normal 0.760403 -1.44207e-16 0.649451 + outer loop + vertex 133.209 98.6 8.86728 + vertex 133.209 100.3 8.86728 + vertex 133.073 100.3 9.02652 + endloop + endfacet + facet normal 0.760403 -1.44207e-16 0.649451 + outer loop + vertex 133.073 98.6 9.02652 + vertex 133.209 98.6 8.86728 + vertex 133.073 100.3 9.02652 + endloop + endfacet + facet normal 0.725375 -1.52845e-16 0.688353 + outer loop + vertex 133.073 98.6 9.02652 + vertex 133.073 100.3 9.02652 + vertex 132.928 100.3 9.17843 + endloop + endfacet + facet normal 0.725375 -1.52845e-16 0.688353 + outer loop + vertex 132.928 98.6 9.17843 + vertex 133.073 98.6 9.02652 + vertex 132.928 100.3 9.17843 + endloop + endfacet + facet normal 0.688353 -1.61066e-16 0.725375 + outer loop + vertex 132.928 98.6 9.17843 + vertex 132.928 100.3 9.17843 + vertex 132.777 100.3 9.32258 + endloop + endfacet + facet normal 0.688353 -1.61066e-16 0.725375 + outer loop + vertex 132.777 98.6 9.32258 + vertex 132.928 98.6 9.17843 + vertex 132.777 100.3 9.32258 + endloop + endfacet + facet normal 0.649451 -1.68843e-16 0.760403 + outer loop + vertex 132.777 98.6 9.32258 + vertex 132.777 100.3 9.32258 + vertex 132.617 100.3 9.45858 + endloop + endfacet + facet normal 0.649451 -1.68843e-16 0.760403 + outer loop + vertex 132.617 98.6 9.45858 + vertex 132.777 98.6 9.32258 + vertex 132.617 100.3 9.45858 + endloop + endfacet + facet normal 0.60876 -1.7616e-16 0.793354 + outer loop + vertex 132.617 98.6 9.45858 + vertex 132.617 100.3 9.45858 + vertex 132.451 100.3 9.58607 + endloop + endfacet + facet normal 0.60876 -1.7616e-16 0.793354 + outer loop + vertex 132.451 98.6 9.58607 + vertex 132.617 98.6 9.45858 + vertex 132.451 100.3 9.58607 + endloop + endfacet + facet normal 0.566405 -1.82993e-16 0.824127 + outer loop + vertex 132.451 98.6 9.58607 + vertex 132.451 100.3 9.58607 + vertex 132.279 100.3 9.70468 + endloop + endfacet + facet normal 0.566405 -1.82993e-16 0.824127 + outer loop + vertex 132.279 98.6 9.70468 + vertex 132.451 98.6 9.58607 + vertex 132.279 100.3 9.70468 + endloop + endfacet + facet normal 0.522501 -1.89324e-16 0.852639 + outer loop + vertex 132.279 98.6 9.70468 + vertex 132.279 100.3 9.70468 + vertex 132.1 100.3 9.8141 + endloop + endfacet + facet normal 0.522501 -1.89324e-16 0.852639 + outer loop + vertex 132.1 98.6 9.8141 + vertex 132.279 98.6 9.70468 + vertex 132.1 100.3 9.8141 + endloop + endfacet + facet normal 0.477157 -1.95137e-16 0.878818 + outer loop + vertex 132.1 98.6 9.8141 + vertex 132.1 100.3 9.8141 + vertex 131.916 100.3 9.91403 + endloop + endfacet + facet normal 0.477157 -1.95137e-16 0.878818 + outer loop + vertex 131.916 98.6 9.91403 + vertex 132.1 98.6 9.8141 + vertex 131.916 100.3 9.91403 + endloop + endfacet + facet normal 0.430513 -2.00414e-16 0.902584 + outer loop + vertex 131.916 98.6 9.91403 + vertex 131.916 100.3 9.91403 + vertex 131.727 100.3 10.0042 + endloop + endfacet + facet normal 0.430513 -2.00414e-16 0.902584 + outer loop + vertex 131.727 98.6 10.0042 + vertex 131.916 98.6 9.91403 + vertex 131.727 100.3 10.0042 + endloop + endfacet + facet normal 0.382684 -2.05142e-16 0.923879 + outer loop + vertex 131.727 98.6 10.0042 + vertex 131.727 100.3 10.0042 + vertex 131.533 100.3 10.0843 + endloop + endfacet + facet normal 0.382684 -2.05142e-16 0.923879 + outer loop + vertex 131.533 98.6 10.0843 + vertex 131.727 98.6 10.0042 + vertex 131.533 100.3 10.0843 + endloop + endfacet + facet normal 0.333805 -2.09309e-16 0.942642 + outer loop + vertex 131.533 98.6 10.0843 + vertex 131.533 100.3 10.0843 + vertex 131.336 100.3 10.1542 + endloop + endfacet + facet normal 0.333805 -2.09309e-16 0.942642 + outer loop + vertex 131.336 98.6 10.1542 + vertex 131.533 98.6 10.0843 + vertex 131.336 100.3 10.1542 + endloop + endfacet + facet normal 0.284014 -2.12901e-16 0.95882 + outer loop + vertex 131.336 98.6 10.1542 + vertex 131.336 100.3 10.1542 + vertex 131.135 100.3 10.2137 + endloop + endfacet + facet normal 0.284014 -2.12901e-16 0.95882 + outer loop + vertex 131.135 98.6 10.2137 + vertex 131.336 98.6 10.1542 + vertex 131.135 100.3 10.2137 + endloop + endfacet + facet normal 0.233445 -2.15909e-16 0.97237 + outer loop + vertex 131.135 98.6 10.2137 + vertex 131.135 100.3 10.2137 + vertex 130.932 100.3 10.2626 + endloop + endfacet + facet normal 0.233445 -2.15909e-16 0.97237 + outer loop + vertex 130.932 98.6 10.2626 + vertex 131.135 98.6 10.2137 + vertex 130.932 100.3 10.2626 + endloop + endfacet + facet normal 0.182236 -2.18326e-16 0.983255 + outer loop + vertex 130.932 98.6 10.2626 + vertex 130.932 100.3 10.2626 + vertex 130.726 100.3 10.3008 + endloop + endfacet + facet normal 0.182236 -2.18326e-16 0.983255 + outer loop + vertex 130.726 98.6 10.3008 + vertex 130.932 98.6 10.2626 + vertex 130.726 100.3 10.3008 + endloop + endfacet + facet normal 0.13053 -2.20145e-16 0.991444 + outer loop + vertex 130.726 98.6 10.3008 + vertex 130.726 100.3 10.3008 + vertex 130.518 100.3 10.3281 + endloop + endfacet + facet normal 0.13053 -2.20145e-16 0.991444 + outer loop + vertex 130.518 98.6 10.3281 + vertex 130.726 98.6 10.3008 + vertex 130.518 100.3 10.3281 + endloop + endfacet + facet normal 0.0784565 -2.2136e-16 0.996918 + outer loop + vertex 130.518 98.6 10.3281 + vertex 130.518 100.3 10.3281 + vertex 130.309 100.3 10.3445 + endloop + endfacet + facet normal 0.0784565 -2.2136e-16 0.996918 + outer loop + vertex 130.309 98.6 10.3445 + vertex 130.518 98.6 10.3281 + vertex 130.309 100.3 10.3445 + endloop + endfacet + facet normal 0.0261776 -2.21969e-16 0.999657 + outer loop + vertex 130.309 98.6 10.3445 + vertex 130.309 100.3 10.3445 + vertex 130.1 100.3 10.35 + endloop + endfacet + facet normal 0.0261776 -2.21969e-16 0.999657 + outer loop + vertex 130.1 98.6 10.35 + vertex 130.309 98.6 10.3445 + vertex 130.1 100.3 10.35 + endloop + endfacet + facet normal -0.0261776 -2.21969e-16 0.999657 + outer loop + vertex 130.1 98.6 10.35 + vertex 130.1 100.3 10.35 + vertex 129.891 100.3 10.3445 + endloop + endfacet + facet normal -0.0261776 -2.21969e-16 0.999657 + outer loop + vertex 129.891 98.6 10.3445 + vertex 130.1 98.6 10.35 + vertex 129.891 100.3 10.3445 + endloop + endfacet + facet normal -0.0784565 -2.2136e-16 0.996918 + outer loop + vertex 129.891 98.6 10.3445 + vertex 129.891 100.3 10.3445 + vertex 129.682 100.3 10.3281 + endloop + endfacet + facet normal -0.0784565 -2.2136e-16 0.996918 + outer loop + vertex 129.682 98.6 10.3281 + vertex 129.891 98.6 10.3445 + vertex 129.682 100.3 10.3281 + endloop + endfacet + facet normal -0.13053 -2.20145e-16 0.991444 + outer loop + vertex 129.682 98.6 10.3281 + vertex 129.682 100.3 10.3281 + vertex 129.474 100.3 10.3008 + endloop + endfacet + facet normal -0.13053 -2.20145e-16 0.991444 + outer loop + vertex 129.474 98.6 10.3008 + vertex 129.682 98.6 10.3281 + vertex 129.474 100.3 10.3008 + endloop + endfacet + facet normal -0.182236 -2.18326e-16 0.983255 + outer loop + vertex 129.474 98.6 10.3008 + vertex 129.474 100.3 10.3008 + vertex 129.268 100.3 10.2626 + endloop + endfacet + facet normal -0.182236 -2.18326e-16 0.983255 + outer loop + vertex 129.268 98.6 10.2626 + vertex 129.474 98.6 10.3008 + vertex 129.268 100.3 10.2626 + endloop + endfacet + facet normal -0.233445 -2.15909e-16 0.97237 + outer loop + vertex 129.268 98.6 10.2626 + vertex 129.268 100.3 10.2626 + vertex 129.065 100.3 10.2137 + endloop + endfacet + facet normal -0.233445 -2.15909e-16 0.97237 + outer loop + vertex 129.065 98.6 10.2137 + vertex 129.268 98.6 10.2626 + vertex 129.065 100.3 10.2137 + endloop + endfacet + facet normal -0.284014 -2.12901e-16 0.95882 + outer loop + vertex 129.065 98.6 10.2137 + vertex 129.065 100.3 10.2137 + vertex 128.864 100.3 10.1542 + endloop + endfacet + facet normal -0.284014 -2.12901e-16 0.95882 + outer loop + vertex 128.864 98.6 10.1542 + vertex 129.065 98.6 10.2137 + vertex 128.864 100.3 10.1542 + endloop + endfacet + facet normal -0.333805 -2.09309e-16 0.942642 + outer loop + vertex 128.864 98.6 10.1542 + vertex 128.864 100.3 10.1542 + vertex 128.667 100.3 10.0843 + endloop + endfacet + facet normal -0.333805 -2.09309e-16 0.942642 + outer loop + vertex 128.667 98.6 10.0843 + vertex 128.864 98.6 10.1542 + vertex 128.667 100.3 10.0843 + endloop + endfacet + facet normal -0.382684 -2.05142e-16 0.923879 + outer loop + vertex 128.667 98.6 10.0843 + vertex 128.667 100.3 10.0843 + vertex 128.473 100.3 10.0042 + endloop + endfacet + facet normal -0.382684 -2.05142e-16 0.923879 + outer loop + vertex 128.473 98.6 10.0042 + vertex 128.667 98.6 10.0843 + vertex 128.473 100.3 10.0042 + endloop + endfacet + facet normal -0.430513 -2.00414e-16 0.902584 + outer loop + vertex 128.473 98.6 10.0042 + vertex 128.473 100.3 10.0042 + vertex 128.284 100.3 9.91403 + endloop + endfacet + facet normal -0.430513 -2.00414e-16 0.902584 + outer loop + vertex 128.284 98.6 9.91403 + vertex 128.473 98.6 10.0042 + vertex 128.284 100.3 9.91403 + endloop + endfacet + facet normal -0.477157 -1.95137e-16 0.878818 + outer loop + vertex 128.284 98.6 9.91403 + vertex 128.284 100.3 9.91403 + vertex 128.1 100.3 9.8141 + endloop + endfacet + facet normal -0.477157 -1.95137e-16 0.878818 + outer loop + vertex 128.1 98.6 9.8141 + vertex 128.284 98.6 9.91403 + vertex 128.1 100.3 9.8141 + endloop + endfacet + facet normal -0.522501 -1.89324e-16 0.852639 + outer loop + vertex 128.1 98.6 9.8141 + vertex 128.1 100.3 9.8141 + vertex 127.921 100.3 9.70468 + endloop + endfacet + facet normal -0.522501 -1.89324e-16 0.852639 + outer loop + vertex 127.921 98.6 9.70468 + vertex 128.1 98.6 9.8141 + vertex 127.921 100.3 9.70468 + endloop + endfacet + facet normal -0.566405 -1.82993e-16 0.824127 + outer loop + vertex 127.921 98.6 9.70468 + vertex 127.921 100.3 9.70468 + vertex 127.749 100.3 9.58607 + endloop + endfacet + facet normal -0.566405 -1.82993e-16 0.824127 + outer loop + vertex 127.749 98.6 9.58607 + vertex 127.921 98.6 9.70468 + vertex 127.749 100.3 9.58607 + endloop + endfacet + facet normal -0.60876 -1.7616e-16 0.793354 + outer loop + vertex 127.749 98.6 9.58607 + vertex 127.749 100.3 9.58607 + vertex 127.583 100.3 9.45858 + endloop + endfacet + facet normal -0.60876 -1.7616e-16 0.793354 + outer loop + vertex 127.583 98.6 9.45858 + vertex 127.749 98.6 9.58607 + vertex 127.583 100.3 9.45858 + endloop + endfacet + facet normal -0.649451 -1.68843e-16 0.760403 + outer loop + vertex 127.583 98.6 9.45858 + vertex 127.583 100.3 9.45858 + vertex 127.423 100.3 9.32258 + endloop + endfacet + facet normal -0.649451 -1.68843e-16 0.760403 + outer loop + vertex 127.423 98.6 9.32258 + vertex 127.583 98.6 9.45858 + vertex 127.423 100.3 9.32258 + endloop + endfacet + facet normal -0.688353 -1.61066e-16 0.725375 + outer loop + vertex 127.423 98.6 9.32258 + vertex 127.423 100.3 9.32258 + vertex 127.272 100.3 9.17843 + endloop + endfacet + facet normal -0.688353 -1.61066e-16 0.725375 + outer loop + vertex 127.272 98.6 9.17843 + vertex 127.423 98.6 9.32258 + vertex 127.272 100.3 9.17843 + endloop + endfacet + facet normal -0.725375 -1.52845e-16 0.688353 + outer loop + vertex 127.272 100.3 9.17843 + vertex 127.127 100.3 9.02652 + vertex 127.272 98.6 9.17843 + endloop + endfacet + facet normal -0.725375 -1.52845e-16 0.688353 + outer loop + vertex 127.272 98.6 9.17843 + vertex 127.127 100.3 9.02652 + vertex 127.127 98.6 9.02652 + endloop + endfacet + facet normal -0.760403 -1.44207e-16 0.649451 + outer loop + vertex 127.127 100.3 9.02652 + vertex 126.991 100.3 8.86728 + vertex 127.127 98.6 9.02652 + endloop + endfacet + facet normal -0.760403 -1.44207e-16 0.649451 + outer loop + vertex 127.127 98.6 9.02652 + vertex 126.991 100.3 8.86728 + vertex 126.991 98.6 8.86728 + endloop + endfacet + facet normal -0.793354 -1.35172e-16 0.60876 + outer loop + vertex 126.991 100.3 8.86728 + vertex 126.864 100.3 8.70114 + vertex 126.991 98.6 8.86728 + endloop + endfacet + facet normal -0.793354 -1.35172e-16 0.60876 + outer loop + vertex 126.991 98.6 8.86728 + vertex 126.864 100.3 8.70114 + vertex 126.864 98.6 8.70114 + endloop + endfacet + facet normal -0.824127 -1.25767e-16 0.566405 + outer loop + vertex 126.864 100.3 8.70114 + vertex 126.745 100.3 8.52856 + vertex 126.864 98.6 8.70114 + endloop + endfacet + facet normal -0.824127 -1.25767e-16 0.566405 + outer loop + vertex 126.864 98.6 8.70114 + vertex 126.745 100.3 8.52856 + vertex 126.745 98.6 8.52856 + endloop + endfacet + facet normal -0.852639 -1.16019e-16 0.522501 + outer loop + vertex 126.745 100.3 8.52856 + vertex 126.636 100.3 8.35 + vertex 126.745 98.6 8.52856 + endloop + endfacet + facet normal -0.852639 -1.16019e-16 0.522501 + outer loop + vertex 126.745 98.6 8.52856 + vertex 126.636 100.3 8.35 + vertex 126.636 98.6 8.35 + endloop + endfacet + facet normal -0.878818 -1.0595e-16 0.477157 + outer loop + vertex 126.636 100.3 8.35 + vertex 126.536 100.3 8.16596 + vertex 126.636 98.6 8.35 + endloop + endfacet + facet normal -0.878818 -1.0595e-16 0.477157 + outer loop + vertex 126.636 98.6 8.35 + vertex 126.536 100.3 8.16596 + vertex 126.536 98.6 8.16596 + endloop + endfacet + facet normal -0.902584 -9.55931e-17 0.430513 + outer loop + vertex 126.536 100.3 8.16596 + vertex 126.446 100.3 7.97695 + vertex 126.536 98.6 8.16596 + endloop + endfacet + facet normal -0.902584 -9.55931e-17 0.430513 + outer loop + vertex 126.536 98.6 8.16596 + vertex 126.446 100.3 7.97695 + vertex 126.446 98.6 7.97695 + endloop + endfacet + facet normal -0.923879 -8.49728e-17 0.382684 + outer loop + vertex 126.446 100.3 7.97695 + vertex 126.366 100.3 7.78347 + vertex 126.446 98.6 7.97695 + endloop + endfacet + facet normal -0.923879 -8.49728e-17 0.382684 + outer loop + vertex 126.446 98.6 7.97695 + vertex 126.366 100.3 7.78347 + vertex 126.366 98.6 7.78347 + endloop + endfacet + facet normal -0.942642 -7.41196e-17 0.333805 + outer loop + vertex 126.366 100.3 7.78347 + vertex 126.296 100.3 7.58607 + vertex 126.366 98.6 7.78347 + endloop + endfacet + facet normal -0.942642 -7.41196e-17 0.333805 + outer loop + vertex 126.366 98.6 7.78347 + vertex 126.296 100.3 7.58607 + vertex 126.296 98.6 7.58607 + endloop + endfacet + facet normal -0.95882 -6.30638e-17 0.284014 + outer loop + vertex 126.296 100.3 7.58607 + vertex 126.236 100.3 7.38528 + vertex 126.296 98.6 7.58607 + endloop + endfacet + facet normal -0.95882 -6.30638e-17 0.284014 + outer loop + vertex 126.296 98.6 7.58607 + vertex 126.236 100.3 7.38528 + vertex 126.236 98.6 7.38528 + endloop + endfacet + facet normal -0.97237 -5.18353e-17 0.233445 + outer loop + vertex 126.236 100.3 7.38528 + vertex 126.187 100.3 7.18165 + vertex 126.236 98.6 7.38528 + endloop + endfacet + facet normal -0.97237 -5.18353e-17 0.233445 + outer loop + vertex 126.236 98.6 7.38528 + vertex 126.187 100.3 7.18165 + vertex 126.187 98.6 7.18165 + endloop + endfacet + facet normal -0.983255 -4.04644e-17 0.182236 + outer loop + vertex 126.187 100.3 7.18165 + vertex 126.149 100.3 6.97574 + vertex 126.187 98.6 7.18165 + endloop + endfacet + facet normal -0.983255 -4.04644e-17 0.182236 + outer loop + vertex 126.187 98.6 7.18165 + vertex 126.149 100.3 6.97574 + vertex 126.149 98.6 6.97574 + endloop + endfacet + facet normal -0.991444 -2.89835e-17 0.13053 + outer loop + vertex 126.149 100.3 6.97574 + vertex 126.122 100.3 6.76811 + vertex 126.149 98.6 6.97574 + endloop + endfacet + facet normal -0.991444 -2.89835e-17 0.13053 + outer loop + vertex 126.149 98.6 6.97574 + vertex 126.122 100.3 6.76811 + vertex 126.122 98.6 6.76811 + endloop + endfacet + facet normal -0.996918 -1.74208e-17 0.0784565 + outer loop + vertex 126.122 100.3 6.76811 + vertex 126.105 100.3 6.55934 + vertex 126.122 98.6 6.76811 + endloop + endfacet + facet normal -0.996918 -1.74208e-17 0.0784565 + outer loop + vertex 126.122 98.6 6.76811 + vertex 126.105 100.3 6.55934 + vertex 126.105 98.6 6.55934 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.4787 1.87139 3 + vertex 38.28 1.3 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.7672 4.43132 3 + vertex 41.8586 4.39144 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 44.28 6 3 + vertex 38.28 6 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.5288 4.48821 3 + vertex 44.28 6 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.4787 1.87139 3 + vertex 41.3797 1.86023 3 + vertex 38.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 38.28 1.3 3 + vertex 39.9502 3.09035 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5213 2.70282 3 + vertex 42.4814 2.61142 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 39.9502 3.09035 3 + vertex 39.9465 3.19 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.28 1.8565 3 + vertex 41.1803 1.86023 3 + vertex 38.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 39.9465 3.19 3 + vertex 39.9502 3.28965 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 39.9502 3.28965 3 + vertex 39.9614 3.38875 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5801 2.89327 3 + vertex 42.5543 2.79694 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 39.9614 3.38875 3 + vertex 39.9799 3.48673 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5986 2.99125 3 + vertex 42.5801 2.89327 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 39.9799 3.48673 3 + vertex 40.0057 3.58306 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5543 2.79694 3 + vertex 42.5213 2.70282 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.0057 3.58306 3 + vertex 40.0387 3.67718 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.0387 3.67718 3 + vertex 40.0786 3.76858 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.6135 3.19 3 + vertex 42.6098 3.09035 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.0786 3.76858 3 + vertex 40.1252 3.85675 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.6098 3.28965 3 + vertex 42.6135 3.19 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.1252 3.85675 3 + vertex 40.1782 3.94119 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.6098 3.09035 3 + vertex 42.5986 2.99125 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.1782 3.94119 3 + vertex 40.2374 4.02142 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.2374 4.02142 3 + vertex 40.3025 4.09701 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5801 3.48673 3 + vertex 42.5986 3.38875 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.3025 4.09701 3 + vertex 40.373 4.16752 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5543 3.58306 3 + vertex 42.5801 3.48673 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.373 4.16752 3 + vertex 40.4486 4.23257 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5213 3.67718 3 + vertex 42.5543 3.58306 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.4486 4.23257 3 + vertex 40.5288 4.29179 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.5986 3.38875 3 + vertex 42.6098 3.28965 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.5288 4.29179 3 + vertex 40.6133 4.34484 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.6133 4.34484 3 + vertex 40.7014 4.39144 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.4348 3.85675 3 + vertex 42.4814 3.76858 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.7014 4.39144 3 + vertex 40.7928 4.43132 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.3818 3.94119 3 + vertex 42.4348 3.85675 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.7928 4.43132 3 + vertex 40.8869 4.46426 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.3226 4.02142 3 + vertex 42.3818 3.94119 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.8869 4.46426 3 + vertex 40.9833 4.49007 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.2575 4.09701 3 + vertex 42.3226 4.02142 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 40.9833 4.49007 3 + vertex 41.0813 4.50861 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.4814 3.76858 3 + vertex 42.5213 3.67718 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 41.0813 4.50861 3 + vertex 41.1803 4.51977 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 41.1803 4.51977 3 + vertex 41.28 4.5235 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.1114 4.23257 3 + vertex 42.187 4.16752 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 41.28 4.5235 3 + vertex 41.3797 4.51977 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.0312 4.29179 3 + vertex 42.1114 4.23257 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 41.3797 4.51977 3 + vertex 41.4787 4.50861 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.9467 4.34484 3 + vertex 42.0312 4.29179 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 41.4787 4.50861 3 + vertex 41.5767 4.49007 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.8586 4.39144 3 + vertex 41.9467 4.34484 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 41.5767 4.49007 3 + vertex 41.6731 4.46426 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 41.7672 4.43132 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 38.28 6 3 + vertex 41.6731 4.46426 3 + vertex 41.7672 4.43132 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.4814 2.61142 3 + vertex 42.4348 2.52325 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.4486 6.63257 3 + vertex 46.5288 6.69179 3 + vertex 50.28 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.4348 2.52325 3 + vertex 42.3818 2.43881 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.3818 2.43881 3 + vertex 42.3226 2.35858 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.3226 2.35858 3 + vertex 42.2575 2.28299 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.2575 2.28299 3 + vertex 42.187 2.21247 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.187 2.21247 3 + vertex 42.1114 2.14743 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.8869 1.91574 3 + vertex 40.7928 1.94868 3 + vertex 38.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.1114 2.14743 3 + vertex 42.0312 2.08821 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.0312 2.08821 3 + vertex 41.9467 2.03516 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.9467 2.03516 3 + vertex 41.8586 1.98856 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.8586 1.98856 3 + vertex 41.7672 1.94868 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.1803 1.86023 3 + vertex 41.0813 1.87139 3 + vertex 38.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.7672 1.94868 3 + vertex 41.6731 1.91574 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.6731 1.91574 3 + vertex 41.5767 1.88993 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.5767 1.88993 3 + vertex 41.4787 1.87139 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.3797 1.86023 3 + vertex 41.28 1.8565 3 + vertex 38.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.9833 1.88993 3 + vertex 40.8869 1.91574 3 + vertex 38.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 41.0813 1.87139 3 + vertex 40.9833 1.88993 3 + vertex 38.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.7928 1.94868 3 + vertex 40.7014 1.98856 3 + vertex 38.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.7014 1.98856 3 + vertex 40.6133 2.03516 3 + vertex 38.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.8869 6.86426 3 + vertex 46.9833 6.89007 3 + vertex 50.28 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.5288 2.08821 3 + vertex 38.28 1.3 3 + vertex 40.6133 2.03516 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.4486 2.14743 3 + vertex 38.28 1.3 3 + vertex 40.5288 2.08821 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.373 2.21247 3 + vertex 38.28 1.3 3 + vertex 40.4486 2.14743 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.3025 2.28299 3 + vertex 38.28 1.3 3 + vertex 40.373 2.21247 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.2374 2.35858 3 + vertex 38.28 1.3 3 + vertex 40.3025 2.28299 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.1782 2.43881 3 + vertex 38.28 1.3 3 + vertex 40.2374 2.35858 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.1252 2.52325 3 + vertex 38.28 1.3 3 + vertex 40.1782 2.43881 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.0786 2.61142 3 + vertex 38.28 1.3 3 + vertex 40.1252 2.52325 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.0387 2.70282 3 + vertex 38.28 1.3 3 + vertex 40.0786 2.61142 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 40.0057 2.79694 3 + vertex 38.28 1.3 3 + vertex 40.0387 2.70282 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 39.9799 2.89327 3 + vertex 38.28 1.3 3 + vertex 40.0057 2.79694 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 39.9614 2.99125 3 + vertex 38.28 1.3 3 + vertex 39.9799 2.89327 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 39.9502 3.09035 3 + vertex 38.28 1.3 3 + vertex 39.9614 2.99125 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.5288 4.48821 3 + vertex 46.4486 4.54743 3 + vertex 44.28 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9465 5.59 3 + vertex 44.28 6 3 + vertex 45.9502 5.49035 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9502 5.68965 3 + vertex 44.28 6 3 + vertex 45.9465 5.59 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.28 6.9235 3 + vertex 47.3797 6.91977 3 + vertex 50.28 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9614 5.78875 3 + vertex 44.28 6 3 + vertex 45.9502 5.68965 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9799 5.88673 3 + vertex 44.28 6 3 + vertex 45.9614 5.78875 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.0057 5.98306 3 + vertex 44.28 6 3 + vertex 45.9799 5.88673 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.0387 6.07718 3 + vertex 44.28 6 3 + vertex 46.0057 5.98306 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.9833 6.89007 3 + vertex 47.0813 6.90861 3 + vertex 50.28 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.0786 6.16858 3 + vertex 44.28 6 3 + vertex 46.0387 6.07718 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.1252 6.25675 3 + vertex 44.28 6 3 + vertex 46.0786 6.16858 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.1782 6.34119 3 + vertex 44.28 6 3 + vertex 46.1252 6.25675 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.2374 6.42142 3 + vertex 44.28 6 3 + vertex 46.1782 6.34119 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.3025 6.49701 3 + vertex 44.28 6 3 + vertex 46.2374 6.42142 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.373 6.56752 3 + vertex 44.28 6 3 + vertex 46.3025 6.49701 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 44.28 6 3 + vertex 46.373 6.56752 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.5288 6.69179 3 + vertex 46.6133 6.74484 3 + vertex 50.28 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 46.373 6.56752 3 + vertex 46.4486 6.63257 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.6133 6.74484 3 + vertex 46.7014 6.79144 3 + vertex 50.28 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.7014 6.79144 3 + vertex 46.7928 6.83132 3 + vertex 50.28 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.7928 6.83132 3 + vertex 46.8869 6.86426 3 + vertex 50.28 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.1803 6.91977 3 + vertex 47.28 6.9235 3 + vertex 50.28 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.0813 6.90861 3 + vertex 47.1803 6.91977 3 + vertex 50.28 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.6135 5.59 3 + vertex 48.6098 5.49035 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5543 5.98306 3 + vertex 48.5801 5.88673 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.28 4.2565 3 + vertex 47.1803 4.26023 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.4348 4.92325 3 + vertex 48.3818 4.83881 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 47.3797 6.91977 3 + vertex 47.4787 6.90861 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.4814 5.01142 3 + vertex 48.4348 4.92325 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 47.4787 6.90861 3 + vertex 47.5767 6.89007 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.2374 4.75858 3 + vertex 46.1782 4.83881 3 + vertex 44.28 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 47.5767 6.89007 3 + vertex 47.6731 6.86426 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 47.6731 6.86426 3 + vertex 47.7672 6.83132 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5543 5.19694 3 + vertex 48.5213 5.10282 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 47.7672 6.83132 3 + vertex 47.8586 6.79144 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5213 5.10282 3 + vertex 48.4814 5.01142 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 47.8586 6.79144 3 + vertex 47.9467 6.74484 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 47.9467 6.74484 3 + vertex 48.0312 6.69179 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5986 5.39125 3 + vertex 48.5801 5.29327 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 48.0312 6.69179 3 + vertex 48.1114 6.63257 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.6098 5.49035 3 + vertex 48.5986 5.39125 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 48.1114 6.63257 3 + vertex 48.187 6.56752 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5801 5.29327 3 + vertex 48.5543 5.19694 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 48.187 6.56752 3 + vertex 48.2575 6.49701 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 48.2575 6.49701 3 + vertex 48.3226 6.42142 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.6098 5.68965 3 + vertex 48.6135 5.59 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 48.3226 6.42142 3 + vertex 48.3818 6.34119 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5986 5.78875 3 + vertex 48.6098 5.68965 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 48.3818 6.34119 3 + vertex 48.4348 6.25675 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5801 5.88673 3 + vertex 48.5986 5.78875 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 48.4348 6.25675 3 + vertex 48.4814 6.16858 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 48.5213 6.07718 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 50.28 10 3 + vertex 48.4814 6.16858 3 + vertex 48.5213 6.07718 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.5213 6.07718 3 + vertex 48.5543 5.98306 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.3818 4.83881 3 + vertex 48.3226 4.75858 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.3226 4.75858 3 + vertex 48.2575 4.68299 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.2575 4.68299 3 + vertex 48.187 4.61247 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.187 4.61247 3 + vertex 48.1114 4.54743 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.1114 4.54743 3 + vertex 48.0312 4.48821 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 48.0312 4.48821 3 + vertex 47.9467 4.43515 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.9467 4.43515 3 + vertex 47.8586 4.38856 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.8586 4.38856 3 + vertex 47.7672 4.34868 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.7672 4.34868 3 + vertex 47.6731 4.31574 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.6731 4.31574 3 + vertex 47.5767 4.28993 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.5767 4.28993 3 + vertex 47.4787 4.27139 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.4787 4.27139 3 + vertex 47.3797 4.26023 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.3797 4.26023 3 + vertex 47.28 4.2565 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.1803 4.26023 3 + vertex 47.0813 4.27139 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 47.0813 4.27139 3 + vertex 46.9833 4.28993 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.1782 4.83881 3 + vertex 46.1252 4.92325 3 + vertex 44.28 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.9833 4.28993 3 + vertex 46.8869 4.31574 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.8869 4.31574 3 + vertex 46.7928 4.34868 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.7928 4.34868 3 + vertex 46.7014 4.38856 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.7014 4.38856 3 + vertex 46.6133 4.43515 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.6133 4.43515 3 + vertex 46.5288 4.48821 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.4486 4.54743 3 + vertex 46.373 4.61247 3 + vertex 44.28 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.373 4.61247 3 + vertex 46.3025 4.68299 3 + vertex 44.28 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.3025 4.68299 3 + vertex 46.2374 4.75858 3 + vertex 44.28 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.0786 5.01142 3 + vertex 44.28 6 3 + vertex 46.1252 4.92325 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.0387 5.10282 3 + vertex 44.28 6 3 + vertex 46.0786 5.01142 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 46.0057 5.19694 3 + vertex 44.28 6 3 + vertex 46.0387 5.10282 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9799 5.29327 3 + vertex 44.28 6 3 + vertex 46.0057 5.19694 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9614 5.39125 3 + vertex 44.28 6 3 + vertex 45.9799 5.29327 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 45.9502 5.49035 3 + vertex 44.28 6 3 + vertex 45.9614 5.39125 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 44.28 10 3 + vertex 44.28 6 3 + vertex 50.28 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 42.187 4.16752 3 + vertex 42.2575 4.09701 3 + vertex 50.28 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.9287 1.87139 3 + vertex 82.73 1.3 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.2172 4.43132 3 + vertex 86.3086 4.39144 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 88.73 6 3 + vertex 82.73 6 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.9788 4.48821 3 + vertex 88.73 6 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.9287 1.87139 3 + vertex 85.8297 1.86023 3 + vertex 82.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 82.73 1.3 3 + vertex 84.4002 3.09035 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.9713 2.70282 3 + vertex 86.9314 2.61142 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.4002 3.09035 3 + vertex 84.3965 3.19 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.73 1.8565 3 + vertex 85.6303 1.86023 3 + vertex 82.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.3965 3.19 3 + vertex 84.4002 3.28965 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.4002 3.28965 3 + vertex 84.4114 3.38875 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0301 2.89327 3 + vertex 87.0043 2.79694 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.4114 3.38875 3 + vertex 84.4299 3.48673 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0486 2.99125 3 + vertex 87.0301 2.89327 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.4299 3.48673 3 + vertex 84.4557 3.58306 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0043 2.79694 3 + vertex 86.9713 2.70282 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.4557 3.58306 3 + vertex 84.4887 3.67718 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.4887 3.67718 3 + vertex 84.5286 3.76858 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0635 3.19 3 + vertex 87.0598 3.09035 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.5286 3.76858 3 + vertex 84.5752 3.85675 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0598 3.28965 3 + vertex 87.0635 3.19 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.5752 3.85675 3 + vertex 84.6282 3.94119 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0598 3.09035 3 + vertex 87.0486 2.99125 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.6282 3.94119 3 + vertex 84.6874 4.02142 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.6874 4.02142 3 + vertex 84.7525 4.09701 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0301 3.48673 3 + vertex 87.0486 3.38875 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.7525 4.09701 3 + vertex 84.823 4.16752 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0043 3.58306 3 + vertex 87.0301 3.48673 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.823 4.16752 3 + vertex 84.8986 4.23257 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.9713 3.67718 3 + vertex 87.0043 3.58306 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.8986 4.23257 3 + vertex 84.9788 4.29179 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 87.0486 3.38875 3 + vertex 87.0598 3.28965 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 84.9788 4.29179 3 + vertex 85.0632 4.34484 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 85.0632 4.34484 3 + vertex 85.1514 4.39144 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.8848 3.85675 3 + vertex 86.9314 3.76858 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 85.1514 4.39144 3 + vertex 85.2428 4.43132 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.8318 3.94119 3 + vertex 86.8848 3.85675 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 85.2428 4.43132 3 + vertex 85.3369 4.46426 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.7726 4.02142 3 + vertex 86.8318 3.94119 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 85.3369 4.46426 3 + vertex 85.4333 4.49007 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.7075 4.09701 3 + vertex 86.7726 4.02142 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 85.4333 4.49007 3 + vertex 85.5313 4.50861 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.9314 3.76858 3 + vertex 86.9713 3.67718 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 85.5313 4.50861 3 + vertex 85.6303 4.51977 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 85.6303 4.51977 3 + vertex 85.73 4.5235 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.5614 4.23257 3 + vertex 86.637 4.16752 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 85.73 4.5235 3 + vertex 85.8297 4.51977 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.4812 4.29179 3 + vertex 86.5614 4.23257 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 85.8297 4.51977 3 + vertex 85.9287 4.50861 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.3967 4.34484 3 + vertex 86.4812 4.29179 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 85.9287 4.50861 3 + vertex 86.0267 4.49007 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.3086 4.39144 3 + vertex 86.3967 4.34484 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 86.0267 4.49007 3 + vertex 86.1231 4.46426 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 86.2172 4.43132 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 82.73 6 3 + vertex 86.1231 4.46426 3 + vertex 86.2172 4.43132 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.9314 2.61142 3 + vertex 86.8848 2.52325 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.8986 6.63257 3 + vertex 90.9788 6.69179 3 + vertex 94.73 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.8848 2.52325 3 + vertex 86.8318 2.43881 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.8318 2.43881 3 + vertex 86.7726 2.35858 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.7726 2.35858 3 + vertex 86.7075 2.28299 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.7075 2.28299 3 + vertex 86.637 2.21247 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.637 2.21247 3 + vertex 86.5614 2.14743 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.3369 1.91574 3 + vertex 85.2428 1.94868 3 + vertex 82.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.5614 2.14743 3 + vertex 86.4812 2.08821 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.4812 2.08821 3 + vertex 86.3967 2.03516 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.3967 2.03516 3 + vertex 86.3086 1.98856 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.3086 1.98856 3 + vertex 86.2172 1.94868 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.6303 1.86023 3 + vertex 85.5313 1.87139 3 + vertex 82.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.2172 1.94868 3 + vertex 86.1231 1.91574 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.1231 1.91574 3 + vertex 86.0267 1.88993 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.0267 1.88993 3 + vertex 85.9287 1.87139 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.8297 1.86023 3 + vertex 85.73 1.8565 3 + vertex 82.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.4333 1.88993 3 + vertex 85.3369 1.91574 3 + vertex 82.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.5313 1.87139 3 + vertex 85.4333 1.88993 3 + vertex 82.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.2428 1.94868 3 + vertex 85.1514 1.98856 3 + vertex 82.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 85.1514 1.98856 3 + vertex 85.0632 2.03516 3 + vertex 82.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.3369 6.86426 3 + vertex 91.4333 6.89007 3 + vertex 94.73 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.9788 2.08821 3 + vertex 82.73 1.3 3 + vertex 85.0632 2.03516 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.8986 2.14743 3 + vertex 82.73 1.3 3 + vertex 84.9788 2.08821 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.823 2.21247 3 + vertex 82.73 1.3 3 + vertex 84.8986 2.14743 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.7525 2.28299 3 + vertex 82.73 1.3 3 + vertex 84.823 2.21247 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.6874 2.35858 3 + vertex 82.73 1.3 3 + vertex 84.7525 2.28299 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.6282 2.43881 3 + vertex 82.73 1.3 3 + vertex 84.6874 2.35858 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.5752 2.52325 3 + vertex 82.73 1.3 3 + vertex 84.6282 2.43881 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.5286 2.61142 3 + vertex 82.73 1.3 3 + vertex 84.5752 2.52325 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4887 2.70282 3 + vertex 82.73 1.3 3 + vertex 84.5286 2.61142 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4557 2.79694 3 + vertex 82.73 1.3 3 + vertex 84.4887 2.70282 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4299 2.89327 3 + vertex 82.73 1.3 3 + vertex 84.4557 2.79694 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4114 2.99125 3 + vertex 82.73 1.3 3 + vertex 84.4299 2.89327 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 84.4002 3.09035 3 + vertex 82.73 1.3 3 + vertex 84.4114 2.99125 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.9788 4.48821 3 + vertex 90.8986 4.54743 3 + vertex 88.73 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.3965 5.59 3 + vertex 88.73 6 3 + vertex 90.4002 5.49035 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4002 5.68965 3 + vertex 88.73 6 3 + vertex 90.3965 5.59 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.73 6.9235 3 + vertex 91.8297 6.91977 3 + vertex 94.73 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4114 5.78875 3 + vertex 88.73 6 3 + vertex 90.4002 5.68965 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4299 5.88673 3 + vertex 88.73 6 3 + vertex 90.4114 5.78875 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4557 5.98306 3 + vertex 88.73 6 3 + vertex 90.4299 5.88673 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4887 6.07718 3 + vertex 88.73 6 3 + vertex 90.4557 5.98306 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.4333 6.89007 3 + vertex 91.5313 6.90861 3 + vertex 94.73 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.5286 6.16858 3 + vertex 88.73 6 3 + vertex 90.4887 6.07718 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.5752 6.25675 3 + vertex 88.73 6 3 + vertex 90.5286 6.16858 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.6282 6.34119 3 + vertex 88.73 6 3 + vertex 90.5752 6.25675 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.6874 6.42142 3 + vertex 88.73 6 3 + vertex 90.6282 6.34119 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.7525 6.49701 3 + vertex 88.73 6 3 + vertex 90.6874 6.42142 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.823 6.56752 3 + vertex 88.73 6 3 + vertex 90.7525 6.49701 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 88.73 6 3 + vertex 90.823 6.56752 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.9788 6.69179 3 + vertex 91.0632 6.74484 3 + vertex 94.73 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 90.823 6.56752 3 + vertex 90.8986 6.63257 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.0632 6.74484 3 + vertex 91.1514 6.79144 3 + vertex 94.73 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.1514 6.79144 3 + vertex 91.2428 6.83132 3 + vertex 94.73 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.2428 6.83132 3 + vertex 91.3369 6.86426 3 + vertex 94.73 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.6303 6.91977 3 + vertex 91.73 6.9235 3 + vertex 94.73 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.5313 6.90861 3 + vertex 91.6303 6.91977 3 + vertex 94.73 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0635 5.59 3 + vertex 93.0598 5.49035 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0043 5.98306 3 + vertex 93.0301 5.88673 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.73 4.2565 3 + vertex 91.6303 4.26023 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.8848 4.92325 3 + vertex 92.8318 4.83881 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 91.8297 6.91977 3 + vertex 91.9287 6.90861 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.9314 5.01142 3 + vertex 92.8848 4.92325 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 91.9287 6.90861 3 + vertex 92.0267 6.89007 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.6874 4.75858 3 + vertex 90.6282 4.83881 3 + vertex 88.73 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.0267 6.89007 3 + vertex 92.1231 6.86426 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.1231 6.86426 3 + vertex 92.2172 6.83132 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0043 5.19694 3 + vertex 92.9713 5.10282 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.2172 6.83132 3 + vertex 92.3086 6.79144 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.9713 5.10282 3 + vertex 92.9314 5.01142 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.3086 6.79144 3 + vertex 92.3967 6.74484 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.3967 6.74484 3 + vertex 92.4812 6.69179 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0486 5.39125 3 + vertex 93.0301 5.29327 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.4812 6.69179 3 + vertex 92.5614 6.63257 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0598 5.49035 3 + vertex 93.0486 5.39125 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.5614 6.63257 3 + vertex 92.637 6.56752 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0301 5.29327 3 + vertex 93.0043 5.19694 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.637 6.56752 3 + vertex 92.7075 6.49701 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.7075 6.49701 3 + vertex 92.7726 6.42142 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0598 5.68965 3 + vertex 93.0635 5.59 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.7726 6.42142 3 + vertex 92.8318 6.34119 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0486 5.78875 3 + vertex 93.0598 5.68965 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.8318 6.34119 3 + vertex 92.8848 6.25675 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 93.0301 5.88673 3 + vertex 93.0486 5.78875 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.8848 6.25675 3 + vertex 92.9314 6.16858 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.9713 6.07718 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 94.73 10 3 + vertex 92.9314 6.16858 3 + vertex 92.9713 6.07718 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.9713 6.07718 3 + vertex 93.0043 5.98306 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.8318 4.83881 3 + vertex 92.7726 4.75858 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.7726 4.75858 3 + vertex 92.7075 4.68299 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.7075 4.68299 3 + vertex 92.637 4.61247 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.637 4.61247 3 + vertex 92.5614 4.54743 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.5614 4.54743 3 + vertex 92.4812 4.48821 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.4812 4.48821 3 + vertex 92.3967 4.43515 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.3967 4.43515 3 + vertex 92.3086 4.38856 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.3086 4.38856 3 + vertex 92.2172 4.34868 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.2172 4.34868 3 + vertex 92.1231 4.31574 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.1231 4.31574 3 + vertex 92.0267 4.28993 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 92.0267 4.28993 3 + vertex 91.9287 4.27139 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.9287 4.27139 3 + vertex 91.8297 4.26023 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.8297 4.26023 3 + vertex 91.73 4.2565 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.6303 4.26023 3 + vertex 91.5313 4.27139 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.5313 4.27139 3 + vertex 91.4333 4.28993 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.6282 4.83881 3 + vertex 90.5752 4.92325 3 + vertex 88.73 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.4333 4.28993 3 + vertex 91.3369 4.31574 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.3369 4.31574 3 + vertex 91.2428 4.34868 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.2428 4.34868 3 + vertex 91.1514 4.38856 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.1514 4.38856 3 + vertex 91.0632 4.43515 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 91.0632 4.43515 3 + vertex 90.9788 4.48821 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.8986 4.54743 3 + vertex 90.823 4.61247 3 + vertex 88.73 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.823 4.61247 3 + vertex 90.7525 4.68299 3 + vertex 88.73 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.7525 4.68299 3 + vertex 90.6874 4.75858 3 + vertex 88.73 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.5286 5.01142 3 + vertex 88.73 6 3 + vertex 90.5752 4.92325 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4887 5.10282 3 + vertex 88.73 6 3 + vertex 90.5286 5.01142 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4557 5.19694 3 + vertex 88.73 6 3 + vertex 90.4887 5.10282 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4299 5.29327 3 + vertex 88.73 6 3 + vertex 90.4557 5.19694 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4114 5.39125 3 + vertex 88.73 6 3 + vertex 90.4299 5.29327 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 90.4002 5.49035 3 + vertex 88.73 6 3 + vertex 90.4114 5.39125 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 88.73 10 3 + vertex 88.73 6 3 + vertex 94.73 10 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 86.637 4.16752 3 + vertex 86.7075 4.09701 3 + vertex 94.73 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.679 1.87139 3 + vertex 114.48 1.3 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.679 1.87139 3 + vertex 117.58 1.86023 3 + vertex 114.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 114.48 1.3 3 + vertex 116.15 3.09035 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.387 2.21247 3 + vertex 118.311 2.14743 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 116.15 3.09035 3 + vertex 116.147 3.19 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.48 1.8565 3 + vertex 117.38 1.86023 3 + vertex 114.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 116.147 3.19 3 + vertex 116.15 3.28965 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 116.15 3.28965 3 + vertex 116.161 3.38875 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 116.161 3.38875 3 + vertex 116.18 3.48673 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 116.18 3.48673 3 + vertex 116.206 3.58306 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 116.206 3.58306 3 + vertex 116.239 3.67718 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.523 2.35858 3 + vertex 118.458 2.28299 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 116.239 3.67718 3 + vertex 116.279 3.76858 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 116.279 3.76858 3 + vertex 116.325 3.85675 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.582 2.43881 3 + vertex 118.523 2.35858 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 116.325 3.85675 3 + vertex 116.378 3.94119 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 116.378 3.94119 3 + vertex 116.437 4.02142 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.635 2.52325 3 + vertex 118.582 2.43881 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 116.437 4.02142 3 + vertex 116.502 4.09701 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 116.502 4.09701 3 + vertex 116.573 4.16752 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.993 4.43132 3 + vertex 117.087 4.46426 3 + vertex 120.48 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 114.48 6 3 + vertex 116.573 4.16752 3 + vertex 116.649 4.23257 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.458 2.28299 3 + vertex 118.387 2.21247 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.813 4.34484 3 + vertex 114.48 6 3 + vertex 116.729 4.29179 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.901 4.39144 3 + vertex 114.48 6 3 + vertex 116.813 4.34484 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 114.48 6 3 + vertex 116.901 4.39144 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.087 4.46426 3 + vertex 117.183 4.49007 3 + vertex 120.48 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 116.901 4.39144 3 + vertex 116.993 4.43132 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.582 3.94119 3 + vertex 118.635 3.85675 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 117.183 4.49007 3 + vertex 117.281 4.50861 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 117.281 4.50861 3 + vertex 117.38 4.51977 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.81 3.09035 3 + vertex 118.799 2.99125 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 117.38 4.51977 3 + vertex 117.48 4.5235 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.799 2.99125 3 + vertex 118.78 2.89327 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 117.48 4.5235 3 + vertex 117.58 4.51977 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 117.58 4.51977 3 + vertex 117.679 4.50861 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.813 3.19 3 + vertex 118.81 3.09035 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 117.679 4.50861 3 + vertex 117.777 4.49007 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 117.777 4.49007 3 + vertex 117.873 4.46426 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.799 3.38875 3 + vertex 118.81 3.28965 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 117.873 4.46426 3 + vertex 117.967 4.43132 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.81 3.28965 3 + vertex 118.813 3.19 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 117.967 4.43132 3 + vertex 118.059 4.39144 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 118.059 4.39144 3 + vertex 118.147 4.34484 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.754 3.58306 3 + vertex 118.78 3.48673 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 118.147 4.34484 3 + vertex 118.231 4.29179 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.721 3.67718 3 + vertex 118.754 3.58306 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 118.231 4.29179 3 + vertex 118.311 4.23257 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.78 3.48673 3 + vertex 118.799 3.38875 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 118.311 4.23257 3 + vertex 118.387 4.16752 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 118.387 4.16752 3 + vertex 118.458 4.09701 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.635 3.85675 3 + vertex 118.681 3.76858 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 118.458 4.09701 3 + vertex 118.523 4.02142 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 118.582 3.94119 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 120.48 6 3 + vertex 118.523 4.02142 3 + vertex 118.582 3.94119 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.78 2.89327 3 + vertex 118.754 2.79694 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.754 2.79694 3 + vertex 118.721 2.70282 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.311 2.14743 3 + vertex 118.231 2.08821 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.231 2.08821 3 + vertex 118.147 2.03516 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.147 2.03516 3 + vertex 118.059 1.98856 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.059 1.98856 3 + vertex 117.967 1.94868 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.38 1.86023 3 + vertex 117.281 1.87139 3 + vertex 114.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.967 1.94868 3 + vertex 117.873 1.91574 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.873 1.91574 3 + vertex 117.777 1.88993 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.777 1.88993 3 + vertex 117.679 1.87139 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.58 1.86023 3 + vertex 117.48 1.8565 3 + vertex 114.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.281 1.87139 3 + vertex 117.183 1.88993 3 + vertex 114.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 117.183 1.88993 3 + vertex 117.087 1.91574 3 + vertex 114.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.993 1.94868 3 + vertex 114.48 1.3 3 + vertex 117.087 1.91574 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.901 1.98856 3 + vertex 114.48 1.3 3 + vertex 116.993 1.94868 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.813 2.03516 3 + vertex 114.48 1.3 3 + vertex 116.901 1.98856 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.729 2.08821 3 + vertex 114.48 1.3 3 + vertex 116.813 2.03516 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.649 2.14743 3 + vertex 114.48 1.3 3 + vertex 116.729 2.08821 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.573 2.21247 3 + vertex 114.48 1.3 3 + vertex 116.649 2.14743 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.502 2.28299 3 + vertex 114.48 1.3 3 + vertex 116.573 2.21247 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.437 2.35858 3 + vertex 114.48 1.3 3 + vertex 116.502 2.28299 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.378 2.43881 3 + vertex 114.48 1.3 3 + vertex 116.437 2.35858 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.325 2.52325 3 + vertex 114.48 1.3 3 + vertex 116.378 2.43881 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.279 2.61142 3 + vertex 114.48 1.3 3 + vertex 116.325 2.52325 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.239 2.70282 3 + vertex 114.48 1.3 3 + vertex 116.279 2.61142 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.206 2.79694 3 + vertex 114.48 1.3 3 + vertex 116.239 2.70282 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.18 2.89327 3 + vertex 114.48 1.3 3 + vertex 116.206 2.79694 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.161 2.99125 3 + vertex 114.48 1.3 3 + vertex 116.18 2.89327 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.15 3.09035 3 + vertex 114.48 1.3 3 + vertex 116.161 2.99125 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.681 2.61142 3 + vertex 118.635 2.52325 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 116.649 4.23257 3 + vertex 116.729 4.29179 3 + vertex 114.48 6 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.681 3.76858 3 + vertex 118.721 3.67718 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal 0 0 1 + outer loop + vertex 118.721 2.70282 3 + vertex 118.681 2.61142 3 + vertex 120.48 1.3 3 + endloop + endfacet + facet normal -0.999657 -5.81259e-18 -0.0261776 + outer loop + vertex 24.5 1.3 6.35 + vertex 24.5 3 6.35 + vertex 24.5055 1.3 6.14066 + endloop + endfacet + facet normal -0.999657 -5.81259e-18 -0.0261776 + outer loop + vertex 24.5055 1.3 6.14066 + vertex 24.5 3 6.35 + vertex 24.5055 3 6.14066 + endloop + endfacet + facet normal -0.999657 5.81259e-18 0.0261776 + outer loop + vertex 24.5 1.3 6.35 + vertex 24.5055 1.3 6.55934 + vertex 24.5 3 6.35 + endloop + endfacet + facet normal -0.999657 5.81259e-18 0.0261776 + outer loop + vertex 24.5 3 6.35 + vertex 24.5055 1.3 6.55934 + vertex 24.5055 3 6.55934 + endloop + endfacet + facet normal -0.996918 1.74208e-17 0.0784565 + outer loop + vertex 24.5055 1.3 6.55934 + vertex 24.5219 1.3 6.76811 + vertex 24.5055 3 6.55934 + endloop + endfacet + facet normal -0.996918 1.74208e-17 0.0784565 + outer loop + vertex 24.5055 3 6.55934 + vertex 24.5219 1.3 6.76811 + vertex 24.5219 3 6.76811 + endloop + endfacet + facet normal -0.991444 2.89835e-17 0.13053 + outer loop + vertex 24.5219 1.3 6.76811 + vertex 24.5492 1.3 6.97574 + vertex 24.5219 3 6.76811 + endloop + endfacet + facet normal -0.991444 2.89835e-17 0.13053 + outer loop + vertex 24.5219 3 6.76811 + vertex 24.5492 1.3 6.97574 + vertex 24.5492 3 6.97574 + endloop + endfacet + facet normal -0.983255 4.04644e-17 0.182236 + outer loop + vertex 24.5492 1.3 6.97574 + vertex 24.5874 1.3 7.18165 + vertex 24.5492 3 6.97574 + endloop + endfacet + facet normal -0.983255 4.04644e-17 0.182236 + outer loop + vertex 24.5492 3 6.97574 + vertex 24.5874 1.3 7.18165 + vertex 24.5874 3 7.18165 + endloop + endfacet + facet normal -0.97237 5.18353e-17 0.233445 + outer loop + vertex 24.5874 1.3 7.18165 + vertex 24.6363 1.3 7.38528 + vertex 24.5874 3 7.18165 + endloop + endfacet + facet normal -0.97237 5.18353e-17 0.233445 + outer loop + vertex 24.5874 3 7.18165 + vertex 24.6363 1.3 7.38528 + vertex 24.6363 3 7.38528 + endloop + endfacet + facet normal -0.95882 6.30638e-17 0.284014 + outer loop + vertex 24.6363 1.3 7.38528 + vertex 24.6958 1.3 7.58607 + vertex 24.6363 3 7.38528 + endloop + endfacet + facet normal -0.95882 6.30638e-17 0.284014 + outer loop + vertex 24.6363 3 7.38528 + vertex 24.6958 1.3 7.58607 + vertex 24.6958 3 7.58607 + endloop + endfacet + facet normal -0.942642 7.41196e-17 0.333805 + outer loop + vertex 24.6958 1.3 7.58607 + vertex 24.7657 1.3 7.78347 + vertex 24.6958 3 7.58607 + endloop + endfacet + facet normal -0.942642 7.41196e-17 0.333805 + outer loop + vertex 24.6958 3 7.58607 + vertex 24.7657 1.3 7.78347 + vertex 24.7657 3 7.78347 + endloop + endfacet + facet normal -0.923879 8.49728e-17 0.382684 + outer loop + vertex 24.7657 1.3 7.78347 + vertex 24.8458 1.3 7.97695 + vertex 24.7657 3 7.78347 + endloop + endfacet + facet normal -0.923879 8.49728e-17 0.382684 + outer loop + vertex 24.7657 3 7.78347 + vertex 24.8458 1.3 7.97695 + vertex 24.8458 3 7.97695 + endloop + endfacet + facet normal -0.902584 9.55931e-17 0.430513 + outer loop + vertex 24.8458 1.3 7.97695 + vertex 24.936 1.3 8.16596 + vertex 24.8458 3 7.97695 + endloop + endfacet + facet normal -0.902584 9.55931e-17 0.430513 + outer loop + vertex 24.8458 3 7.97695 + vertex 24.936 1.3 8.16596 + vertex 24.936 3 8.16596 + endloop + endfacet + facet normal -0.878818 1.0595e-16 0.477157 + outer loop + vertex 24.936 1.3 8.16596 + vertex 25.0359 1.3 8.35 + vertex 24.936 3 8.16596 + endloop + endfacet + facet normal -0.878818 1.0595e-16 0.477157 + outer loop + vertex 24.936 3 8.16596 + vertex 25.0359 1.3 8.35 + vertex 25.0359 3 8.35 + endloop + endfacet + facet normal -0.852639 1.16019e-16 0.522501 + outer loop + vertex 25.0359 1.3 8.35 + vertex 25.1453 1.3 8.52856 + vertex 25.0359 3 8.35 + endloop + endfacet + facet normal -0.852639 1.16019e-16 0.522501 + outer loop + vertex 25.0359 3 8.35 + vertex 25.1453 1.3 8.52856 + vertex 25.1453 3 8.52856 + endloop + endfacet + facet normal -0.824127 1.25767e-16 0.566405 + outer loop + vertex 25.1453 1.3 8.52856 + vertex 25.2639 1.3 8.70114 + vertex 25.1453 3 8.52856 + endloop + endfacet + facet normal -0.824127 1.25767e-16 0.566405 + outer loop + vertex 25.1453 3 8.52856 + vertex 25.2639 1.3 8.70114 + vertex 25.2639 3 8.70114 + endloop + endfacet + facet normal -0.793354 1.35172e-16 0.60876 + outer loop + vertex 25.2639 1.3 8.70114 + vertex 25.3914 1.3 8.86728 + vertex 25.2639 3 8.70114 + endloop + endfacet + facet normal -0.793354 1.35172e-16 0.60876 + outer loop + vertex 25.2639 3 8.70114 + vertex 25.3914 1.3 8.86728 + vertex 25.3914 3 8.86728 + endloop + endfacet + facet normal -0.760403 1.44207e-16 0.649451 + outer loop + vertex 25.3914 1.3 8.86728 + vertex 25.5274 1.3 9.02652 + vertex 25.3914 3 8.86728 + endloop + endfacet + facet normal -0.760403 1.44207e-16 0.649451 + outer loop + vertex 25.3914 3 8.86728 + vertex 25.5274 1.3 9.02652 + vertex 25.5274 3 9.02652 + endloop + endfacet + facet normal -0.725375 1.52845e-16 0.688353 + outer loop + vertex 25.5274 1.3 9.02652 + vertex 25.6716 1.3 9.17843 + vertex 25.5274 3 9.02652 + endloop + endfacet + facet normal -0.725375 1.52845e-16 0.688353 + outer loop + vertex 25.5274 3 9.02652 + vertex 25.6716 1.3 9.17843 + vertex 25.6716 3 9.17843 + endloop + endfacet + facet normal -0.688353 1.61066e-16 0.725375 + outer loop + vertex 25.6716 3 9.17843 + vertex 25.6716 1.3 9.17843 + vertex 25.8235 1.3 9.32258 + endloop + endfacet + facet normal -0.688353 1.61066e-16 0.725375 + outer loop + vertex 25.8235 3 9.32258 + vertex 25.6716 3 9.17843 + vertex 25.8235 1.3 9.32258 + endloop + endfacet + facet normal -0.649451 1.68843e-16 0.760403 + outer loop + vertex 25.8235 3 9.32258 + vertex 25.8235 1.3 9.32258 + vertex 25.9827 1.3 9.45858 + endloop + endfacet + facet normal -0.649451 1.68843e-16 0.760403 + outer loop + vertex 25.9827 3 9.45858 + vertex 25.8235 3 9.32258 + vertex 25.9827 1.3 9.45858 + endloop + endfacet + facet normal -0.60876 1.7616e-16 0.793354 + outer loop + vertex 25.9827 3 9.45858 + vertex 25.9827 1.3 9.45858 + vertex 26.1489 1.3 9.58607 + endloop + endfacet + facet normal -0.60876 1.7616e-16 0.793354 + outer loop + vertex 26.1489 3 9.58607 + vertex 25.9827 3 9.45858 + vertex 26.1489 1.3 9.58607 + endloop + endfacet + facet normal -0.566405 1.82993e-16 0.824127 + outer loop + vertex 26.1489 3 9.58607 + vertex 26.1489 1.3 9.58607 + vertex 26.3214 1.3 9.70468 + endloop + endfacet + facet normal -0.566405 1.82993e-16 0.824127 + outer loop + vertex 26.3214 3 9.70468 + vertex 26.1489 3 9.58607 + vertex 26.3214 1.3 9.70468 + endloop + endfacet + facet normal -0.522501 1.89324e-16 0.852639 + outer loop + vertex 26.3214 3 9.70468 + vertex 26.3214 1.3 9.70468 + vertex 26.5 1.3 9.8141 + endloop + endfacet + facet normal -0.522501 1.89324e-16 0.852639 + outer loop + vertex 26.5 3 9.8141 + vertex 26.3214 3 9.70468 + vertex 26.5 1.3 9.8141 + endloop + endfacet + facet normal -0.477157 1.95137e-16 0.878818 + outer loop + vertex 26.5 3 9.8141 + vertex 26.5 1.3 9.8141 + vertex 26.684 1.3 9.91403 + endloop + endfacet + facet normal -0.477157 1.95137e-16 0.878818 + outer loop + vertex 26.684 3 9.91403 + vertex 26.5 3 9.8141 + vertex 26.684 1.3 9.91403 + endloop + endfacet + facet normal -0.430513 2.00414e-16 0.902584 + outer loop + vertex 26.684 3 9.91403 + vertex 26.684 1.3 9.91403 + vertex 26.8731 1.3 10.0042 + endloop + endfacet + facet normal -0.430513 2.00414e-16 0.902584 + outer loop + vertex 26.8731 3 10.0042 + vertex 26.684 3 9.91403 + vertex 26.8731 1.3 10.0042 + endloop + endfacet + facet normal -0.382684 2.05142e-16 0.923879 + outer loop + vertex 26.8731 3 10.0042 + vertex 26.8731 1.3 10.0042 + vertex 27.0665 1.3 10.0843 + endloop + endfacet + facet normal -0.382684 2.05142e-16 0.923879 + outer loop + vertex 27.0665 3 10.0843 + vertex 26.8731 3 10.0042 + vertex 27.0665 1.3 10.0843 + endloop + endfacet + facet normal -0.333805 2.09309e-16 0.942642 + outer loop + vertex 27.0665 3 10.0843 + vertex 27.0665 1.3 10.0843 + vertex 27.2639 1.3 10.1542 + endloop + endfacet + facet normal -0.333805 2.09309e-16 0.942642 + outer loop + vertex 27.2639 3 10.1542 + vertex 27.0665 3 10.0843 + vertex 27.2639 1.3 10.1542 + endloop + endfacet + facet normal -0.284014 2.12901e-16 0.95882 + outer loop + vertex 27.2639 3 10.1542 + vertex 27.2639 1.3 10.1542 + vertex 27.4647 1.3 10.2137 + endloop + endfacet + facet normal -0.284014 2.12901e-16 0.95882 + outer loop + vertex 27.4647 3 10.2137 + vertex 27.2639 3 10.1542 + vertex 27.4647 1.3 10.2137 + endloop + endfacet + facet normal -0.233445 2.15909e-16 0.97237 + outer loop + vertex 27.4647 3 10.2137 + vertex 27.4647 1.3 10.2137 + vertex 27.6684 1.3 10.2626 + endloop + endfacet + facet normal -0.233445 2.15909e-16 0.97237 + outer loop + vertex 27.6684 3 10.2626 + vertex 27.4647 3 10.2137 + vertex 27.6684 1.3 10.2626 + endloop + endfacet + facet normal -0.182236 2.18326e-16 0.983255 + outer loop + vertex 27.6684 3 10.2626 + vertex 27.6684 1.3 10.2626 + vertex 27.8743 1.3 10.3008 + endloop + endfacet + facet normal -0.182236 2.18326e-16 0.983255 + outer loop + vertex 27.8743 3 10.3008 + vertex 27.6684 3 10.2626 + vertex 27.8743 1.3 10.3008 + endloop + endfacet + facet normal -0.13053 2.20145e-16 0.991444 + outer loop + vertex 27.8743 3 10.3008 + vertex 27.8743 1.3 10.3008 + vertex 28.0819 1.3 10.3281 + endloop + endfacet + facet normal -0.13053 2.20145e-16 0.991444 + outer loop + vertex 28.0819 3 10.3281 + vertex 27.8743 3 10.3008 + vertex 28.0819 1.3 10.3281 + endloop + endfacet + facet normal -0.0784565 2.2136e-16 0.996918 + outer loop + vertex 28.0819 3 10.3281 + vertex 28.0819 1.3 10.3281 + vertex 28.2907 1.3 10.3445 + endloop + endfacet + facet normal -0.0784565 2.2136e-16 0.996918 + outer loop + vertex 28.2907 3 10.3445 + vertex 28.0819 3 10.3281 + vertex 28.2907 1.3 10.3445 + endloop + endfacet + facet normal -0.0261776 2.21969e-16 0.999657 + outer loop + vertex 28.2907 3 10.3445 + vertex 28.2907 1.3 10.3445 + vertex 28.5 1.3 10.35 + endloop + endfacet + facet normal -0.0261776 2.21969e-16 0.999657 + outer loop + vertex 28.5 3 10.35 + vertex 28.2907 3 10.3445 + vertex 28.5 1.3 10.35 + endloop + endfacet + facet normal 0.0261776 2.21969e-16 0.999657 + outer loop + vertex 28.5 3 10.35 + vertex 28.5 1.3 10.35 + vertex 28.7093 1.3 10.3445 + endloop + endfacet + facet normal 0.0261776 2.21969e-16 0.999657 + outer loop + vertex 28.7093 3 10.3445 + vertex 28.5 3 10.35 + vertex 28.7093 1.3 10.3445 + endloop + endfacet + facet normal 0.0784565 2.2136e-16 0.996918 + outer loop + vertex 28.7093 3 10.3445 + vertex 28.7093 1.3 10.3445 + vertex 28.9181 1.3 10.3281 + endloop + endfacet + facet normal 0.0784565 2.2136e-16 0.996918 + outer loop + vertex 28.9181 3 10.3281 + vertex 28.7093 3 10.3445 + vertex 28.9181 1.3 10.3281 + endloop + endfacet + facet normal 0.13053 2.20145e-16 0.991444 + outer loop + vertex 28.9181 3 10.3281 + vertex 28.9181 1.3 10.3281 + vertex 29.1257 1.3 10.3008 + endloop + endfacet + facet normal 0.13053 2.20145e-16 0.991444 + outer loop + vertex 29.1257 3 10.3008 + vertex 28.9181 3 10.3281 + vertex 29.1257 1.3 10.3008 + endloop + endfacet + facet normal 0.182236 2.18326e-16 0.983255 + outer loop + vertex 29.1257 3 10.3008 + vertex 29.1257 1.3 10.3008 + vertex 29.3316 1.3 10.2626 + endloop + endfacet + facet normal 0.182236 2.18326e-16 0.983255 + outer loop + vertex 29.3316 3 10.2626 + vertex 29.1257 3 10.3008 + vertex 29.3316 1.3 10.2626 + endloop + endfacet + facet normal 0.233445 2.15909e-16 0.97237 + outer loop + vertex 29.3316 3 10.2626 + vertex 29.3316 1.3 10.2626 + vertex 29.5353 1.3 10.2137 + endloop + endfacet + facet normal 0.233445 2.15909e-16 0.97237 + outer loop + vertex 29.5353 3 10.2137 + vertex 29.3316 3 10.2626 + vertex 29.5353 1.3 10.2137 + endloop + endfacet + facet normal 0.284014 2.12901e-16 0.95882 + outer loop + vertex 29.5353 3 10.2137 + vertex 29.5353 1.3 10.2137 + vertex 29.7361 1.3 10.1542 + endloop + endfacet + facet normal 0.284014 2.12901e-16 0.95882 + outer loop + vertex 29.7361 3 10.1542 + vertex 29.5353 3 10.2137 + vertex 29.7361 1.3 10.1542 + endloop + endfacet + facet normal 0.333805 2.09309e-16 0.942642 + outer loop + vertex 29.7361 3 10.1542 + vertex 29.7361 1.3 10.1542 + vertex 29.9335 1.3 10.0843 + endloop + endfacet + facet normal 0.333805 2.09309e-16 0.942642 + outer loop + vertex 29.9335 3 10.0843 + vertex 29.7361 3 10.1542 + vertex 29.9335 1.3 10.0843 + endloop + endfacet + facet normal 0.382684 2.05142e-16 0.923879 + outer loop + vertex 29.9335 3 10.0843 + vertex 29.9335 1.3 10.0843 + vertex 30.1269 1.3 10.0042 + endloop + endfacet + facet normal 0.382684 2.05142e-16 0.923879 + outer loop + vertex 30.1269 3 10.0042 + vertex 29.9335 3 10.0843 + vertex 30.1269 1.3 10.0042 + endloop + endfacet + facet normal 0.430513 2.00414e-16 0.902584 + outer loop + vertex 30.1269 3 10.0042 + vertex 30.1269 1.3 10.0042 + vertex 30.316 1.3 9.91403 + endloop + endfacet + facet normal 0.430513 2.00414e-16 0.902584 + outer loop + vertex 30.316 3 9.91403 + vertex 30.1269 3 10.0042 + vertex 30.316 1.3 9.91403 + endloop + endfacet + facet normal 0.477157 1.95137e-16 0.878818 + outer loop + vertex 30.316 3 9.91403 + vertex 30.316 1.3 9.91403 + vertex 30.5 1.3 9.8141 + endloop + endfacet + facet normal 0.477157 1.95137e-16 0.878818 + outer loop + vertex 30.5 3 9.8141 + vertex 30.316 3 9.91403 + vertex 30.5 1.3 9.8141 + endloop + endfacet + facet normal 0.522501 1.89324e-16 0.852639 + outer loop + vertex 30.5 3 9.8141 + vertex 30.5 1.3 9.8141 + vertex 30.6786 1.3 9.70468 + endloop + endfacet + facet normal 0.522501 1.89324e-16 0.852639 + outer loop + vertex 30.6786 3 9.70468 + vertex 30.5 3 9.8141 + vertex 30.6786 1.3 9.70468 + endloop + endfacet + facet normal 0.566405 1.82993e-16 0.824127 + outer loop + vertex 30.6786 3 9.70468 + vertex 30.6786 1.3 9.70468 + vertex 30.8511 1.3 9.58607 + endloop + endfacet + facet normal 0.566405 1.82993e-16 0.824127 + outer loop + vertex 30.8511 3 9.58607 + vertex 30.6786 3 9.70468 + vertex 30.8511 1.3 9.58607 + endloop + endfacet + facet normal 0.60876 1.7616e-16 0.793354 + outer loop + vertex 30.8511 3 9.58607 + vertex 30.8511 1.3 9.58607 + vertex 31.0173 1.3 9.45858 + endloop + endfacet + facet normal 0.60876 1.7616e-16 0.793354 + outer loop + vertex 31.0173 3 9.45858 + vertex 30.8511 3 9.58607 + vertex 31.0173 1.3 9.45858 + endloop + endfacet + facet normal 0.649451 1.68843e-16 0.760403 + outer loop + vertex 31.0173 3 9.45858 + vertex 31.0173 1.3 9.45858 + vertex 31.1765 1.3 9.32258 + endloop + endfacet + facet normal 0.649451 1.68843e-16 0.760403 + outer loop + vertex 31.1765 3 9.32258 + vertex 31.0173 3 9.45858 + vertex 31.1765 1.3 9.32258 + endloop + endfacet + facet normal 0.688353 1.61066e-16 0.725375 + outer loop + vertex 31.1765 3 9.32258 + vertex 31.1765 1.3 9.32258 + vertex 31.3284 1.3 9.17843 + endloop + endfacet + facet normal 0.688353 1.61066e-16 0.725375 + outer loop + vertex 31.3284 3 9.17843 + vertex 31.1765 3 9.32258 + vertex 31.3284 1.3 9.17843 + endloop + endfacet + facet normal 0.725375 1.52845e-16 0.688353 + outer loop + vertex 31.3284 3 9.17843 + vertex 31.3284 1.3 9.17843 + vertex 31.4726 1.3 9.02652 + endloop + endfacet + facet normal 0.725375 1.52845e-16 0.688353 + outer loop + vertex 31.4726 3 9.02652 + vertex 31.3284 3 9.17843 + vertex 31.4726 1.3 9.02652 + endloop + endfacet + facet normal 0.760403 1.44207e-16 0.649451 + outer loop + vertex 31.4726 3 9.02652 + vertex 31.4726 1.3 9.02652 + vertex 31.6086 1.3 8.86728 + endloop + endfacet + facet normal 0.760403 1.44207e-16 0.649451 + outer loop + vertex 31.6086 3 8.86728 + vertex 31.4726 3 9.02652 + vertex 31.6086 1.3 8.86728 + endloop + endfacet + facet normal 0.793354 1.35172e-16 0.60876 + outer loop + vertex 31.6086 3 8.86728 + vertex 31.6086 1.3 8.86728 + vertex 31.7361 1.3 8.70114 + endloop + endfacet + facet normal 0.793354 1.35172e-16 0.60876 + outer loop + vertex 31.7361 3 8.70114 + vertex 31.6086 3 8.86728 + vertex 31.7361 1.3 8.70114 + endloop + endfacet + facet normal 0.824127 1.25767e-16 0.566405 + outer loop + vertex 31.7361 3 8.70114 + vertex 31.7361 1.3 8.70114 + vertex 31.8547 1.3 8.52856 + endloop + endfacet + facet normal 0.824127 1.25767e-16 0.566405 + outer loop + vertex 31.8547 3 8.52856 + vertex 31.7361 3 8.70114 + vertex 31.8547 1.3 8.52856 + endloop + endfacet + facet normal 0.852639 1.16019e-16 0.522501 + outer loop + vertex 31.8547 3 8.52856 + vertex 31.8547 1.3 8.52856 + vertex 31.9641 1.3 8.35 + endloop + endfacet + facet normal 0.852639 1.16019e-16 0.522501 + outer loop + vertex 31.9641 3 8.35 + vertex 31.8547 3 8.52856 + vertex 31.9641 1.3 8.35 + endloop + endfacet + facet normal 0.878818 1.0595e-16 0.477157 + outer loop + vertex 31.9641 3 8.35 + vertex 31.9641 1.3 8.35 + vertex 32.064 1.3 8.16596 + endloop + endfacet + facet normal 0.878818 1.0595e-16 0.477157 + outer loop + vertex 32.064 3 8.16596 + vertex 31.9641 3 8.35 + vertex 32.064 1.3 8.16596 + endloop + endfacet + facet normal 0.902584 9.55931e-17 0.430513 + outer loop + vertex 32.064 3 8.16596 + vertex 32.064 1.3 8.16596 + vertex 32.1542 1.3 7.97695 + endloop + endfacet + facet normal 0.902584 9.55931e-17 0.430513 + outer loop + vertex 32.1542 3 7.97695 + vertex 32.064 3 8.16596 + vertex 32.1542 1.3 7.97695 + endloop + endfacet + facet normal 0.923879 8.49728e-17 0.382684 + outer loop + vertex 32.1542 3 7.97695 + vertex 32.1542 1.3 7.97695 + vertex 32.2343 1.3 7.78347 + endloop + endfacet + facet normal 0.923879 8.49728e-17 0.382684 + outer loop + vertex 32.2343 3 7.78347 + vertex 32.1542 3 7.97695 + vertex 32.2343 1.3 7.78347 + endloop + endfacet + facet normal 0.942642 7.41196e-17 0.333805 + outer loop + vertex 32.2343 3 7.78347 + vertex 32.2343 1.3 7.78347 + vertex 32.3042 1.3 7.58607 + endloop + endfacet + facet normal 0.942642 7.41196e-17 0.333805 + outer loop + vertex 32.3042 3 7.58607 + vertex 32.2343 3 7.78347 + vertex 32.3042 1.3 7.58607 + endloop + endfacet + facet normal 0.95882 6.30638e-17 0.284014 + outer loop + vertex 32.3042 3 7.58607 + vertex 32.3042 1.3 7.58607 + vertex 32.3637 1.3 7.38528 + endloop + endfacet + facet normal 0.95882 6.30638e-17 0.284014 + outer loop + vertex 32.3637 3 7.38528 + vertex 32.3042 3 7.58607 + vertex 32.3637 1.3 7.38528 + endloop + endfacet + facet normal 0.97237 5.18353e-17 0.233445 + outer loop + vertex 32.3637 3 7.38528 + vertex 32.3637 1.3 7.38528 + vertex 32.4126 1.3 7.18165 + endloop + endfacet + facet normal 0.97237 5.18353e-17 0.233445 + outer loop + vertex 32.4126 3 7.18165 + vertex 32.3637 3 7.38528 + vertex 32.4126 1.3 7.18165 + endloop + endfacet + facet normal 0.983255 4.04644e-17 0.182236 + outer loop + vertex 32.4126 3 7.18165 + vertex 32.4126 1.3 7.18165 + vertex 32.4508 1.3 6.97574 + endloop + endfacet + facet normal 0.983255 4.04644e-17 0.182236 + outer loop + vertex 32.4508 3 6.97574 + vertex 32.4126 3 7.18165 + vertex 32.4508 1.3 6.97574 + endloop + endfacet + facet normal 0.991444 2.89835e-17 0.13053 + outer loop + vertex 32.4508 3 6.97574 + vertex 32.4508 1.3 6.97574 + vertex 32.4781 1.3 6.76811 + endloop + endfacet + facet normal 0.991444 2.89835e-17 0.13053 + outer loop + vertex 32.4781 3 6.76811 + vertex 32.4508 3 6.97574 + vertex 32.4781 1.3 6.76811 + endloop + endfacet + facet normal 0.996918 1.74208e-17 0.0784565 + outer loop + vertex 32.4781 3 6.76811 + vertex 32.4781 1.3 6.76811 + vertex 32.4945 1.3 6.55934 + endloop + endfacet + facet normal 0.996918 1.74208e-17 0.0784565 + outer loop + vertex 32.4945 3 6.55934 + vertex 32.4781 3 6.76811 + vertex 32.4945 1.3 6.55934 + endloop + endfacet + facet normal 0.999657 5.81259e-18 0.0261776 + outer loop + vertex 32.4945 3 6.55934 + vertex 32.4945 1.3 6.55934 + vertex 32.5 1.3 6.35 + endloop + endfacet + facet normal 0.999657 5.81259e-18 0.0261776 + outer loop + vertex 32.5 3 6.35 + vertex 32.4945 3 6.55934 + vertex 32.5 1.3 6.35 + endloop + endfacet + facet normal 0.999657 -5.81259e-18 -0.0261776 + outer loop + vertex 32.5 1.3 6.35 + vertex 32.4945 1.3 6.14066 + vertex 32.4945 3 6.14066 + endloop + endfacet + facet normal 0.999657 -5.81259e-18 -0.0261776 + outer loop + vertex 32.5 3 6.35 + vertex 32.5 1.3 6.35 + vertex 32.4945 3 6.14066 + endloop + endfacet + facet normal 0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 32.4945 1.3 6.14066 + vertex 32.4781 1.3 5.93189 + vertex 32.4781 3 5.93189 + endloop + endfacet + facet normal 0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 32.4945 3 6.14066 + vertex 32.4945 1.3 6.14066 + vertex 32.4781 3 5.93189 + endloop + endfacet + facet normal 0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 32.4781 1.3 5.93189 + vertex 32.4508 1.3 5.72426 + vertex 32.4508 3 5.72426 + endloop + endfacet + facet normal 0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 32.4781 3 5.93189 + vertex 32.4781 1.3 5.93189 + vertex 32.4508 3 5.72426 + endloop + endfacet + facet normal 0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 32.4508 1.3 5.72426 + vertex 32.4126 1.3 5.51835 + vertex 32.4126 3 5.51835 + endloop + endfacet + facet normal 0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 32.4508 3 5.72426 + vertex 32.4508 1.3 5.72426 + vertex 32.4126 3 5.51835 + endloop + endfacet + facet normal 0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 32.4126 1.3 5.51835 + vertex 32.3637 1.3 5.31472 + vertex 32.3637 3 5.31472 + endloop + endfacet + facet normal 0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 32.4126 3 5.51835 + vertex 32.4126 1.3 5.51835 + vertex 32.3637 3 5.31472 + endloop + endfacet + facet normal 0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 32.3637 1.3 5.31472 + vertex 32.3042 1.3 5.11393 + vertex 32.3042 3 5.11393 + endloop + endfacet + facet normal 0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 32.3637 3 5.31472 + vertex 32.3637 1.3 5.31472 + vertex 32.3042 3 5.11393 + endloop + endfacet + facet normal 0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 32.3042 1.3 5.11393 + vertex 32.2343 1.3 4.91653 + vertex 32.2343 3 4.91653 + endloop + endfacet + facet normal 0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 32.3042 3 5.11393 + vertex 32.3042 1.3 5.11393 + vertex 32.2343 3 4.91653 + endloop + endfacet + facet normal 0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 32.2343 1.3 4.91653 + vertex 32.1542 1.3 4.72305 + vertex 32.1542 3 4.72305 + endloop + endfacet + facet normal 0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 32.2343 3 4.91653 + vertex 32.2343 1.3 4.91653 + vertex 32.1542 3 4.72305 + endloop + endfacet + facet normal 0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 32.1542 1.3 4.72305 + vertex 32.064 1.3 4.53404 + vertex 32.064 3 4.53404 + endloop + endfacet + facet normal 0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 32.1542 3 4.72305 + vertex 32.1542 1.3 4.72305 + vertex 32.064 3 4.53404 + endloop + endfacet + facet normal 0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 32.064 1.3 4.53404 + vertex 31.9641 1.3 4.35 + vertex 31.9641 3 4.35 + endloop + endfacet + facet normal 0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 32.064 3 4.53404 + vertex 32.064 1.3 4.53404 + vertex 31.9641 3 4.35 + endloop + endfacet + facet normal 0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 31.9641 1.3 4.35 + vertex 31.8547 1.3 4.17144 + vertex 31.8547 3 4.17144 + endloop + endfacet + facet normal 0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 31.9641 3 4.35 + vertex 31.9641 1.3 4.35 + vertex 31.8547 3 4.17144 + endloop + endfacet + facet normal 0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 31.8547 1.3 4.17144 + vertex 31.7361 1.3 3.99886 + vertex 31.7361 3 3.99886 + endloop + endfacet + facet normal 0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 31.8547 3 4.17144 + vertex 31.8547 1.3 4.17144 + vertex 31.7361 3 3.99886 + endloop + endfacet + facet normal 0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 31.7361 1.3 3.99886 + vertex 31.6086 1.3 3.83272 + vertex 31.6086 3 3.83272 + endloop + endfacet + facet normal 0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 31.7361 3 3.99886 + vertex 31.7361 1.3 3.99886 + vertex 31.6086 3 3.83272 + endloop + endfacet + facet normal 0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 31.6086 1.3 3.83272 + vertex 31.4726 1.3 3.67348 + vertex 31.4726 3 3.67348 + endloop + endfacet + facet normal 0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 31.6086 3 3.83272 + vertex 31.6086 1.3 3.83272 + vertex 31.4726 3 3.67348 + endloop + endfacet + facet normal 0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 31.4726 1.3 3.67348 + vertex 31.3284 1.3 3.52157 + vertex 31.3284 3 3.52157 + endloop + endfacet + facet normal 0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 31.4726 3 3.67348 + vertex 31.4726 1.3 3.67348 + vertex 31.3284 3 3.52157 + endloop + endfacet + facet normal 0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 31.1765 1.3 3.37742 + vertex 31.1765 3 3.37742 + vertex 31.3284 1.3 3.52157 + endloop + endfacet + facet normal 0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 31.3284 1.3 3.52157 + vertex 31.1765 3 3.37742 + vertex 31.3284 3 3.52157 + endloop + endfacet + facet normal 0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 31.0173 1.3 3.24142 + vertex 31.0173 3 3.24142 + vertex 31.1765 1.3 3.37742 + endloop + endfacet + facet normal 0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 31.1765 1.3 3.37742 + vertex 31.0173 3 3.24142 + vertex 31.1765 3 3.37742 + endloop + endfacet + facet normal 0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 30.8511 1.3 3.11393 + vertex 30.8511 3 3.11393 + vertex 31.0173 1.3 3.24142 + endloop + endfacet + facet normal 0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 31.0173 1.3 3.24142 + vertex 30.8511 3 3.11393 + vertex 31.0173 3 3.24142 + endloop + endfacet + facet normal 0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 30.6786 1.3 2.99532 + vertex 30.6786 3 2.99532 + vertex 30.8511 1.3 3.11393 + endloop + endfacet + facet normal 0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 30.8511 1.3 3.11393 + vertex 30.6786 3 2.99532 + vertex 30.8511 3 3.11393 + endloop + endfacet + facet normal 0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 30.5 1.3 2.8859 + vertex 30.5 3 2.8859 + vertex 30.6786 1.3 2.99532 + endloop + endfacet + facet normal 0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 30.6786 1.3 2.99532 + vertex 30.5 3 2.8859 + vertex 30.6786 3 2.99532 + endloop + endfacet + facet normal 0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 30.316 1.3 2.78597 + vertex 30.316 3 2.78597 + vertex 30.5 1.3 2.8859 + endloop + endfacet + facet normal 0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 30.5 1.3 2.8859 + vertex 30.316 3 2.78597 + vertex 30.5 3 2.8859 + endloop + endfacet + facet normal 0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 30.1269 1.3 2.69582 + vertex 30.1269 3 2.69582 + vertex 30.316 1.3 2.78597 + endloop + endfacet + facet normal 0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 30.316 1.3 2.78597 + vertex 30.1269 3 2.69582 + vertex 30.316 3 2.78597 + endloop + endfacet + facet normal 0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 29.9335 1.3 2.61568 + vertex 29.9335 3 2.61568 + vertex 30.1269 1.3 2.69582 + endloop + endfacet + facet normal 0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 30.1269 1.3 2.69582 + vertex 29.9335 3 2.61568 + vertex 30.1269 3 2.69582 + endloop + endfacet + facet normal 0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 29.7361 1.3 2.54577 + vertex 29.7361 3 2.54577 + vertex 29.9335 1.3 2.61568 + endloop + endfacet + facet normal 0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 29.9335 1.3 2.61568 + vertex 29.7361 3 2.54577 + vertex 29.9335 3 2.61568 + endloop + endfacet + facet normal 0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 29.5353 1.3 2.4863 + vertex 29.5353 3 2.4863 + vertex 29.7361 1.3 2.54577 + endloop + endfacet + facet normal 0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 29.7361 1.3 2.54577 + vertex 29.5353 3 2.4863 + vertex 29.7361 3 2.54577 + endloop + endfacet + facet normal 0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 29.3316 1.3 2.43741 + vertex 29.3316 3 2.43741 + vertex 29.5353 1.3 2.4863 + endloop + endfacet + facet normal 0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 29.5353 1.3 2.4863 + vertex 29.3316 3 2.43741 + vertex 29.5353 3 2.4863 + endloop + endfacet + facet normal 0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 29.1257 1.3 2.39925 + vertex 29.1257 3 2.39925 + vertex 29.3316 1.3 2.43741 + endloop + endfacet + facet normal 0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 29.3316 1.3 2.43741 + vertex 29.1257 3 2.39925 + vertex 29.3316 3 2.43741 + endloop + endfacet + facet normal 0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 28.9181 1.3 2.37191 + vertex 28.9181 3 2.37191 + vertex 29.1257 1.3 2.39925 + endloop + endfacet + facet normal 0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 29.1257 1.3 2.39925 + vertex 28.9181 3 2.37191 + vertex 29.1257 3 2.39925 + endloop + endfacet + facet normal 0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 28.7093 1.3 2.35548 + vertex 28.7093 3 2.35548 + vertex 28.9181 1.3 2.37191 + endloop + endfacet + facet normal 0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 28.9181 1.3 2.37191 + vertex 28.7093 3 2.35548 + vertex 28.9181 3 2.37191 + endloop + endfacet + facet normal 0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 28.5 1.3 2.35 + vertex 28.5 3 2.35 + vertex 28.7093 1.3 2.35548 + endloop + endfacet + facet normal 0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 28.7093 1.3 2.35548 + vertex 28.5 3 2.35 + vertex 28.7093 3 2.35548 + endloop + endfacet + facet normal -0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 28.2907 1.3 2.35548 + vertex 28.2907 3 2.35548 + vertex 28.5 1.3 2.35 + endloop + endfacet + facet normal -0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 28.5 1.3 2.35 + vertex 28.2907 3 2.35548 + vertex 28.5 3 2.35 + endloop + endfacet + facet normal -0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 28.0819 1.3 2.37191 + vertex 28.0819 3 2.37191 + vertex 28.2907 1.3 2.35548 + endloop + endfacet + facet normal -0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 28.2907 1.3 2.35548 + vertex 28.0819 3 2.37191 + vertex 28.2907 3 2.35548 + endloop + endfacet + facet normal -0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 27.8743 1.3 2.39925 + vertex 27.8743 3 2.39925 + vertex 28.0819 1.3 2.37191 + endloop + endfacet + facet normal -0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 28.0819 1.3 2.37191 + vertex 27.8743 3 2.39925 + vertex 28.0819 3 2.37191 + endloop + endfacet + facet normal -0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 27.6684 1.3 2.43741 + vertex 27.6684 3 2.43741 + vertex 27.8743 1.3 2.39925 + endloop + endfacet + facet normal -0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 27.8743 1.3 2.39925 + vertex 27.6684 3 2.43741 + vertex 27.8743 3 2.39925 + endloop + endfacet + facet normal -0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 27.4647 1.3 2.4863 + vertex 27.4647 3 2.4863 + vertex 27.6684 1.3 2.43741 + endloop + endfacet + facet normal -0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 27.6684 1.3 2.43741 + vertex 27.4647 3 2.4863 + vertex 27.6684 3 2.43741 + endloop + endfacet + facet normal -0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 27.2639 1.3 2.54577 + vertex 27.2639 3 2.54577 + vertex 27.4647 1.3 2.4863 + endloop + endfacet + facet normal -0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 27.4647 1.3 2.4863 + vertex 27.2639 3 2.54577 + vertex 27.4647 3 2.4863 + endloop + endfacet + facet normal -0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 27.0665 1.3 2.61568 + vertex 27.0665 3 2.61568 + vertex 27.2639 1.3 2.54577 + endloop + endfacet + facet normal -0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 27.2639 1.3 2.54577 + vertex 27.0665 3 2.61568 + vertex 27.2639 3 2.54577 + endloop + endfacet + facet normal -0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 26.8731 1.3 2.69582 + vertex 26.8731 3 2.69582 + vertex 27.0665 1.3 2.61568 + endloop + endfacet + facet normal -0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 27.0665 1.3 2.61568 + vertex 26.8731 3 2.69582 + vertex 27.0665 3 2.61568 + endloop + endfacet + facet normal -0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 26.684 1.3 2.78597 + vertex 26.684 3 2.78597 + vertex 26.8731 1.3 2.69582 + endloop + endfacet + facet normal -0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 26.8731 1.3 2.69582 + vertex 26.684 3 2.78597 + vertex 26.8731 3 2.69582 + endloop + endfacet + facet normal -0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 26.5 1.3 2.8859 + vertex 26.5 3 2.8859 + vertex 26.684 1.3 2.78597 + endloop + endfacet + facet normal -0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 26.684 1.3 2.78597 + vertex 26.5 3 2.8859 + vertex 26.684 3 2.78597 + endloop + endfacet + facet normal -0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 26.3214 1.3 2.99532 + vertex 26.3214 3 2.99532 + vertex 26.5 1.3 2.8859 + endloop + endfacet + facet normal -0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 26.5 1.3 2.8859 + vertex 26.3214 3 2.99532 + vertex 26.5 3 2.8859 + endloop + endfacet + facet normal -0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 26.1489 1.3 3.11393 + vertex 26.1489 3 3.11393 + vertex 26.3214 1.3 2.99532 + endloop + endfacet + facet normal -0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 26.3214 1.3 2.99532 + vertex 26.1489 3 3.11393 + vertex 26.3214 3 2.99532 + endloop + endfacet + facet normal -0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 25.9827 1.3 3.24142 + vertex 25.9827 3 3.24142 + vertex 26.1489 1.3 3.11393 + endloop + endfacet + facet normal -0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 26.1489 1.3 3.11393 + vertex 25.9827 3 3.24142 + vertex 26.1489 3 3.11393 + endloop + endfacet + facet normal -0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 25.8235 1.3 3.37742 + vertex 25.8235 3 3.37742 + vertex 25.9827 1.3 3.24142 + endloop + endfacet + facet normal -0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 25.9827 1.3 3.24142 + vertex 25.8235 3 3.37742 + vertex 25.9827 3 3.24142 + endloop + endfacet + facet normal -0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 25.6716 1.3 3.52157 + vertex 25.6716 3 3.52157 + vertex 25.8235 1.3 3.37742 + endloop + endfacet + facet normal -0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 25.8235 1.3 3.37742 + vertex 25.6716 3 3.52157 + vertex 25.8235 3 3.37742 + endloop + endfacet + facet normal -0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 25.5274 1.3 3.67348 + vertex 25.5274 3 3.67348 + vertex 25.6716 1.3 3.52157 + endloop + endfacet + facet normal -0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 25.6716 1.3 3.52157 + vertex 25.5274 3 3.67348 + vertex 25.6716 3 3.52157 + endloop + endfacet + facet normal -0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 25.3914 1.3 3.83272 + vertex 25.3914 3 3.83272 + vertex 25.5274 1.3 3.67348 + endloop + endfacet + facet normal -0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 25.5274 1.3 3.67348 + vertex 25.3914 3 3.83272 + vertex 25.5274 3 3.67348 + endloop + endfacet + facet normal -0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 25.2639 1.3 3.99886 + vertex 25.2639 3 3.99886 + vertex 25.3914 1.3 3.83272 + endloop + endfacet + facet normal -0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 25.3914 1.3 3.83272 + vertex 25.2639 3 3.99886 + vertex 25.3914 3 3.83272 + endloop + endfacet + facet normal -0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 25.1453 1.3 4.17144 + vertex 25.1453 3 4.17144 + vertex 25.2639 1.3 3.99886 + endloop + endfacet + facet normal -0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 25.2639 1.3 3.99886 + vertex 25.1453 3 4.17144 + vertex 25.2639 3 3.99886 + endloop + endfacet + facet normal -0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 25.0359 1.3 4.35 + vertex 25.0359 3 4.35 + vertex 25.1453 1.3 4.17144 + endloop + endfacet + facet normal -0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 25.1453 1.3 4.17144 + vertex 25.0359 3 4.35 + vertex 25.1453 3 4.17144 + endloop + endfacet + facet normal -0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 24.936 1.3 4.53404 + vertex 24.936 3 4.53404 + vertex 25.0359 1.3 4.35 + endloop + endfacet + facet normal -0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 25.0359 1.3 4.35 + vertex 24.936 3 4.53404 + vertex 25.0359 3 4.35 + endloop + endfacet + facet normal -0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 24.8458 1.3 4.72305 + vertex 24.8458 3 4.72305 + vertex 24.936 1.3 4.53404 + endloop + endfacet + facet normal -0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 24.936 1.3 4.53404 + vertex 24.8458 3 4.72305 + vertex 24.936 3 4.53404 + endloop + endfacet + facet normal -0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 24.7657 1.3 4.91653 + vertex 24.7657 3 4.91653 + vertex 24.8458 1.3 4.72305 + endloop + endfacet + facet normal -0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 24.8458 1.3 4.72305 + vertex 24.7657 3 4.91653 + vertex 24.8458 3 4.72305 + endloop + endfacet + facet normal -0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 24.6958 1.3 5.11393 + vertex 24.6958 3 5.11393 + vertex 24.7657 1.3 4.91653 + endloop + endfacet + facet normal -0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 24.7657 1.3 4.91653 + vertex 24.6958 3 5.11393 + vertex 24.7657 3 4.91653 + endloop + endfacet + facet normal -0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 24.6363 1.3 5.31472 + vertex 24.6363 3 5.31472 + vertex 24.6958 1.3 5.11393 + endloop + endfacet + facet normal -0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 24.6958 1.3 5.11393 + vertex 24.6363 3 5.31472 + vertex 24.6958 3 5.11393 + endloop + endfacet + facet normal -0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 24.5874 1.3 5.51835 + vertex 24.5874 3 5.51835 + vertex 24.6363 1.3 5.31472 + endloop + endfacet + facet normal -0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 24.6363 1.3 5.31472 + vertex 24.5874 3 5.51835 + vertex 24.6363 3 5.31472 + endloop + endfacet + facet normal -0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 24.5492 1.3 5.72426 + vertex 24.5492 3 5.72426 + vertex 24.5874 1.3 5.51835 + endloop + endfacet + facet normal -0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 24.5874 1.3 5.51835 + vertex 24.5492 3 5.72426 + vertex 24.5874 3 5.51835 + endloop + endfacet + facet normal -0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 24.5219 1.3 5.93189 + vertex 24.5219 3 5.93189 + vertex 24.5492 1.3 5.72426 + endloop + endfacet + facet normal -0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 24.5492 1.3 5.72426 + vertex 24.5219 3 5.93189 + vertex 24.5492 3 5.72426 + endloop + endfacet + facet normal -0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 24.5055 1.3 6.14066 + vertex 24.5055 3 6.14066 + vertex 24.5219 1.3 5.93189 + endloop + endfacet + facet normal -0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 24.5219 1.3 5.93189 + vertex 24.5055 3 6.14066 + vertex 24.5219 3 5.93189 + endloop + endfacet + facet normal -0.999657 -5.81259e-18 -0.0261776 + outer loop + vertex 126.1 1.3 6.35 + vertex 126.1 3 6.35 + vertex 126.105 1.3 6.14066 + endloop + endfacet + facet normal -0.999657 -5.81259e-18 -0.0261776 + outer loop + vertex 126.105 1.3 6.14066 + vertex 126.1 3 6.35 + vertex 126.105 3 6.14066 + endloop + endfacet + facet normal -0.999657 5.81259e-18 0.0261776 + outer loop + vertex 126.1 1.3 6.35 + vertex 126.105 1.3 6.55934 + vertex 126.1 3 6.35 + endloop + endfacet + facet normal -0.999657 5.81259e-18 0.0261776 + outer loop + vertex 126.1 3 6.35 + vertex 126.105 1.3 6.55934 + vertex 126.105 3 6.55934 + endloop + endfacet + facet normal -0.996918 1.74208e-17 0.0784565 + outer loop + vertex 126.105 1.3 6.55934 + vertex 126.122 1.3 6.76811 + vertex 126.105 3 6.55934 + endloop + endfacet + facet normal -0.996918 1.74208e-17 0.0784565 + outer loop + vertex 126.105 3 6.55934 + vertex 126.122 1.3 6.76811 + vertex 126.122 3 6.76811 + endloop + endfacet + facet normal -0.991444 2.89835e-17 0.13053 + outer loop + vertex 126.122 1.3 6.76811 + vertex 126.149 1.3 6.97574 + vertex 126.122 3 6.76811 + endloop + endfacet + facet normal -0.991444 2.89835e-17 0.13053 + outer loop + vertex 126.122 3 6.76811 + vertex 126.149 1.3 6.97574 + vertex 126.149 3 6.97574 + endloop + endfacet + facet normal -0.983255 4.04644e-17 0.182236 + outer loop + vertex 126.149 1.3 6.97574 + vertex 126.187 1.3 7.18165 + vertex 126.149 3 6.97574 + endloop + endfacet + facet normal -0.983255 4.04644e-17 0.182236 + outer loop + vertex 126.149 3 6.97574 + vertex 126.187 1.3 7.18165 + vertex 126.187 3 7.18165 + endloop + endfacet + facet normal -0.97237 5.18353e-17 0.233445 + outer loop + vertex 126.187 1.3 7.18165 + vertex 126.236 1.3 7.38528 + vertex 126.187 3 7.18165 + endloop + endfacet + facet normal -0.97237 5.18353e-17 0.233445 + outer loop + vertex 126.187 3 7.18165 + vertex 126.236 1.3 7.38528 + vertex 126.236 3 7.38528 + endloop + endfacet + facet normal -0.95882 6.30638e-17 0.284014 + outer loop + vertex 126.236 1.3 7.38528 + vertex 126.296 1.3 7.58607 + vertex 126.236 3 7.38528 + endloop + endfacet + facet normal -0.95882 6.30638e-17 0.284014 + outer loop + vertex 126.236 3 7.38528 + vertex 126.296 1.3 7.58607 + vertex 126.296 3 7.58607 + endloop + endfacet + facet normal -0.942642 7.41196e-17 0.333805 + outer loop + vertex 126.296 1.3 7.58607 + vertex 126.366 1.3 7.78347 + vertex 126.296 3 7.58607 + endloop + endfacet + facet normal -0.942642 7.41196e-17 0.333805 + outer loop + vertex 126.296 3 7.58607 + vertex 126.366 1.3 7.78347 + vertex 126.366 3 7.78347 + endloop + endfacet + facet normal -0.923879 8.49728e-17 0.382684 + outer loop + vertex 126.366 1.3 7.78347 + vertex 126.446 1.3 7.97695 + vertex 126.366 3 7.78347 + endloop + endfacet + facet normal -0.923879 8.49728e-17 0.382684 + outer loop + vertex 126.366 3 7.78347 + vertex 126.446 1.3 7.97695 + vertex 126.446 3 7.97695 + endloop + endfacet + facet normal -0.902584 9.55931e-17 0.430513 + outer loop + vertex 126.446 1.3 7.97695 + vertex 126.536 1.3 8.16596 + vertex 126.446 3 7.97695 + endloop + endfacet + facet normal -0.902584 9.55931e-17 0.430513 + outer loop + vertex 126.446 3 7.97695 + vertex 126.536 1.3 8.16596 + vertex 126.536 3 8.16596 + endloop + endfacet + facet normal -0.878818 1.0595e-16 0.477157 + outer loop + vertex 126.536 1.3 8.16596 + vertex 126.636 1.3 8.35 + vertex 126.536 3 8.16596 + endloop + endfacet + facet normal -0.878818 1.0595e-16 0.477157 + outer loop + vertex 126.536 3 8.16596 + vertex 126.636 1.3 8.35 + vertex 126.636 3 8.35 + endloop + endfacet + facet normal -0.852639 1.16019e-16 0.522501 + outer loop + vertex 126.636 1.3 8.35 + vertex 126.745 1.3 8.52856 + vertex 126.636 3 8.35 + endloop + endfacet + facet normal -0.852639 1.16019e-16 0.522501 + outer loop + vertex 126.636 3 8.35 + vertex 126.745 1.3 8.52856 + vertex 126.745 3 8.52856 + endloop + endfacet + facet normal -0.824127 1.25767e-16 0.566405 + outer loop + vertex 126.745 1.3 8.52856 + vertex 126.864 1.3 8.70114 + vertex 126.745 3 8.52856 + endloop + endfacet + facet normal -0.824127 1.25767e-16 0.566405 + outer loop + vertex 126.745 3 8.52856 + vertex 126.864 1.3 8.70114 + vertex 126.864 3 8.70114 + endloop + endfacet + facet normal -0.793354 1.35172e-16 0.60876 + outer loop + vertex 126.864 1.3 8.70114 + vertex 126.991 1.3 8.86728 + vertex 126.864 3 8.70114 + endloop + endfacet + facet normal -0.793354 1.35172e-16 0.60876 + outer loop + vertex 126.864 3 8.70114 + vertex 126.991 1.3 8.86728 + vertex 126.991 3 8.86728 + endloop + endfacet + facet normal -0.760403 1.44207e-16 0.649451 + outer loop + vertex 126.991 1.3 8.86728 + vertex 127.127 1.3 9.02652 + vertex 126.991 3 8.86728 + endloop + endfacet + facet normal -0.760403 1.44207e-16 0.649451 + outer loop + vertex 126.991 3 8.86728 + vertex 127.127 1.3 9.02652 + vertex 127.127 3 9.02652 + endloop + endfacet + facet normal -0.725375 1.52845e-16 0.688353 + outer loop + vertex 127.127 1.3 9.02652 + vertex 127.272 1.3 9.17843 + vertex 127.127 3 9.02652 + endloop + endfacet + facet normal -0.725375 1.52845e-16 0.688353 + outer loop + vertex 127.127 3 9.02652 + vertex 127.272 1.3 9.17843 + vertex 127.272 3 9.17843 + endloop + endfacet + facet normal -0.688353 1.61066e-16 0.725375 + outer loop + vertex 127.272 3 9.17843 + vertex 127.272 1.3 9.17843 + vertex 127.423 1.3 9.32258 + endloop + endfacet + facet normal -0.688353 1.61066e-16 0.725375 + outer loop + vertex 127.423 3 9.32258 + vertex 127.272 3 9.17843 + vertex 127.423 1.3 9.32258 + endloop + endfacet + facet normal -0.649451 1.68843e-16 0.760403 + outer loop + vertex 127.423 3 9.32258 + vertex 127.423 1.3 9.32258 + vertex 127.583 1.3 9.45858 + endloop + endfacet + facet normal -0.649451 1.68843e-16 0.760403 + outer loop + vertex 127.583 3 9.45858 + vertex 127.423 3 9.32258 + vertex 127.583 1.3 9.45858 + endloop + endfacet + facet normal -0.60876 1.7616e-16 0.793354 + outer loop + vertex 127.583 3 9.45858 + vertex 127.583 1.3 9.45858 + vertex 127.749 1.3 9.58607 + endloop + endfacet + facet normal -0.60876 1.7616e-16 0.793354 + outer loop + vertex 127.749 3 9.58607 + vertex 127.583 3 9.45858 + vertex 127.749 1.3 9.58607 + endloop + endfacet + facet normal -0.566405 1.82993e-16 0.824127 + outer loop + vertex 127.749 3 9.58607 + vertex 127.749 1.3 9.58607 + vertex 127.921 1.3 9.70468 + endloop + endfacet + facet normal -0.566405 1.82993e-16 0.824127 + outer loop + vertex 127.921 3 9.70468 + vertex 127.749 3 9.58607 + vertex 127.921 1.3 9.70468 + endloop + endfacet + facet normal -0.522501 1.89324e-16 0.852639 + outer loop + vertex 127.921 3 9.70468 + vertex 127.921 1.3 9.70468 + vertex 128.1 1.3 9.8141 + endloop + endfacet + facet normal -0.522501 1.89324e-16 0.852639 + outer loop + vertex 128.1 3 9.8141 + vertex 127.921 3 9.70468 + vertex 128.1 1.3 9.8141 + endloop + endfacet + facet normal -0.477157 1.95137e-16 0.878818 + outer loop + vertex 128.1 3 9.8141 + vertex 128.1 1.3 9.8141 + vertex 128.284 1.3 9.91403 + endloop + endfacet + facet normal -0.477157 1.95137e-16 0.878818 + outer loop + vertex 128.284 3 9.91403 + vertex 128.1 3 9.8141 + vertex 128.284 1.3 9.91403 + endloop + endfacet + facet normal -0.430513 2.00414e-16 0.902584 + outer loop + vertex 128.284 3 9.91403 + vertex 128.284 1.3 9.91403 + vertex 128.473 1.3 10.0042 + endloop + endfacet + facet normal -0.430513 2.00414e-16 0.902584 + outer loop + vertex 128.473 3 10.0042 + vertex 128.284 3 9.91403 + vertex 128.473 1.3 10.0042 + endloop + endfacet + facet normal -0.382684 2.05142e-16 0.923879 + outer loop + vertex 128.473 3 10.0042 + vertex 128.473 1.3 10.0042 + vertex 128.667 1.3 10.0843 + endloop + endfacet + facet normal -0.382684 2.05142e-16 0.923879 + outer loop + vertex 128.667 3 10.0843 + vertex 128.473 3 10.0042 + vertex 128.667 1.3 10.0843 + endloop + endfacet + facet normal -0.333805 2.09309e-16 0.942642 + outer loop + vertex 128.667 3 10.0843 + vertex 128.667 1.3 10.0843 + vertex 128.864 1.3 10.1542 + endloop + endfacet + facet normal -0.333805 2.09309e-16 0.942642 + outer loop + vertex 128.864 3 10.1542 + vertex 128.667 3 10.0843 + vertex 128.864 1.3 10.1542 + endloop + endfacet + facet normal -0.284014 2.12901e-16 0.95882 + outer loop + vertex 128.864 3 10.1542 + vertex 128.864 1.3 10.1542 + vertex 129.065 1.3 10.2137 + endloop + endfacet + facet normal -0.284014 2.12901e-16 0.95882 + outer loop + vertex 129.065 3 10.2137 + vertex 128.864 3 10.1542 + vertex 129.065 1.3 10.2137 + endloop + endfacet + facet normal -0.233445 2.15909e-16 0.97237 + outer loop + vertex 129.065 3 10.2137 + vertex 129.065 1.3 10.2137 + vertex 129.268 1.3 10.2626 + endloop + endfacet + facet normal -0.233445 2.15909e-16 0.97237 + outer loop + vertex 129.268 3 10.2626 + vertex 129.065 3 10.2137 + vertex 129.268 1.3 10.2626 + endloop + endfacet + facet normal -0.182236 2.18326e-16 0.983255 + outer loop + vertex 129.268 3 10.2626 + vertex 129.268 1.3 10.2626 + vertex 129.474 1.3 10.3008 + endloop + endfacet + facet normal -0.182236 2.18326e-16 0.983255 + outer loop + vertex 129.474 3 10.3008 + vertex 129.268 3 10.2626 + vertex 129.474 1.3 10.3008 + endloop + endfacet + facet normal -0.13053 2.20145e-16 0.991444 + outer loop + vertex 129.474 3 10.3008 + vertex 129.474 1.3 10.3008 + vertex 129.682 1.3 10.3281 + endloop + endfacet + facet normal -0.13053 2.20145e-16 0.991444 + outer loop + vertex 129.682 3 10.3281 + vertex 129.474 3 10.3008 + vertex 129.682 1.3 10.3281 + endloop + endfacet + facet normal -0.0784565 2.2136e-16 0.996918 + outer loop + vertex 129.682 3 10.3281 + vertex 129.682 1.3 10.3281 + vertex 129.891 1.3 10.3445 + endloop + endfacet + facet normal -0.0784565 2.2136e-16 0.996918 + outer loop + vertex 129.891 3 10.3445 + vertex 129.682 3 10.3281 + vertex 129.891 1.3 10.3445 + endloop + endfacet + facet normal -0.0261776 2.21969e-16 0.999657 + outer loop + vertex 129.891 3 10.3445 + vertex 129.891 1.3 10.3445 + vertex 130.1 1.3 10.35 + endloop + endfacet + facet normal -0.0261776 2.21969e-16 0.999657 + outer loop + vertex 130.1 3 10.35 + vertex 129.891 3 10.3445 + vertex 130.1 1.3 10.35 + endloop + endfacet + facet normal 0.0261776 2.21969e-16 0.999657 + outer loop + vertex 130.1 3 10.35 + vertex 130.1 1.3 10.35 + vertex 130.309 1.3 10.3445 + endloop + endfacet + facet normal 0.0261776 2.21969e-16 0.999657 + outer loop + vertex 130.309 3 10.3445 + vertex 130.1 3 10.35 + vertex 130.309 1.3 10.3445 + endloop + endfacet + facet normal 0.0784565 2.2136e-16 0.996918 + outer loop + vertex 130.309 3 10.3445 + vertex 130.309 1.3 10.3445 + vertex 130.518 1.3 10.3281 + endloop + endfacet + facet normal 0.0784565 2.2136e-16 0.996918 + outer loop + vertex 130.518 3 10.3281 + vertex 130.309 3 10.3445 + vertex 130.518 1.3 10.3281 + endloop + endfacet + facet normal 0.13053 2.20145e-16 0.991444 + outer loop + vertex 130.518 3 10.3281 + vertex 130.518 1.3 10.3281 + vertex 130.726 1.3 10.3008 + endloop + endfacet + facet normal 0.13053 2.20145e-16 0.991444 + outer loop + vertex 130.726 3 10.3008 + vertex 130.518 3 10.3281 + vertex 130.726 1.3 10.3008 + endloop + endfacet + facet normal 0.182236 2.18326e-16 0.983255 + outer loop + vertex 130.726 3 10.3008 + vertex 130.726 1.3 10.3008 + vertex 130.932 1.3 10.2626 + endloop + endfacet + facet normal 0.182236 2.18326e-16 0.983255 + outer loop + vertex 130.932 3 10.2626 + vertex 130.726 3 10.3008 + vertex 130.932 1.3 10.2626 + endloop + endfacet + facet normal 0.233445 2.15909e-16 0.97237 + outer loop + vertex 130.932 3 10.2626 + vertex 130.932 1.3 10.2626 + vertex 131.135 1.3 10.2137 + endloop + endfacet + facet normal 0.233445 2.15909e-16 0.97237 + outer loop + vertex 131.135 3 10.2137 + vertex 130.932 3 10.2626 + vertex 131.135 1.3 10.2137 + endloop + endfacet + facet normal 0.284014 2.12901e-16 0.95882 + outer loop + vertex 131.135 3 10.2137 + vertex 131.135 1.3 10.2137 + vertex 131.336 1.3 10.1542 + endloop + endfacet + facet normal 0.284014 2.12901e-16 0.95882 + outer loop + vertex 131.336 3 10.1542 + vertex 131.135 3 10.2137 + vertex 131.336 1.3 10.1542 + endloop + endfacet + facet normal 0.333805 2.09309e-16 0.942642 + outer loop + vertex 131.336 3 10.1542 + vertex 131.336 1.3 10.1542 + vertex 131.533 1.3 10.0843 + endloop + endfacet + facet normal 0.333805 2.09309e-16 0.942642 + outer loop + vertex 131.533 3 10.0843 + vertex 131.336 3 10.1542 + vertex 131.533 1.3 10.0843 + endloop + endfacet + facet normal 0.382684 2.05142e-16 0.923879 + outer loop + vertex 131.533 3 10.0843 + vertex 131.533 1.3 10.0843 + vertex 131.727 1.3 10.0042 + endloop + endfacet + facet normal 0.382684 2.05142e-16 0.923879 + outer loop + vertex 131.727 3 10.0042 + vertex 131.533 3 10.0843 + vertex 131.727 1.3 10.0042 + endloop + endfacet + facet normal 0.430513 2.00414e-16 0.902584 + outer loop + vertex 131.727 3 10.0042 + vertex 131.727 1.3 10.0042 + vertex 131.916 1.3 9.91403 + endloop + endfacet + facet normal 0.430513 2.00414e-16 0.902584 + outer loop + vertex 131.916 3 9.91403 + vertex 131.727 3 10.0042 + vertex 131.916 1.3 9.91403 + endloop + endfacet + facet normal 0.477157 1.95137e-16 0.878818 + outer loop + vertex 131.916 3 9.91403 + vertex 131.916 1.3 9.91403 + vertex 132.1 1.3 9.8141 + endloop + endfacet + facet normal 0.477157 1.95137e-16 0.878818 + outer loop + vertex 132.1 3 9.8141 + vertex 131.916 3 9.91403 + vertex 132.1 1.3 9.8141 + endloop + endfacet + facet normal 0.522501 1.89324e-16 0.852639 + outer loop + vertex 132.1 3 9.8141 + vertex 132.1 1.3 9.8141 + vertex 132.279 1.3 9.70468 + endloop + endfacet + facet normal 0.522501 1.89324e-16 0.852639 + outer loop + vertex 132.279 3 9.70468 + vertex 132.1 3 9.8141 + vertex 132.279 1.3 9.70468 + endloop + endfacet + facet normal 0.566405 1.82993e-16 0.824127 + outer loop + vertex 132.279 3 9.70468 + vertex 132.279 1.3 9.70468 + vertex 132.451 1.3 9.58607 + endloop + endfacet + facet normal 0.566405 1.82993e-16 0.824127 + outer loop + vertex 132.451 3 9.58607 + vertex 132.279 3 9.70468 + vertex 132.451 1.3 9.58607 + endloop + endfacet + facet normal 0.60876 1.7616e-16 0.793354 + outer loop + vertex 132.451 3 9.58607 + vertex 132.451 1.3 9.58607 + vertex 132.617 1.3 9.45858 + endloop + endfacet + facet normal 0.60876 1.7616e-16 0.793354 + outer loop + vertex 132.617 3 9.45858 + vertex 132.451 3 9.58607 + vertex 132.617 1.3 9.45858 + endloop + endfacet + facet normal 0.649451 1.68843e-16 0.760403 + outer loop + vertex 132.617 3 9.45858 + vertex 132.617 1.3 9.45858 + vertex 132.777 1.3 9.32258 + endloop + endfacet + facet normal 0.649451 1.68843e-16 0.760403 + outer loop + vertex 132.777 3 9.32258 + vertex 132.617 3 9.45858 + vertex 132.777 1.3 9.32258 + endloop + endfacet + facet normal 0.688353 1.61066e-16 0.725375 + outer loop + vertex 132.777 3 9.32258 + vertex 132.777 1.3 9.32258 + vertex 132.928 1.3 9.17843 + endloop + endfacet + facet normal 0.688353 1.61066e-16 0.725375 + outer loop + vertex 132.928 3 9.17843 + vertex 132.777 3 9.32258 + vertex 132.928 1.3 9.17843 + endloop + endfacet + facet normal 0.725375 1.52845e-16 0.688353 + outer loop + vertex 132.928 3 9.17843 + vertex 132.928 1.3 9.17843 + vertex 133.073 1.3 9.02652 + endloop + endfacet + facet normal 0.725375 1.52845e-16 0.688353 + outer loop + vertex 133.073 3 9.02652 + vertex 132.928 3 9.17843 + vertex 133.073 1.3 9.02652 + endloop + endfacet + facet normal 0.760403 1.44207e-16 0.649451 + outer loop + vertex 133.073 3 9.02652 + vertex 133.073 1.3 9.02652 + vertex 133.209 1.3 8.86728 + endloop + endfacet + facet normal 0.760403 1.44207e-16 0.649451 + outer loop + vertex 133.209 3 8.86728 + vertex 133.073 3 9.02652 + vertex 133.209 1.3 8.86728 + endloop + endfacet + facet normal 0.793354 1.35172e-16 0.60876 + outer loop + vertex 133.209 3 8.86728 + vertex 133.209 1.3 8.86728 + vertex 133.336 1.3 8.70114 + endloop + endfacet + facet normal 0.793354 1.35172e-16 0.60876 + outer loop + vertex 133.336 3 8.70114 + vertex 133.209 3 8.86728 + vertex 133.336 1.3 8.70114 + endloop + endfacet + facet normal 0.824127 1.25767e-16 0.566405 + outer loop + vertex 133.336 3 8.70114 + vertex 133.336 1.3 8.70114 + vertex 133.455 1.3 8.52856 + endloop + endfacet + facet normal 0.824127 1.25767e-16 0.566405 + outer loop + vertex 133.455 3 8.52856 + vertex 133.336 3 8.70114 + vertex 133.455 1.3 8.52856 + endloop + endfacet + facet normal 0.852639 1.16019e-16 0.522501 + outer loop + vertex 133.455 3 8.52856 + vertex 133.455 1.3 8.52856 + vertex 133.564 1.3 8.35 + endloop + endfacet + facet normal 0.852639 1.16019e-16 0.522501 + outer loop + vertex 133.564 3 8.35 + vertex 133.455 3 8.52856 + vertex 133.564 1.3 8.35 + endloop + endfacet + facet normal 0.878818 1.0595e-16 0.477157 + outer loop + vertex 133.564 3 8.35 + vertex 133.564 1.3 8.35 + vertex 133.664 1.3 8.16596 + endloop + endfacet + facet normal 0.878818 1.0595e-16 0.477157 + outer loop + vertex 133.664 3 8.16596 + vertex 133.564 3 8.35 + vertex 133.664 1.3 8.16596 + endloop + endfacet + facet normal 0.902584 9.55931e-17 0.430513 + outer loop + vertex 133.664 3 8.16596 + vertex 133.664 1.3 8.16596 + vertex 133.754 1.3 7.97695 + endloop + endfacet + facet normal 0.902584 9.55931e-17 0.430513 + outer loop + vertex 133.754 3 7.97695 + vertex 133.664 3 8.16596 + vertex 133.754 1.3 7.97695 + endloop + endfacet + facet normal 0.923879 8.49728e-17 0.382684 + outer loop + vertex 133.754 3 7.97695 + vertex 133.754 1.3 7.97695 + vertex 133.834 1.3 7.78347 + endloop + endfacet + facet normal 0.923879 8.49728e-17 0.382684 + outer loop + vertex 133.834 3 7.78347 + vertex 133.754 3 7.97695 + vertex 133.834 1.3 7.78347 + endloop + endfacet + facet normal 0.942642 7.41196e-17 0.333805 + outer loop + vertex 133.834 3 7.78347 + vertex 133.834 1.3 7.78347 + vertex 133.904 1.3 7.58607 + endloop + endfacet + facet normal 0.942642 7.41196e-17 0.333805 + outer loop + vertex 133.904 3 7.58607 + vertex 133.834 3 7.78347 + vertex 133.904 1.3 7.58607 + endloop + endfacet + facet normal 0.95882 6.30638e-17 0.284014 + outer loop + vertex 133.904 3 7.58607 + vertex 133.904 1.3 7.58607 + vertex 133.964 1.3 7.38528 + endloop + endfacet + facet normal 0.95882 6.30638e-17 0.284014 + outer loop + vertex 133.964 3 7.38528 + vertex 133.904 3 7.58607 + vertex 133.964 1.3 7.38528 + endloop + endfacet + facet normal 0.97237 5.18353e-17 0.233445 + outer loop + vertex 133.964 3 7.38528 + vertex 133.964 1.3 7.38528 + vertex 134.013 1.3 7.18165 + endloop + endfacet + facet normal 0.97237 5.18353e-17 0.233445 + outer loop + vertex 134.013 3 7.18165 + vertex 133.964 3 7.38528 + vertex 134.013 1.3 7.18165 + endloop + endfacet + facet normal 0.983255 4.04644e-17 0.182236 + outer loop + vertex 134.013 3 7.18165 + vertex 134.013 1.3 7.18165 + vertex 134.051 1.3 6.97574 + endloop + endfacet + facet normal 0.983255 4.04644e-17 0.182236 + outer loop + vertex 134.051 3 6.97574 + vertex 134.013 3 7.18165 + vertex 134.051 1.3 6.97574 + endloop + endfacet + facet normal 0.991444 2.89835e-17 0.13053 + outer loop + vertex 134.051 3 6.97574 + vertex 134.051 1.3 6.97574 + vertex 134.078 1.3 6.76811 + endloop + endfacet + facet normal 0.991444 2.89835e-17 0.13053 + outer loop + vertex 134.078 3 6.76811 + vertex 134.051 3 6.97574 + vertex 134.078 1.3 6.76811 + endloop + endfacet + facet normal 0.996918 1.74208e-17 0.0784565 + outer loop + vertex 134.078 3 6.76811 + vertex 134.078 1.3 6.76811 + vertex 134.095 1.3 6.55934 + endloop + endfacet + facet normal 0.996918 1.74208e-17 0.0784565 + outer loop + vertex 134.095 3 6.55934 + vertex 134.078 3 6.76811 + vertex 134.095 1.3 6.55934 + endloop + endfacet + facet normal 0.999657 5.81259e-18 0.0261776 + outer loop + vertex 134.095 3 6.55934 + vertex 134.095 1.3 6.55934 + vertex 134.1 1.3 6.35 + endloop + endfacet + facet normal 0.999657 5.81259e-18 0.0261776 + outer loop + vertex 134.1 3 6.35 + vertex 134.095 3 6.55934 + vertex 134.1 1.3 6.35 + endloop + endfacet + facet normal 0.999657 -5.81259e-18 -0.0261776 + outer loop + vertex 134.1 1.3 6.35 + vertex 134.095 1.3 6.14066 + vertex 134.095 3 6.14066 + endloop + endfacet + facet normal 0.999657 -5.81259e-18 -0.0261776 + outer loop + vertex 134.1 3 6.35 + vertex 134.1 1.3 6.35 + vertex 134.095 3 6.14066 + endloop + endfacet + facet normal 0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 134.095 1.3 6.14066 + vertex 134.078 1.3 5.93189 + vertex 134.078 3 5.93189 + endloop + endfacet + facet normal 0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 134.095 3 6.14066 + vertex 134.095 1.3 6.14066 + vertex 134.078 3 5.93189 + endloop + endfacet + facet normal 0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 134.078 1.3 5.93189 + vertex 134.051 1.3 5.72426 + vertex 134.051 3 5.72426 + endloop + endfacet + facet normal 0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 134.078 3 5.93189 + vertex 134.078 1.3 5.93189 + vertex 134.051 3 5.72426 + endloop + endfacet + facet normal 0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 134.051 1.3 5.72426 + vertex 134.013 1.3 5.51835 + vertex 134.013 3 5.51835 + endloop + endfacet + facet normal 0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 134.051 3 5.72426 + vertex 134.051 1.3 5.72426 + vertex 134.013 3 5.51835 + endloop + endfacet + facet normal 0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 134.013 1.3 5.51835 + vertex 133.964 1.3 5.31472 + vertex 133.964 3 5.31472 + endloop + endfacet + facet normal 0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 134.013 3 5.51835 + vertex 134.013 1.3 5.51835 + vertex 133.964 3 5.31472 + endloop + endfacet + facet normal 0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 133.964 1.3 5.31472 + vertex 133.904 1.3 5.11393 + vertex 133.904 3 5.11393 + endloop + endfacet + facet normal 0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 133.964 3 5.31472 + vertex 133.964 1.3 5.31472 + vertex 133.904 3 5.11393 + endloop + endfacet + facet normal 0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 133.904 1.3 5.11393 + vertex 133.834 1.3 4.91653 + vertex 133.834 3 4.91653 + endloop + endfacet + facet normal 0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 133.904 3 5.11393 + vertex 133.904 1.3 5.11393 + vertex 133.834 3 4.91653 + endloop + endfacet + facet normal 0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 133.834 1.3 4.91653 + vertex 133.754 1.3 4.72305 + vertex 133.754 3 4.72305 + endloop + endfacet + facet normal 0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 133.834 3 4.91653 + vertex 133.834 1.3 4.91653 + vertex 133.754 3 4.72305 + endloop + endfacet + facet normal 0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 133.754 1.3 4.72305 + vertex 133.664 1.3 4.53404 + vertex 133.664 3 4.53404 + endloop + endfacet + facet normal 0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 133.754 3 4.72305 + vertex 133.754 1.3 4.72305 + vertex 133.664 3 4.53404 + endloop + endfacet + facet normal 0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 133.664 1.3 4.53404 + vertex 133.564 1.3 4.35 + vertex 133.564 3 4.35 + endloop + endfacet + facet normal 0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 133.664 3 4.53404 + vertex 133.664 1.3 4.53404 + vertex 133.564 3 4.35 + endloop + endfacet + facet normal 0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 133.564 1.3 4.35 + vertex 133.455 1.3 4.17144 + vertex 133.455 3 4.17144 + endloop + endfacet + facet normal 0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 133.564 3 4.35 + vertex 133.564 1.3 4.35 + vertex 133.455 3 4.17144 + endloop + endfacet + facet normal 0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 133.455 1.3 4.17144 + vertex 133.336 1.3 3.99886 + vertex 133.336 3 3.99886 + endloop + endfacet + facet normal 0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 133.455 3 4.17144 + vertex 133.455 1.3 4.17144 + vertex 133.336 3 3.99886 + endloop + endfacet + facet normal 0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 133.336 1.3 3.99886 + vertex 133.209 1.3 3.83272 + vertex 133.209 3 3.83272 + endloop + endfacet + facet normal 0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 133.336 3 3.99886 + vertex 133.336 1.3 3.99886 + vertex 133.209 3 3.83272 + endloop + endfacet + facet normal 0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 133.209 1.3 3.83272 + vertex 133.073 1.3 3.67348 + vertex 133.073 3 3.67348 + endloop + endfacet + facet normal 0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 133.209 3 3.83272 + vertex 133.209 1.3 3.83272 + vertex 133.073 3 3.67348 + endloop + endfacet + facet normal 0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 133.073 1.3 3.67348 + vertex 132.928 1.3 3.52157 + vertex 132.928 3 3.52157 + endloop + endfacet + facet normal 0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 133.073 3 3.67348 + vertex 133.073 1.3 3.67348 + vertex 132.928 3 3.52157 + endloop + endfacet + facet normal 0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 132.777 1.3 3.37742 + vertex 132.777 3 3.37742 + vertex 132.928 1.3 3.52157 + endloop + endfacet + facet normal 0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 132.928 1.3 3.52157 + vertex 132.777 3 3.37742 + vertex 132.928 3 3.52157 + endloop + endfacet + facet normal 0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 132.617 1.3 3.24142 + vertex 132.617 3 3.24142 + vertex 132.777 1.3 3.37742 + endloop + endfacet + facet normal 0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 132.777 1.3 3.37742 + vertex 132.617 3 3.24142 + vertex 132.777 3 3.37742 + endloop + endfacet + facet normal 0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 132.451 1.3 3.11393 + vertex 132.451 3 3.11393 + vertex 132.617 1.3 3.24142 + endloop + endfacet + facet normal 0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 132.617 1.3 3.24142 + vertex 132.451 3 3.11393 + vertex 132.617 3 3.24142 + endloop + endfacet + facet normal 0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 132.279 1.3 2.99532 + vertex 132.279 3 2.99532 + vertex 132.451 1.3 3.11393 + endloop + endfacet + facet normal 0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 132.451 1.3 3.11393 + vertex 132.279 3 2.99532 + vertex 132.451 3 3.11393 + endloop + endfacet + facet normal 0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 132.1 1.3 2.8859 + vertex 132.1 3 2.8859 + vertex 132.279 1.3 2.99532 + endloop + endfacet + facet normal 0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 132.279 1.3 2.99532 + vertex 132.1 3 2.8859 + vertex 132.279 3 2.99532 + endloop + endfacet + facet normal 0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 131.916 1.3 2.78597 + vertex 131.916 3 2.78597 + vertex 132.1 1.3 2.8859 + endloop + endfacet + facet normal 0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 132.1 1.3 2.8859 + vertex 131.916 3 2.78597 + vertex 132.1 3 2.8859 + endloop + endfacet + facet normal 0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 131.727 1.3 2.69582 + vertex 131.727 3 2.69582 + vertex 131.916 1.3 2.78597 + endloop + endfacet + facet normal 0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 131.916 1.3 2.78597 + vertex 131.727 3 2.69582 + vertex 131.916 3 2.78597 + endloop + endfacet + facet normal 0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 131.533 1.3 2.61568 + vertex 131.533 3 2.61568 + vertex 131.727 1.3 2.69582 + endloop + endfacet + facet normal 0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 131.727 1.3 2.69582 + vertex 131.533 3 2.61568 + vertex 131.727 3 2.69582 + endloop + endfacet + facet normal 0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 131.336 1.3 2.54577 + vertex 131.336 3 2.54577 + vertex 131.533 1.3 2.61568 + endloop + endfacet + facet normal 0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 131.533 1.3 2.61568 + vertex 131.336 3 2.54577 + vertex 131.533 3 2.61568 + endloop + endfacet + facet normal 0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 131.135 1.3 2.4863 + vertex 131.135 3 2.4863 + vertex 131.336 1.3 2.54577 + endloop + endfacet + facet normal 0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 131.336 1.3 2.54577 + vertex 131.135 3 2.4863 + vertex 131.336 3 2.54577 + endloop + endfacet + facet normal 0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 130.932 1.3 2.43741 + vertex 130.932 3 2.43741 + vertex 131.135 1.3 2.4863 + endloop + endfacet + facet normal 0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 131.135 1.3 2.4863 + vertex 130.932 3 2.43741 + vertex 131.135 3 2.4863 + endloop + endfacet + facet normal 0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 130.726 1.3 2.39925 + vertex 130.726 3 2.39925 + vertex 130.932 1.3 2.43741 + endloop + endfacet + facet normal 0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 130.932 1.3 2.43741 + vertex 130.726 3 2.39925 + vertex 130.932 3 2.43741 + endloop + endfacet + facet normal 0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 130.518 1.3 2.37191 + vertex 130.518 3 2.37191 + vertex 130.726 1.3 2.39925 + endloop + endfacet + facet normal 0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 130.726 1.3 2.39925 + vertex 130.518 3 2.37191 + vertex 130.726 3 2.39925 + endloop + endfacet + facet normal 0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 130.309 1.3 2.35548 + vertex 130.309 3 2.35548 + vertex 130.518 1.3 2.37191 + endloop + endfacet + facet normal 0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 130.518 1.3 2.37191 + vertex 130.309 3 2.35548 + vertex 130.518 3 2.37191 + endloop + endfacet + facet normal 0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 130.1 1.3 2.35 + vertex 130.1 3 2.35 + vertex 130.309 1.3 2.35548 + endloop + endfacet + facet normal 0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 130.309 1.3 2.35548 + vertex 130.1 3 2.35 + vertex 130.309 3 2.35548 + endloop + endfacet + facet normal -0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 129.891 1.3 2.35548 + vertex 129.891 3 2.35548 + vertex 130.1 1.3 2.35 + endloop + endfacet + facet normal -0.0261776 -2.21969e-16 -0.999657 + outer loop + vertex 130.1 1.3 2.35 + vertex 129.891 3 2.35548 + vertex 130.1 3 2.35 + endloop + endfacet + facet normal -0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 129.682 1.3 2.37191 + vertex 129.682 3 2.37191 + vertex 129.891 1.3 2.35548 + endloop + endfacet + facet normal -0.0784565 -2.2136e-16 -0.996918 + outer loop + vertex 129.891 1.3 2.35548 + vertex 129.682 3 2.37191 + vertex 129.891 3 2.35548 + endloop + endfacet + facet normal -0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 129.474 1.3 2.39925 + vertex 129.474 3 2.39925 + vertex 129.682 1.3 2.37191 + endloop + endfacet + facet normal -0.13053 -2.20145e-16 -0.991444 + outer loop + vertex 129.682 1.3 2.37191 + vertex 129.474 3 2.39925 + vertex 129.682 3 2.37191 + endloop + endfacet + facet normal -0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 129.268 1.3 2.43741 + vertex 129.268 3 2.43741 + vertex 129.474 1.3 2.39925 + endloop + endfacet + facet normal -0.182236 -2.18326e-16 -0.983255 + outer loop + vertex 129.474 1.3 2.39925 + vertex 129.268 3 2.43741 + vertex 129.474 3 2.39925 + endloop + endfacet + facet normal -0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 129.065 1.3 2.4863 + vertex 129.065 3 2.4863 + vertex 129.268 1.3 2.43741 + endloop + endfacet + facet normal -0.233445 -2.15909e-16 -0.97237 + outer loop + vertex 129.268 1.3 2.43741 + vertex 129.065 3 2.4863 + vertex 129.268 3 2.43741 + endloop + endfacet + facet normal -0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 128.864 1.3 2.54577 + vertex 128.864 3 2.54577 + vertex 129.065 1.3 2.4863 + endloop + endfacet + facet normal -0.284014 -2.12901e-16 -0.95882 + outer loop + vertex 129.065 1.3 2.4863 + vertex 128.864 3 2.54577 + vertex 129.065 3 2.4863 + endloop + endfacet + facet normal -0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 128.667 1.3 2.61568 + vertex 128.667 3 2.61568 + vertex 128.864 1.3 2.54577 + endloop + endfacet + facet normal -0.333805 -2.09309e-16 -0.942642 + outer loop + vertex 128.864 1.3 2.54577 + vertex 128.667 3 2.61568 + vertex 128.864 3 2.54577 + endloop + endfacet + facet normal -0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 128.473 1.3 2.69582 + vertex 128.473 3 2.69582 + vertex 128.667 1.3 2.61568 + endloop + endfacet + facet normal -0.382684 -2.05142e-16 -0.923879 + outer loop + vertex 128.667 1.3 2.61568 + vertex 128.473 3 2.69582 + vertex 128.667 3 2.61568 + endloop + endfacet + facet normal -0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 128.284 1.3 2.78597 + vertex 128.284 3 2.78597 + vertex 128.473 1.3 2.69582 + endloop + endfacet + facet normal -0.430513 -2.00414e-16 -0.902584 + outer loop + vertex 128.473 1.3 2.69582 + vertex 128.284 3 2.78597 + vertex 128.473 3 2.69582 + endloop + endfacet + facet normal -0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 128.1 1.3 2.8859 + vertex 128.1 3 2.8859 + vertex 128.284 1.3 2.78597 + endloop + endfacet + facet normal -0.477157 -1.95137e-16 -0.878818 + outer loop + vertex 128.284 1.3 2.78597 + vertex 128.1 3 2.8859 + vertex 128.284 3 2.78597 + endloop + endfacet + facet normal -0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 127.921 1.3 2.99532 + vertex 127.921 3 2.99532 + vertex 128.1 1.3 2.8859 + endloop + endfacet + facet normal -0.522501 -1.89324e-16 -0.852639 + outer loop + vertex 128.1 1.3 2.8859 + vertex 127.921 3 2.99532 + vertex 128.1 3 2.8859 + endloop + endfacet + facet normal -0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 127.749 1.3 3.11393 + vertex 127.749 3 3.11393 + vertex 127.921 1.3 2.99532 + endloop + endfacet + facet normal -0.566405 -1.82993e-16 -0.824127 + outer loop + vertex 127.921 1.3 2.99532 + vertex 127.749 3 3.11393 + vertex 127.921 3 2.99532 + endloop + endfacet + facet normal -0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 127.583 1.3 3.24142 + vertex 127.583 3 3.24142 + vertex 127.749 1.3 3.11393 + endloop + endfacet + facet normal -0.60876 -1.7616e-16 -0.793354 + outer loop + vertex 127.749 1.3 3.11393 + vertex 127.583 3 3.24142 + vertex 127.749 3 3.11393 + endloop + endfacet + facet normal -0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 127.423 1.3 3.37742 + vertex 127.423 3 3.37742 + vertex 127.583 1.3 3.24142 + endloop + endfacet + facet normal -0.649451 -1.68843e-16 -0.760403 + outer loop + vertex 127.583 1.3 3.24142 + vertex 127.423 3 3.37742 + vertex 127.583 3 3.24142 + endloop + endfacet + facet normal -0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 127.272 1.3 3.52157 + vertex 127.272 3 3.52157 + vertex 127.423 1.3 3.37742 + endloop + endfacet + facet normal -0.688353 -1.61066e-16 -0.725375 + outer loop + vertex 127.423 1.3 3.37742 + vertex 127.272 3 3.52157 + vertex 127.423 3 3.37742 + endloop + endfacet + facet normal -0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 127.127 1.3 3.67348 + vertex 127.127 3 3.67348 + vertex 127.272 1.3 3.52157 + endloop + endfacet + facet normal -0.725375 -1.52845e-16 -0.688353 + outer loop + vertex 127.272 1.3 3.52157 + vertex 127.127 3 3.67348 + vertex 127.272 3 3.52157 + endloop + endfacet + facet normal -0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 126.991 1.3 3.83272 + vertex 126.991 3 3.83272 + vertex 127.127 1.3 3.67348 + endloop + endfacet + facet normal -0.760403 -1.44207e-16 -0.649451 + outer loop + vertex 127.127 1.3 3.67348 + vertex 126.991 3 3.83272 + vertex 127.127 3 3.67348 + endloop + endfacet + facet normal -0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 126.864 1.3 3.99886 + vertex 126.864 3 3.99886 + vertex 126.991 1.3 3.83272 + endloop + endfacet + facet normal -0.793354 -1.35172e-16 -0.60876 + outer loop + vertex 126.991 1.3 3.83272 + vertex 126.864 3 3.99886 + vertex 126.991 3 3.83272 + endloop + endfacet + facet normal -0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 126.745 1.3 4.17144 + vertex 126.745 3 4.17144 + vertex 126.864 1.3 3.99886 + endloop + endfacet + facet normal -0.824127 -1.25767e-16 -0.566405 + outer loop + vertex 126.864 1.3 3.99886 + vertex 126.745 3 4.17144 + vertex 126.864 3 3.99886 + endloop + endfacet + facet normal -0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 126.636 1.3 4.35 + vertex 126.636 3 4.35 + vertex 126.745 1.3 4.17144 + endloop + endfacet + facet normal -0.852639 -1.16019e-16 -0.522501 + outer loop + vertex 126.745 1.3 4.17144 + vertex 126.636 3 4.35 + vertex 126.745 3 4.17144 + endloop + endfacet + facet normal -0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 126.536 1.3 4.53404 + vertex 126.536 3 4.53404 + vertex 126.636 1.3 4.35 + endloop + endfacet + facet normal -0.878818 -1.0595e-16 -0.477157 + outer loop + vertex 126.636 1.3 4.35 + vertex 126.536 3 4.53404 + vertex 126.636 3 4.35 + endloop + endfacet + facet normal -0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 126.446 1.3 4.72305 + vertex 126.446 3 4.72305 + vertex 126.536 1.3 4.53404 + endloop + endfacet + facet normal -0.902584 -9.55931e-17 -0.430513 + outer loop + vertex 126.536 1.3 4.53404 + vertex 126.446 3 4.72305 + vertex 126.536 3 4.53404 + endloop + endfacet + facet normal -0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 126.366 1.3 4.91653 + vertex 126.366 3 4.91653 + vertex 126.446 1.3 4.72305 + endloop + endfacet + facet normal -0.923879 -8.49728e-17 -0.382684 + outer loop + vertex 126.446 1.3 4.72305 + vertex 126.366 3 4.91653 + vertex 126.446 3 4.72305 + endloop + endfacet + facet normal -0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 126.296 1.3 5.11393 + vertex 126.296 3 5.11393 + vertex 126.366 1.3 4.91653 + endloop + endfacet + facet normal -0.942642 -7.41196e-17 -0.333805 + outer loop + vertex 126.366 1.3 4.91653 + vertex 126.296 3 5.11393 + vertex 126.366 3 4.91653 + endloop + endfacet + facet normal -0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 126.236 1.3 5.31472 + vertex 126.236 3 5.31472 + vertex 126.296 1.3 5.11393 + endloop + endfacet + facet normal -0.95882 -6.30638e-17 -0.284014 + outer loop + vertex 126.296 1.3 5.11393 + vertex 126.236 3 5.31472 + vertex 126.296 3 5.11393 + endloop + endfacet + facet normal -0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 126.187 1.3 5.51835 + vertex 126.187 3 5.51835 + vertex 126.236 1.3 5.31472 + endloop + endfacet + facet normal -0.97237 -5.18353e-17 -0.233445 + outer loop + vertex 126.236 1.3 5.31472 + vertex 126.187 3 5.51835 + vertex 126.236 3 5.31472 + endloop + endfacet + facet normal -0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 126.149 1.3 5.72426 + vertex 126.149 3 5.72426 + vertex 126.187 1.3 5.51835 + endloop + endfacet + facet normal -0.983255 -4.04644e-17 -0.182236 + outer loop + vertex 126.187 1.3 5.51835 + vertex 126.149 3 5.72426 + vertex 126.187 3 5.51835 + endloop + endfacet + facet normal -0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 126.122 1.3 5.93189 + vertex 126.122 3 5.93189 + vertex 126.149 1.3 5.72426 + endloop + endfacet + facet normal -0.991444 -2.89835e-17 -0.13053 + outer loop + vertex 126.149 1.3 5.72426 + vertex 126.122 3 5.93189 + vertex 126.149 3 5.72426 + endloop + endfacet + facet normal -0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 126.105 1.3 6.14066 + vertex 126.105 3 6.14066 + vertex 126.122 1.3 5.93189 + endloop + endfacet + facet normal -0.996918 -1.74208e-17 -0.0784565 + outer loop + vertex 126.122 1.3 5.93189 + vertex 126.105 3 6.14066 + vertex 126.122 3 5.93189 + endloop + endfacet + facet normal 0.999301 8.30306e-18 0.0373937 + outer loop + vertex 27.1702 -2.21274e-17 6.25035 + vertex 27.1702 3 6.25035 + vertex 27.1665 3 6.35 + endloop + endfacet + facet normal 0.999301 8.30306e-18 0.0373937 + outer loop + vertex 27.1665 -2.46519e-32 6.35 + vertex 27.1702 -2.21274e-17 6.25035 + vertex 27.1665 3 6.35 + endloop + endfacet + facet normal 0.993713 2.48604e-17 0.111961 + outer loop + vertex 27.1814 -4.41309e-17 6.15125 + vertex 27.1814 3 6.15125 + vertex 27.1702 3 6.25035 + endloop + endfacet + facet normal 0.993713 2.48604e-17 0.111961 + outer loop + vertex 27.1702 -2.21274e-17 6.25035 + vertex 27.1814 -4.41309e-17 6.15125 + vertex 27.1702 3 6.25035 + endloop + endfacet + facet normal 0.982566 4.12816e-17 0.185916 + outer loop + vertex 27.1999 -6.58877e-17 6.05327 + vertex 27.1999 3 6.05327 + vertex 27.1814 3 6.15125 + endloop + endfacet + facet normal 0.982566 4.12816e-17 0.185916 + outer loop + vertex 27.1814 -4.41309e-17 6.15125 + vertex 27.1999 -6.58877e-17 6.05327 + vertex 27.1814 3 6.15125 + endloop + endfacet + facet normal 0.965926 5.74695e-17 0.25882 + outer loop + vertex 27.2257 -8.7276e-17 5.95694 + vertex 27.2257 3 5.95694 + vertex 27.1999 3 6.05327 + endloop + endfacet + facet normal 0.965926 5.74695e-17 0.25882 + outer loop + vertex 27.1999 -6.58877e-17 6.05327 + vertex 27.2257 -8.7276e-17 5.95694 + vertex 27.1999 3 6.05327 + endloop + endfacet + facet normal 0.943884 7.33365e-17 0.330278 + outer loop + vertex 27.2587 -1.08176e-16 5.86282 + vertex 27.2587 3 5.86282 + vertex 27.2257 3 5.95694 + endloop + endfacet + facet normal 0.943884 7.33365e-17 0.330278 + outer loop + vertex 27.2257 -8.7276e-17 5.95694 + vertex 27.2587 -1.08176e-16 5.86282 + vertex 27.2257 3 5.95694 + endloop + endfacet + facet normal 0.916563 8.87933e-17 0.39989 + outer loop + vertex 27.2986 -1.28471e-16 5.77142 + vertex 27.2986 3 5.77142 + vertex 27.2587 3 5.86282 + endloop + endfacet + facet normal 0.916563 8.87933e-17 0.39989 + outer loop + vertex 27.2587 -1.08176e-16 5.86282 + vertex 27.2986 -1.28471e-16 5.77142 + vertex 27.2587 3 5.86282 + endloop + endfacet + facet normal 0.884116 1.03754e-16 0.467268 + outer loop + vertex 27.3452 -1.48048e-16 5.68325 + vertex 27.3452 3 5.68325 + vertex 27.2986 3 5.77142 + endloop + endfacet + facet normal 0.884116 1.03754e-16 0.467268 + outer loop + vertex 27.2986 -1.28471e-16 5.77142 + vertex 27.3452 -1.48048e-16 5.68325 + vertex 27.2986 3 5.77142 + endloop + endfacet + facet normal 0.846721 1.18136e-16 0.532037 + outer loop + vertex 27.3982 -1.66797e-16 5.59881 + vertex 27.3982 3 5.59881 + vertex 27.3452 3 5.68325 + endloop + endfacet + facet normal 0.846721 1.18136e-16 0.532037 + outer loop + vertex 27.3452 -1.48048e-16 5.68325 + vertex 27.3982 -1.66797e-16 5.59881 + vertex 27.3452 3 5.68325 + endloop + endfacet + facet normal 0.804601 1.31854e-16 0.593816 + outer loop + vertex 27.4574 -1.84613e-16 5.51858 + vertex 27.4574 3 5.51858 + vertex 27.3982 3 5.59881 + endloop + endfacet + facet normal 0.804601 1.31854e-16 0.593816 + outer loop + vertex 27.3982 -1.66797e-16 5.59881 + vertex 27.4574 -1.84613e-16 5.51858 + vertex 27.3982 3 5.59881 + endloop + endfacet + facet normal 0.757972 1.44837e-16 0.652287 + outer loop + vertex 27.5225 -2.01397e-16 5.44299 + vertex 27.5225 3 5.44299 + vertex 27.4574 3 5.51858 + endloop + endfacet + facet normal 0.757972 1.44837e-16 0.652287 + outer loop + vertex 27.4574 -1.84613e-16 5.51858 + vertex 27.5225 -2.01397e-16 5.44299 + vertex 27.4574 3 5.51858 + endloop + endfacet + facet normal 0.707107 1.57009e-16 0.707107 + outer loop + vertex 27.593 -2.17054e-16 5.37247 + vertex 27.593 3 5.37247 + vertex 27.5225 3 5.44299 + endloop + endfacet + facet normal 0.707107 1.57009e-16 0.707107 + outer loop + vertex 27.5225 -2.01397e-16 5.44299 + vertex 27.593 -2.17054e-16 5.37247 + vertex 27.5225 3 5.44299 + endloop + endfacet + facet normal 0.652287 1.68304e-16 0.757972 + outer loop + vertex 27.6686 -2.31497e-16 5.30743 + vertex 27.6686 3 5.30743 + vertex 27.593 3 5.37247 + endloop + endfacet + facet normal 0.652287 1.68304e-16 0.757972 + outer loop + vertex 27.593 -2.17054e-16 5.37247 + vertex 27.6686 -2.31497e-16 5.30743 + vertex 27.593 3 5.37247 + endloop + endfacet + facet normal 0.593816 1.78657e-16 0.804601 + outer loop + vertex 27.7488 -2.44646e-16 5.24821 + vertex 27.7488 3 5.24821 + vertex 27.6686 3 5.30743 + endloop + endfacet + facet normal 0.593816 1.78657e-16 0.804601 + outer loop + vertex 27.6686 -2.31497e-16 5.30743 + vertex 27.7488 -2.44646e-16 5.24821 + vertex 27.6686 3 5.30743 + endloop + endfacet + facet normal 0.532037 1.8801e-16 0.846721 + outer loop + vertex 27.8332 -2.56427e-16 5.19515 + vertex 27.8332 3 5.19515 + vertex 27.7488 3 5.24821 + endloop + endfacet + facet normal 0.532037 1.8801e-16 0.846721 + outer loop + vertex 27.7488 -2.44646e-16 5.24821 + vertex 27.8332 -2.56427e-16 5.19515 + vertex 27.7488 3 5.24821 + endloop + endfacet + facet normal 0.467268 1.96313e-16 0.884116 + outer loop + vertex 27.9214 -2.66774e-16 5.14856 + vertex 27.9214 3 5.14856 + vertex 27.8332 3 5.19515 + endloop + endfacet + facet normal 0.467268 1.96313e-16 0.884116 + outer loop + vertex 27.8332 -2.56427e-16 5.19515 + vertex 27.9214 -2.66774e-16 5.14856 + vertex 27.8332 3 5.19515 + endloop + endfacet + facet normal 0.39989 2.03518e-16 0.916563 + outer loop + vertex 28.0128 -2.75628e-16 5.10868 + vertex 28.0128 3 5.10868 + vertex 27.9214 3 5.14856 + endloop + endfacet + facet normal 0.39989 2.03518e-16 0.916563 + outer loop + vertex 27.9214 -2.66774e-16 5.14856 + vertex 28.0128 -2.75628e-16 5.10868 + vertex 27.9214 3 5.14856 + endloop + endfacet + facet normal 0.330278 2.09584e-16 0.943884 + outer loop + vertex 28.1069 -2.82942e-16 5.07574 + vertex 28.1069 3 5.07574 + vertex 28.0128 3 5.10868 + endloop + endfacet + facet normal 0.330278 2.09584e-16 0.943884 + outer loop + vertex 28.0128 -2.75628e-16 5.10868 + vertex 28.1069 -2.82942e-16 5.07574 + vertex 28.0128 3 5.10868 + endloop + endfacet + facet normal 0.25882 2.14479e-16 0.965926 + outer loop + vertex 28.2033 -2.88673e-16 5.04993 + vertex 28.2033 3 5.04993 + vertex 28.1069 3 5.07574 + endloop + endfacet + facet normal 0.25882 2.14479e-16 0.965926 + outer loop + vertex 28.1069 -2.82942e-16 5.07574 + vertex 28.2033 -2.88673e-16 5.04993 + vertex 28.1069 3 5.07574 + endloop + endfacet + facet normal 0.185916 2.18173e-16 0.982566 + outer loop + vertex 28.3013 -2.92789e-16 5.03139 + vertex 28.3013 3 5.03139 + vertex 28.2033 3 5.04993 + endloop + endfacet + facet normal 0.185916 2.18173e-16 0.982566 + outer loop + vertex 28.2033 -2.88673e-16 5.04993 + vertex 28.3013 -2.92789e-16 5.03139 + vertex 28.2033 3 5.04993 + endloop + endfacet + facet normal 0.111961 2.20649e-16 0.993713 + outer loop + vertex 28.4003 -2.95268e-16 5.02023 + vertex 28.4003 3 5.02023 + vertex 28.3013 3 5.03139 + endloop + endfacet + facet normal 0.111961 2.20649e-16 0.993713 + outer loop + vertex 28.3013 -2.92789e-16 5.03139 + vertex 28.4003 -2.95268e-16 5.02023 + vertex 28.3013 3 5.03139 + endloop + endfacet + facet normal 0.0373937 2.21889e-16 0.999301 + outer loop + vertex 28.5 -2.96096e-16 5.0165 + vertex 28.5 3 5.0165 + vertex 28.4003 3 5.02023 + endloop + endfacet + facet normal 0.0373937 2.21889e-16 0.999301 + outer loop + vertex 28.4003 -2.95268e-16 5.02023 + vertex 28.5 -2.96096e-16 5.0165 + vertex 28.4003 3 5.02023 + endloop + endfacet + facet normal -0.0373937 2.21889e-16 0.999301 + outer loop + vertex 28.5997 -2.95268e-16 5.02023 + vertex 28.5997 3 5.02023 + vertex 28.5 3 5.0165 + endloop + endfacet + facet normal -0.0373937 2.21889e-16 0.999301 + outer loop + vertex 28.5 -2.96096e-16 5.0165 + vertex 28.5997 -2.95268e-16 5.02023 + vertex 28.5 3 5.0165 + endloop + endfacet + facet normal -0.111961 2.20649e-16 0.993713 + outer loop + vertex 28.6987 -2.92789e-16 5.03139 + vertex 28.6987 3 5.03139 + vertex 28.5997 3 5.02023 + endloop + endfacet + facet normal -0.111961 2.20649e-16 0.993713 + outer loop + vertex 28.5997 -2.95268e-16 5.02023 + vertex 28.6987 -2.92789e-16 5.03139 + vertex 28.5997 3 5.02023 + endloop + endfacet + facet normal -0.185916 2.18173e-16 0.982566 + outer loop + vertex 28.7967 -2.88673e-16 5.04993 + vertex 28.7967 3 5.04993 + vertex 28.6987 3 5.03139 + endloop + endfacet + facet normal -0.185916 2.18173e-16 0.982566 + outer loop + vertex 28.6987 -2.92789e-16 5.03139 + vertex 28.7967 -2.88673e-16 5.04993 + vertex 28.6987 3 5.03139 + endloop + endfacet + facet normal -0.25882 2.14479e-16 0.965926 + outer loop + vertex 28.8931 -2.82942e-16 5.07574 + vertex 28.8931 3 5.07574 + vertex 28.7967 3 5.04993 + endloop + endfacet + facet normal -0.25882 2.14479e-16 0.965926 + outer loop + vertex 28.7967 -2.88673e-16 5.04993 + vertex 28.8931 -2.82942e-16 5.07574 + vertex 28.7967 3 5.04993 + endloop + endfacet + facet normal -0.330278 2.09584e-16 0.943884 + outer loop + vertex 28.9872 -2.75628e-16 5.10868 + vertex 28.9872 3 5.10868 + vertex 28.8931 3 5.07574 + endloop + endfacet + facet normal -0.330278 2.09584e-16 0.943884 + outer loop + vertex 28.8931 -2.82942e-16 5.07574 + vertex 28.9872 -2.75628e-16 5.10868 + vertex 28.8931 3 5.07574 + endloop + endfacet + facet normal -0.39989 2.03518e-16 0.916563 + outer loop + vertex 29.0786 -2.66774e-16 5.14856 + vertex 29.0786 3 5.14856 + vertex 28.9872 3 5.10868 + endloop + endfacet + facet normal -0.39989 2.03518e-16 0.916563 + outer loop + vertex 28.9872 -2.75628e-16 5.10868 + vertex 29.0786 -2.66774e-16 5.14856 + vertex 28.9872 3 5.10868 + endloop + endfacet + facet normal -0.467268 1.96313e-16 0.884116 + outer loop + vertex 29.1667 -2.56427e-16 5.19515 + vertex 29.1667 3 5.19515 + vertex 29.0786 3 5.14856 + endloop + endfacet + facet normal -0.467268 1.96313e-16 0.884116 + outer loop + vertex 29.0786 -2.66774e-16 5.14856 + vertex 29.1667 -2.56427e-16 5.19515 + vertex 29.0786 3 5.14856 + endloop + endfacet + facet normal -0.532037 1.8801e-16 0.846721 + outer loop + vertex 29.2512 -2.44646e-16 5.24821 + vertex 29.2512 3 5.24821 + vertex 29.1667 3 5.19515 + endloop + endfacet + facet normal -0.532037 1.8801e-16 0.846721 + outer loop + vertex 29.1667 -2.56427e-16 5.19515 + vertex 29.2512 -2.44646e-16 5.24821 + vertex 29.1667 3 5.19515 + endloop + endfacet + facet normal -0.593816 1.78657e-16 0.804601 + outer loop + vertex 29.3314 -2.31497e-16 5.30743 + vertex 29.3314 3 5.30743 + vertex 29.2512 3 5.24821 + endloop + endfacet + facet normal -0.593816 1.78657e-16 0.804601 + outer loop + vertex 29.2512 -2.44646e-16 5.24821 + vertex 29.3314 -2.31497e-16 5.30743 + vertex 29.2512 3 5.24821 + endloop + endfacet + facet normal -0.652287 1.68304e-16 0.757972 + outer loop + vertex 29.407 -2.17054e-16 5.37247 + vertex 29.407 3 5.37247 + vertex 29.3314 3 5.30743 + endloop + endfacet + facet normal -0.652287 1.68304e-16 0.757972 + outer loop + vertex 29.3314 -2.31497e-16 5.30743 + vertex 29.407 -2.17054e-16 5.37247 + vertex 29.3314 3 5.30743 + endloop + endfacet + facet normal -0.707107 1.57009e-16 0.707107 + outer loop + vertex 29.4775 3 5.44299 + vertex 29.407 3 5.37247 + vertex 29.4775 -2.01397e-16 5.44299 + endloop + endfacet + facet normal -0.707107 1.57009e-16 0.707107 + outer loop + vertex 29.4775 -2.01397e-16 5.44299 + vertex 29.407 3 5.37247 + vertex 29.407 -2.17054e-16 5.37247 + endloop + endfacet + facet normal -0.757972 1.44837e-16 0.652287 + outer loop + vertex 29.5426 3 5.51858 + vertex 29.4775 3 5.44299 + vertex 29.5426 -1.84613e-16 5.51858 + endloop + endfacet + facet normal -0.757972 1.44837e-16 0.652287 + outer loop + vertex 29.5426 -1.84613e-16 5.51858 + vertex 29.4775 3 5.44299 + vertex 29.4775 -2.01397e-16 5.44299 + endloop + endfacet + facet normal -0.804601 1.31854e-16 0.593816 + outer loop + vertex 29.6018 3 5.59881 + vertex 29.5426 3 5.51858 + vertex 29.6018 -1.66797e-16 5.59881 + endloop + endfacet + facet normal -0.804601 1.31854e-16 0.593816 + outer loop + vertex 29.6018 -1.66797e-16 5.59881 + vertex 29.5426 3 5.51858 + vertex 29.5426 -1.84613e-16 5.51858 + endloop + endfacet + facet normal -0.846721 1.18136e-16 0.532037 + outer loop + vertex 29.6548 3 5.68325 + vertex 29.6018 3 5.59881 + vertex 29.6548 -1.48048e-16 5.68325 + endloop + endfacet + facet normal -0.846721 1.18136e-16 0.532037 + outer loop + vertex 29.6548 -1.48048e-16 5.68325 + vertex 29.6018 3 5.59881 + vertex 29.6018 -1.66797e-16 5.59881 + endloop + endfacet + facet normal -0.884116 1.03754e-16 0.467268 + outer loop + vertex 29.7014 3 5.77142 + vertex 29.6548 3 5.68325 + vertex 29.7014 -1.28471e-16 5.77142 + endloop + endfacet + facet normal -0.884116 1.03754e-16 0.467268 + outer loop + vertex 29.7014 -1.28471e-16 5.77142 + vertex 29.6548 3 5.68325 + vertex 29.6548 -1.48048e-16 5.68325 + endloop + endfacet + facet normal -0.916563 8.87933e-17 0.39989 + outer loop + vertex 29.7413 3 5.86282 + vertex 29.7014 3 5.77142 + vertex 29.7413 -1.08176e-16 5.86282 + endloop + endfacet + facet normal -0.916563 8.87933e-17 0.39989 + outer loop + vertex 29.7413 -1.08176e-16 5.86282 + vertex 29.7014 3 5.77142 + vertex 29.7014 -1.28471e-16 5.77142 + endloop + endfacet + facet normal -0.943884 7.33365e-17 0.330278 + outer loop + vertex 29.7743 3 5.95694 + vertex 29.7413 3 5.86282 + vertex 29.7743 -8.7276e-17 5.95694 + endloop + endfacet + facet normal -0.943884 7.33365e-17 0.330278 + outer loop + vertex 29.7743 -8.7276e-17 5.95694 + vertex 29.7413 3 5.86282 + vertex 29.7413 -1.08176e-16 5.86282 + endloop + endfacet + facet normal -0.965926 5.74695e-17 0.25882 + outer loop + vertex 29.8001 3 6.05327 + vertex 29.7743 3 5.95694 + vertex 29.8001 -6.58877e-17 6.05327 + endloop + endfacet + facet normal -0.965926 5.74695e-17 0.25882 + outer loop + vertex 29.8001 -6.58877e-17 6.05327 + vertex 29.7743 3 5.95694 + vertex 29.7743 -8.7276e-17 5.95694 + endloop + endfacet + facet normal -0.982566 4.12816e-17 0.185916 + outer loop + vertex 29.8186 3 6.15125 + vertex 29.8001 3 6.05327 + vertex 29.8186 -4.41309e-17 6.15125 + endloop + endfacet + facet normal -0.982566 4.12816e-17 0.185916 + outer loop + vertex 29.8186 -4.41309e-17 6.15125 + vertex 29.8001 3 6.05327 + vertex 29.8001 -6.58877e-17 6.05327 + endloop + endfacet + facet normal -0.993713 2.48604e-17 0.111961 + outer loop + vertex 29.8298 3 6.25035 + vertex 29.8186 3 6.15125 + vertex 29.8298 -2.21274e-17 6.25035 + endloop + endfacet + facet normal -0.993713 2.48604e-17 0.111961 + outer loop + vertex 29.8298 -2.21274e-17 6.25035 + vertex 29.8186 3 6.15125 + vertex 29.8186 -4.41309e-17 6.15125 + endloop + endfacet + facet normal -0.999301 8.30306e-18 0.0373937 + outer loop + vertex 29.8335 3 6.35 + vertex 29.8298 3 6.25035 + vertex 29.8335 -2.46519e-32 6.35 + endloop + endfacet + facet normal -0.999301 8.30306e-18 0.0373937 + outer loop + vertex 29.8335 -2.46519e-32 6.35 + vertex 29.8298 3 6.25035 + vertex 29.8298 -2.21274e-17 6.25035 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5 3 6.35 + vertex 24.5055 3 6.55934 + vertex 24.5055 3 6.14066 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.5055 3 6.55934 + vertex 24.5219 3 6.76811 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.5219 3 6.76811 + vertex 24.5492 3 6.97574 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.5492 3 6.97574 + vertex 24.5874 3 7.18165 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.5874 3 7.18165 + vertex 24.6363 3 7.38528 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.6363 3 7.38528 + vertex 24.6958 3 7.58607 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.6958 3 7.58607 + vertex 24.7657 3 7.78347 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.7657 3 7.78347 + vertex 24.8458 3 7.97695 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.8458 3 7.97695 + vertex 24.936 3 8.16596 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.936 3 8.16596 + vertex 25.0359 3 8.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.0359 3 8.35 + vertex 25.1453 3 8.52856 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.1453 3 8.52856 + vertex 25.2639 3 8.70114 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.2639 3 8.70114 + vertex 25.3914 3 8.86728 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.3914 3 8.86728 + vertex 25.5274 3 9.02652 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.5274 3 9.02652 + vertex 25.6716 3 9.17843 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.6716 3 9.17843 + vertex 25.8235 3 9.32258 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.8235 3 9.32258 + vertex 25.9827 3 9.45858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.9827 3 9.45858 + vertex 26.1489 3 9.58607 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 26.1489 3 9.58607 + vertex 26.3214 3 9.70468 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 26.3214 3 9.70468 + vertex 26.5 3 9.8141 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 26.5 3 9.8141 + vertex 26.684 3 9.91403 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 26.684 3 9.91403 + vertex 26.8731 3 10.0042 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 26.8731 3 10.0042 + vertex 27.0665 3 10.0843 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.0665 3 10.0843 + vertex 27.2639 3 10.1542 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.2639 3 10.1542 + vertex 27.4647 3 10.2137 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.4647 3 10.2137 + vertex 27.6684 3 10.2626 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.6684 3 10.2626 + vertex 27.8743 3 10.3008 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.8743 3 10.3008 + vertex 28.0819 3 10.3281 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 28.0819 3 10.3281 + vertex 28.2907 3 10.3445 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 28.2907 3 10.3445 + vertex 28.5 3 10.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 28.5 3 10.35 + vertex 28.7093 3 10.3445 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 28.7093 3 10.3445 + vertex 28.9181 3 10.3281 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 28.9181 3 10.3281 + vertex 29.1257 3 10.3008 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 29.1257 3 10.3008 + vertex 29.3316 3 10.2626 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 29.3316 3 10.2626 + vertex 29.5353 3 10.2137 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 29.5353 3 10.2137 + vertex 29.7361 3 10.1542 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 29.7361 3 10.1542 + vertex 29.9335 3 10.0843 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 29.9335 3 10.0843 + vertex 30.1269 3 10.0042 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 30.1269 3 10.0042 + vertex 30.316 3 9.91403 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 30.316 3 9.91403 + vertex 30.5 3 9.8141 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 30.5 3 9.8141 + vertex 30.6786 3 9.70468 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 30.6786 3 9.70468 + vertex 30.8511 3 9.58607 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 30.8511 3 9.58607 + vertex 31.0173 3 9.45858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 31.0173 3 9.45858 + vertex 31.1765 3 9.32258 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 31.1765 3 9.32258 + vertex 31.3284 3 9.17843 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 31.3284 3 9.17843 + vertex 31.4726 3 9.02652 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.5 3 7.6835 + vertex 31.4726 3 9.02652 + vertex 31.6086 3 8.86728 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.6987 3 7.66861 + vertex 31.6086 3 8.86728 + vertex 31.7361 3 8.70114 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.8931 3 7.62426 + vertex 31.7361 3 8.70114 + vertex 31.8547 3 8.52856 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.0786 3 7.55144 + vertex 31.8547 3 8.52856 + vertex 31.9641 3 8.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.2512 3 7.45179 + vertex 31.9641 3 8.35 + vertex 32.064 3 8.16596 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.407 3 7.32752 + vertex 32.064 3 8.16596 + vertex 32.1542 3 7.97695 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.4775 3 7.25701 + vertex 32.1542 3 7.97695 + vertex 32.2343 3 7.78347 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.6018 3 7.10119 + vertex 32.2343 3 7.78347 + vertex 32.3042 3 7.58607 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.6548 3 7.01675 + vertex 32.3042 3 7.58607 + vertex 32.3637 3 7.38528 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.7413 3 6.83718 + vertex 32.3637 3 7.38528 + vertex 32.4126 3 7.18165 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.7743 3 6.74306 + vertex 32.4126 3 7.18165 + vertex 32.4508 3 6.97574 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.8001 3 6.64673 + vertex 32.4508 3 6.97574 + vertex 32.4781 3 6.76811 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.8186 3 6.54875 + vertex 32.4781 3 6.76811 + vertex 32.4945 3 6.55934 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.8335 3 6.35 + vertex 32.4945 3 6.55934 + vertex 32.5 3 6.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.8186 3 6.15125 + vertex 32.5 3 6.35 + vertex 32.4945 3 6.14066 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.8001 3 6.05327 + vertex 32.4945 3 6.14066 + vertex 32.4781 3 5.93189 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.7743 3 5.95694 + vertex 32.4781 3 5.93189 + vertex 32.4508 3 5.72426 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.7014 3 5.77142 + vertex 32.4508 3 5.72426 + vertex 32.4126 3 5.51835 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.6548 3 5.68325 + vertex 32.4126 3 5.51835 + vertex 32.3637 3 5.31472 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.5426 3 5.51858 + vertex 32.3637 3 5.31472 + vertex 32.3042 3 5.11393 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.4775 3 5.44299 + vertex 32.3042 3 5.11393 + vertex 32.2343 3 4.91653 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.3314 3 5.30743 + vertex 32.2343 3 4.91653 + vertex 32.1542 3 4.72305 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.2512 3 5.24821 + vertex 32.1542 3 4.72305 + vertex 32.064 3 4.53404 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.9872 3 5.10868 + vertex 32.064 3 4.53404 + vertex 31.9641 3 4.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.7967 3 5.04993 + vertex 31.9641 3 4.35 + vertex 31.8547 3 4.17144 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.5 3 5.0165 + vertex 31.8547 3 4.17144 + vertex 31.7361 3 3.99886 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 31.7361 3 3.99886 + vertex 31.6086 3 3.83272 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 31.6086 3 3.83272 + vertex 31.4726 3 3.67348 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 31.4726 3 3.67348 + vertex 31.3284 3 3.52157 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 31.3284 3 3.52157 + vertex 31.1765 3 3.37742 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 31.1765 3 3.37742 + vertex 31.0173 3 3.24142 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 31.0173 3 3.24142 + vertex 30.8511 3 3.11393 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 30.8511 3 3.11393 + vertex 30.6786 3 2.99532 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 30.6786 3 2.99532 + vertex 30.5 3 2.8859 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 30.5 3 2.8859 + vertex 30.316 3 2.78597 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 30.316 3 2.78597 + vertex 30.1269 3 2.69582 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 30.1269 3 2.69582 + vertex 29.9335 3 2.61568 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 29.9335 3 2.61568 + vertex 29.7361 3 2.54577 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 29.7361 3 2.54577 + vertex 29.5353 3 2.4863 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 29.5353 3 2.4863 + vertex 29.3316 3 2.43741 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 29.3316 3 2.43741 + vertex 29.1257 3 2.39925 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 29.1257 3 2.39925 + vertex 28.9181 3 2.37191 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 28.9181 3 2.37191 + vertex 28.7093 3 2.35548 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 28.7093 3 2.35548 + vertex 28.5 3 2.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 28.5 3 2.35 + vertex 28.2907 3 2.35548 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 28.2907 3 2.35548 + vertex 28.0819 3 2.37191 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 28.0819 3 2.37191 + vertex 27.8743 3 2.39925 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.8743 3 2.39925 + vertex 27.6684 3 2.43741 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.6684 3 2.43741 + vertex 27.4647 3 2.4863 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.4647 3 2.4863 + vertex 27.2639 3 2.54577 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.2639 3 2.54577 + vertex 27.0665 3 2.61568 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.0665 3 2.61568 + vertex 26.8731 3 2.69582 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 26.8731 3 2.69582 + vertex 26.684 3 2.78597 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 26.684 3 2.78597 + vertex 26.5 3 2.8859 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 26.5 3 2.8859 + vertex 26.3214 3 2.99532 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 26.3214 3 2.99532 + vertex 26.1489 3 3.11393 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 26.1489 3 3.11393 + vertex 25.9827 3 3.24142 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.9827 3 3.24142 + vertex 25.8235 3 3.37742 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.8235 3 3.37742 + vertex 25.6716 3 3.52157 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.6716 3 3.52157 + vertex 25.5274 3 3.67348 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.5274 3 3.67348 + vertex 25.3914 3 3.83272 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.3914 3 3.83272 + vertex 25.2639 3 3.99886 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.2639 3 3.99886 + vertex 25.1453 3 4.17144 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.1453 3 4.17144 + vertex 25.0359 3 4.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 25.0359 3 4.35 + vertex 24.936 3 4.53404 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.936 3 4.53404 + vertex 24.8458 3 4.72305 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.8458 3 4.72305 + vertex 24.7657 3 4.91653 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.7657 3 4.91653 + vertex 24.6958 3 5.11393 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.6958 3 5.11393 + vertex 24.6363 3 5.31472 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.6363 3 5.31472 + vertex 24.5874 3 5.51835 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.5874 3 5.51835 + vertex 24.5492 3 5.72426 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 24.5492 3 5.72426 + vertex 24.5219 3 5.93189 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.1702 3 6.25035 + vertex 24.5055 3 6.14066 + vertex 27.1665 3 6.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.1814 3 6.15125 + vertex 24.5055 3 6.14066 + vertex 27.1702 3 6.25035 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.8186 3 6.15125 + vertex 32.4945 3 6.14066 + vertex 29.8001 3 6.05327 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.8335 3 6.35 + vertex 32.5 3 6.35 + vertex 29.8298 3 6.25035 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.6548 3 5.68325 + vertex 32.3637 3 5.31472 + vertex 29.6018 3 5.59881 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.2257 3 5.95694 + vertex 24.5055 3 6.14066 + vertex 27.1999 3 6.05327 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.7743 3 5.95694 + vertex 32.4508 3 5.72426 + vertex 29.7413 3 5.86282 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.2986 3 5.77142 + vertex 24.5055 3 6.14066 + vertex 27.2587 3 5.86282 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.3452 3 5.68325 + vertex 24.5055 3 6.14066 + vertex 27.2986 3 5.77142 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.3982 3 5.59881 + vertex 24.5055 3 6.14066 + vertex 27.3452 3 5.68325 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.5225 3 5.44299 + vertex 24.5055 3 6.14066 + vertex 27.4574 3 5.51858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.3982 3 5.59881 + vertex 27.4574 3 5.51858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.1667 3 5.19515 + vertex 32.064 3 4.53404 + vertex 29.0786 3 5.14856 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.9872 3 5.10868 + vertex 31.9641 3 4.35 + vertex 28.8931 3 5.07574 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.593 3 5.37247 + vertex 27.6686 3 5.30743 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.3013 3 5.03139 + vertex 31.7361 3 3.99886 + vertex 28.2033 3 5.04993 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.7488 3 5.24821 + vertex 27.8332 3 5.19515 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.8332 3 5.19515 + vertex 27.9214 3 5.14856 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 27.9214 3 5.14856 + vertex 28.0128 3 5.10868 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 28.0128 3 5.10868 + vertex 28.1069 3 5.07574 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 28.1069 3 5.07574 + vertex 31.7361 3 3.99886 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.1069 3 5.07574 + vertex 28.2033 3 5.04993 + vertex 31.7361 3 3.99886 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.4003 3 5.02023 + vertex 31.7361 3 3.99886 + vertex 28.3013 3 5.03139 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.5 3 5.0165 + vertex 31.7361 3 3.99886 + vertex 28.4003 3 5.02023 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.5997 3 5.02023 + vertex 31.8547 3 4.17144 + vertex 28.5 3 5.0165 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.6987 3 5.03139 + vertex 31.8547 3 4.17144 + vertex 28.5997 3 5.02023 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.7967 3 5.04993 + vertex 31.8547 3 4.17144 + vertex 28.6987 3 5.03139 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.8931 3 5.07574 + vertex 31.9641 3 4.35 + vertex 28.7967 3 5.04993 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.7488 3 5.24821 + vertex 24.5055 3 6.14066 + vertex 27.6686 3 5.30743 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.0786 3 5.14856 + vertex 32.064 3 4.53404 + vertex 28.9872 3 5.10868 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.593 3 5.37247 + vertex 24.5055 3 6.14066 + vertex 27.5225 3 5.44299 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.2512 3 5.24821 + vertex 32.064 3 4.53404 + vertex 29.1667 3 5.19515 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.3314 3 5.30743 + vertex 32.1542 3 4.72305 + vertex 29.2512 3 5.24821 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.407 3 5.37247 + vertex 32.2343 3 4.91653 + vertex 29.3314 3 5.30743 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.5426 3 5.51858 + vertex 32.3042 3 5.11393 + vertex 29.4775 3 5.44299 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.6018 3 5.59881 + vertex 32.3637 3 5.31472 + vertex 29.5426 3 5.51858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.4775 3 5.44299 + vertex 32.2343 3 4.91653 + vertex 29.407 3 5.37247 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.7014 3 5.77142 + vertex 32.4126 3 5.51835 + vertex 29.6548 3 5.68325 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.7413 3 5.86282 + vertex 32.4508 3 5.72426 + vertex 29.7014 3 5.77142 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.2587 3 5.86282 + vertex 24.5055 3 6.14066 + vertex 27.2257 3 5.95694 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.8001 3 6.05327 + vertex 32.4781 3 5.93189 + vertex 29.7743 3 5.95694 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.8298 3 6.25035 + vertex 32.5 3 6.35 + vertex 29.8186 3 6.15125 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.1999 3 6.05327 + vertex 24.5055 3 6.14066 + vertex 27.1814 3 6.15125 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.8298 3 6.44965 + vertex 32.4945 3 6.55934 + vertex 29.8335 3 6.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.8001 3 6.64673 + vertex 32.4781 3 6.76811 + vertex 29.8186 3 6.54875 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.8186 3 6.54875 + vertex 32.4945 3 6.55934 + vertex 29.8298 3 6.44965 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.7014 3 6.92858 + vertex 32.3637 3 7.38528 + vertex 29.7413 3 6.83718 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.6548 3 7.01675 + vertex 32.3637 3 7.38528 + vertex 29.7014 3 6.92858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.6018 3 7.10119 + vertex 32.3042 3 7.58607 + vertex 29.6548 3 7.01675 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.5426 3 7.18142 + vertex 32.2343 3 7.78347 + vertex 29.6018 3 7.10119 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.4775 3 7.25701 + vertex 32.2343 3 7.78347 + vertex 29.5426 3 7.18142 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.407 3 7.32752 + vertex 32.1542 3 7.97695 + vertex 29.4775 3 7.25701 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.3314 3 7.39257 + vertex 32.064 3 8.16596 + vertex 29.407 3 7.32752 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.2512 3 7.45179 + vertex 32.064 3 8.16596 + vertex 29.3314 3 7.39257 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.1667 3 7.50484 + vertex 31.9641 3 8.35 + vertex 29.2512 3 7.45179 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.0786 3 7.55144 + vertex 31.9641 3 8.35 + vertex 29.1667 3 7.50484 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.9872 3 7.59132 + vertex 31.8547 3 8.52856 + vertex 29.0786 3 7.55144 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.8931 3 7.62426 + vertex 31.8547 3 8.52856 + vertex 28.9872 3 7.59132 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.7967 3 7.65007 + vertex 31.7361 3 8.70114 + vertex 28.8931 3 7.62426 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.6987 3 7.66861 + vertex 31.7361 3 8.70114 + vertex 28.7967 3 7.65007 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.5997 3 7.67977 + vertex 31.6086 3 8.86728 + vertex 28.6987 3 7.66861 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.5 3 7.6835 + vertex 31.6086 3 8.86728 + vertex 28.5997 3 7.67977 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.4003 3 7.67977 + vertex 31.4726 3 9.02652 + vertex 28.5 3 7.6835 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.8332 3 7.50484 + vertex 24.5055 3 6.14066 + vertex 27.9214 3 7.55144 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.3013 3 7.66861 + vertex 31.4726 3 9.02652 + vertex 28.4003 3 7.67977 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.2033 3 7.65007 + vertex 31.4726 3 9.02652 + vertex 28.3013 3 7.66861 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.1069 3 7.62426 + vertex 31.4726 3 9.02652 + vertex 28.2033 3 7.65007 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 28.0128 3 7.59132 + vertex 31.4726 3 9.02652 + vertex 28.1069 3 7.62426 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 31.4726 3 9.02652 + vertex 28.0128 3 7.59132 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 24.5055 3 6.14066 + vertex 28.0128 3 7.59132 + vertex 27.9214 3 7.55144 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.7488 3 7.45179 + vertex 24.5055 3 6.14066 + vertex 27.8332 3 7.50484 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.6686 3 7.39257 + vertex 24.5055 3 6.14066 + vertex 27.7488 3 7.45179 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.593 3 7.32752 + vertex 24.5055 3 6.14066 + vertex 27.6686 3 7.39257 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.5225 3 7.25701 + vertex 24.5055 3 6.14066 + vertex 27.593 3 7.32752 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.4574 3 7.18142 + vertex 24.5055 3 6.14066 + vertex 27.5225 3 7.25701 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.3452 3 7.01675 + vertex 24.5055 3 6.14066 + vertex 27.3982 3 7.10119 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.3982 3 7.10119 + vertex 24.5055 3 6.14066 + vertex 27.4574 3 7.18142 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.2986 3 6.92858 + vertex 24.5055 3 6.14066 + vertex 27.3452 3 7.01675 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.2587 3 6.83718 + vertex 24.5055 3 6.14066 + vertex 27.2986 3 6.92858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.2257 3 6.74306 + vertex 24.5055 3 6.14066 + vertex 27.2587 3 6.83718 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.1999 3 6.64673 + vertex 24.5055 3 6.14066 + vertex 27.2257 3 6.74306 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.1814 3 6.54875 + vertex 24.5055 3 6.14066 + vertex 27.1999 3 6.64673 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.1702 3 6.44965 + vertex 24.5055 3 6.14066 + vertex 27.1814 3 6.54875 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 27.1665 3 6.35 + vertex 24.5055 3 6.14066 + vertex 27.1702 3 6.44965 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.7413 3 6.83718 + vertex 32.4126 3 7.18165 + vertex 29.7743 3 6.74306 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 29.7743 3 6.74306 + vertex 32.4508 3 6.97574 + vertex 29.8001 3 6.64673 + endloop + endfacet + facet normal 0.999301 8.30306e-18 0.0373937 + outer loop + vertex 128.77 -2.21274e-17 6.25035 + vertex 128.77 3 6.25035 + vertex 128.766 3 6.35 + endloop + endfacet + facet normal 0.999301 8.30306e-18 0.0373937 + outer loop + vertex 128.766 -2.46519e-32 6.35 + vertex 128.77 -2.21274e-17 6.25035 + vertex 128.766 3 6.35 + endloop + endfacet + facet normal 0.993713 2.48604e-17 0.111961 + outer loop + vertex 128.781 -4.41309e-17 6.15125 + vertex 128.781 3 6.15125 + vertex 128.77 3 6.25035 + endloop + endfacet + facet normal 0.993713 2.48604e-17 0.111961 + outer loop + vertex 128.77 -2.21274e-17 6.25035 + vertex 128.781 -4.41309e-17 6.15125 + vertex 128.77 3 6.25035 + endloop + endfacet + facet normal 0.982566 4.12816e-17 0.185916 + outer loop + vertex 128.8 -6.58877e-17 6.05327 + vertex 128.8 3 6.05327 + vertex 128.781 3 6.15125 + endloop + endfacet + facet normal 0.982566 4.12816e-17 0.185916 + outer loop + vertex 128.781 -4.41309e-17 6.15125 + vertex 128.8 -6.58877e-17 6.05327 + vertex 128.781 3 6.15125 + endloop + endfacet + facet normal 0.965926 5.74695e-17 0.25882 + outer loop + vertex 128.826 -8.7276e-17 5.95694 + vertex 128.826 3 5.95694 + vertex 128.8 3 6.05327 + endloop + endfacet + facet normal 0.965926 5.74695e-17 0.25882 + outer loop + vertex 128.8 -6.58877e-17 6.05327 + vertex 128.826 -8.7276e-17 5.95694 + vertex 128.8 3 6.05327 + endloop + endfacet + facet normal 0.943884 7.33365e-17 0.330278 + outer loop + vertex 128.859 -1.08176e-16 5.86282 + vertex 128.859 3 5.86282 + vertex 128.826 3 5.95694 + endloop + endfacet + facet normal 0.943884 7.33365e-17 0.330278 + outer loop + vertex 128.826 -8.7276e-17 5.95694 + vertex 128.859 -1.08176e-16 5.86282 + vertex 128.826 3 5.95694 + endloop + endfacet + facet normal 0.916563 8.87933e-17 0.39989 + outer loop + vertex 128.899 -1.28471e-16 5.77142 + vertex 128.899 3 5.77142 + vertex 128.859 3 5.86282 + endloop + endfacet + facet normal 0.916563 8.87933e-17 0.39989 + outer loop + vertex 128.859 -1.08176e-16 5.86282 + vertex 128.899 -1.28471e-16 5.77142 + vertex 128.859 3 5.86282 + endloop + endfacet + facet normal 0.884116 1.03754e-16 0.467268 + outer loop + vertex 128.945 -1.48048e-16 5.68325 + vertex 128.945 3 5.68325 + vertex 128.899 3 5.77142 + endloop + endfacet + facet normal 0.884116 1.03754e-16 0.467268 + outer loop + vertex 128.899 -1.28471e-16 5.77142 + vertex 128.945 -1.48048e-16 5.68325 + vertex 128.899 3 5.77142 + endloop + endfacet + facet normal 0.846721 1.18136e-16 0.532037 + outer loop + vertex 128.998 -1.66797e-16 5.59881 + vertex 128.998 3 5.59881 + vertex 128.945 3 5.68325 + endloop + endfacet + facet normal 0.846721 1.18136e-16 0.532037 + outer loop + vertex 128.945 -1.48048e-16 5.68325 + vertex 128.998 -1.66797e-16 5.59881 + vertex 128.945 3 5.68325 + endloop + endfacet + facet normal 0.804601 1.31854e-16 0.593816 + outer loop + vertex 129.057 -1.84613e-16 5.51858 + vertex 129.057 3 5.51858 + vertex 128.998 3 5.59881 + endloop + endfacet + facet normal 0.804601 1.31854e-16 0.593816 + outer loop + vertex 128.998 -1.66797e-16 5.59881 + vertex 129.057 -1.84613e-16 5.51858 + vertex 128.998 3 5.59881 + endloop + endfacet + facet normal 0.757972 1.44837e-16 0.652287 + outer loop + vertex 129.122 -2.01397e-16 5.44299 + vertex 129.122 3 5.44299 + vertex 129.057 3 5.51858 + endloop + endfacet + facet normal 0.757972 1.44837e-16 0.652287 + outer loop + vertex 129.057 -1.84613e-16 5.51858 + vertex 129.122 -2.01397e-16 5.44299 + vertex 129.057 3 5.51858 + endloop + endfacet + facet normal 0.707107 1.57009e-16 0.707107 + outer loop + vertex 129.193 -2.17054e-16 5.37247 + vertex 129.193 3 5.37247 + vertex 129.122 3 5.44299 + endloop + endfacet + facet normal 0.707107 1.57009e-16 0.707107 + outer loop + vertex 129.122 -2.01397e-16 5.44299 + vertex 129.193 -2.17054e-16 5.37247 + vertex 129.122 3 5.44299 + endloop + endfacet + facet normal 0.652287 1.68304e-16 0.757972 + outer loop + vertex 129.269 -2.31497e-16 5.30743 + vertex 129.269 3 5.30743 + vertex 129.193 3 5.37247 + endloop + endfacet + facet normal 0.652287 1.68304e-16 0.757972 + outer loop + vertex 129.193 -2.17054e-16 5.37247 + vertex 129.269 -2.31497e-16 5.30743 + vertex 129.193 3 5.37247 + endloop + endfacet + facet normal 0.593816 1.78657e-16 0.804601 + outer loop + vertex 129.349 -2.44646e-16 5.24821 + vertex 129.349 3 5.24821 + vertex 129.269 3 5.30743 + endloop + endfacet + facet normal 0.593816 1.78657e-16 0.804601 + outer loop + vertex 129.269 -2.31497e-16 5.30743 + vertex 129.349 -2.44646e-16 5.24821 + vertex 129.269 3 5.30743 + endloop + endfacet + facet normal 0.532037 1.8801e-16 0.846721 + outer loop + vertex 129.433 -2.56427e-16 5.19515 + vertex 129.433 3 5.19515 + vertex 129.349 3 5.24821 + endloop + endfacet + facet normal 0.532037 1.8801e-16 0.846721 + outer loop + vertex 129.349 -2.44646e-16 5.24821 + vertex 129.433 -2.56427e-16 5.19515 + vertex 129.349 3 5.24821 + endloop + endfacet + facet normal 0.467268 1.96313e-16 0.884116 + outer loop + vertex 129.521 -2.66774e-16 5.14856 + vertex 129.521 3 5.14856 + vertex 129.433 3 5.19515 + endloop + endfacet + facet normal 0.467268 1.96313e-16 0.884116 + outer loop + vertex 129.433 -2.56427e-16 5.19515 + vertex 129.521 -2.66774e-16 5.14856 + vertex 129.433 3 5.19515 + endloop + endfacet + facet normal 0.39989 2.03518e-16 0.916563 + outer loop + vertex 129.613 -2.75628e-16 5.10868 + vertex 129.613 3 5.10868 + vertex 129.521 3 5.14856 + endloop + endfacet + facet normal 0.39989 2.03518e-16 0.916563 + outer loop + vertex 129.521 -2.66774e-16 5.14856 + vertex 129.613 -2.75628e-16 5.10868 + vertex 129.521 3 5.14856 + endloop + endfacet + facet normal 0.330278 2.09584e-16 0.943884 + outer loop + vertex 129.707 -2.82942e-16 5.07574 + vertex 129.707 3 5.07574 + vertex 129.613 3 5.10868 + endloop + endfacet + facet normal 0.330278 2.09584e-16 0.943884 + outer loop + vertex 129.613 -2.75628e-16 5.10868 + vertex 129.707 -2.82942e-16 5.07574 + vertex 129.613 3 5.10868 + endloop + endfacet + facet normal 0.25882 2.14479e-16 0.965926 + outer loop + vertex 129.803 -2.88673e-16 5.04993 + vertex 129.803 3 5.04993 + vertex 129.707 3 5.07574 + endloop + endfacet + facet normal 0.25882 2.14479e-16 0.965926 + outer loop + vertex 129.707 -2.82942e-16 5.07574 + vertex 129.803 -2.88673e-16 5.04993 + vertex 129.707 3 5.07574 + endloop + endfacet + facet normal 0.185916 2.18173e-16 0.982566 + outer loop + vertex 129.901 -2.92789e-16 5.03139 + vertex 129.901 3 5.03139 + vertex 129.803 3 5.04993 + endloop + endfacet + facet normal 0.185916 2.18173e-16 0.982566 + outer loop + vertex 129.803 -2.88673e-16 5.04993 + vertex 129.901 -2.92789e-16 5.03139 + vertex 129.803 3 5.04993 + endloop + endfacet + facet normal 0.111961 2.20649e-16 0.993713 + outer loop + vertex 130 -2.95268e-16 5.02023 + vertex 130 3 5.02023 + vertex 129.901 3 5.03139 + endloop + endfacet + facet normal 0.111961 2.20649e-16 0.993713 + outer loop + vertex 129.901 -2.92789e-16 5.03139 + vertex 130 -2.95268e-16 5.02023 + vertex 129.901 3 5.03139 + endloop + endfacet + facet normal 0.0373937 2.21889e-16 0.999301 + outer loop + vertex 130.1 -2.96096e-16 5.0165 + vertex 130.1 3 5.0165 + vertex 130 3 5.02023 + endloop + endfacet + facet normal 0.0373937 2.21889e-16 0.999301 + outer loop + vertex 130 -2.95268e-16 5.02023 + vertex 130.1 -2.96096e-16 5.0165 + vertex 130 3 5.02023 + endloop + endfacet + facet normal -0.0373937 2.21889e-16 0.999301 + outer loop + vertex 130.2 -2.95268e-16 5.02023 + vertex 130.2 3 5.02023 + vertex 130.1 3 5.0165 + endloop + endfacet + facet normal -0.0373937 2.21889e-16 0.999301 + outer loop + vertex 130.1 -2.96096e-16 5.0165 + vertex 130.2 -2.95268e-16 5.02023 + vertex 130.1 3 5.0165 + endloop + endfacet + facet normal -0.111961 2.20649e-16 0.993713 + outer loop + vertex 130.299 -2.92789e-16 5.03139 + vertex 130.299 3 5.03139 + vertex 130.2 3 5.02023 + endloop + endfacet + facet normal -0.111961 2.20649e-16 0.993713 + outer loop + vertex 130.2 -2.95268e-16 5.02023 + vertex 130.299 -2.92789e-16 5.03139 + vertex 130.2 3 5.02023 + endloop + endfacet + facet normal -0.185916 2.18173e-16 0.982566 + outer loop + vertex 130.397 -2.88673e-16 5.04993 + vertex 130.397 3 5.04993 + vertex 130.299 3 5.03139 + endloop + endfacet + facet normal -0.185916 2.18173e-16 0.982566 + outer loop + vertex 130.299 -2.92789e-16 5.03139 + vertex 130.397 -2.88673e-16 5.04993 + vertex 130.299 3 5.03139 + endloop + endfacet + facet normal -0.25882 2.14479e-16 0.965926 + outer loop + vertex 130.493 -2.82942e-16 5.07574 + vertex 130.493 3 5.07574 + vertex 130.397 3 5.04993 + endloop + endfacet + facet normal -0.25882 2.14479e-16 0.965926 + outer loop + vertex 130.397 -2.88673e-16 5.04993 + vertex 130.493 -2.82942e-16 5.07574 + vertex 130.397 3 5.04993 + endloop + endfacet + facet normal -0.330278 2.09584e-16 0.943884 + outer loop + vertex 130.587 -2.75628e-16 5.10868 + vertex 130.587 3 5.10868 + vertex 130.493 3 5.07574 + endloop + endfacet + facet normal -0.330278 2.09584e-16 0.943884 + outer loop + vertex 130.493 -2.82942e-16 5.07574 + vertex 130.587 -2.75628e-16 5.10868 + vertex 130.493 3 5.07574 + endloop + endfacet + facet normal -0.39989 2.03518e-16 0.916563 + outer loop + vertex 130.679 -2.66774e-16 5.14856 + vertex 130.679 3 5.14856 + vertex 130.587 3 5.10868 + endloop + endfacet + facet normal -0.39989 2.03518e-16 0.916563 + outer loop + vertex 130.587 -2.75628e-16 5.10868 + vertex 130.679 -2.66774e-16 5.14856 + vertex 130.587 3 5.10868 + endloop + endfacet + facet normal -0.467268 1.96313e-16 0.884116 + outer loop + vertex 130.767 -2.56427e-16 5.19515 + vertex 130.767 3 5.19515 + vertex 130.679 3 5.14856 + endloop + endfacet + facet normal -0.467268 1.96313e-16 0.884116 + outer loop + vertex 130.679 -2.66774e-16 5.14856 + vertex 130.767 -2.56427e-16 5.19515 + vertex 130.679 3 5.14856 + endloop + endfacet + facet normal -0.532037 1.8801e-16 0.846721 + outer loop + vertex 130.851 -2.44646e-16 5.24821 + vertex 130.851 3 5.24821 + vertex 130.767 3 5.19515 + endloop + endfacet + facet normal -0.532037 1.8801e-16 0.846721 + outer loop + vertex 130.767 -2.56427e-16 5.19515 + vertex 130.851 -2.44646e-16 5.24821 + vertex 130.767 3 5.19515 + endloop + endfacet + facet normal -0.593816 1.78657e-16 0.804601 + outer loop + vertex 130.931 -2.31497e-16 5.30743 + vertex 130.931 3 5.30743 + vertex 130.851 3 5.24821 + endloop + endfacet + facet normal -0.593816 1.78657e-16 0.804601 + outer loop + vertex 130.851 -2.44646e-16 5.24821 + vertex 130.931 -2.31497e-16 5.30743 + vertex 130.851 3 5.24821 + endloop + endfacet + facet normal -0.652287 1.68304e-16 0.757972 + outer loop + vertex 131.007 -2.17054e-16 5.37247 + vertex 131.007 3 5.37247 + vertex 130.931 3 5.30743 + endloop + endfacet + facet normal -0.652287 1.68304e-16 0.757972 + outer loop + vertex 130.931 -2.31497e-16 5.30743 + vertex 131.007 -2.17054e-16 5.37247 + vertex 130.931 3 5.30743 + endloop + endfacet + facet normal -0.707107 1.57009e-16 0.707107 + outer loop + vertex 131.078 3 5.44299 + vertex 131.007 3 5.37247 + vertex 131.078 -2.01397e-16 5.44299 + endloop + endfacet + facet normal -0.707107 1.57009e-16 0.707107 + outer loop + vertex 131.078 -2.01397e-16 5.44299 + vertex 131.007 3 5.37247 + vertex 131.007 -2.17054e-16 5.37247 + endloop + endfacet + facet normal -0.757972 1.44837e-16 0.652287 + outer loop + vertex 131.143 3 5.51858 + vertex 131.078 3 5.44299 + vertex 131.143 -1.84613e-16 5.51858 + endloop + endfacet + facet normal -0.757972 1.44837e-16 0.652287 + outer loop + vertex 131.143 -1.84613e-16 5.51858 + vertex 131.078 3 5.44299 + vertex 131.078 -2.01397e-16 5.44299 + endloop + endfacet + facet normal -0.804601 1.31854e-16 0.593816 + outer loop + vertex 131.202 3 5.59881 + vertex 131.143 3 5.51858 + vertex 131.202 -1.66797e-16 5.59881 + endloop + endfacet + facet normal -0.804601 1.31854e-16 0.593816 + outer loop + vertex 131.202 -1.66797e-16 5.59881 + vertex 131.143 3 5.51858 + vertex 131.143 -1.84613e-16 5.51858 + endloop + endfacet + facet normal -0.846721 1.18136e-16 0.532037 + outer loop + vertex 131.255 3 5.68325 + vertex 131.202 3 5.59881 + vertex 131.255 -1.48048e-16 5.68325 + endloop + endfacet + facet normal -0.846721 1.18136e-16 0.532037 + outer loop + vertex 131.255 -1.48048e-16 5.68325 + vertex 131.202 3 5.59881 + vertex 131.202 -1.66797e-16 5.59881 + endloop + endfacet + facet normal -0.884116 1.03754e-16 0.467268 + outer loop + vertex 131.301 3 5.77142 + vertex 131.255 3 5.68325 + vertex 131.301 -1.28471e-16 5.77142 + endloop + endfacet + facet normal -0.884116 1.03754e-16 0.467268 + outer loop + vertex 131.301 -1.28471e-16 5.77142 + vertex 131.255 3 5.68325 + vertex 131.255 -1.48048e-16 5.68325 + endloop + endfacet + facet normal -0.916563 8.87933e-17 0.39989 + outer loop + vertex 131.341 3 5.86282 + vertex 131.301 3 5.77142 + vertex 131.341 -1.08176e-16 5.86282 + endloop + endfacet + facet normal -0.916563 8.87933e-17 0.39989 + outer loop + vertex 131.341 -1.08176e-16 5.86282 + vertex 131.301 3 5.77142 + vertex 131.301 -1.28471e-16 5.77142 + endloop + endfacet + facet normal -0.943884 7.33365e-17 0.330278 + outer loop + vertex 131.374 3 5.95694 + vertex 131.341 3 5.86282 + vertex 131.374 -8.7276e-17 5.95694 + endloop + endfacet + facet normal -0.943884 7.33365e-17 0.330278 + outer loop + vertex 131.374 -8.7276e-17 5.95694 + vertex 131.341 3 5.86282 + vertex 131.341 -1.08176e-16 5.86282 + endloop + endfacet + facet normal -0.965926 5.74695e-17 0.25882 + outer loop + vertex 131.4 3 6.05327 + vertex 131.374 3 5.95694 + vertex 131.4 -6.58877e-17 6.05327 + endloop + endfacet + facet normal -0.965926 5.74695e-17 0.25882 + outer loop + vertex 131.4 -6.58877e-17 6.05327 + vertex 131.374 3 5.95694 + vertex 131.374 -8.7276e-17 5.95694 + endloop + endfacet + facet normal -0.982566 4.12816e-17 0.185916 + outer loop + vertex 131.419 3 6.15125 + vertex 131.4 3 6.05327 + vertex 131.419 -4.41309e-17 6.15125 + endloop + endfacet + facet normal -0.982566 4.12816e-17 0.185916 + outer loop + vertex 131.419 -4.41309e-17 6.15125 + vertex 131.4 3 6.05327 + vertex 131.4 -6.58877e-17 6.05327 + endloop + endfacet + facet normal -0.993713 2.48604e-17 0.111961 + outer loop + vertex 131.43 3 6.25035 + vertex 131.419 3 6.15125 + vertex 131.43 -2.21274e-17 6.25035 + endloop + endfacet + facet normal -0.993713 2.48604e-17 0.111961 + outer loop + vertex 131.43 -2.21274e-17 6.25035 + vertex 131.419 3 6.15125 + vertex 131.419 -4.41309e-17 6.15125 + endloop + endfacet + facet normal -0.999301 8.30306e-18 0.0373937 + outer loop + vertex 131.433 3 6.35 + vertex 131.43 3 6.25035 + vertex 131.433 -2.46519e-32 6.35 + endloop + endfacet + facet normal -0.999301 8.30306e-18 0.0373937 + outer loop + vertex 131.433 -2.46519e-32 6.35 + vertex 131.43 3 6.25035 + vertex 131.43 -2.21274e-17 6.25035 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.1 3 6.35 + vertex 126.105 3 6.55934 + vertex 126.105 3 6.14066 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.105 3 6.55934 + vertex 126.122 3 6.76811 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.122 3 6.76811 + vertex 126.149 3 6.97574 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.149 3 6.97574 + vertex 126.187 3 7.18165 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.187 3 7.18165 + vertex 126.236 3 7.38528 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.236 3 7.38528 + vertex 126.296 3 7.58607 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.296 3 7.58607 + vertex 126.366 3 7.78347 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.366 3 7.78347 + vertex 126.446 3 7.97695 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.446 3 7.97695 + vertex 126.536 3 8.16596 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.536 3 8.16596 + vertex 126.636 3 8.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.636 3 8.35 + vertex 126.745 3 8.52856 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.745 3 8.52856 + vertex 126.864 3 8.70114 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.864 3 8.70114 + vertex 126.991 3 8.86728 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.991 3 8.86728 + vertex 127.127 3 9.02652 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 127.127 3 9.02652 + vertex 127.272 3 9.17843 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 127.272 3 9.17843 + vertex 127.423 3 9.32258 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 127.423 3 9.32258 + vertex 127.583 3 9.45858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 127.583 3 9.45858 + vertex 127.749 3 9.58607 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 127.749 3 9.58607 + vertex 127.921 3 9.70468 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 127.921 3 9.70468 + vertex 128.1 3 9.8141 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 128.1 3 9.8141 + vertex 128.284 3 9.91403 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 128.284 3 9.91403 + vertex 128.473 3 10.0042 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 128.473 3 10.0042 + vertex 128.667 3 10.0843 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 128.667 3 10.0843 + vertex 128.864 3 10.1542 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 128.864 3 10.1542 + vertex 129.065 3 10.2137 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.065 3 10.2137 + vertex 129.268 3 10.2626 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.268 3 10.2626 + vertex 129.474 3 10.3008 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.474 3 10.3008 + vertex 129.682 3 10.3281 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.682 3 10.3281 + vertex 129.891 3 10.3445 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.891 3 10.3445 + vertex 130.1 3 10.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 130.1 3 10.35 + vertex 130.309 3 10.3445 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 130.309 3 10.3445 + vertex 130.518 3 10.3281 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 130.518 3 10.3281 + vertex 130.726 3 10.3008 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 130.726 3 10.3008 + vertex 130.932 3 10.2626 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 130.932 3 10.2626 + vertex 131.135 3 10.2137 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 131.135 3 10.2137 + vertex 131.336 3 10.1542 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 131.336 3 10.1542 + vertex 131.533 3 10.0843 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 131.533 3 10.0843 + vertex 131.727 3 10.0042 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 131.727 3 10.0042 + vertex 131.916 3 9.91403 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 131.916 3 9.91403 + vertex 132.1 3 9.8141 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 132.1 3 9.8141 + vertex 132.279 3 9.70468 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 132.279 3 9.70468 + vertex 132.451 3 9.58607 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 132.451 3 9.58607 + vertex 132.617 3 9.45858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 132.617 3 9.45858 + vertex 132.777 3 9.32258 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 132.777 3 9.32258 + vertex 132.928 3 9.17843 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 132.928 3 9.17843 + vertex 133.073 3 9.02652 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.1 3 7.6835 + vertex 133.073 3 9.02652 + vertex 133.209 3 8.86728 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.299 3 7.66861 + vertex 133.209 3 8.86728 + vertex 133.336 3 8.70114 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.493 3 7.62426 + vertex 133.336 3 8.70114 + vertex 133.455 3 8.52856 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.679 3 7.55144 + vertex 133.455 3 8.52856 + vertex 133.564 3 8.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.851 3 7.45179 + vertex 133.564 3 8.35 + vertex 133.664 3 8.16596 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.007 3 7.32752 + vertex 133.664 3 8.16596 + vertex 133.754 3 7.97695 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.078 3 7.25701 + vertex 133.754 3 7.97695 + vertex 133.834 3 7.78347 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.202 3 7.10119 + vertex 133.834 3 7.78347 + vertex 133.904 3 7.58607 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.255 3 7.01675 + vertex 133.904 3 7.58607 + vertex 133.964 3 7.38528 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.341 3 6.83718 + vertex 133.964 3 7.38528 + vertex 134.013 3 7.18165 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.374 3 6.74306 + vertex 134.013 3 7.18165 + vertex 134.051 3 6.97574 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.4 3 6.64673 + vertex 134.051 3 6.97574 + vertex 134.078 3 6.76811 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.419 3 6.54875 + vertex 134.078 3 6.76811 + vertex 134.095 3 6.55934 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.433 3 6.35 + vertex 134.095 3 6.55934 + vertex 134.1 3 6.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.419 3 6.15125 + vertex 134.1 3 6.35 + vertex 134.095 3 6.14066 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.4 3 6.05327 + vertex 134.095 3 6.14066 + vertex 134.078 3 5.93189 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.374 3 5.95694 + vertex 134.078 3 5.93189 + vertex 134.051 3 5.72426 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.301 3 5.77142 + vertex 134.051 3 5.72426 + vertex 134.013 3 5.51835 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.255 3 5.68325 + vertex 134.013 3 5.51835 + vertex 133.964 3 5.31472 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.143 3 5.51858 + vertex 133.964 3 5.31472 + vertex 133.904 3 5.11393 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.078 3 5.44299 + vertex 133.904 3 5.11393 + vertex 133.834 3 4.91653 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.931 3 5.30743 + vertex 133.834 3 4.91653 + vertex 133.754 3 4.72305 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.851 3 5.24821 + vertex 133.754 3 4.72305 + vertex 133.664 3 4.53404 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.587 3 5.10868 + vertex 133.664 3 4.53404 + vertex 133.564 3 4.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.397 3 5.04993 + vertex 133.564 3 4.35 + vertex 133.455 3 4.17144 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.1 3 5.0165 + vertex 133.455 3 4.17144 + vertex 133.336 3 3.99886 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 133.336 3 3.99886 + vertex 133.209 3 3.83272 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 133.209 3 3.83272 + vertex 133.073 3 3.67348 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 133.073 3 3.67348 + vertex 132.928 3 3.52157 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 132.928 3 3.52157 + vertex 132.777 3 3.37742 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 132.777 3 3.37742 + vertex 132.617 3 3.24142 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 132.617 3 3.24142 + vertex 132.451 3 3.11393 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 132.451 3 3.11393 + vertex 132.279 3 2.99532 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 132.279 3 2.99532 + vertex 132.1 3 2.8859 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 132.1 3 2.8859 + vertex 131.916 3 2.78597 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 131.916 3 2.78597 + vertex 131.727 3 2.69582 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 131.727 3 2.69582 + vertex 131.533 3 2.61568 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 131.533 3 2.61568 + vertex 131.336 3 2.54577 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 131.336 3 2.54577 + vertex 131.135 3 2.4863 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 131.135 3 2.4863 + vertex 130.932 3 2.43741 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 130.932 3 2.43741 + vertex 130.726 3 2.39925 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 130.726 3 2.39925 + vertex 130.518 3 2.37191 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 130.518 3 2.37191 + vertex 130.309 3 2.35548 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 130.309 3 2.35548 + vertex 130.1 3 2.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 130.1 3 2.35 + vertex 129.891 3 2.35548 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.891 3 2.35548 + vertex 129.682 3 2.37191 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.682 3 2.37191 + vertex 129.474 3 2.39925 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.474 3 2.39925 + vertex 129.268 3 2.43741 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.268 3 2.43741 + vertex 129.065 3 2.4863 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.065 3 2.4863 + vertex 128.864 3 2.54577 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 128.864 3 2.54577 + vertex 128.667 3 2.61568 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 128.667 3 2.61568 + vertex 128.473 3 2.69582 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 128.473 3 2.69582 + vertex 128.284 3 2.78597 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 128.284 3 2.78597 + vertex 128.1 3 2.8859 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 128.1 3 2.8859 + vertex 127.921 3 2.99532 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 127.921 3 2.99532 + vertex 127.749 3 3.11393 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 127.749 3 3.11393 + vertex 127.583 3 3.24142 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 127.583 3 3.24142 + vertex 127.423 3 3.37742 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 127.423 3 3.37742 + vertex 127.272 3 3.52157 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 127.272 3 3.52157 + vertex 127.127 3 3.67348 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 127.127 3 3.67348 + vertex 126.991 3 3.83272 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.991 3 3.83272 + vertex 126.864 3 3.99886 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.864 3 3.99886 + vertex 126.745 3 4.17144 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.745 3 4.17144 + vertex 126.636 3 4.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.636 3 4.35 + vertex 126.536 3 4.53404 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.536 3 4.53404 + vertex 126.446 3 4.72305 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.446 3 4.72305 + vertex 126.366 3 4.91653 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.366 3 4.91653 + vertex 126.296 3 5.11393 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.296 3 5.11393 + vertex 126.236 3 5.31472 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.236 3 5.31472 + vertex 126.187 3 5.51835 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.187 3 5.51835 + vertex 126.149 3 5.72426 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 126.149 3 5.72426 + vertex 126.122 3 5.93189 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.77 3 6.25035 + vertex 126.105 3 6.14066 + vertex 128.766 3 6.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.781 3 6.15125 + vertex 126.105 3 6.14066 + vertex 128.77 3 6.25035 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.419 3 6.15125 + vertex 134.095 3 6.14066 + vertex 131.4 3 6.05327 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.433 3 6.35 + vertex 134.1 3 6.35 + vertex 131.43 3 6.25035 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.255 3 5.68325 + vertex 133.964 3 5.31472 + vertex 131.202 3 5.59881 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.826 3 5.95694 + vertex 126.105 3 6.14066 + vertex 128.8 3 6.05327 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.374 3 5.95694 + vertex 134.051 3 5.72426 + vertex 131.341 3 5.86282 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.899 3 5.77142 + vertex 126.105 3 6.14066 + vertex 128.859 3 5.86282 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.945 3 5.68325 + vertex 126.105 3 6.14066 + vertex 128.899 3 5.77142 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.998 3 5.59881 + vertex 126.105 3 6.14066 + vertex 128.945 3 5.68325 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.122 3 5.44299 + vertex 126.105 3 6.14066 + vertex 129.057 3 5.51858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 128.998 3 5.59881 + vertex 129.057 3 5.51858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.767 3 5.19515 + vertex 133.664 3 4.53404 + vertex 130.679 3 5.14856 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.587 3 5.10868 + vertex 133.564 3 4.35 + vertex 130.493 3 5.07574 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.193 3 5.37247 + vertex 129.269 3 5.30743 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.901 3 5.03139 + vertex 133.336 3 3.99886 + vertex 129.803 3 5.04993 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.349 3 5.24821 + vertex 129.433 3 5.19515 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.433 3 5.19515 + vertex 129.521 3 5.14856 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.521 3 5.14856 + vertex 129.613 3 5.10868 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.613 3 5.10868 + vertex 129.707 3 5.07574 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.707 3 5.07574 + vertex 133.336 3 3.99886 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.707 3 5.07574 + vertex 129.803 3 5.04993 + vertex 133.336 3 3.99886 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130 3 5.02023 + vertex 133.336 3 3.99886 + vertex 129.901 3 5.03139 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.1 3 5.0165 + vertex 133.336 3 3.99886 + vertex 130 3 5.02023 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.2 3 5.02023 + vertex 133.455 3 4.17144 + vertex 130.1 3 5.0165 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.299 3 5.03139 + vertex 133.455 3 4.17144 + vertex 130.2 3 5.02023 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.397 3 5.04993 + vertex 133.455 3 4.17144 + vertex 130.299 3 5.03139 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.493 3 5.07574 + vertex 133.564 3 4.35 + vertex 130.397 3 5.04993 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.349 3 5.24821 + vertex 126.105 3 6.14066 + vertex 129.269 3 5.30743 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.679 3 5.14856 + vertex 133.664 3 4.53404 + vertex 130.587 3 5.10868 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.193 3 5.37247 + vertex 126.105 3 6.14066 + vertex 129.122 3 5.44299 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.851 3 5.24821 + vertex 133.664 3 4.53404 + vertex 130.767 3 5.19515 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.931 3 5.30743 + vertex 133.754 3 4.72305 + vertex 130.851 3 5.24821 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.007 3 5.37247 + vertex 133.834 3 4.91653 + vertex 130.931 3 5.30743 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.143 3 5.51858 + vertex 133.904 3 5.11393 + vertex 131.078 3 5.44299 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.202 3 5.59881 + vertex 133.964 3 5.31472 + vertex 131.143 3 5.51858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.078 3 5.44299 + vertex 133.834 3 4.91653 + vertex 131.007 3 5.37247 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.301 3 5.77142 + vertex 134.013 3 5.51835 + vertex 131.255 3 5.68325 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.341 3 5.86282 + vertex 134.051 3 5.72426 + vertex 131.301 3 5.77142 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.859 3 5.86282 + vertex 126.105 3 6.14066 + vertex 128.826 3 5.95694 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.4 3 6.05327 + vertex 134.078 3 5.93189 + vertex 131.374 3 5.95694 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.43 3 6.25035 + vertex 134.1 3 6.35 + vertex 131.419 3 6.15125 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.8 3 6.05327 + vertex 126.105 3 6.14066 + vertex 128.781 3 6.15125 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.43 3 6.44965 + vertex 134.095 3 6.55934 + vertex 131.433 3 6.35 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.4 3 6.64673 + vertex 134.078 3 6.76811 + vertex 131.419 3 6.54875 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.419 3 6.54875 + vertex 134.095 3 6.55934 + vertex 131.43 3 6.44965 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.301 3 6.92858 + vertex 133.964 3 7.38528 + vertex 131.341 3 6.83718 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.255 3 7.01675 + vertex 133.964 3 7.38528 + vertex 131.301 3 6.92858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.202 3 7.10119 + vertex 133.904 3 7.58607 + vertex 131.255 3 7.01675 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.143 3 7.18142 + vertex 133.834 3 7.78347 + vertex 131.202 3 7.10119 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.078 3 7.25701 + vertex 133.834 3 7.78347 + vertex 131.143 3 7.18142 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.007 3 7.32752 + vertex 133.754 3 7.97695 + vertex 131.078 3 7.25701 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.931 3 7.39257 + vertex 133.664 3 8.16596 + vertex 131.007 3 7.32752 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.851 3 7.45179 + vertex 133.664 3 8.16596 + vertex 130.931 3 7.39257 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.767 3 7.50484 + vertex 133.564 3 8.35 + vertex 130.851 3 7.45179 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.679 3 7.55144 + vertex 133.564 3 8.35 + vertex 130.767 3 7.50484 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.587 3 7.59132 + vertex 133.455 3 8.52856 + vertex 130.679 3 7.55144 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.493 3 7.62426 + vertex 133.455 3 8.52856 + vertex 130.587 3 7.59132 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.397 3 7.65007 + vertex 133.336 3 8.70114 + vertex 130.493 3 7.62426 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.299 3 7.66861 + vertex 133.336 3 8.70114 + vertex 130.397 3 7.65007 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.2 3 7.67977 + vertex 133.209 3 8.86728 + vertex 130.299 3 7.66861 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130.1 3 7.6835 + vertex 133.209 3 8.86728 + vertex 130.2 3 7.67977 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 130 3 7.67977 + vertex 133.073 3 9.02652 + vertex 130.1 3 7.6835 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.433 3 7.50484 + vertex 126.105 3 6.14066 + vertex 129.521 3 7.55144 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.901 3 7.66861 + vertex 133.073 3 9.02652 + vertex 130 3 7.67977 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.803 3 7.65007 + vertex 133.073 3 9.02652 + vertex 129.901 3 7.66861 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.707 3 7.62426 + vertex 133.073 3 9.02652 + vertex 129.803 3 7.65007 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.613 3 7.59132 + vertex 133.073 3 9.02652 + vertex 129.707 3 7.62426 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 133.073 3 9.02652 + vertex 129.613 3 7.59132 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 126.105 3 6.14066 + vertex 129.613 3 7.59132 + vertex 129.521 3 7.55144 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.349 3 7.45179 + vertex 126.105 3 6.14066 + vertex 129.433 3 7.50484 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.269 3 7.39257 + vertex 126.105 3 6.14066 + vertex 129.349 3 7.45179 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.193 3 7.32752 + vertex 126.105 3 6.14066 + vertex 129.269 3 7.39257 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.122 3 7.25701 + vertex 126.105 3 6.14066 + vertex 129.193 3 7.32752 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 129.057 3 7.18142 + vertex 126.105 3 6.14066 + vertex 129.122 3 7.25701 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.945 3 7.01675 + vertex 126.105 3 6.14066 + vertex 128.998 3 7.10119 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.998 3 7.10119 + vertex 126.105 3 6.14066 + vertex 129.057 3 7.18142 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.899 3 6.92858 + vertex 126.105 3 6.14066 + vertex 128.945 3 7.01675 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.859 3 6.83718 + vertex 126.105 3 6.14066 + vertex 128.899 3 6.92858 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.826 3 6.74306 + vertex 126.105 3 6.14066 + vertex 128.859 3 6.83718 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.8 3 6.64673 + vertex 126.105 3 6.14066 + vertex 128.826 3 6.74306 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.781 3 6.54875 + vertex 126.105 3 6.14066 + vertex 128.8 3 6.64673 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.77 3 6.44965 + vertex 126.105 3 6.14066 + vertex 128.781 3 6.54875 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 128.766 3 6.35 + vertex 126.105 3 6.14066 + vertex 128.77 3 6.44965 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.341 3 6.83718 + vertex 134.013 3 7.18165 + vertex 131.374 3 6.74306 + endloop + endfacet + facet normal 0 1 -2.22045e-16 + outer loop + vertex 131.374 3 6.74306 + vertex 134.051 3 6.97574 + vertex 131.4 3 6.64673 + endloop + endfacet + facet normal -0.999301 -8.30306e-18 0.0373937 + outer loop + vertex 29.8298 98.6 6.25035 + vertex 29.8335 98.6 6.35 + vertex 29.8298 101.6 6.25035 + endloop + endfacet + facet normal -0.999301 -8.30306e-18 0.0373937 + outer loop + vertex 29.8298 101.6 6.25035 + vertex 29.8335 98.6 6.35 + vertex 29.8335 101.6 6.35 + endloop + endfacet + facet normal -0.993713 -2.48604e-17 0.111961 + outer loop + vertex 29.8186 98.6 6.15125 + vertex 29.8298 98.6 6.25035 + vertex 29.8186 101.6 6.15125 + endloop + endfacet + facet normal -0.993713 -2.48604e-17 0.111961 + outer loop + vertex 29.8186 101.6 6.15125 + vertex 29.8298 98.6 6.25035 + vertex 29.8298 101.6 6.25035 + endloop + endfacet + facet normal -0.982566 -4.12816e-17 0.185916 + outer loop + vertex 29.8001 98.6 6.05327 + vertex 29.8186 98.6 6.15125 + vertex 29.8001 101.6 6.05327 + endloop + endfacet + facet normal -0.982566 -4.12816e-17 0.185916 + outer loop + vertex 29.8001 101.6 6.05327 + vertex 29.8186 98.6 6.15125 + vertex 29.8186 101.6 6.15125 + endloop + endfacet + facet normal -0.965926 -5.74695e-17 0.25882 + outer loop + vertex 29.7743 98.6 5.95694 + vertex 29.8001 98.6 6.05327 + vertex 29.7743 101.6 5.95694 + endloop + endfacet + facet normal -0.965926 -5.74695e-17 0.25882 + outer loop + vertex 29.7743 101.6 5.95694 + vertex 29.8001 98.6 6.05327 + vertex 29.8001 101.6 6.05327 + endloop + endfacet + facet normal -0.943884 -7.33365e-17 0.330278 + outer loop + vertex 29.7413 98.6 5.86282 + vertex 29.7743 98.6 5.95694 + vertex 29.7413 101.6 5.86282 + endloop + endfacet + facet normal -0.943884 -7.33365e-17 0.330278 + outer loop + vertex 29.7413 101.6 5.86282 + vertex 29.7743 98.6 5.95694 + vertex 29.7743 101.6 5.95694 + endloop + endfacet + facet normal -0.916563 -8.87933e-17 0.39989 + outer loop + vertex 29.7014 98.6 5.77142 + vertex 29.7413 98.6 5.86282 + vertex 29.7014 101.6 5.77142 + endloop + endfacet + facet normal -0.916563 -8.87933e-17 0.39989 + outer loop + vertex 29.7014 101.6 5.77142 + vertex 29.7413 98.6 5.86282 + vertex 29.7413 101.6 5.86282 + endloop + endfacet + facet normal -0.884116 -1.03754e-16 0.467268 + outer loop + vertex 29.6548 98.6 5.68325 + vertex 29.7014 98.6 5.77142 + vertex 29.6548 101.6 5.68325 + endloop + endfacet + facet normal -0.884116 -1.03754e-16 0.467268 + outer loop + vertex 29.6548 101.6 5.68325 + vertex 29.7014 98.6 5.77142 + vertex 29.7014 101.6 5.77142 + endloop + endfacet + facet normal -0.846721 -1.18136e-16 0.532037 + outer loop + vertex 29.6018 98.6 5.59881 + vertex 29.6548 98.6 5.68325 + vertex 29.6018 101.6 5.59881 + endloop + endfacet + facet normal -0.846721 -1.18136e-16 0.532037 + outer loop + vertex 29.6018 101.6 5.59881 + vertex 29.6548 98.6 5.68325 + vertex 29.6548 101.6 5.68325 + endloop + endfacet + facet normal -0.804601 -1.31854e-16 0.593816 + outer loop + vertex 29.5426 98.6 5.51858 + vertex 29.6018 98.6 5.59881 + vertex 29.5426 101.6 5.51858 + endloop + endfacet + facet normal -0.804601 -1.31854e-16 0.593816 + outer loop + vertex 29.5426 101.6 5.51858 + vertex 29.6018 98.6 5.59881 + vertex 29.6018 101.6 5.59881 + endloop + endfacet + facet normal -0.757972 -1.44837e-16 0.652287 + outer loop + vertex 29.4775 98.6 5.44299 + vertex 29.5426 98.6 5.51858 + vertex 29.4775 101.6 5.44299 + endloop + endfacet + facet normal -0.757972 -1.44837e-16 0.652287 + outer loop + vertex 29.4775 101.6 5.44299 + vertex 29.5426 98.6 5.51858 + vertex 29.5426 101.6 5.51858 + endloop + endfacet + facet normal -0.707107 -1.57009e-16 0.707107 + outer loop + vertex 29.407 98.6 5.37247 + vertex 29.4775 98.6 5.44299 + vertex 29.407 101.6 5.37247 + endloop + endfacet + facet normal -0.707107 -1.57009e-16 0.707107 + outer loop + vertex 29.407 101.6 5.37247 + vertex 29.4775 98.6 5.44299 + vertex 29.4775 101.6 5.44299 + endloop + endfacet + facet normal -0.652287 -1.68304e-16 0.757972 + outer loop + vertex 29.3314 101.6 5.30743 + vertex 29.3314 98.6 5.30743 + vertex 29.407 98.6 5.37247 + endloop + endfacet + facet normal -0.652287 -1.68304e-16 0.757972 + outer loop + vertex 29.407 101.6 5.37247 + vertex 29.3314 101.6 5.30743 + vertex 29.407 98.6 5.37247 + endloop + endfacet + facet normal -0.593816 -1.78657e-16 0.804601 + outer loop + vertex 29.2512 101.6 5.24821 + vertex 29.2512 98.6 5.24821 + vertex 29.3314 98.6 5.30743 + endloop + endfacet + facet normal -0.593816 -1.78657e-16 0.804601 + outer loop + vertex 29.3314 101.6 5.30743 + vertex 29.2512 101.6 5.24821 + vertex 29.3314 98.6 5.30743 + endloop + endfacet + facet normal -0.532037 -1.8801e-16 0.846721 + outer loop + vertex 29.1667 101.6 5.19515 + vertex 29.1667 98.6 5.19515 + vertex 29.2512 98.6 5.24821 + endloop + endfacet + facet normal -0.532037 -1.8801e-16 0.846721 + outer loop + vertex 29.2512 101.6 5.24821 + vertex 29.1667 101.6 5.19515 + vertex 29.2512 98.6 5.24821 + endloop + endfacet + facet normal -0.467268 -1.96313e-16 0.884116 + outer loop + vertex 29.0786 101.6 5.14856 + vertex 29.0786 98.6 5.14856 + vertex 29.1667 98.6 5.19515 + endloop + endfacet + facet normal -0.467268 -1.96313e-16 0.884116 + outer loop + vertex 29.1667 101.6 5.19515 + vertex 29.0786 101.6 5.14856 + vertex 29.1667 98.6 5.19515 + endloop + endfacet + facet normal -0.39989 -2.03518e-16 0.916563 + outer loop + vertex 28.9872 101.6 5.10868 + vertex 28.9872 98.6 5.10868 + vertex 29.0786 98.6 5.14856 + endloop + endfacet + facet normal -0.39989 -2.03518e-16 0.916563 + outer loop + vertex 29.0786 101.6 5.14856 + vertex 28.9872 101.6 5.10868 + vertex 29.0786 98.6 5.14856 + endloop + endfacet + facet normal -0.330278 -2.09584e-16 0.943884 + outer loop + vertex 28.8931 101.6 5.07574 + vertex 28.8931 98.6 5.07574 + vertex 28.9872 98.6 5.10868 + endloop + endfacet + facet normal -0.330278 -2.09584e-16 0.943884 + outer loop + vertex 28.9872 101.6 5.10868 + vertex 28.8931 101.6 5.07574 + vertex 28.9872 98.6 5.10868 + endloop + endfacet + facet normal -0.25882 -2.14479e-16 0.965926 + outer loop + vertex 28.7967 101.6 5.04993 + vertex 28.7967 98.6 5.04993 + vertex 28.8931 98.6 5.07574 + endloop + endfacet + facet normal -0.25882 -2.14479e-16 0.965926 + outer loop + vertex 28.8931 101.6 5.07574 + vertex 28.7967 101.6 5.04993 + vertex 28.8931 98.6 5.07574 + endloop + endfacet + facet normal -0.185916 -2.18173e-16 0.982566 + outer loop + vertex 28.6987 101.6 5.03139 + vertex 28.6987 98.6 5.03139 + vertex 28.7967 98.6 5.04993 + endloop + endfacet + facet normal -0.185916 -2.18173e-16 0.982566 + outer loop + vertex 28.7967 101.6 5.04993 + vertex 28.6987 101.6 5.03139 + vertex 28.7967 98.6 5.04993 + endloop + endfacet + facet normal -0.111961 -2.20649e-16 0.993713 + outer loop + vertex 28.5997 101.6 5.02023 + vertex 28.5997 98.6 5.02023 + vertex 28.6987 98.6 5.03139 + endloop + endfacet + facet normal -0.111961 -2.20649e-16 0.993713 + outer loop + vertex 28.6987 101.6 5.03139 + vertex 28.5997 101.6 5.02023 + vertex 28.6987 98.6 5.03139 + endloop + endfacet + facet normal -0.0373937 -2.21889e-16 0.999301 + outer loop + vertex 28.5 101.6 5.0165 + vertex 28.5 98.6 5.0165 + vertex 28.5997 98.6 5.02023 + endloop + endfacet + facet normal -0.0373937 -2.21889e-16 0.999301 + outer loop + vertex 28.5997 101.6 5.02023 + vertex 28.5 101.6 5.0165 + vertex 28.5997 98.6 5.02023 + endloop + endfacet + facet normal 0.0373937 -2.21889e-16 0.999301 + outer loop + vertex 28.4003 101.6 5.02023 + vertex 28.4003 98.6 5.02023 + vertex 28.5 98.6 5.0165 + endloop + endfacet + facet normal 0.0373937 -2.21889e-16 0.999301 + outer loop + vertex 28.5 101.6 5.0165 + vertex 28.4003 101.6 5.02023 + vertex 28.5 98.6 5.0165 + endloop + endfacet + facet normal 0.111961 -2.20649e-16 0.993713 + outer loop + vertex 28.3013 101.6 5.03139 + vertex 28.3013 98.6 5.03139 + vertex 28.4003 98.6 5.02023 + endloop + endfacet + facet normal 0.111961 -2.20649e-16 0.993713 + outer loop + vertex 28.4003 101.6 5.02023 + vertex 28.3013 101.6 5.03139 + vertex 28.4003 98.6 5.02023 + endloop + endfacet + facet normal 0.185916 -2.18173e-16 0.982566 + outer loop + vertex 28.2033 101.6 5.04993 + vertex 28.2033 98.6 5.04993 + vertex 28.3013 98.6 5.03139 + endloop + endfacet + facet normal 0.185916 -2.18173e-16 0.982566 + outer loop + vertex 28.3013 101.6 5.03139 + vertex 28.2033 101.6 5.04993 + vertex 28.3013 98.6 5.03139 + endloop + endfacet + facet normal 0.25882 -2.14479e-16 0.965926 + outer loop + vertex 28.1069 101.6 5.07574 + vertex 28.1069 98.6 5.07574 + vertex 28.2033 98.6 5.04993 + endloop + endfacet + facet normal 0.25882 -2.14479e-16 0.965926 + outer loop + vertex 28.2033 101.6 5.04993 + vertex 28.1069 101.6 5.07574 + vertex 28.2033 98.6 5.04993 + endloop + endfacet + facet normal 0.330278 -2.09584e-16 0.943884 + outer loop + vertex 28.0128 101.6 5.10868 + vertex 28.0128 98.6 5.10868 + vertex 28.1069 98.6 5.07574 + endloop + endfacet + facet normal 0.330278 -2.09584e-16 0.943884 + outer loop + vertex 28.1069 101.6 5.07574 + vertex 28.0128 101.6 5.10868 + vertex 28.1069 98.6 5.07574 + endloop + endfacet + facet normal 0.39989 -2.03518e-16 0.916563 + outer loop + vertex 27.9214 101.6 5.14856 + vertex 27.9214 98.6 5.14856 + vertex 28.0128 98.6 5.10868 + endloop + endfacet + facet normal 0.39989 -2.03518e-16 0.916563 + outer loop + vertex 28.0128 101.6 5.10868 + vertex 27.9214 101.6 5.14856 + vertex 28.0128 98.6 5.10868 + endloop + endfacet + facet normal 0.467268 -1.96313e-16 0.884116 + outer loop + vertex 27.8332 101.6 5.19515 + vertex 27.8332 98.6 5.19515 + vertex 27.9214 98.6 5.14856 + endloop + endfacet + facet normal 0.467268 -1.96313e-16 0.884116 + outer loop + vertex 27.9214 101.6 5.14856 + vertex 27.8332 101.6 5.19515 + vertex 27.9214 98.6 5.14856 + endloop + endfacet + facet normal 0.532037 -1.8801e-16 0.846721 + outer loop + vertex 27.7488 101.6 5.24821 + vertex 27.7488 98.6 5.24821 + vertex 27.8332 98.6 5.19515 + endloop + endfacet + facet normal 0.532037 -1.8801e-16 0.846721 + outer loop + vertex 27.8332 101.6 5.19515 + vertex 27.7488 101.6 5.24821 + vertex 27.8332 98.6 5.19515 + endloop + endfacet + facet normal 0.593816 -1.78657e-16 0.804601 + outer loop + vertex 27.6686 101.6 5.30743 + vertex 27.6686 98.6 5.30743 + vertex 27.7488 98.6 5.24821 + endloop + endfacet + facet normal 0.593816 -1.78657e-16 0.804601 + outer loop + vertex 27.7488 101.6 5.24821 + vertex 27.6686 101.6 5.30743 + vertex 27.7488 98.6 5.24821 + endloop + endfacet + facet normal 0.652287 -1.68304e-16 0.757972 + outer loop + vertex 27.593 101.6 5.37247 + vertex 27.593 98.6 5.37247 + vertex 27.6686 98.6 5.30743 + endloop + endfacet + facet normal 0.652287 -1.68304e-16 0.757972 + outer loop + vertex 27.6686 101.6 5.30743 + vertex 27.593 101.6 5.37247 + vertex 27.6686 98.6 5.30743 + endloop + endfacet + facet normal 0.707107 -1.57009e-16 0.707107 + outer loop + vertex 27.5225 101.6 5.44299 + vertex 27.5225 98.6 5.44299 + vertex 27.593 98.6 5.37247 + endloop + endfacet + facet normal 0.707107 -1.57009e-16 0.707107 + outer loop + vertex 27.593 101.6 5.37247 + vertex 27.5225 101.6 5.44299 + vertex 27.593 98.6 5.37247 + endloop + endfacet + facet normal 0.757972 -1.44837e-16 0.652287 + outer loop + vertex 27.4574 101.6 5.51858 + vertex 27.4574 98.6 5.51858 + vertex 27.5225 98.6 5.44299 + endloop + endfacet + facet normal 0.757972 -1.44837e-16 0.652287 + outer loop + vertex 27.5225 101.6 5.44299 + vertex 27.4574 101.6 5.51858 + vertex 27.5225 98.6 5.44299 + endloop + endfacet + facet normal 0.804601 -1.31854e-16 0.593816 + outer loop + vertex 27.3982 101.6 5.59881 + vertex 27.3982 98.6 5.59881 + vertex 27.4574 98.6 5.51858 + endloop + endfacet + facet normal 0.804601 -1.31854e-16 0.593816 + outer loop + vertex 27.4574 101.6 5.51858 + vertex 27.3982 101.6 5.59881 + vertex 27.4574 98.6 5.51858 + endloop + endfacet + facet normal 0.846721 -1.18136e-16 0.532037 + outer loop + vertex 27.3452 101.6 5.68325 + vertex 27.3452 98.6 5.68325 + vertex 27.3982 98.6 5.59881 + endloop + endfacet + facet normal 0.846721 -1.18136e-16 0.532037 + outer loop + vertex 27.3982 101.6 5.59881 + vertex 27.3452 101.6 5.68325 + vertex 27.3982 98.6 5.59881 + endloop + endfacet + facet normal 0.884116 -1.03754e-16 0.467268 + outer loop + vertex 27.2986 101.6 5.77142 + vertex 27.2986 98.6 5.77142 + vertex 27.3452 98.6 5.68325 + endloop + endfacet + facet normal 0.884116 -1.03754e-16 0.467268 + outer loop + vertex 27.3452 101.6 5.68325 + vertex 27.2986 101.6 5.77142 + vertex 27.3452 98.6 5.68325 + endloop + endfacet + facet normal 0.916563 -8.87933e-17 0.39989 + outer loop + vertex 27.2587 101.6 5.86282 + vertex 27.2587 98.6 5.86282 + vertex 27.2986 98.6 5.77142 + endloop + endfacet + facet normal 0.916563 -8.87933e-17 0.39989 + outer loop + vertex 27.2986 101.6 5.77142 + vertex 27.2587 101.6 5.86282 + vertex 27.2986 98.6 5.77142 + endloop + endfacet + facet normal 0.943884 -7.33365e-17 0.330278 + outer loop + vertex 27.2257 101.6 5.95694 + vertex 27.2257 98.6 5.95694 + vertex 27.2587 98.6 5.86282 + endloop + endfacet + facet normal 0.943884 -7.33365e-17 0.330278 + outer loop + vertex 27.2587 101.6 5.86282 + vertex 27.2257 101.6 5.95694 + vertex 27.2587 98.6 5.86282 + endloop + endfacet + facet normal 0.965926 -5.74695e-17 0.25882 + outer loop + vertex 27.1999 101.6 6.05327 + vertex 27.1999 98.6 6.05327 + vertex 27.2257 98.6 5.95694 + endloop + endfacet + facet normal 0.965926 -5.74695e-17 0.25882 + outer loop + vertex 27.2257 101.6 5.95694 + vertex 27.1999 101.6 6.05327 + vertex 27.2257 98.6 5.95694 + endloop + endfacet + facet normal 0.982566 -4.12816e-17 0.185916 + outer loop + vertex 27.1814 101.6 6.15125 + vertex 27.1814 98.6 6.15125 + vertex 27.1999 98.6 6.05327 + endloop + endfacet + facet normal 0.982566 -4.12816e-17 0.185916 + outer loop + vertex 27.1999 101.6 6.05327 + vertex 27.1814 101.6 6.15125 + vertex 27.1999 98.6 6.05327 + endloop + endfacet + facet normal 0.993713 -2.48604e-17 0.111961 + outer loop + vertex 27.1702 101.6 6.25035 + vertex 27.1702 98.6 6.25035 + vertex 27.1814 98.6 6.15125 + endloop + endfacet + facet normal 0.993713 -2.48604e-17 0.111961 + outer loop + vertex 27.1814 101.6 6.15125 + vertex 27.1702 101.6 6.25035 + vertex 27.1814 98.6 6.15125 + endloop + endfacet + facet normal 0.999301 -8.30306e-18 0.0373937 + outer loop + vertex 27.1665 101.6 6.35 + vertex 27.1665 98.6 6.35 + vertex 27.1702 98.6 6.25035 + endloop + endfacet + facet normal 0.999301 -8.30306e-18 0.0373937 + outer loop + vertex 27.1702 101.6 6.25035 + vertex 27.1665 101.6 6.35 + vertex 27.1702 98.6 6.25035 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.5055 98.6 6.14066 + vertex 24.5219 98.6 5.93189 + vertex 24.5492 98.6 5.72426 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.6363 98.6 5.31472 + vertex 24.5055 98.6 6.14066 + vertex 24.5874 98.6 5.51835 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.5874 98.6 5.51835 + vertex 24.5055 98.6 6.14066 + vertex 24.5492 98.6 5.72426 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.6958 98.6 5.11393 + vertex 24.5055 98.6 6.14066 + vertex 24.6363 98.6 5.31472 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.7657 98.6 4.91653 + vertex 24.5055 98.6 6.14066 + vertex 24.6958 98.6 5.11393 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.8458 98.6 4.72305 + vertex 24.5055 98.6 6.14066 + vertex 24.7657 98.6 4.91653 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.936 98.6 4.53404 + vertex 24.5055 98.6 6.14066 + vertex 24.8458 98.6 4.72305 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.0359 98.6 4.35 + vertex 24.5055 98.6 6.14066 + vertex 24.936 98.6 4.53404 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.1453 98.6 4.17144 + vertex 24.5055 98.6 6.14066 + vertex 25.0359 98.6 4.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.2639 98.6 3.99886 + vertex 24.5055 98.6 6.14066 + vertex 25.1453 98.6 4.17144 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.3914 98.6 3.83272 + vertex 24.5055 98.6 6.14066 + vertex 25.2639 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.5274 98.6 3.67348 + vertex 24.5055 98.6 6.14066 + vertex 25.3914 98.6 3.83272 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.6716 98.6 3.52157 + vertex 24.5055 98.6 6.14066 + vertex 25.5274 98.6 3.67348 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.8235 98.6 3.37742 + vertex 24.5055 98.6 6.14066 + vertex 25.6716 98.6 3.52157 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.9827 98.6 3.24142 + vertex 24.5055 98.6 6.14066 + vertex 25.8235 98.6 3.37742 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 26.1489 98.6 3.11393 + vertex 24.5055 98.6 6.14066 + vertex 25.9827 98.6 3.24142 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 26.3214 98.6 2.99532 + vertex 24.5055 98.6 6.14066 + vertex 26.1489 98.6 3.11393 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 26.5 98.6 2.8859 + vertex 24.5055 98.6 6.14066 + vertex 26.3214 98.6 2.99532 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 26.684 98.6 2.78597 + vertex 24.5055 98.6 6.14066 + vertex 26.5 98.6 2.8859 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 26.8731 98.6 2.69582 + vertex 24.5055 98.6 6.14066 + vertex 26.684 98.6 2.78597 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.0665 98.6 2.61568 + vertex 24.5055 98.6 6.14066 + vertex 26.8731 98.6 2.69582 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.2639 98.6 2.54577 + vertex 24.5055 98.6 6.14066 + vertex 27.0665 98.6 2.61568 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.4647 98.6 2.4863 + vertex 24.5055 98.6 6.14066 + vertex 27.2639 98.6 2.54577 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.6684 98.6 2.43741 + vertex 24.5055 98.6 6.14066 + vertex 27.4647 98.6 2.4863 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.8743 98.6 2.39925 + vertex 24.5055 98.6 6.14066 + vertex 27.6684 98.6 2.43741 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.0819 98.6 2.37191 + vertex 24.5055 98.6 6.14066 + vertex 27.8743 98.6 2.39925 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.2907 98.6 2.35548 + vertex 24.5055 98.6 6.14066 + vertex 28.0819 98.6 2.37191 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.5 98.6 2.35 + vertex 24.5055 98.6 6.14066 + vertex 28.2907 98.6 2.35548 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.7093 98.6 2.35548 + vertex 24.5055 98.6 6.14066 + vertex 28.5 98.6 2.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.9181 98.6 2.37191 + vertex 24.5055 98.6 6.14066 + vertex 28.7093 98.6 2.35548 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.1257 98.6 2.39925 + vertex 24.5055 98.6 6.14066 + vertex 28.9181 98.6 2.37191 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.3316 98.6 2.43741 + vertex 24.5055 98.6 6.14066 + vertex 29.1257 98.6 2.39925 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.5353 98.6 2.4863 + vertex 24.5055 98.6 6.14066 + vertex 29.3316 98.6 2.43741 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.7361 98.6 2.54577 + vertex 24.5055 98.6 6.14066 + vertex 29.5353 98.6 2.4863 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.9335 98.6 2.61568 + vertex 24.5055 98.6 6.14066 + vertex 29.7361 98.6 2.54577 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 30.1269 98.6 2.69582 + vertex 24.5055 98.6 6.14066 + vertex 29.9335 98.6 2.61568 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 30.316 98.6 2.78597 + vertex 24.5055 98.6 6.14066 + vertex 30.1269 98.6 2.69582 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 30.5 98.6 2.8859 + vertex 24.5055 98.6 6.14066 + vertex 30.316 98.6 2.78597 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 30.6786 98.6 2.99532 + vertex 24.5055 98.6 6.14066 + vertex 30.5 98.6 2.8859 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 30.8511 98.6 3.11393 + vertex 24.5055 98.6 6.14066 + vertex 30.6786 98.6 2.99532 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.0173 98.6 3.24142 + vertex 24.5055 98.6 6.14066 + vertex 30.8511 98.6 3.11393 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.1765 98.6 3.37742 + vertex 24.5055 98.6 6.14066 + vertex 31.0173 98.6 3.24142 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.3284 98.6 3.52157 + vertex 24.5055 98.6 6.14066 + vertex 31.1765 98.6 3.37742 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.4726 98.6 3.67348 + vertex 24.5055 98.6 6.14066 + vertex 31.3284 98.6 3.52157 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.6086 98.6 3.83272 + vertex 24.5055 98.6 6.14066 + vertex 31.4726 98.6 3.67348 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.7361 98.6 3.99886 + vertex 24.5055 98.6 6.14066 + vertex 31.6086 98.6 3.83272 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.1069 98.6 5.07574 + vertex 24.5055 98.6 6.14066 + vertex 31.7361 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.1069 98.6 5.07574 + vertex 28.0128 98.6 5.10868 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.6987 98.6 5.03139 + vertex 28.5997 98.6 5.02023 + vertex 31.8547 98.6 4.17144 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.1667 98.6 5.19515 + vertex 29.0786 98.6 5.14856 + vertex 32.064 98.6 4.53404 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.3314 98.6 5.30743 + vertex 29.2512 98.6 5.24821 + vertex 32.1542 98.6 4.72305 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.407 98.6 5.37247 + vertex 29.3314 98.6 5.30743 + vertex 32.2343 98.6 4.91653 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.7014 98.6 5.77142 + vertex 29.6548 98.6 5.68325 + vertex 32.4126 98.6 5.51835 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.6548 98.6 5.68325 + vertex 29.6018 98.6 5.59881 + vertex 32.3637 98.6 5.31472 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.8186 98.6 6.15125 + vertex 29.8001 98.6 6.05327 + vertex 32.4945 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.8001 98.6 6.05327 + vertex 29.7743 98.6 5.95694 + vertex 32.4781 98.6 5.93189 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.1702 98.6 6.44965 + vertex 27.1814 98.6 6.54875 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.7743 98.6 6.74306 + vertex 29.8001 98.6 6.64673 + vertex 32.4508 98.6 6.97574 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.8001 98.6 6.64673 + vertex 29.8186 98.6 6.54875 + vertex 32.4781 98.6 6.76811 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.1999 98.6 6.64673 + vertex 27.2257 98.6 6.74306 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.4775 98.6 7.25701 + vertex 29.5426 98.6 7.18142 + vertex 32.2343 98.6 7.78347 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.2587 98.6 6.83718 + vertex 27.2986 98.6 6.92858 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.7967 98.6 7.65007 + vertex 28.8931 98.6 7.62426 + vertex 31.7361 98.6 8.70114 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.3284 98.6 9.17843 + vertex 24.5055 98.6 6.14066 + vertex 31.4726 98.6 9.02652 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.1765 98.6 9.32258 + vertex 24.5055 98.6 6.14066 + vertex 31.3284 98.6 9.17843 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.0173 98.6 9.45858 + vertex 24.5055 98.6 6.14066 + vertex 31.1765 98.6 9.32258 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 30.8511 98.6 9.58607 + vertex 24.5055 98.6 6.14066 + vertex 31.0173 98.6 9.45858 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 30.6786 98.6 9.70468 + vertex 24.5055 98.6 6.14066 + vertex 30.8511 98.6 9.58607 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 30.5 98.6 9.8141 + vertex 24.5055 98.6 6.14066 + vertex 30.6786 98.6 9.70468 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 30.316 98.6 9.91403 + vertex 24.5055 98.6 6.14066 + vertex 30.5 98.6 9.8141 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 30.1269 98.6 10.0042 + vertex 24.5055 98.6 6.14066 + vertex 30.316 98.6 9.91403 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.9335 98.6 10.0843 + vertex 24.5055 98.6 6.14066 + vertex 30.1269 98.6 10.0042 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.7361 98.6 10.1542 + vertex 24.5055 98.6 6.14066 + vertex 29.9335 98.6 10.0843 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.5353 98.6 10.2137 + vertex 24.5055 98.6 6.14066 + vertex 29.7361 98.6 10.1542 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.3316 98.6 10.2626 + vertex 24.5055 98.6 6.14066 + vertex 29.5353 98.6 10.2137 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.1257 98.6 10.3008 + vertex 24.5055 98.6 6.14066 + vertex 29.3316 98.6 10.2626 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.9181 98.6 10.3281 + vertex 24.5055 98.6 6.14066 + vertex 29.1257 98.6 10.3008 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.7093 98.6 10.3445 + vertex 24.5055 98.6 6.14066 + vertex 28.9181 98.6 10.3281 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.5 98.6 10.35 + vertex 24.5055 98.6 6.14066 + vertex 28.7093 98.6 10.3445 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.2907 98.6 10.3445 + vertex 24.5055 98.6 6.14066 + vertex 28.5 98.6 10.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.0819 98.6 10.3281 + vertex 24.5055 98.6 6.14066 + vertex 28.2907 98.6 10.3445 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.8743 98.6 10.3008 + vertex 24.5055 98.6 6.14066 + vertex 28.0819 98.6 10.3281 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.6684 98.6 10.2626 + vertex 24.5055 98.6 6.14066 + vertex 27.8743 98.6 10.3008 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.4647 98.6 10.2137 + vertex 24.5055 98.6 6.14066 + vertex 27.6684 98.6 10.2626 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.2639 98.6 10.1542 + vertex 24.5055 98.6 6.14066 + vertex 27.4647 98.6 10.2137 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.0665 98.6 10.0843 + vertex 24.5055 98.6 6.14066 + vertex 27.2639 98.6 10.1542 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 26.8731 98.6 10.0042 + vertex 24.5055 98.6 6.14066 + vertex 27.0665 98.6 10.0843 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 26.684 98.6 9.91403 + vertex 24.5055 98.6 6.14066 + vertex 26.8731 98.6 10.0042 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 26.5 98.6 9.8141 + vertex 24.5055 98.6 6.14066 + vertex 26.684 98.6 9.91403 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 26.3214 98.6 9.70468 + vertex 24.5055 98.6 6.14066 + vertex 26.5 98.6 9.8141 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 26.1489 98.6 9.58607 + vertex 24.5055 98.6 6.14066 + vertex 26.3214 98.6 9.70468 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.9827 98.6 9.45858 + vertex 24.5055 98.6 6.14066 + vertex 26.1489 98.6 9.58607 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.8235 98.6 9.32258 + vertex 24.5055 98.6 6.14066 + vertex 25.9827 98.6 9.45858 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.6716 98.6 9.17843 + vertex 24.5055 98.6 6.14066 + vertex 25.8235 98.6 9.32258 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.5274 98.6 9.02652 + vertex 24.5055 98.6 6.14066 + vertex 25.6716 98.6 9.17843 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.3914 98.6 8.86728 + vertex 24.5055 98.6 6.14066 + vertex 25.5274 98.6 9.02652 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.2639 98.6 8.70114 + vertex 24.5055 98.6 6.14066 + vertex 25.3914 98.6 8.86728 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.1453 98.6 8.52856 + vertex 24.5055 98.6 6.14066 + vertex 25.2639 98.6 8.70114 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 25.0359 98.6 8.35 + vertex 24.5055 98.6 6.14066 + vertex 25.1453 98.6 8.52856 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.936 98.6 8.16596 + vertex 24.5055 98.6 6.14066 + vertex 25.0359 98.6 8.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.8458 98.6 7.97695 + vertex 24.5055 98.6 6.14066 + vertex 24.936 98.6 8.16596 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.7657 98.6 7.78347 + vertex 24.5055 98.6 6.14066 + vertex 24.8458 98.6 7.97695 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.6958 98.6 7.58607 + vertex 24.5055 98.6 6.14066 + vertex 24.7657 98.6 7.78347 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.6363 98.6 7.38528 + vertex 24.5055 98.6 6.14066 + vertex 24.6958 98.6 7.58607 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.5874 98.6 7.18165 + vertex 24.5055 98.6 6.14066 + vertex 24.6363 98.6 7.38528 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.5492 98.6 6.97574 + vertex 24.5055 98.6 6.14066 + vertex 24.5874 98.6 7.18165 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.5219 98.6 6.76811 + vertex 24.5055 98.6 6.14066 + vertex 24.5492 98.6 6.97574 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.5055 98.6 6.55934 + vertex 24.5055 98.6 6.14066 + vertex 24.5219 98.6 6.76811 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 24.5 98.6 6.35 + vertex 24.5055 98.6 6.14066 + vertex 24.5055 98.6 6.55934 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.7014 98.6 6.92858 + vertex 29.7413 98.6 6.83718 + vertex 32.4126 98.6 7.18165 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.7413 98.6 6.83718 + vertex 29.7743 98.6 6.74306 + vertex 32.4126 98.6 7.18165 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.2257 98.6 6.74306 + vertex 27.2587 98.6 6.83718 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.5426 98.6 7.18142 + vertex 29.6018 98.6 7.10119 + vertex 32.2343 98.6 7.78347 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.2986 98.6 6.92858 + vertex 27.3452 98.6 7.01675 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.407 98.6 7.32752 + vertex 29.4775 98.6 7.25701 + vertex 32.1542 98.6 7.97695 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.6548 98.6 7.01675 + vertex 29.7014 98.6 6.92858 + vertex 32.3637 98.6 7.38528 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.3452 98.6 7.01675 + vertex 27.3982 98.6 7.10119 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.1667 98.6 7.50484 + vertex 29.2512 98.6 7.45179 + vertex 31.9641 98.6 8.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.3013 98.6 7.66861 + vertex 28.4003 98.6 7.67977 + vertex 31.4726 98.6 9.02652 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.9872 98.6 7.59132 + vertex 29.0786 98.6 7.55144 + vertex 31.8547 98.6 8.52856 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.4574 98.6 7.18142 + vertex 24.5055 98.6 6.14066 + vertex 27.3982 98.6 7.10119 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.5225 98.6 7.25701 + vertex 27.593 98.6 7.32752 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.2512 98.6 7.45179 + vertex 29.3314 98.6 7.39257 + vertex 32.064 98.6 8.16596 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.0128 98.6 7.59132 + vertex 28.1069 98.6 7.62426 + vertex 31.4726 98.6 9.02652 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.6987 98.6 7.66861 + vertex 28.7967 98.6 7.65007 + vertex 31.7361 98.6 8.70114 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.6686 98.6 7.39257 + vertex 24.5055 98.6 6.14066 + vertex 27.593 98.6 7.32752 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.7488 98.6 7.45179 + vertex 24.5055 98.6 6.14066 + vertex 27.6686 98.6 7.39257 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.8332 98.6 7.50484 + vertex 24.5055 98.6 6.14066 + vertex 27.7488 98.6 7.45179 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.9214 98.6 7.55144 + vertex 24.5055 98.6 6.14066 + vertex 27.8332 98.6 7.50484 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.0128 98.6 7.59132 + vertex 24.5055 98.6 6.14066 + vertex 27.9214 98.6 7.55144 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.1069 98.6 7.62426 + vertex 28.2033 98.6 7.65007 + vertex 31.4726 98.6 9.02652 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.4726 98.6 9.02652 + vertex 24.5055 98.6 6.14066 + vertex 28.0128 98.6 7.59132 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.2033 98.6 7.65007 + vertex 28.3013 98.6 7.66861 + vertex 31.4726 98.6 9.02652 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.5 98.6 7.6835 + vertex 28.5997 98.6 7.67977 + vertex 31.6086 98.6 8.86728 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.4726 98.6 9.02652 + vertex 28.4003 98.6 7.67977 + vertex 31.6086 98.6 8.86728 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.4003 98.6 7.67977 + vertex 28.5 98.6 7.6835 + vertex 31.6086 98.6 8.86728 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.6086 98.6 8.86728 + vertex 28.5997 98.6 7.67977 + vertex 31.7361 98.6 8.70114 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.5997 98.6 7.67977 + vertex 28.6987 98.6 7.66861 + vertex 31.7361 98.6 8.70114 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.8931 98.6 7.62426 + vertex 28.9872 98.6 7.59132 + vertex 31.8547 98.6 8.52856 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.7361 98.6 8.70114 + vertex 28.8931 98.6 7.62426 + vertex 31.8547 98.6 8.52856 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.0786 98.6 7.55144 + vertex 29.1667 98.6 7.50484 + vertex 31.9641 98.6 8.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.4574 98.6 7.18142 + vertex 27.5225 98.6 7.25701 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.8547 98.6 8.52856 + vertex 29.0786 98.6 7.55144 + vertex 31.9641 98.6 8.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.9641 98.6 8.35 + vertex 29.2512 98.6 7.45179 + vertex 32.064 98.6 8.16596 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.064 98.6 8.16596 + vertex 29.3314 98.6 7.39257 + vertex 32.1542 98.6 7.97695 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.3314 98.6 7.39257 + vertex 29.407 98.6 7.32752 + vertex 32.1542 98.6 7.97695 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.1542 98.6 7.97695 + vertex 29.4775 98.6 7.25701 + vertex 32.2343 98.6 7.78347 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.6018 98.6 7.10119 + vertex 29.6548 98.6 7.01675 + vertex 32.3042 98.6 7.58607 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.2343 98.6 7.78347 + vertex 29.6018 98.6 7.10119 + vertex 32.3042 98.6 7.58607 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.3042 98.6 7.58607 + vertex 29.6548 98.6 7.01675 + vertex 32.3637 98.6 7.38528 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.3637 98.6 7.38528 + vertex 29.7014 98.6 6.92858 + vertex 32.4126 98.6 7.18165 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.4126 98.6 7.18165 + vertex 29.7743 98.6 6.74306 + vertex 32.4508 98.6 6.97574 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.4508 98.6 6.97574 + vertex 29.8001 98.6 6.64673 + vertex 32.4781 98.6 6.76811 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.4781 98.6 6.76811 + vertex 29.8186 98.6 6.54875 + vertex 32.4945 98.6 6.55934 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.8186 98.6 6.54875 + vertex 29.8298 98.6 6.44965 + vertex 32.4945 98.6 6.55934 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.4945 98.6 6.55934 + vertex 29.8335 98.6 6.35 + vertex 32.5 98.6 6.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.5 98.6 6.35 + vertex 29.8298 98.6 6.25035 + vertex 32.4945 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.8298 98.6 6.25035 + vertex 29.8186 98.6 6.15125 + vertex 32.4945 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.4945 98.6 6.14066 + vertex 29.8001 98.6 6.05327 + vertex 32.4781 98.6 5.93189 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.1814 98.6 6.15125 + vertex 27.1702 98.6 6.25035 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.4781 98.6 5.93189 + vertex 29.7743 98.6 5.95694 + vertex 32.4508 98.6 5.72426 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.4508 98.6 5.72426 + vertex 29.7413 98.6 5.86282 + vertex 32.4126 98.6 5.51835 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.7413 98.6 5.86282 + vertex 29.7014 98.6 5.77142 + vertex 32.4126 98.6 5.51835 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.4126 98.6 5.51835 + vertex 29.6548 98.6 5.68325 + vertex 32.3637 98.6 5.31472 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.3637 98.6 5.31472 + vertex 29.6018 98.6 5.59881 + vertex 32.3042 98.6 5.11393 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.2587 98.6 5.86282 + vertex 27.2257 98.6 5.95694 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.6018 98.6 5.59881 + vertex 29.5426 98.6 5.51858 + vertex 32.3042 98.6 5.11393 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.3042 98.6 5.11393 + vertex 29.4775 98.6 5.44299 + vertex 32.2343 98.6 4.91653 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.4775 98.6 5.44299 + vertex 29.407 98.6 5.37247 + vertex 32.2343 98.6 4.91653 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.2343 98.6 4.91653 + vertex 29.3314 98.6 5.30743 + vertex 32.1542 98.6 4.72305 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.1542 98.6 4.72305 + vertex 29.2512 98.6 5.24821 + vertex 32.064 98.6 4.53404 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.2512 98.6 5.24821 + vertex 29.1667 98.6 5.19515 + vertex 32.064 98.6 4.53404 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.3982 98.6 5.59881 + vertex 27.3452 98.6 5.68325 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 32.064 98.6 4.53404 + vertex 29.0786 98.6 5.14856 + vertex 31.9641 98.6 4.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.0786 98.6 5.14856 + vertex 28.9872 98.6 5.10868 + vertex 31.9641 98.6 4.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.9641 98.6 4.35 + vertex 28.8931 98.6 5.07574 + vertex 31.8547 98.6 4.17144 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.6686 98.6 5.30743 + vertex 27.593 98.6 5.37247 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.8931 98.6 5.07574 + vertex 28.7967 98.6 5.04993 + vertex 31.8547 98.6 4.17144 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.7967 98.6 5.04993 + vertex 28.6987 98.6 5.03139 + vertex 31.8547 98.6 4.17144 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 31.8547 98.6 4.17144 + vertex 28.5997 98.6 5.02023 + vertex 31.7361 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.5997 98.6 5.02023 + vertex 28.5 98.6 5.0165 + vertex 31.7361 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.5 98.6 5.0165 + vertex 28.4003 98.6 5.02023 + vertex 31.7361 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.4003 98.6 5.02023 + vertex 28.3013 98.6 5.03139 + vertex 31.7361 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.3013 98.6 5.03139 + vertex 28.2033 98.6 5.04993 + vertex 31.7361 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.2033 98.6 5.04993 + vertex 28.1069 98.6 5.07574 + vertex 31.7361 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.0128 98.6 5.10868 + vertex 27.9214 98.6 5.14856 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.9214 98.6 5.14856 + vertex 27.8332 98.6 5.19515 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.8332 98.6 5.19515 + vertex 27.7488 98.6 5.24821 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.5225 98.6 5.44299 + vertex 27.4574 98.6 5.51858 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.593 98.6 5.37247 + vertex 27.5225 98.6 5.44299 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.7488 98.6 5.24821 + vertex 27.6686 98.6 5.30743 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.4574 98.6 5.51858 + vertex 27.3982 98.6 5.59881 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 28.9872 98.6 5.10868 + vertex 28.8931 98.6 5.07574 + vertex 31.9641 98.6 4.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.3452 98.6 5.68325 + vertex 27.2986 98.6 5.77142 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.2986 98.6 5.77142 + vertex 27.2587 98.6 5.86282 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.5426 98.6 5.51858 + vertex 29.4775 98.6 5.44299 + vertex 32.3042 98.6 5.11393 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.2257 98.6 5.95694 + vertex 27.1999 98.6 6.05327 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.1999 98.6 6.05327 + vertex 27.1814 98.6 6.15125 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.7743 98.6 5.95694 + vertex 29.7413 98.6 5.86282 + vertex 32.4508 98.6 5.72426 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.1702 98.6 6.25035 + vertex 27.1665 98.6 6.35 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.8335 98.6 6.35 + vertex 29.8298 98.6 6.25035 + vertex 32.5 98.6 6.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.1814 98.6 6.54875 + vertex 27.1999 98.6 6.64673 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 29.8298 98.6 6.44965 + vertex 29.8335 98.6 6.35 + vertex 32.4945 98.6 6.55934 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 27.1665 98.6 6.35 + vertex 27.1702 98.6 6.44965 + vertex 24.5055 98.6 6.14066 + endloop + endfacet + facet normal -0.999301 -8.30306e-18 0.0373937 + outer loop + vertex 131.43 98.6 6.25035 + vertex 131.433 98.6 6.35 + vertex 131.43 101.6 6.25035 + endloop + endfacet + facet normal -0.999301 -8.30306e-18 0.0373937 + outer loop + vertex 131.43 101.6 6.25035 + vertex 131.433 98.6 6.35 + vertex 131.433 101.6 6.35 + endloop + endfacet + facet normal -0.993713 -2.48604e-17 0.111961 + outer loop + vertex 131.419 98.6 6.15125 + vertex 131.43 98.6 6.25035 + vertex 131.419 101.6 6.15125 + endloop + endfacet + facet normal -0.993713 -2.48604e-17 0.111961 + outer loop + vertex 131.419 101.6 6.15125 + vertex 131.43 98.6 6.25035 + vertex 131.43 101.6 6.25035 + endloop + endfacet + facet normal -0.982566 -4.12816e-17 0.185916 + outer loop + vertex 131.4 98.6 6.05327 + vertex 131.419 98.6 6.15125 + vertex 131.4 101.6 6.05327 + endloop + endfacet + facet normal -0.982566 -4.12816e-17 0.185916 + outer loop + vertex 131.4 101.6 6.05327 + vertex 131.419 98.6 6.15125 + vertex 131.419 101.6 6.15125 + endloop + endfacet + facet normal -0.965926 -5.74695e-17 0.25882 + outer loop + vertex 131.374 98.6 5.95694 + vertex 131.4 98.6 6.05327 + vertex 131.374 101.6 5.95694 + endloop + endfacet + facet normal -0.965926 -5.74695e-17 0.25882 + outer loop + vertex 131.374 101.6 5.95694 + vertex 131.4 98.6 6.05327 + vertex 131.4 101.6 6.05327 + endloop + endfacet + facet normal -0.943884 -7.33365e-17 0.330278 + outer loop + vertex 131.341 98.6 5.86282 + vertex 131.374 98.6 5.95694 + vertex 131.341 101.6 5.86282 + endloop + endfacet + facet normal -0.943884 -7.33365e-17 0.330278 + outer loop + vertex 131.341 101.6 5.86282 + vertex 131.374 98.6 5.95694 + vertex 131.374 101.6 5.95694 + endloop + endfacet + facet normal -0.916563 -8.87933e-17 0.39989 + outer loop + vertex 131.301 98.6 5.77142 + vertex 131.341 98.6 5.86282 + vertex 131.301 101.6 5.77142 + endloop + endfacet + facet normal -0.916563 -8.87933e-17 0.39989 + outer loop + vertex 131.301 101.6 5.77142 + vertex 131.341 98.6 5.86282 + vertex 131.341 101.6 5.86282 + endloop + endfacet + facet normal -0.884116 -1.03754e-16 0.467268 + outer loop + vertex 131.255 98.6 5.68325 + vertex 131.301 98.6 5.77142 + vertex 131.255 101.6 5.68325 + endloop + endfacet + facet normal -0.884116 -1.03754e-16 0.467268 + outer loop + vertex 131.255 101.6 5.68325 + vertex 131.301 98.6 5.77142 + vertex 131.301 101.6 5.77142 + endloop + endfacet + facet normal -0.846721 -1.18136e-16 0.532037 + outer loop + vertex 131.202 98.6 5.59881 + vertex 131.255 98.6 5.68325 + vertex 131.202 101.6 5.59881 + endloop + endfacet + facet normal -0.846721 -1.18136e-16 0.532037 + outer loop + vertex 131.202 101.6 5.59881 + vertex 131.255 98.6 5.68325 + vertex 131.255 101.6 5.68325 + endloop + endfacet + facet normal -0.804601 -1.31854e-16 0.593816 + outer loop + vertex 131.143 98.6 5.51858 + vertex 131.202 98.6 5.59881 + vertex 131.143 101.6 5.51858 + endloop + endfacet + facet normal -0.804601 -1.31854e-16 0.593816 + outer loop + vertex 131.143 101.6 5.51858 + vertex 131.202 98.6 5.59881 + vertex 131.202 101.6 5.59881 + endloop + endfacet + facet normal -0.757972 -1.44837e-16 0.652287 + outer loop + vertex 131.078 98.6 5.44299 + vertex 131.143 98.6 5.51858 + vertex 131.078 101.6 5.44299 + endloop + endfacet + facet normal -0.757972 -1.44837e-16 0.652287 + outer loop + vertex 131.078 101.6 5.44299 + vertex 131.143 98.6 5.51858 + vertex 131.143 101.6 5.51858 + endloop + endfacet + facet normal -0.707107 -1.57009e-16 0.707107 + outer loop + vertex 131.007 98.6 5.37247 + vertex 131.078 98.6 5.44299 + vertex 131.007 101.6 5.37247 + endloop + endfacet + facet normal -0.707107 -1.57009e-16 0.707107 + outer loop + vertex 131.007 101.6 5.37247 + vertex 131.078 98.6 5.44299 + vertex 131.078 101.6 5.44299 + endloop + endfacet + facet normal -0.652287 -1.68304e-16 0.757972 + outer loop + vertex 130.931 101.6 5.30743 + vertex 130.931 98.6 5.30743 + vertex 131.007 98.6 5.37247 + endloop + endfacet + facet normal -0.652287 -1.68304e-16 0.757972 + outer loop + vertex 131.007 101.6 5.37247 + vertex 130.931 101.6 5.30743 + vertex 131.007 98.6 5.37247 + endloop + endfacet + facet normal -0.593816 -1.78657e-16 0.804601 + outer loop + vertex 130.851 101.6 5.24821 + vertex 130.851 98.6 5.24821 + vertex 130.931 98.6 5.30743 + endloop + endfacet + facet normal -0.593816 -1.78657e-16 0.804601 + outer loop + vertex 130.931 101.6 5.30743 + vertex 130.851 101.6 5.24821 + vertex 130.931 98.6 5.30743 + endloop + endfacet + facet normal -0.532037 -1.8801e-16 0.846721 + outer loop + vertex 130.767 101.6 5.19515 + vertex 130.767 98.6 5.19515 + vertex 130.851 98.6 5.24821 + endloop + endfacet + facet normal -0.532037 -1.8801e-16 0.846721 + outer loop + vertex 130.851 101.6 5.24821 + vertex 130.767 101.6 5.19515 + vertex 130.851 98.6 5.24821 + endloop + endfacet + facet normal -0.467268 -1.96313e-16 0.884116 + outer loop + vertex 130.679 101.6 5.14856 + vertex 130.679 98.6 5.14856 + vertex 130.767 98.6 5.19515 + endloop + endfacet + facet normal -0.467268 -1.96313e-16 0.884116 + outer loop + vertex 130.767 101.6 5.19515 + vertex 130.679 101.6 5.14856 + vertex 130.767 98.6 5.19515 + endloop + endfacet + facet normal -0.39989 -2.03518e-16 0.916563 + outer loop + vertex 130.587 101.6 5.10868 + vertex 130.587 98.6 5.10868 + vertex 130.679 98.6 5.14856 + endloop + endfacet + facet normal -0.39989 -2.03518e-16 0.916563 + outer loop + vertex 130.679 101.6 5.14856 + vertex 130.587 101.6 5.10868 + vertex 130.679 98.6 5.14856 + endloop + endfacet + facet normal -0.330278 -2.09584e-16 0.943884 + outer loop + vertex 130.493 101.6 5.07574 + vertex 130.493 98.6 5.07574 + vertex 130.587 98.6 5.10868 + endloop + endfacet + facet normal -0.330278 -2.09584e-16 0.943884 + outer loop + vertex 130.587 101.6 5.10868 + vertex 130.493 101.6 5.07574 + vertex 130.587 98.6 5.10868 + endloop + endfacet + facet normal -0.25882 -2.14479e-16 0.965926 + outer loop + vertex 130.397 101.6 5.04993 + vertex 130.397 98.6 5.04993 + vertex 130.493 98.6 5.07574 + endloop + endfacet + facet normal -0.25882 -2.14479e-16 0.965926 + outer loop + vertex 130.493 101.6 5.07574 + vertex 130.397 101.6 5.04993 + vertex 130.493 98.6 5.07574 + endloop + endfacet + facet normal -0.185916 -2.18173e-16 0.982566 + outer loop + vertex 130.299 101.6 5.03139 + vertex 130.299 98.6 5.03139 + vertex 130.397 98.6 5.04993 + endloop + endfacet + facet normal -0.185916 -2.18173e-16 0.982566 + outer loop + vertex 130.397 101.6 5.04993 + vertex 130.299 101.6 5.03139 + vertex 130.397 98.6 5.04993 + endloop + endfacet + facet normal -0.111961 -2.20649e-16 0.993713 + outer loop + vertex 130.2 101.6 5.02023 + vertex 130.2 98.6 5.02023 + vertex 130.299 98.6 5.03139 + endloop + endfacet + facet normal -0.111961 -2.20649e-16 0.993713 + outer loop + vertex 130.299 101.6 5.03139 + vertex 130.2 101.6 5.02023 + vertex 130.299 98.6 5.03139 + endloop + endfacet + facet normal -0.0373937 -2.21889e-16 0.999301 + outer loop + vertex 130.1 101.6 5.0165 + vertex 130.1 98.6 5.0165 + vertex 130.2 98.6 5.02023 + endloop + endfacet + facet normal -0.0373937 -2.21889e-16 0.999301 + outer loop + vertex 130.2 101.6 5.02023 + vertex 130.1 101.6 5.0165 + vertex 130.2 98.6 5.02023 + endloop + endfacet + facet normal 0.0373937 -2.21889e-16 0.999301 + outer loop + vertex 130 101.6 5.02023 + vertex 130 98.6 5.02023 + vertex 130.1 98.6 5.0165 + endloop + endfacet + facet normal 0.0373937 -2.21889e-16 0.999301 + outer loop + vertex 130.1 101.6 5.0165 + vertex 130 101.6 5.02023 + vertex 130.1 98.6 5.0165 + endloop + endfacet + facet normal 0.111961 -2.20649e-16 0.993713 + outer loop + vertex 129.901 101.6 5.03139 + vertex 129.901 98.6 5.03139 + vertex 130 98.6 5.02023 + endloop + endfacet + facet normal 0.111961 -2.20649e-16 0.993713 + outer loop + vertex 130 101.6 5.02023 + vertex 129.901 101.6 5.03139 + vertex 130 98.6 5.02023 + endloop + endfacet + facet normal 0.185916 -2.18173e-16 0.982566 + outer loop + vertex 129.803 101.6 5.04993 + vertex 129.803 98.6 5.04993 + vertex 129.901 98.6 5.03139 + endloop + endfacet + facet normal 0.185916 -2.18173e-16 0.982566 + outer loop + vertex 129.901 101.6 5.03139 + vertex 129.803 101.6 5.04993 + vertex 129.901 98.6 5.03139 + endloop + endfacet + facet normal 0.25882 -2.14479e-16 0.965926 + outer loop + vertex 129.707 101.6 5.07574 + vertex 129.707 98.6 5.07574 + vertex 129.803 98.6 5.04993 + endloop + endfacet + facet normal 0.25882 -2.14479e-16 0.965926 + outer loop + vertex 129.803 101.6 5.04993 + vertex 129.707 101.6 5.07574 + vertex 129.803 98.6 5.04993 + endloop + endfacet + facet normal 0.330278 -2.09584e-16 0.943884 + outer loop + vertex 129.613 101.6 5.10868 + vertex 129.613 98.6 5.10868 + vertex 129.707 98.6 5.07574 + endloop + endfacet + facet normal 0.330278 -2.09584e-16 0.943884 + outer loop + vertex 129.707 101.6 5.07574 + vertex 129.613 101.6 5.10868 + vertex 129.707 98.6 5.07574 + endloop + endfacet + facet normal 0.39989 -2.03518e-16 0.916563 + outer loop + vertex 129.521 101.6 5.14856 + vertex 129.521 98.6 5.14856 + vertex 129.613 98.6 5.10868 + endloop + endfacet + facet normal 0.39989 -2.03518e-16 0.916563 + outer loop + vertex 129.613 101.6 5.10868 + vertex 129.521 101.6 5.14856 + vertex 129.613 98.6 5.10868 + endloop + endfacet + facet normal 0.467268 -1.96313e-16 0.884116 + outer loop + vertex 129.433 101.6 5.19515 + vertex 129.433 98.6 5.19515 + vertex 129.521 98.6 5.14856 + endloop + endfacet + facet normal 0.467268 -1.96313e-16 0.884116 + outer loop + vertex 129.521 101.6 5.14856 + vertex 129.433 101.6 5.19515 + vertex 129.521 98.6 5.14856 + endloop + endfacet + facet normal 0.532037 -1.8801e-16 0.846721 + outer loop + vertex 129.349 101.6 5.24821 + vertex 129.349 98.6 5.24821 + vertex 129.433 98.6 5.19515 + endloop + endfacet + facet normal 0.532037 -1.8801e-16 0.846721 + outer loop + vertex 129.433 101.6 5.19515 + vertex 129.349 101.6 5.24821 + vertex 129.433 98.6 5.19515 + endloop + endfacet + facet normal 0.593816 -1.78657e-16 0.804601 + outer loop + vertex 129.269 101.6 5.30743 + vertex 129.269 98.6 5.30743 + vertex 129.349 98.6 5.24821 + endloop + endfacet + facet normal 0.593816 -1.78657e-16 0.804601 + outer loop + vertex 129.349 101.6 5.24821 + vertex 129.269 101.6 5.30743 + vertex 129.349 98.6 5.24821 + endloop + endfacet + facet normal 0.652287 -1.68304e-16 0.757972 + outer loop + vertex 129.193 101.6 5.37247 + vertex 129.193 98.6 5.37247 + vertex 129.269 98.6 5.30743 + endloop + endfacet + facet normal 0.652287 -1.68304e-16 0.757972 + outer loop + vertex 129.269 101.6 5.30743 + vertex 129.193 101.6 5.37247 + vertex 129.269 98.6 5.30743 + endloop + endfacet + facet normal 0.707107 -1.57009e-16 0.707107 + outer loop + vertex 129.122 101.6 5.44299 + vertex 129.122 98.6 5.44299 + vertex 129.193 98.6 5.37247 + endloop + endfacet + facet normal 0.707107 -1.57009e-16 0.707107 + outer loop + vertex 129.193 101.6 5.37247 + vertex 129.122 101.6 5.44299 + vertex 129.193 98.6 5.37247 + endloop + endfacet + facet normal 0.757972 -1.44837e-16 0.652287 + outer loop + vertex 129.057 101.6 5.51858 + vertex 129.057 98.6 5.51858 + vertex 129.122 98.6 5.44299 + endloop + endfacet + facet normal 0.757972 -1.44837e-16 0.652287 + outer loop + vertex 129.122 101.6 5.44299 + vertex 129.057 101.6 5.51858 + vertex 129.122 98.6 5.44299 + endloop + endfacet + facet normal 0.804601 -1.31854e-16 0.593816 + outer loop + vertex 128.998 101.6 5.59881 + vertex 128.998 98.6 5.59881 + vertex 129.057 98.6 5.51858 + endloop + endfacet + facet normal 0.804601 -1.31854e-16 0.593816 + outer loop + vertex 129.057 101.6 5.51858 + vertex 128.998 101.6 5.59881 + vertex 129.057 98.6 5.51858 + endloop + endfacet + facet normal 0.846721 -1.18136e-16 0.532037 + outer loop + vertex 128.945 101.6 5.68325 + vertex 128.945 98.6 5.68325 + vertex 128.998 98.6 5.59881 + endloop + endfacet + facet normal 0.846721 -1.18136e-16 0.532037 + outer loop + vertex 128.998 101.6 5.59881 + vertex 128.945 101.6 5.68325 + vertex 128.998 98.6 5.59881 + endloop + endfacet + facet normal 0.884116 -1.03754e-16 0.467268 + outer loop + vertex 128.899 101.6 5.77142 + vertex 128.899 98.6 5.77142 + vertex 128.945 98.6 5.68325 + endloop + endfacet + facet normal 0.884116 -1.03754e-16 0.467268 + outer loop + vertex 128.945 101.6 5.68325 + vertex 128.899 101.6 5.77142 + vertex 128.945 98.6 5.68325 + endloop + endfacet + facet normal 0.916563 -8.87933e-17 0.39989 + outer loop + vertex 128.859 101.6 5.86282 + vertex 128.859 98.6 5.86282 + vertex 128.899 98.6 5.77142 + endloop + endfacet + facet normal 0.916563 -8.87933e-17 0.39989 + outer loop + vertex 128.899 101.6 5.77142 + vertex 128.859 101.6 5.86282 + vertex 128.899 98.6 5.77142 + endloop + endfacet + facet normal 0.943884 -7.33365e-17 0.330278 + outer loop + vertex 128.826 101.6 5.95694 + vertex 128.826 98.6 5.95694 + vertex 128.859 98.6 5.86282 + endloop + endfacet + facet normal 0.943884 -7.33365e-17 0.330278 + outer loop + vertex 128.859 101.6 5.86282 + vertex 128.826 101.6 5.95694 + vertex 128.859 98.6 5.86282 + endloop + endfacet + facet normal 0.965926 -5.74695e-17 0.25882 + outer loop + vertex 128.8 101.6 6.05327 + vertex 128.8 98.6 6.05327 + vertex 128.826 98.6 5.95694 + endloop + endfacet + facet normal 0.965926 -5.74695e-17 0.25882 + outer loop + vertex 128.826 101.6 5.95694 + vertex 128.8 101.6 6.05327 + vertex 128.826 98.6 5.95694 + endloop + endfacet + facet normal 0.982566 -4.12816e-17 0.185916 + outer loop + vertex 128.781 101.6 6.15125 + vertex 128.781 98.6 6.15125 + vertex 128.8 98.6 6.05327 + endloop + endfacet + facet normal 0.982566 -4.12816e-17 0.185916 + outer loop + vertex 128.8 101.6 6.05327 + vertex 128.781 101.6 6.15125 + vertex 128.8 98.6 6.05327 + endloop + endfacet + facet normal 0.993713 -2.48604e-17 0.111961 + outer loop + vertex 128.77 101.6 6.25035 + vertex 128.77 98.6 6.25035 + vertex 128.781 98.6 6.15125 + endloop + endfacet + facet normal 0.993713 -2.48604e-17 0.111961 + outer loop + vertex 128.781 101.6 6.15125 + vertex 128.77 101.6 6.25035 + vertex 128.781 98.6 6.15125 + endloop + endfacet + facet normal 0.999301 -8.30306e-18 0.0373937 + outer loop + vertex 128.766 101.6 6.35 + vertex 128.766 98.6 6.35 + vertex 128.77 98.6 6.25035 + endloop + endfacet + facet normal 0.999301 -8.30306e-18 0.0373937 + outer loop + vertex 128.77 101.6 6.25035 + vertex 128.766 101.6 6.35 + vertex 128.77 98.6 6.25035 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.105 98.6 6.14066 + vertex 126.122 98.6 5.93189 + vertex 126.149 98.6 5.72426 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.236 98.6 5.31472 + vertex 126.105 98.6 6.14066 + vertex 126.187 98.6 5.51835 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.187 98.6 5.51835 + vertex 126.105 98.6 6.14066 + vertex 126.149 98.6 5.72426 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.296 98.6 5.11393 + vertex 126.105 98.6 6.14066 + vertex 126.236 98.6 5.31472 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.366 98.6 4.91653 + vertex 126.105 98.6 6.14066 + vertex 126.296 98.6 5.11393 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.446 98.6 4.72305 + vertex 126.105 98.6 6.14066 + vertex 126.366 98.6 4.91653 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.536 98.6 4.53404 + vertex 126.105 98.6 6.14066 + vertex 126.446 98.6 4.72305 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.636 98.6 4.35 + vertex 126.105 98.6 6.14066 + vertex 126.536 98.6 4.53404 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.745 98.6 4.17144 + vertex 126.105 98.6 6.14066 + vertex 126.636 98.6 4.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.864 98.6 3.99886 + vertex 126.105 98.6 6.14066 + vertex 126.745 98.6 4.17144 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.991 98.6 3.83272 + vertex 126.105 98.6 6.14066 + vertex 126.864 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 127.127 98.6 3.67348 + vertex 126.105 98.6 6.14066 + vertex 126.991 98.6 3.83272 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 127.272 98.6 3.52157 + vertex 126.105 98.6 6.14066 + vertex 127.127 98.6 3.67348 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 127.423 98.6 3.37742 + vertex 126.105 98.6 6.14066 + vertex 127.272 98.6 3.52157 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 127.583 98.6 3.24142 + vertex 126.105 98.6 6.14066 + vertex 127.423 98.6 3.37742 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 127.749 98.6 3.11393 + vertex 126.105 98.6 6.14066 + vertex 127.583 98.6 3.24142 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 127.921 98.6 2.99532 + vertex 126.105 98.6 6.14066 + vertex 127.749 98.6 3.11393 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.1 98.6 2.8859 + vertex 126.105 98.6 6.14066 + vertex 127.921 98.6 2.99532 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.284 98.6 2.78597 + vertex 126.105 98.6 6.14066 + vertex 128.1 98.6 2.8859 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.473 98.6 2.69582 + vertex 126.105 98.6 6.14066 + vertex 128.284 98.6 2.78597 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.667 98.6 2.61568 + vertex 126.105 98.6 6.14066 + vertex 128.473 98.6 2.69582 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.864 98.6 2.54577 + vertex 126.105 98.6 6.14066 + vertex 128.667 98.6 2.61568 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.065 98.6 2.4863 + vertex 126.105 98.6 6.14066 + vertex 128.864 98.6 2.54577 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.268 98.6 2.43741 + vertex 126.105 98.6 6.14066 + vertex 129.065 98.6 2.4863 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.474 98.6 2.39925 + vertex 126.105 98.6 6.14066 + vertex 129.268 98.6 2.43741 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.682 98.6 2.37191 + vertex 126.105 98.6 6.14066 + vertex 129.474 98.6 2.39925 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.891 98.6 2.35548 + vertex 126.105 98.6 6.14066 + vertex 129.682 98.6 2.37191 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.1 98.6 2.35 + vertex 126.105 98.6 6.14066 + vertex 129.891 98.6 2.35548 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.309 98.6 2.35548 + vertex 126.105 98.6 6.14066 + vertex 130.1 98.6 2.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.518 98.6 2.37191 + vertex 126.105 98.6 6.14066 + vertex 130.309 98.6 2.35548 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.726 98.6 2.39925 + vertex 126.105 98.6 6.14066 + vertex 130.518 98.6 2.37191 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.932 98.6 2.43741 + vertex 126.105 98.6 6.14066 + vertex 130.726 98.6 2.39925 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.135 98.6 2.4863 + vertex 126.105 98.6 6.14066 + vertex 130.932 98.6 2.43741 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.336 98.6 2.54577 + vertex 126.105 98.6 6.14066 + vertex 131.135 98.6 2.4863 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.533 98.6 2.61568 + vertex 126.105 98.6 6.14066 + vertex 131.336 98.6 2.54577 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.727 98.6 2.69582 + vertex 126.105 98.6 6.14066 + vertex 131.533 98.6 2.61568 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.916 98.6 2.78597 + vertex 126.105 98.6 6.14066 + vertex 131.727 98.6 2.69582 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 132.1 98.6 2.8859 + vertex 126.105 98.6 6.14066 + vertex 131.916 98.6 2.78597 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 132.279 98.6 2.99532 + vertex 126.105 98.6 6.14066 + vertex 132.1 98.6 2.8859 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 132.451 98.6 3.11393 + vertex 126.105 98.6 6.14066 + vertex 132.279 98.6 2.99532 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 132.617 98.6 3.24142 + vertex 126.105 98.6 6.14066 + vertex 132.451 98.6 3.11393 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 132.777 98.6 3.37742 + vertex 126.105 98.6 6.14066 + vertex 132.617 98.6 3.24142 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 132.928 98.6 3.52157 + vertex 126.105 98.6 6.14066 + vertex 132.777 98.6 3.37742 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.073 98.6 3.67348 + vertex 126.105 98.6 6.14066 + vertex 132.928 98.6 3.52157 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.209 98.6 3.83272 + vertex 126.105 98.6 6.14066 + vertex 133.073 98.6 3.67348 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.336 98.6 3.99886 + vertex 126.105 98.6 6.14066 + vertex 133.209 98.6 3.83272 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.707 98.6 5.07574 + vertex 126.105 98.6 6.14066 + vertex 133.336 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.707 98.6 5.07574 + vertex 129.613 98.6 5.10868 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.299 98.6 5.03139 + vertex 130.2 98.6 5.02023 + vertex 133.455 98.6 4.17144 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.767 98.6 5.19515 + vertex 130.679 98.6 5.14856 + vertex 133.664 98.6 4.53404 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.931 98.6 5.30743 + vertex 130.851 98.6 5.24821 + vertex 133.754 98.6 4.72305 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.007 98.6 5.37247 + vertex 130.931 98.6 5.30743 + vertex 133.834 98.6 4.91653 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.301 98.6 5.77142 + vertex 131.255 98.6 5.68325 + vertex 134.013 98.6 5.51835 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.255 98.6 5.68325 + vertex 131.202 98.6 5.59881 + vertex 133.964 98.6 5.31472 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.419 98.6 6.15125 + vertex 131.4 98.6 6.05327 + vertex 134.095 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.4 98.6 6.05327 + vertex 131.374 98.6 5.95694 + vertex 134.078 98.6 5.93189 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.77 98.6 6.44965 + vertex 128.781 98.6 6.54875 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.374 98.6 6.74306 + vertex 131.4 98.6 6.64673 + vertex 134.051 98.6 6.97574 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.4 98.6 6.64673 + vertex 131.419 98.6 6.54875 + vertex 134.078 98.6 6.76811 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.8 98.6 6.64673 + vertex 128.826 98.6 6.74306 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.078 98.6 7.25701 + vertex 131.143 98.6 7.18142 + vertex 133.834 98.6 7.78347 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.859 98.6 6.83718 + vertex 128.899 98.6 6.92858 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.397 98.6 7.65007 + vertex 130.493 98.6 7.62426 + vertex 133.336 98.6 8.70114 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 132.928 98.6 9.17843 + vertex 126.105 98.6 6.14066 + vertex 133.073 98.6 9.02652 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 132.777 98.6 9.32258 + vertex 126.105 98.6 6.14066 + vertex 132.928 98.6 9.17843 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 132.617 98.6 9.45858 + vertex 126.105 98.6 6.14066 + vertex 132.777 98.6 9.32258 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 132.451 98.6 9.58607 + vertex 126.105 98.6 6.14066 + vertex 132.617 98.6 9.45858 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 132.279 98.6 9.70468 + vertex 126.105 98.6 6.14066 + vertex 132.451 98.6 9.58607 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 132.1 98.6 9.8141 + vertex 126.105 98.6 6.14066 + vertex 132.279 98.6 9.70468 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.916 98.6 9.91403 + vertex 126.105 98.6 6.14066 + vertex 132.1 98.6 9.8141 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.727 98.6 10.0042 + vertex 126.105 98.6 6.14066 + vertex 131.916 98.6 9.91403 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.533 98.6 10.0843 + vertex 126.105 98.6 6.14066 + vertex 131.727 98.6 10.0042 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.336 98.6 10.1542 + vertex 126.105 98.6 6.14066 + vertex 131.533 98.6 10.0843 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.135 98.6 10.2137 + vertex 126.105 98.6 6.14066 + vertex 131.336 98.6 10.1542 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.932 98.6 10.2626 + vertex 126.105 98.6 6.14066 + vertex 131.135 98.6 10.2137 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.726 98.6 10.3008 + vertex 126.105 98.6 6.14066 + vertex 130.932 98.6 10.2626 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.518 98.6 10.3281 + vertex 126.105 98.6 6.14066 + vertex 130.726 98.6 10.3008 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.309 98.6 10.3445 + vertex 126.105 98.6 6.14066 + vertex 130.518 98.6 10.3281 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.1 98.6 10.35 + vertex 126.105 98.6 6.14066 + vertex 130.309 98.6 10.3445 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.891 98.6 10.3445 + vertex 126.105 98.6 6.14066 + vertex 130.1 98.6 10.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.682 98.6 10.3281 + vertex 126.105 98.6 6.14066 + vertex 129.891 98.6 10.3445 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.474 98.6 10.3008 + vertex 126.105 98.6 6.14066 + vertex 129.682 98.6 10.3281 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.268 98.6 10.2626 + vertex 126.105 98.6 6.14066 + vertex 129.474 98.6 10.3008 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.065 98.6 10.2137 + vertex 126.105 98.6 6.14066 + vertex 129.268 98.6 10.2626 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.864 98.6 10.1542 + vertex 126.105 98.6 6.14066 + vertex 129.065 98.6 10.2137 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.667 98.6 10.0843 + vertex 126.105 98.6 6.14066 + vertex 128.864 98.6 10.1542 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.473 98.6 10.0042 + vertex 126.105 98.6 6.14066 + vertex 128.667 98.6 10.0843 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.284 98.6 9.91403 + vertex 126.105 98.6 6.14066 + vertex 128.473 98.6 10.0042 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.1 98.6 9.8141 + vertex 126.105 98.6 6.14066 + vertex 128.284 98.6 9.91403 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 127.921 98.6 9.70468 + vertex 126.105 98.6 6.14066 + vertex 128.1 98.6 9.8141 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 127.749 98.6 9.58607 + vertex 126.105 98.6 6.14066 + vertex 127.921 98.6 9.70468 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 127.583 98.6 9.45858 + vertex 126.105 98.6 6.14066 + vertex 127.749 98.6 9.58607 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 127.423 98.6 9.32258 + vertex 126.105 98.6 6.14066 + vertex 127.583 98.6 9.45858 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 127.272 98.6 9.17843 + vertex 126.105 98.6 6.14066 + vertex 127.423 98.6 9.32258 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 127.127 98.6 9.02652 + vertex 126.105 98.6 6.14066 + vertex 127.272 98.6 9.17843 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.991 98.6 8.86728 + vertex 126.105 98.6 6.14066 + vertex 127.127 98.6 9.02652 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.864 98.6 8.70114 + vertex 126.105 98.6 6.14066 + vertex 126.991 98.6 8.86728 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.745 98.6 8.52856 + vertex 126.105 98.6 6.14066 + vertex 126.864 98.6 8.70114 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.636 98.6 8.35 + vertex 126.105 98.6 6.14066 + vertex 126.745 98.6 8.52856 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.536 98.6 8.16596 + vertex 126.105 98.6 6.14066 + vertex 126.636 98.6 8.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.446 98.6 7.97695 + vertex 126.105 98.6 6.14066 + vertex 126.536 98.6 8.16596 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.366 98.6 7.78347 + vertex 126.105 98.6 6.14066 + vertex 126.446 98.6 7.97695 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.296 98.6 7.58607 + vertex 126.105 98.6 6.14066 + vertex 126.366 98.6 7.78347 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.236 98.6 7.38528 + vertex 126.105 98.6 6.14066 + vertex 126.296 98.6 7.58607 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.187 98.6 7.18165 + vertex 126.105 98.6 6.14066 + vertex 126.236 98.6 7.38528 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.149 98.6 6.97574 + vertex 126.105 98.6 6.14066 + vertex 126.187 98.6 7.18165 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.122 98.6 6.76811 + vertex 126.105 98.6 6.14066 + vertex 126.149 98.6 6.97574 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.105 98.6 6.55934 + vertex 126.105 98.6 6.14066 + vertex 126.122 98.6 6.76811 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 126.1 98.6 6.35 + vertex 126.105 98.6 6.14066 + vertex 126.105 98.6 6.55934 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.301 98.6 6.92858 + vertex 131.341 98.6 6.83718 + vertex 134.013 98.6 7.18165 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.341 98.6 6.83718 + vertex 131.374 98.6 6.74306 + vertex 134.013 98.6 7.18165 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.826 98.6 6.74306 + vertex 128.859 98.6 6.83718 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.143 98.6 7.18142 + vertex 131.202 98.6 7.10119 + vertex 133.834 98.6 7.78347 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.899 98.6 6.92858 + vertex 128.945 98.6 7.01675 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.007 98.6 7.32752 + vertex 131.078 98.6 7.25701 + vertex 133.754 98.6 7.97695 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.255 98.6 7.01675 + vertex 131.301 98.6 6.92858 + vertex 133.964 98.6 7.38528 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.945 98.6 7.01675 + vertex 128.998 98.6 7.10119 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.767 98.6 7.50484 + vertex 130.851 98.6 7.45179 + vertex 133.564 98.6 8.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.901 98.6 7.66861 + vertex 130 98.6 7.67977 + vertex 133.073 98.6 9.02652 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.587 98.6 7.59132 + vertex 130.679 98.6 7.55144 + vertex 133.455 98.6 8.52856 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.057 98.6 7.18142 + vertex 126.105 98.6 6.14066 + vertex 128.998 98.6 7.10119 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.122 98.6 7.25701 + vertex 129.193 98.6 7.32752 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.851 98.6 7.45179 + vertex 130.931 98.6 7.39257 + vertex 133.664 98.6 8.16596 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.613 98.6 7.59132 + vertex 129.707 98.6 7.62426 + vertex 133.073 98.6 9.02652 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.299 98.6 7.66861 + vertex 130.397 98.6 7.65007 + vertex 133.336 98.6 8.70114 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.269 98.6 7.39257 + vertex 126.105 98.6 6.14066 + vertex 129.193 98.6 7.32752 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.349 98.6 7.45179 + vertex 126.105 98.6 6.14066 + vertex 129.269 98.6 7.39257 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.433 98.6 7.50484 + vertex 126.105 98.6 6.14066 + vertex 129.349 98.6 7.45179 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.521 98.6 7.55144 + vertex 126.105 98.6 6.14066 + vertex 129.433 98.6 7.50484 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.613 98.6 7.59132 + vertex 126.105 98.6 6.14066 + vertex 129.521 98.6 7.55144 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.707 98.6 7.62426 + vertex 129.803 98.6 7.65007 + vertex 133.073 98.6 9.02652 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.073 98.6 9.02652 + vertex 126.105 98.6 6.14066 + vertex 129.613 98.6 7.59132 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.803 98.6 7.65007 + vertex 129.901 98.6 7.66861 + vertex 133.073 98.6 9.02652 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.1 98.6 7.6835 + vertex 130.2 98.6 7.67977 + vertex 133.209 98.6 8.86728 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.073 98.6 9.02652 + vertex 130 98.6 7.67977 + vertex 133.209 98.6 8.86728 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130 98.6 7.67977 + vertex 130.1 98.6 7.6835 + vertex 133.209 98.6 8.86728 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.209 98.6 8.86728 + vertex 130.2 98.6 7.67977 + vertex 133.336 98.6 8.70114 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.2 98.6 7.67977 + vertex 130.299 98.6 7.66861 + vertex 133.336 98.6 8.70114 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.493 98.6 7.62426 + vertex 130.587 98.6 7.59132 + vertex 133.455 98.6 8.52856 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.336 98.6 8.70114 + vertex 130.493 98.6 7.62426 + vertex 133.455 98.6 8.52856 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.679 98.6 7.55144 + vertex 130.767 98.6 7.50484 + vertex 133.564 98.6 8.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.057 98.6 7.18142 + vertex 129.122 98.6 7.25701 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.455 98.6 8.52856 + vertex 130.679 98.6 7.55144 + vertex 133.564 98.6 8.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.564 98.6 8.35 + vertex 130.851 98.6 7.45179 + vertex 133.664 98.6 8.16596 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.664 98.6 8.16596 + vertex 130.931 98.6 7.39257 + vertex 133.754 98.6 7.97695 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.931 98.6 7.39257 + vertex 131.007 98.6 7.32752 + vertex 133.754 98.6 7.97695 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.754 98.6 7.97695 + vertex 131.078 98.6 7.25701 + vertex 133.834 98.6 7.78347 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.202 98.6 7.10119 + vertex 131.255 98.6 7.01675 + vertex 133.904 98.6 7.58607 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.834 98.6 7.78347 + vertex 131.202 98.6 7.10119 + vertex 133.904 98.6 7.58607 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.904 98.6 7.58607 + vertex 131.255 98.6 7.01675 + vertex 133.964 98.6 7.38528 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.964 98.6 7.38528 + vertex 131.301 98.6 6.92858 + vertex 134.013 98.6 7.18165 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 134.013 98.6 7.18165 + vertex 131.374 98.6 6.74306 + vertex 134.051 98.6 6.97574 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 134.051 98.6 6.97574 + vertex 131.4 98.6 6.64673 + vertex 134.078 98.6 6.76811 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 134.078 98.6 6.76811 + vertex 131.419 98.6 6.54875 + vertex 134.095 98.6 6.55934 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.419 98.6 6.54875 + vertex 131.43 98.6 6.44965 + vertex 134.095 98.6 6.55934 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 134.095 98.6 6.55934 + vertex 131.433 98.6 6.35 + vertex 134.1 98.6 6.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 134.1 98.6 6.35 + vertex 131.43 98.6 6.25035 + vertex 134.095 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.43 98.6 6.25035 + vertex 131.419 98.6 6.15125 + vertex 134.095 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 134.095 98.6 6.14066 + vertex 131.4 98.6 6.05327 + vertex 134.078 98.6 5.93189 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.781 98.6 6.15125 + vertex 128.77 98.6 6.25035 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 134.078 98.6 5.93189 + vertex 131.374 98.6 5.95694 + vertex 134.051 98.6 5.72426 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 134.051 98.6 5.72426 + vertex 131.341 98.6 5.86282 + vertex 134.013 98.6 5.51835 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.341 98.6 5.86282 + vertex 131.301 98.6 5.77142 + vertex 134.013 98.6 5.51835 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 134.013 98.6 5.51835 + vertex 131.255 98.6 5.68325 + vertex 133.964 98.6 5.31472 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.964 98.6 5.31472 + vertex 131.202 98.6 5.59881 + vertex 133.904 98.6 5.11393 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.859 98.6 5.86282 + vertex 128.826 98.6 5.95694 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.202 98.6 5.59881 + vertex 131.143 98.6 5.51858 + vertex 133.904 98.6 5.11393 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.904 98.6 5.11393 + vertex 131.078 98.6 5.44299 + vertex 133.834 98.6 4.91653 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.078 98.6 5.44299 + vertex 131.007 98.6 5.37247 + vertex 133.834 98.6 4.91653 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.834 98.6 4.91653 + vertex 130.931 98.6 5.30743 + vertex 133.754 98.6 4.72305 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.754 98.6 4.72305 + vertex 130.851 98.6 5.24821 + vertex 133.664 98.6 4.53404 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.851 98.6 5.24821 + vertex 130.767 98.6 5.19515 + vertex 133.664 98.6 4.53404 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.998 98.6 5.59881 + vertex 128.945 98.6 5.68325 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.664 98.6 4.53404 + vertex 130.679 98.6 5.14856 + vertex 133.564 98.6 4.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.679 98.6 5.14856 + vertex 130.587 98.6 5.10868 + vertex 133.564 98.6 4.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.564 98.6 4.35 + vertex 130.493 98.6 5.07574 + vertex 133.455 98.6 4.17144 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.269 98.6 5.30743 + vertex 129.193 98.6 5.37247 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.493 98.6 5.07574 + vertex 130.397 98.6 5.04993 + vertex 133.455 98.6 4.17144 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.397 98.6 5.04993 + vertex 130.299 98.6 5.03139 + vertex 133.455 98.6 4.17144 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 133.455 98.6 4.17144 + vertex 130.2 98.6 5.02023 + vertex 133.336 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.2 98.6 5.02023 + vertex 130.1 98.6 5.0165 + vertex 133.336 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.1 98.6 5.0165 + vertex 130 98.6 5.02023 + vertex 133.336 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130 98.6 5.02023 + vertex 129.901 98.6 5.03139 + vertex 133.336 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.901 98.6 5.03139 + vertex 129.803 98.6 5.04993 + vertex 133.336 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.803 98.6 5.04993 + vertex 129.707 98.6 5.07574 + vertex 133.336 98.6 3.99886 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.613 98.6 5.10868 + vertex 129.521 98.6 5.14856 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.521 98.6 5.14856 + vertex 129.433 98.6 5.19515 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.433 98.6 5.19515 + vertex 129.349 98.6 5.24821 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.122 98.6 5.44299 + vertex 129.057 98.6 5.51858 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.193 98.6 5.37247 + vertex 129.122 98.6 5.44299 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.349 98.6 5.24821 + vertex 129.269 98.6 5.30743 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 129.057 98.6 5.51858 + vertex 128.998 98.6 5.59881 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 130.587 98.6 5.10868 + vertex 130.493 98.6 5.07574 + vertex 133.564 98.6 4.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.945 98.6 5.68325 + vertex 128.899 98.6 5.77142 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.899 98.6 5.77142 + vertex 128.859 98.6 5.86282 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.143 98.6 5.51858 + vertex 131.078 98.6 5.44299 + vertex 133.904 98.6 5.11393 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.826 98.6 5.95694 + vertex 128.8 98.6 6.05327 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.8 98.6 6.05327 + vertex 128.781 98.6 6.15125 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.374 98.6 5.95694 + vertex 131.341 98.6 5.86282 + vertex 134.051 98.6 5.72426 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.77 98.6 6.25035 + vertex 128.766 98.6 6.35 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.433 98.6 6.35 + vertex 131.43 98.6 6.25035 + vertex 134.1 98.6 6.35 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.781 98.6 6.54875 + vertex 128.8 98.6 6.64673 + vertex 126.105 98.6 6.14066 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 131.43 98.6 6.44965 + vertex 131.433 98.6 6.35 + vertex 134.095 98.6 6.55934 + endloop + endfacet + facet normal 0 -1 -2.22045e-16 + outer loop + vertex 128.766 98.6 6.35 + vertex 128.77 98.6 6.44965 + vertex 126.105 98.6 6.14066 + endloop + endfacet +endsolid OpenSCAD_Model diff --git a/hardware/gafrc b/hardware/gafrc new file mode 100644 index 0000000..2858e52 --- /dev/null +++ b/hardware/gafrc @@ -0,0 +1,7 @@ +(component-library "./symbols") + +(load (string-append geda-data-path "/scheme/auto-uref.scm")) ; load the autonumbering script +(add-hook! add-component-hook auto-uref) ; autonumber when adding a component +(add-hook! copy-component-hook auto-uref) ; autonumber when copying a component + +(output-color "enabled") diff --git a/hardware/gerber/scsi2sd.GBL b/hardware/gerber/scsi2sd.GBL new file mode 100644 index 0000000..156b6d5 --- /dev/null +++ b/hardware/gerber/scsi2sd.GBL @@ -0,0 +1,27881 @@ +G04 start of page 3 for group 1 idx 1 * +G04 Title: (unknown), solder * +G04 Creator: pcb 20110918 * +G04 CreationDate: Wed 02 Oct 2013 12:02:09 GMT UTC * +G04 For: michael * +G04 Format: Gerber/RS-274X * +G04 PCB-Dimensions: 384000 393500 * +G04 PCB-Coordinate-Origin: lower left * +%MOIN*% +%FSLAX25Y25*% +%LNBOTTOM*% +%ADD55C,0.1570*% +%ADD54C,0.0380*% +%ADD53C,0.0350*% +%ADD52C,0.1250*% +%ADD51C,0.0120*% +%ADD50C,0.0240*% +%ADD49C,0.2500*% +%ADD48C,0.0280*% +%ADD47C,0.1600*% +%ADD46C,0.1100*% +%ADD45C,0.0600*% +%ADD44C,0.1000*% +%ADD43C,0.0500*% +%ADD42C,0.0250*% +%ADD41C,0.0100*% +%ADD40C,0.0001*% +G54D40*G36* +X263747Y274700D02*X264962D01* +X265700Y273962D01* +Y250316D01* +X265605Y250293D01* +X264951Y250022D01* +X264347Y249652D01* +X263808Y249192D01* +X263747Y249120D01* +Y251500D01* +X263749Y251500D01* +X263810Y251513D01* +X263869Y251536D01* +X263923Y251567D01* +X263972Y251607D01* +X264015Y251654D01* +X264049Y251706D01* +X264073Y251764D01* +X264138Y251969D01* +X264179Y252179D01* +X264200Y252393D01* +Y252607D01* +X264179Y252821D01* +X264138Y253031D01* +X264076Y253237D01* +X264050Y253294D01* +X264016Y253347D01* +X263974Y253394D01* +X263924Y253434D01* +X263870Y253466D01* +X263811Y253488D01* +X263749Y253501D01* +X263747Y253501D01* +Y274700D01* +G37* +G36* +X273747Y278700D02*X275462D01* +X275700Y278462D01* +Y250316D01* +X275605Y250293D01* +X274951Y250022D01* +X274347Y249652D01* +X273808Y249192D01* +X273747Y249120D01* +Y251500D01* +X273749Y251500D01* +X273810Y251513D01* +X273869Y251536D01* +X273923Y251567D01* +X273972Y251607D01* +X274015Y251654D01* +X274049Y251706D01* +X274073Y251764D01* +X274138Y251969D01* +X274179Y252179D01* +X274200Y252393D01* +Y252607D01* +X274179Y252821D01* +X274138Y253031D01* +X274076Y253237D01* +X274050Y253294D01* +X274016Y253347D01* +X273974Y253394D01* +X273924Y253434D01* +X273870Y253466D01* +X273811Y253488D01* +X273749Y253501D01* +X273747Y253501D01* +Y278700D01* +G37* +G36* +X283747Y282700D02*X284962D01* +X285700Y281962D01* +Y250316D01* +X285605Y250293D01* +X284951Y250022D01* +X284347Y249652D01* +X283808Y249192D01* +X283747Y249120D01* +Y251500D01* +X283749Y251500D01* +X283810Y251513D01* +X283869Y251536D01* +X283923Y251567D01* +X283972Y251607D01* +X284015Y251654D01* +X284049Y251706D01* +X284073Y251764D01* +X284138Y251969D01* +X284179Y252179D01* +X284200Y252393D01* +Y252607D01* +X284179Y252821D01* +X284138Y253031D01* +X284076Y253237D01* +X284050Y253294D01* +X284016Y253347D01* +X283974Y253394D01* +X283924Y253434D01* +X283870Y253466D01* +X283811Y253488D01* +X283749Y253501D01* +X283747Y253501D01* +Y282700D01* +G37* +G36* +X293747Y286700D02*X295462D01* +X295700Y286462D01* +Y250316D01* +X295605Y250293D01* +X294951Y250022D01* +X294347Y249652D01* +X293808Y249192D01* +X293747Y249120D01* +Y251500D01* +X293749Y251500D01* +X293810Y251513D01* +X293869Y251536D01* +X293923Y251567D01* +X293972Y251607D01* +X294015Y251654D01* +X294049Y251706D01* +X294073Y251764D01* +X294138Y251969D01* +X294179Y252179D01* +X294200Y252393D01* +Y252607D01* +X294179Y252821D01* +X294138Y253031D01* +X294076Y253237D01* +X294050Y253294D01* +X294016Y253347D01* +X293974Y253394D01* +X293924Y253434D01* +X293870Y253466D01* +X293811Y253488D01* +X293749Y253501D01* +X293747Y253501D01* +Y286700D01* +G37* +G36* +X331993Y93678D02*X331997Y93636D01* +Y93364D01* +X331993Y93322D01* +Y93678D01* +G37* +G36* +X362000Y21079D02*X362040Y21045D01* +X363852Y19934D01* +X365815Y19121D01* +X367882Y18625D01* +X370000Y18458D01* +X372118Y18625D01* +X374185Y19121D01* +X376148Y19934D01* +X377960Y21045D01* +X379575Y22425D01* +X380955Y24040D01* +X382000Y25745D01* +Y2000D01* +X362000D01* +Y21079D01* +G37* +G36* +X364247Y194771D02*X365815Y194121D01* +X367882Y193625D01* +X370000Y193458D01* +X372118Y193625D01* +X374185Y194121D01* +X376148Y194934D01* +X377960Y196045D01* +X379575Y197425D01* +X380955Y199040D01* +X382000Y200745D01* +Y38255D01* +X380955Y39960D01* +X379575Y41575D01* +X377960Y42955D01* +X376148Y44066D01* +X374185Y44879D01* +X372118Y45375D01* +X370000Y45542D01* +X367882Y45375D01* +X365815Y44879D01* +X364247Y44229D01* +Y91000D01* +X364249Y91000D01* +X364310Y91013D01* +X364369Y91036D01* +X364423Y91067D01* +X364472Y91107D01* +X364515Y91154D01* +X364549Y91206D01* +X364573Y91264D01* +X364638Y91469D01* +X364679Y91679D01* +X364700Y91893D01* +Y92107D01* +X364679Y92321D01* +X364638Y92531D01* +X364576Y92737D01* +X364550Y92794D01* +X364516Y92847D01* +X364474Y92894D01* +X364424Y92934D01* +X364370Y92966D01* +X364311Y92988D01* +X364249Y93001D01* +X364247Y93001D01* +Y194771D01* +G37* +G36* +X362000Y196079D02*X362040Y196045D01* +X363852Y194934D01* +X364247Y194771D01* +Y93001D01* +X364186Y93005D01* +X364123Y92998D01* +X364062Y92982D01* +X364004Y92956D01* +X363951Y92921D01* +X363904Y92879D01* +X363864Y92830D01* +X363833Y92775D01* +X363810Y92716D01* +X363797Y92654D01* +X363794Y92591D01* +X363800Y92528D01* +X363818Y92468D01* +X363859Y92338D01* +X363885Y92204D01* +X363898Y92068D01* +Y91932D01* +X363885Y91796D01* +X363859Y91662D01* +X363819Y91532D01* +X363802Y91471D01* +X363795Y91409D01* +X363799Y91346D01* +X363812Y91284D01* +X363834Y91226D01* +X363866Y91171D01* +X363905Y91122D01* +X363952Y91080D01* +X364005Y91046D01* +X364062Y91020D01* +X364123Y91004D01* +X364186Y90997D01* +X364247Y91000D01* +Y44229D01* +X363852Y44066D01* +X362040Y42955D01* +X362000Y42921D01* +Y89856D01* +X362179Y89821D01* +X362393Y89800D01* +X362607D01* +X362821Y89821D01* +X363031Y89862D01* +X363237Y89924D01* +X363294Y89950D01* +X363347Y89984D01* +X363394Y90026D01* +X363434Y90076D01* +X363466Y90130D01* +X363488Y90189D01* +X363501Y90251D01* +X363505Y90314D01* +X363498Y90377D01* +X363482Y90438D01* +X363456Y90496D01* +X363421Y90549D01* +X363379Y90596D01* +X363330Y90636D01* +X363275Y90667D01* +X363216Y90690D01* +X363154Y90703D01* +X363091Y90706D01* +X363028Y90700D01* +X362968Y90682D01* +X362838Y90641D01* +X362704Y90615D01* +X362568Y90602D01* +X362432D01* +X362296Y90615D01* +X362162Y90641D01* +X362032Y90681D01* +X362000Y90690D01* +Y93308D01* +X362032Y93318D01* +X362162Y93359D01* +X362296Y93385D01* +X362432Y93398D01* +X362568D01* +X362704Y93385D01* +X362838Y93359D01* +X362968Y93319D01* +X363029Y93302D01* +X363091Y93295D01* +X363154Y93299D01* +X363216Y93312D01* +X363274Y93334D01* +X363329Y93366D01* +X363378Y93405D01* +X363420Y93452D01* +X363454Y93505D01* +X363480Y93562D01* +X363496Y93623D01* +X363503Y93686D01* +X363500Y93749D01* +X363487Y93810D01* +X363464Y93869D01* +X363433Y93923D01* +X363393Y93972D01* +X363346Y94015D01* +X363294Y94049D01* +X363236Y94073D01* +X363031Y94138D01* +X362821Y94179D01* +X362607Y94200D01* +X362393D01* +X362179Y94179D01* +X362000Y94144D01* +Y196079D01* +G37* +G36* +X382000Y391500D02*Y300000D01* +X366000D01* +Y261500D01* +X382000D01* +Y213255D01* +X380955Y214960D01* +X379575Y216575D01* +X377960Y217955D01* +X376148Y219066D01* +X374185Y219879D01* +X372118Y220375D01* +X370000Y220542D01* +X367882Y220375D01* +X365815Y219879D01* +X365613Y219795D01* +Y301163D01* +X367450Y303000D01* +X367556D01* +X367678Y302925D01* +X368260Y302684D01* +X368872Y302537D01* +X369500Y302488D01* +X370128Y302537D01* +X370740Y302684D01* +X371322Y302925D01* +X371858Y303254D01* +X372337Y303663D01* +X372746Y304142D01* +X373075Y304678D01* +X373316Y305260D01* +X373463Y305872D01* +X373500Y306500D01* +X373463Y307128D01* +X373316Y307740D01* +X373075Y308322D01* +X372746Y308858D01* +X372337Y309337D01* +X371858Y309746D01* +X371322Y310075D01* +X370740Y310316D01* +X370128Y310463D01* +X369500Y310512D01* +X368872Y310463D01* +X368260Y310316D01* +X367678Y310075D01* +X367556Y310000D01* +X366137D01* +X366000Y310011D01* +X365613Y309980D01* +Y337353D01* +X365656Y337360D01* +X365768Y337397D01* +X365873Y337452D01* +X365968Y337522D01* +X366051Y337606D01* +X366119Y337702D01* +X366170Y337808D01* +X366318Y338216D01* +X366422Y338637D01* +X366484Y339067D01* +X366505Y339500D01* +X366484Y339933D01* +X366422Y340363D01* +X366318Y340784D01* +X366175Y341194D01* +X366122Y341300D01* +X366053Y341396D01* +X365970Y341481D01* +X365875Y341551D01* +X365769Y341606D01* +X365657Y341643D01* +X365613Y341651D01* +Y345130D01* +X365683Y345159D01* +X365884Y345283D01* +X366064Y345436D01* +X366217Y345616D01* +X366341Y345817D01* +X366431Y346035D01* +X366486Y346265D01* +X366500Y346500D01* +X366486Y352735D01* +X366431Y352965D01* +X366341Y353183D01* +X366217Y353384D01* +X366064Y353564D01* +X365884Y353717D01* +X365683Y353841D01* +X365613Y353870D01* +Y391500D01* +X382000D01* +G37* +G36* +X365613Y353870D02*X365465Y353931D01* +X365235Y353986D01* +X365000Y354000D01* +X362000Y353993D01* +Y391500D01* +X365613D01* +Y353870D01* +G37* +G36* +Y309980D02*X365451Y309968D01* +X364915Y309839D01* +X364406Y309628D01* +X363936Y309340D01* +X363517Y308983D01* +X363428Y308878D01* +X362000Y307450D01* +Y334995D01* +X362433Y335016D01* +X362863Y335078D01* +X363284Y335182D01* +X363694Y335325D01* +X363800Y335378D01* +X363896Y335447D01* +X363981Y335530D01* +X364051Y335625D01* +X364106Y335731D01* +X364143Y335843D01* +X364163Y335960D01* +X364164Y336079D01* +X364146Y336196D01* +X364110Y336309D01* +X364057Y336415D01* +X363988Y336512D01* +X363905Y336596D01* +X363809Y336667D01* +X363704Y336721D01* +X363592Y336759D01* +X363475Y336778D01* +X363356Y336779D01* +X363239Y336761D01* +X363126Y336723D01* +X362855Y336624D01* +X362575Y336556D01* +X362289Y336514D01* +X362000Y336500D01* +Y342500D01* +X362289Y342486D01* +X362575Y342444D01* +X362855Y342376D01* +X363128Y342280D01* +X363239Y342242D01* +X363356Y342225D01* +X363474Y342225D01* +X363591Y342245D01* +X363703Y342282D01* +X363807Y342336D01* +X363902Y342406D01* +X363985Y342491D01* +X364054Y342587D01* +X364107Y342692D01* +X364143Y342805D01* +X364160Y342921D01* +X364159Y343039D01* +X364140Y343156D01* +X364103Y343268D01* +X364048Y343373D01* +X363978Y343468D01* +X363894Y343551D01* +X363798Y343619D01* +X363692Y343670D01* +X363284Y343818D01* +X362863Y343922D01* +X362433Y343984D01* +X362000Y344005D01* +Y345007D01* +X365235Y345014D01* +X365465Y345069D01* +X365613Y345130D01* +Y341651D01* +X365540Y341663D01* +X365421Y341664D01* +X365304Y341646D01* +X365191Y341610D01* +X365085Y341557D01* +X364988Y341488D01* +X364904Y341405D01* +X364833Y341309D01* +X364779Y341204D01* +X364741Y341092D01* +X364722Y340975D01* +X364721Y340856D01* +X364739Y340739D01* +X364777Y340626D01* +X364876Y340355D01* +X364944Y340075D01* +X364986Y339789D01* +X365000Y339500D01* +X364986Y339211D01* +X364944Y338925D01* +X364876Y338645D01* +X364780Y338372D01* +X364742Y338261D01* +X364725Y338144D01* +X364725Y338026D01* +X364745Y337909D01* +X364782Y337797D01* +X364836Y337693D01* +X364906Y337598D01* +X364991Y337515D01* +X365087Y337446D01* +X365192Y337393D01* +X365305Y337357D01* +X365421Y337340D01* +X365539Y337341D01* +X365613Y337353D01* +Y309980D01* +G37* +G36* +Y219795D02*X363852Y219066D01* +X362040Y217955D01* +X362000Y217921D01* +Y246050D01* +X364378Y248428D01* +X364483Y248517D01* +X364840Y248936D01* +X365128Y249406D01* +X365339Y249915D01* +X365468Y250451D01* +X365511Y251000D01* +X365500Y251137D01* +Y301050D01* +X365613Y301163D01* +Y219795D01* +G37* +G36* +X358387Y391500D02*X362000D01* +Y353993D01* +X358765Y353986D01* +X358535Y353931D01* +X358387Y353870D01* +Y391500D01* +G37* +G36* +X362000Y307450D02*X359622Y305072D01* +X359517Y304983D01* +X359160Y304564D01* +X358872Y304094D01* +X358661Y303585D01* +X358532Y303049D01* +X358532Y303049D01* +X358489Y302500D01* +X358500Y302363D01* +Y252450D01* +X358387Y252337D01* +Y337349D01* +X358460Y337337D01* +X358579Y337336D01* +X358696Y337354D01* +X358809Y337390D01* +X358915Y337443D01* +X359012Y337512D01* +X359096Y337595D01* +X359167Y337691D01* +X359221Y337796D01* +X359259Y337908D01* +X359278Y338025D01* +X359279Y338144D01* +X359261Y338261D01* +X359223Y338374D01* +X359124Y338645D01* +X359056Y338925D01* +X359014Y339211D01* +X359000Y339500D01* +X359014Y339789D01* +X359056Y340075D01* +X359124Y340355D01* +X359220Y340628D01* +X359258Y340739D01* +X359275Y340856D01* +X359275Y340974D01* +X359255Y341091D01* +X359218Y341203D01* +X359164Y341307D01* +X359094Y341402D01* +X359009Y341485D01* +X358913Y341554D01* +X358808Y341607D01* +X358695Y341643D01* +X358579Y341660D01* +X358461Y341659D01* +X358387Y341647D01* +Y345130D01* +X358535Y345069D01* +X358765Y345014D01* +X359000Y345000D01* +X362000Y345007D01* +Y344005D01* +X361567Y343984D01* +X361137Y343922D01* +X360716Y343818D01* +X360306Y343675D01* +X360200Y343622D01* +X360104Y343553D01* +X360019Y343470D01* +X359949Y343375D01* +X359894Y343269D01* +X359857Y343157D01* +X359837Y343040D01* +X359836Y342921D01* +X359854Y342804D01* +X359890Y342691D01* +X359943Y342585D01* +X360012Y342488D01* +X360095Y342404D01* +X360191Y342333D01* +X360296Y342279D01* +X360408Y342241D01* +X360525Y342222D01* +X360644Y342221D01* +X360761Y342239D01* +X360874Y342277D01* +X361145Y342376D01* +X361425Y342444D01* +X361711Y342486D01* +X362000Y342500D01* +Y336500D01* +X361711Y336514D01* +X361425Y336556D01* +X361145Y336624D01* +X360872Y336720D01* +X360761Y336758D01* +X360644Y336775D01* +X360526Y336775D01* +X360409Y336755D01* +X360297Y336718D01* +X360193Y336664D01* +X360098Y336594D01* +X360015Y336509D01* +X359946Y336413D01* +X359893Y336308D01* +X359857Y336195D01* +X359840Y336079D01* +X359841Y335961D01* +X359860Y335844D01* +X359897Y335732D01* +X359952Y335627D01* +X360022Y335532D01* +X360106Y335449D01* +X360202Y335381D01* +X360308Y335330D01* +X360716Y335182D01* +X361137Y335078D01* +X361567Y335016D01* +X362000Y334995D01* +Y307450D01* +G37* +G36* +X358387Y252337D02*X355613Y249563D01* +Y337353D01* +X355656Y337360D01* +X355768Y337397D01* +X355873Y337452D01* +X355968Y337522D01* +X356051Y337606D01* +X356119Y337702D01* +X356170Y337808D01* +X356318Y338216D01* +X356422Y338637D01* +X356484Y339067D01* +X356505Y339500D01* +X356484Y339933D01* +X356422Y340363D01* +X356318Y340784D01* +X356175Y341194D01* +X356122Y341300D01* +X356053Y341396D01* +X355970Y341481D01* +X355875Y341551D01* +X355769Y341606D01* +X355657Y341643D01* +X355613Y341651D01* +Y346802D01* +X355652Y346847D01* +X356022Y347451D01* +X356293Y348105D01* +X356458Y348794D01* +X356500Y349500D01* +X356458Y350206D01* +X356293Y350895D01* +X356022Y351549D01* +X355652Y352153D01* +X355613Y352198D01* +Y391500D01* +X358387D01* +Y353870D01* +X358317Y353841D01* +X358116Y353717D01* +X357936Y353564D01* +X357783Y353384D01* +X357659Y353183D01* +X357569Y352965D01* +X357514Y352735D01* +X357500Y352500D01* +X357514Y346265D01* +X357569Y346035D01* +X357659Y345817D01* +X357783Y345616D01* +X357936Y345436D01* +X358116Y345283D01* +X358317Y345159D01* +X358387Y345130D01* +Y341647D01* +X358344Y341640D01* +X358232Y341603D01* +X358127Y341548D01* +X358032Y341478D01* +X357949Y341394D01* +X357881Y341298D01* +X357830Y341192D01* +X357682Y340784D01* +X357578Y340363D01* +X357516Y339933D01* +X357495Y339500D01* +X357516Y339067D01* +X357578Y338637D01* +X357682Y338216D01* +X357825Y337806D01* +X357878Y337700D01* +X357947Y337604D01* +X358030Y337519D01* +X358125Y337449D01* +X358231Y337394D01* +X358343Y337357D01* +X358387Y337349D01* +Y252337D01* +G37* +G36* +X360753Y22144D02*X362000Y21079D01* +Y2000D01* +X360753D01* +Y22144D01* +G37* +G36* +Y197144D02*X362000Y196079D01* +Y94144D01* +X361969Y94138D01* +X361763Y94076D01* +X361706Y94050D01* +X361653Y94016D01* +X361606Y93974D01* +X361566Y93924D01* +X361534Y93870D01* +X361512Y93811D01* +X361499Y93749D01* +X361495Y93686D01* +X361502Y93623D01* +X361518Y93562D01* +X361544Y93504D01* +X361579Y93451D01* +X361621Y93404D01* +X361670Y93364D01* +X361725Y93333D01* +X361784Y93310D01* +X361846Y93297D01* +X361909Y93294D01* +X361972Y93300D01* +X362000Y93308D01* +Y90690D01* +X361971Y90698D01* +X361909Y90705D01* +X361846Y90701D01* +X361784Y90688D01* +X361726Y90666D01* +X361671Y90634D01* +X361622Y90595D01* +X361580Y90548D01* +X361546Y90495D01* +X361520Y90438D01* +X361504Y90377D01* +X361497Y90314D01* +X361500Y90251D01* +X361513Y90190D01* +X361536Y90131D01* +X361567Y90077D01* +X361607Y90028D01* +X361654Y89985D01* +X361706Y89951D01* +X361764Y89927D01* +X361969Y89862D01* +X362000Y89856D01* +Y42921D01* +X360753Y41856D01* +Y90999D01* +X360814Y90995D01* +X360877Y91002D01* +X360938Y91018D01* +X360996Y91044D01* +X361049Y91079D01* +X361096Y91121D01* +X361136Y91170D01* +X361167Y91225D01* +X361190Y91284D01* +X361203Y91346D01* +X361206Y91409D01* +X361200Y91472D01* +X361182Y91532D01* +X361141Y91662D01* +X361115Y91796D01* +X361102Y91932D01* +Y92068D01* +X361115Y92204D01* +X361141Y92338D01* +X361181Y92468D01* +X361198Y92529D01* +X361205Y92591D01* +X361201Y92654D01* +X361188Y92716D01* +X361166Y92774D01* +X361134Y92829D01* +X361095Y92878D01* +X361048Y92920D01* +X360995Y92954D01* +X360938Y92980D01* +X360877Y92996D01* +X360814Y93003D01* +X360753Y93000D01* +Y197144D01* +G37* +G36* +X362000Y217921D02*X360753Y216856D01* +Y244803D01* +X362000Y246050D01* +Y217921D01* +G37* +G36* +X360753Y216856D02*X360425Y216575D01* +X359045Y214960D01* +X357934Y213148D01* +X357121Y211185D01* +X356625Y209118D01* +X356458Y207000D01* +X356625Y204882D01* +X357121Y202815D01* +X357934Y200852D01* +X359045Y199040D01* +X360425Y197425D01* +X360753Y197144D01* +Y93000D01* +X360751Y93000D01* +X360690Y92987D01* +X360631Y92964D01* +X360577Y92933D01* +X360528Y92893D01* +X360485Y92846D01* +X360451Y92794D01* +X360427Y92736D01* +X360362Y92531D01* +X360321Y92321D01* +X360300Y92107D01* +Y91893D01* +X360321Y91679D01* +X360362Y91469D01* +X360424Y91263D01* +X360450Y91206D01* +X360484Y91153D01* +X360526Y91106D01* +X360576Y91066D01* +X360630Y91034D01* +X360689Y91012D01* +X360751Y90999D01* +X360753Y90999D01* +Y41856D01* +X360425Y41575D01* +X359045Y39960D01* +X357934Y38148D01* +X357121Y36185D01* +X356625Y34118D01* +X356458Y32000D01* +X356625Y29882D01* +X357121Y27815D01* +X357934Y25852D01* +X359045Y24040D01* +X360425Y22425D01* +X360753Y22144D01* +Y2000D01* +X355613D01* +Y239663D01* +X360753Y244803D01* +Y216856D01* +G37* +G36* +X355613Y352198D02*X355192Y352692D01* +X354653Y353152D01* +X354049Y353522D01* +X353395Y353793D01* +X352706Y353958D01* +X352002Y354014D01* +Y391500D01* +X355613D01* +Y352198D01* +G37* +G36* +Y249563D02*X352002Y245951D01* +Y334995D01* +X352433Y335016D01* +X352863Y335078D01* +X353284Y335182D01* +X353694Y335325D01* +X353800Y335378D01* +X353896Y335447D01* +X353981Y335530D01* +X354051Y335625D01* +X354106Y335731D01* +X354143Y335843D01* +X354163Y335960D01* +X354164Y336079D01* +X354146Y336196D01* +X354110Y336309D01* +X354057Y336415D01* +X353988Y336512D01* +X353905Y336596D01* +X353809Y336667D01* +X353704Y336721D01* +X353592Y336759D01* +X353475Y336778D01* +X353356Y336779D01* +X353239Y336761D01* +X353126Y336723D01* +X352855Y336624D01* +X352575Y336556D01* +X352289Y336514D01* +X352002Y336500D01* +Y342500D01* +X352289Y342486D01* +X352575Y342444D01* +X352855Y342376D01* +X353128Y342280D01* +X353239Y342242D01* +X353356Y342225D01* +X353474Y342225D01* +X353591Y342245D01* +X353703Y342282D01* +X353807Y342336D01* +X353902Y342406D01* +X353985Y342491D01* +X354054Y342587D01* +X354107Y342692D01* +X354143Y342805D01* +X354160Y342921D01* +X354159Y343039D01* +X354140Y343156D01* +X354103Y343268D01* +X354048Y343373D01* +X353978Y343468D01* +X353894Y343551D01* +X353798Y343619D01* +X353692Y343670D01* +X353284Y343818D01* +X352863Y343922D01* +X352433Y343984D01* +X352002Y344005D01* +Y344986D01* +X352706Y345042D01* +X353395Y345207D01* +X354049Y345478D01* +X354653Y345848D01* +X355192Y346308D01* +X355613Y346802D01* +Y341651D01* +X355540Y341663D01* +X355421Y341664D01* +X355304Y341646D01* +X355191Y341610D01* +X355085Y341557D01* +X354988Y341488D01* +X354904Y341405D01* +X354833Y341309D01* +X354779Y341204D01* +X354741Y341092D01* +X354722Y340975D01* +X354721Y340856D01* +X354739Y340739D01* +X354777Y340626D01* +X354876Y340355D01* +X354944Y340075D01* +X354986Y339789D01* +X355000Y339500D01* +X354986Y339211D01* +X354944Y338925D01* +X354876Y338645D01* +X354780Y338372D01* +X354742Y338261D01* +X354725Y338144D01* +X354725Y338026D01* +X354745Y337909D01* +X354782Y337797D01* +X354836Y337693D01* +X354906Y337598D01* +X354991Y337515D01* +X355087Y337446D01* +X355192Y337393D01* +X355305Y337357D01* +X355421Y337340D01* +X355539Y337341D01* +X355613Y337353D01* +Y249563D01* +G37* +G36* +Y2000D02*X352002D01* +Y236052D01* +X355613Y239663D01* +Y2000D01* +G37* +G36* +X348387Y391500D02*X352002D01* +Y354014D01* +X352000Y354014D01* +X351294Y353958D01* +X350605Y353793D01* +X349951Y353522D01* +X349347Y353152D01* +X348808Y352692D01* +X348387Y352198D01* +Y391500D01* +G37* +G36* +X352002Y245951D02*X348387Y242337D01* +Y337349D01* +X348460Y337337D01* +X348579Y337336D01* +X348696Y337354D01* +X348809Y337390D01* +X348915Y337443D01* +X349012Y337512D01* +X349096Y337595D01* +X349167Y337691D01* +X349221Y337796D01* +X349259Y337908D01* +X349278Y338025D01* +X349279Y338144D01* +X349261Y338261D01* +X349223Y338374D01* +X349124Y338645D01* +X349056Y338925D01* +X349014Y339211D01* +X349000Y339500D01* +X349014Y339789D01* +X349056Y340075D01* +X349124Y340355D01* +X349220Y340628D01* +X349258Y340739D01* +X349275Y340856D01* +X349275Y340974D01* +X349255Y341091D01* +X349218Y341203D01* +X349164Y341307D01* +X349094Y341402D01* +X349009Y341485D01* +X348913Y341554D01* +X348808Y341607D01* +X348695Y341643D01* +X348579Y341660D01* +X348461Y341659D01* +X348387Y341647D01* +Y346802D01* +X348808Y346308D01* +X349347Y345848D01* +X349951Y345478D01* +X350605Y345207D01* +X351294Y345042D01* +X352000Y344986D01* +X352002Y344986D01* +Y344005D01* +X352000Y344005D01* +X351567Y343984D01* +X351137Y343922D01* +X350716Y343818D01* +X350306Y343675D01* +X350200Y343622D01* +X350104Y343553D01* +X350019Y343470D01* +X349949Y343375D01* +X349894Y343269D01* +X349857Y343157D01* +X349837Y343040D01* +X349836Y342921D01* +X349854Y342804D01* +X349890Y342691D01* +X349943Y342585D01* +X350012Y342488D01* +X350095Y342404D01* +X350191Y342333D01* +X350296Y342279D01* +X350408Y342241D01* +X350525Y342222D01* +X350644Y342221D01* +X350761Y342239D01* +X350874Y342277D01* +X351145Y342376D01* +X351425Y342444D01* +X351711Y342486D01* +X352000Y342500D01* +X352002Y342500D01* +Y336500D01* +X352000Y336500D01* +X351711Y336514D01* +X351425Y336556D01* +X351145Y336624D01* +X350872Y336720D01* +X350761Y336758D01* +X350644Y336775D01* +X350526Y336775D01* +X350409Y336755D01* +X350297Y336718D01* +X350193Y336664D01* +X350098Y336594D01* +X350015Y336509D01* +X349946Y336413D01* +X349893Y336308D01* +X349857Y336195D01* +X349840Y336079D01* +X349841Y335961D01* +X349860Y335844D01* +X349897Y335732D01* +X349952Y335627D01* +X350022Y335532D01* +X350106Y335449D01* +X350202Y335381D01* +X350308Y335330D01* +X350716Y335182D01* +X351137Y335078D01* +X351567Y335016D01* +X352000Y334995D01* +X352002Y334995D01* +Y245951D01* +G37* +G36* +X348387Y242337D02*X345622Y239572D01* +X345613Y239564D01* +Y337353D01* +X345656Y337360D01* +X345768Y337397D01* +X345873Y337452D01* +X345968Y337522D01* +X346051Y337606D01* +X346119Y337702D01* +X346170Y337808D01* +X346318Y338216D01* +X346422Y338637D01* +X346484Y339067D01* +X346505Y339500D01* +X346484Y339933D01* +X346422Y340363D01* +X346318Y340784D01* +X346175Y341194D01* +X346122Y341300D01* +X346053Y341396D01* +X345970Y341481D01* +X345875Y341551D01* +X345769Y341606D01* +X345657Y341643D01* +X345613Y341651D01* +Y346802D01* +X345652Y346847D01* +X346022Y347451D01* +X346293Y348105D01* +X346458Y348794D01* +X346500Y349500D01* +X346458Y350206D01* +X346293Y350895D01* +X346022Y351549D01* +X345652Y352153D01* +X345613Y352198D01* +Y391500D01* +X348387D01* +Y352198D01* +X348348Y352153D01* +X347978Y351549D01* +X347707Y350895D01* +X347542Y350206D01* +X347486Y349500D01* +X347542Y348794D01* +X347707Y348105D01* +X347978Y347451D01* +X348348Y346847D01* +X348387Y346802D01* +Y341647D01* +X348344Y341640D01* +X348232Y341603D01* +X348127Y341548D01* +X348032Y341478D01* +X347949Y341394D01* +X347881Y341298D01* +X347830Y341192D01* +X347682Y340784D01* +X347578Y340363D01* +X347516Y339933D01* +X347495Y339500D01* +X347516Y339067D01* +X347578Y338637D01* +X347682Y338216D01* +X347825Y337806D01* +X347878Y337700D01* +X347947Y337604D01* +X348030Y337519D01* +X348125Y337449D01* +X348231Y337394D01* +X348343Y337357D01* +X348387Y337349D01* +Y242337D01* +G37* +G36* +X352002Y2000D02*X348747D01* +Y89894D01* +X348822Y89925D01* +X349358Y90254D01* +X349837Y90663D01* +X350246Y91142D01* +X350575Y91678D01* +X350816Y92260D01* +X350963Y92872D01* +X351000Y93500D01* +X350963Y94128D01* +X350816Y94740D01* +X350575Y95322D01* +X350500Y95444D01* +Y140863D01* +X350511Y141000D01* +X350468Y141549D01* +X350468Y141549D01* +X350339Y142085D01* +X350128Y142594D01* +X349840Y143064D01* +X349483Y143483D01* +X349378Y143572D01* +X348747Y144203D01* +Y193500D01* +X348749Y193500D01* +X348810Y193513D01* +X348869Y193536D01* +X348923Y193567D01* +X348972Y193607D01* +X349015Y193654D01* +X349049Y193706D01* +X349073Y193764D01* +X349138Y193969D01* +X349179Y194179D01* +X349200Y194393D01* +Y194607D01* +X349179Y194821D01* +X349138Y195031D01* +X349076Y195237D01* +X349050Y195294D01* +X349016Y195347D01* +X348974Y195394D01* +X348924Y195434D01* +X348870Y195466D01* +X348811Y195488D01* +X348749Y195501D01* +X348747Y195501D01* +Y199066D01* +X349240Y199184D01* +X349822Y199425D01* +X350358Y199754D01* +X350837Y200163D01* +X351246Y200642D01* +X351575Y201178D01* +X351816Y201760D01* +X351963Y202372D01* +X352000Y203000D01* +X351963Y203628D01* +X351816Y204240D01* +X351575Y204822D01* +X351500Y204944D01* +Y235550D01* +X352002Y236052D01* +Y2000D01* +G37* +G36* +X348747Y144203D02*X347001Y145949D01* +Y192300D01* +X347107D01* +X347321Y192321D01* +X347531Y192362D01* +X347737Y192424D01* +X347794Y192450D01* +X347847Y192484D01* +X347894Y192526D01* +X347934Y192576D01* +X347966Y192630D01* +X347988Y192689D01* +X348001Y192751D01* +X348005Y192814D01* +X347998Y192877D01* +X347982Y192938D01* +X347956Y192996D01* +X347921Y193049D01* +X347879Y193096D01* +X347830Y193136D01* +X347775Y193167D01* +X347716Y193190D01* +X347654Y193203D01* +X347591Y193206D01* +X347528Y193200D01* +X347468Y193182D01* +X347338Y193141D01* +X347204Y193115D01* +X347068Y193102D01* +X347001D01* +Y195898D01* +X347068D01* +X347204Y195885D01* +X347338Y195859D01* +X347468Y195819D01* +X347529Y195802D01* +X347591Y195795D01* +X347654Y195799D01* +X347716Y195812D01* +X347774Y195834D01* +X347829Y195866D01* +X347878Y195905D01* +X347920Y195952D01* +X347954Y196005D01* +X347980Y196062D01* +X347996Y196123D01* +X348003Y196186D01* +X348000Y196249D01* +X347987Y196310D01* +X347964Y196369D01* +X347933Y196423D01* +X347893Y196472D01* +X347846Y196515D01* +X347794Y196549D01* +X347736Y196573D01* +X347531Y196638D01* +X347321Y196679D01* +X347107Y196700D01* +X347001D01* +Y199126D01* +X347372Y199037D01* +X348000Y198988D01* +X348628Y199037D01* +X348747Y199066D01* +Y195501D01* +X348686Y195505D01* +X348623Y195498D01* +X348562Y195482D01* +X348504Y195456D01* +X348451Y195421D01* +X348404Y195379D01* +X348364Y195330D01* +X348333Y195275D01* +X348310Y195216D01* +X348297Y195154D01* +X348294Y195091D01* +X348300Y195028D01* +X348318Y194968D01* +X348359Y194838D01* +X348385Y194704D01* +X348398Y194568D01* +Y194432D01* +X348385Y194296D01* +X348359Y194162D01* +X348319Y194032D01* +X348302Y193971D01* +X348295Y193909D01* +X348299Y193846D01* +X348312Y193784D01* +X348334Y193726D01* +X348366Y193671D01* +X348405Y193622D01* +X348452Y193580D01* +X348505Y193546D01* +X348562Y193520D01* +X348623Y193504D01* +X348686Y193497D01* +X348747Y193500D01* +Y144203D01* +G37* +G36* +Y2000D02*X347001D01* +Y89488D01* +X347628Y89537D01* +X348240Y89684D01* +X348747Y89894D01* +Y2000D01* +G37* +G36* +X347001Y145949D02*X345613Y147337D01* +Y193642D01* +X345636Y193670D01* +X345667Y193725D01* +X345690Y193784D01* +X345703Y193846D01* +X345706Y193909D01* +X345700Y193972D01* +X345682Y194032D01* +X345641Y194162D01* +X345615Y194296D01* +X345613Y194314D01* +Y194686D01* +X345615Y194704D01* +X345641Y194838D01* +X345681Y194968D01* +X345698Y195029D01* +X345705Y195091D01* +X345701Y195154D01* +X345688Y195216D01* +X345666Y195274D01* +X345634Y195329D01* +X345613Y195355D01* +Y199778D01* +X345642Y199754D01* +X346178Y199425D01* +X346760Y199184D01* +X347001Y199126D01* +Y196700D01* +X346893D01* +X346679Y196679D01* +X346469Y196638D01* +X346263Y196576D01* +X346206Y196550D01* +X346153Y196516D01* +X346106Y196474D01* +X346066Y196424D01* +X346034Y196370D01* +X346012Y196311D01* +X345999Y196249D01* +X345995Y196186D01* +X346002Y196123D01* +X346018Y196062D01* +X346044Y196004D01* +X346079Y195951D01* +X346121Y195904D01* +X346170Y195864D01* +X346225Y195833D01* +X346284Y195810D01* +X346346Y195797D01* +X346409Y195794D01* +X346472Y195800D01* +X346532Y195818D01* +X346662Y195859D01* +X346796Y195885D01* +X346932Y195898D01* +X347001D01* +Y193102D01* +X346932D01* +X346796Y193115D01* +X346662Y193141D01* +X346532Y193181D01* +X346471Y193198D01* +X346409Y193205D01* +X346346Y193201D01* +X346284Y193188D01* +X346226Y193166D01* +X346171Y193134D01* +X346122Y193095D01* +X346080Y193048D01* +X346046Y192995D01* +X346020Y192938D01* +X346004Y192877D01* +X345997Y192814D01* +X346000Y192751D01* +X346013Y192690D01* +X346036Y192631D01* +X346067Y192577D01* +X346107Y192528D01* +X346154Y192485D01* +X346206Y192451D01* +X346264Y192427D01* +X346469Y192362D01* +X346679Y192321D01* +X346893Y192300D01* +X347001D01* +Y145949D01* +G37* +G36* +Y2000D02*X345613D01* +Y89745D01* +X345760Y89684D01* +X346372Y89537D01* +X347000Y89488D01* +X347001Y89488D01* +Y2000D01* +G37* +G36* +X345613Y194314D02*X345602Y194432D01* +Y194568D01* +X345613Y194686D01* +Y194314D01* +G37* +G36* +Y352198D02*X345192Y352692D01* +X344653Y353152D01* +X344049Y353522D01* +X343395Y353793D01* +X342706Y353958D01* +X342000Y354014D01* +X341993Y354013D01* +Y391500D01* +X345613D01* +Y352198D01* +G37* +G36* +Y147337D02*X341993Y150957D01* +Y334995D01* +X342000Y334995D01* +X342433Y335016D01* +X342863Y335078D01* +X343284Y335182D01* +X343694Y335325D01* +X343800Y335378D01* +X343896Y335447D01* +X343981Y335530D01* +X344051Y335625D01* +X344106Y335731D01* +X344143Y335843D01* +X344163Y335960D01* +X344164Y336079D01* +X344146Y336196D01* +X344110Y336309D01* +X344057Y336415D01* +X343988Y336512D01* +X343905Y336596D01* +X343809Y336667D01* +X343704Y336721D01* +X343592Y336759D01* +X343475Y336778D01* +X343356Y336779D01* +X343239Y336761D01* +X343126Y336723D01* +X342855Y336624D01* +X342575Y336556D01* +X342289Y336514D01* +X342000Y336500D01* +X341993Y336500D01* +Y342500D01* +X342000Y342500D01* +X342289Y342486D01* +X342575Y342444D01* +X342855Y342376D01* +X343128Y342280D01* +X343239Y342242D01* +X343356Y342225D01* +X343474Y342225D01* +X343591Y342245D01* +X343703Y342282D01* +X343807Y342336D01* +X343902Y342406D01* +X343985Y342491D01* +X344054Y342587D01* +X344107Y342692D01* +X344143Y342805D01* +X344160Y342921D01* +X344159Y343039D01* +X344140Y343156D01* +X344103Y343268D01* +X344048Y343373D01* +X343978Y343468D01* +X343894Y343551D01* +X343798Y343619D01* +X343692Y343670D01* +X343284Y343818D01* +X342863Y343922D01* +X342433Y343984D01* +X342000Y344005D01* +X341993Y344005D01* +Y344987D01* +X342000Y344986D01* +X342706Y345042D01* +X343395Y345207D01* +X344049Y345478D01* +X344653Y345848D01* +X345192Y346308D01* +X345613Y346802D01* +Y341651D01* +X345540Y341663D01* +X345421Y341664D01* +X345304Y341646D01* +X345191Y341610D01* +X345085Y341557D01* +X344988Y341488D01* +X344904Y341405D01* +X344833Y341309D01* +X344779Y341204D01* +X344741Y341092D01* +X344722Y340975D01* +X344721Y340856D01* +X344739Y340739D01* +X344777Y340626D01* +X344876Y340355D01* +X344944Y340075D01* +X344986Y339789D01* +X345000Y339500D01* +X344986Y339211D01* +X344944Y338925D01* +X344876Y338645D01* +X344780Y338372D01* +X344742Y338261D01* +X344725Y338144D01* +X344725Y338026D01* +X344745Y337909D01* +X344782Y337797D01* +X344836Y337693D01* +X344906Y337598D01* +X344991Y337515D01* +X345087Y337446D01* +X345192Y337393D01* +X345305Y337357D01* +X345421Y337340D01* +X345539Y337341D01* +X345613Y337353D01* +Y239564D01* +X345517Y239483D01* +X345160Y239064D01* +X344872Y238594D01* +X344661Y238085D01* +X344532Y237549D01* +X344532Y237549D01* +X344489Y237000D01* +X344500Y236863D01* +Y204944D01* +X344425Y204822D01* +X344184Y204240D01* +X344037Y203628D01* +X343988Y203000D01* +X344037Y202372D01* +X344184Y201760D01* +X344425Y201178D01* +X344754Y200642D01* +X345163Y200163D01* +X345613Y199778D01* +Y195355D01* +X345595Y195378D01* +X345548Y195420D01* +X345495Y195454D01* +X345438Y195480D01* +X345377Y195496D01* +X345314Y195503D01* +X345251Y195500D01* +X345190Y195487D01* +X345131Y195464D01* +X345077Y195433D01* +X345028Y195393D01* +X344985Y195346D01* +X344951Y195294D01* +X344927Y195236D01* +X344862Y195031D01* +X344821Y194821D01* +X344800Y194607D01* +Y194393D01* +X344821Y194179D01* +X344862Y193969D01* +X344924Y193763D01* +X344950Y193706D01* +X344984Y193653D01* +X345026Y193606D01* +X345076Y193566D01* +X345130Y193534D01* +X345189Y193512D01* +X345251Y193499D01* +X345314Y193495D01* +X345377Y193502D01* +X345438Y193518D01* +X345496Y193544D01* +X345549Y193579D01* +X345596Y193621D01* +X345613Y193642D01* +Y147337D01* +G37* +G36* +Y2000D02*X341993D01* +Y141057D01* +X343500Y139550D01* +Y95444D01* +X343425Y95322D01* +X343184Y94740D01* +X343037Y94128D01* +X342988Y93500D01* +X343037Y92872D01* +X343184Y92260D01* +X343425Y91678D01* +X343754Y91142D01* +X344163Y90663D01* +X344642Y90254D01* +X345178Y89925D01* +X345613Y89745D01* +Y2000D01* +G37* +G36* +X338387Y391500D02*X341993D01* +Y354013D01* +X341294Y353958D01* +X340605Y353793D01* +X339951Y353522D01* +X339347Y353152D01* +X338808Y352692D01* +X338387Y352198D01* +Y391500D01* +G37* +G36* +X341993Y150957D02*X338387Y154563D01* +Y337349D01* +X338460Y337337D01* +X338579Y337336D01* +X338696Y337354D01* +X338809Y337390D01* +X338915Y337443D01* +X339012Y337512D01* +X339096Y337595D01* +X339167Y337691D01* +X339221Y337796D01* +X339259Y337908D01* +X339278Y338025D01* +X339279Y338144D01* +X339261Y338261D01* +X339223Y338374D01* +X339124Y338645D01* +X339056Y338925D01* +X339014Y339211D01* +X339000Y339500D01* +X339014Y339789D01* +X339056Y340075D01* +X339124Y340355D01* +X339220Y340628D01* +X339258Y340739D01* +X339275Y340856D01* +X339275Y340974D01* +X339255Y341091D01* +X339218Y341203D01* +X339164Y341307D01* +X339094Y341402D01* +X339009Y341485D01* +X338913Y341554D01* +X338808Y341607D01* +X338695Y341643D01* +X338579Y341660D01* +X338461Y341659D01* +X338387Y341647D01* +Y346802D01* +X338808Y346308D01* +X339347Y345848D01* +X339951Y345478D01* +X340605Y345207D01* +X341294Y345042D01* +X341993Y344987D01* +Y344005D01* +X341567Y343984D01* +X341137Y343922D01* +X340716Y343818D01* +X340306Y343675D01* +X340200Y343622D01* +X340104Y343553D01* +X340019Y343470D01* +X339949Y343375D01* +X339894Y343269D01* +X339857Y343157D01* +X339837Y343040D01* +X339836Y342921D01* +X339854Y342804D01* +X339890Y342691D01* +X339943Y342585D01* +X340012Y342488D01* +X340095Y342404D01* +X340191Y342333D01* +X340296Y342279D01* +X340408Y342241D01* +X340525Y342222D01* +X340644Y342221D01* +X340761Y342239D01* +X340874Y342277D01* +X341145Y342376D01* +X341425Y342444D01* +X341711Y342486D01* +X341993Y342500D01* +Y336500D01* +X341711Y336514D01* +X341425Y336556D01* +X341145Y336624D01* +X340872Y336720D01* +X340761Y336758D01* +X340644Y336775D01* +X340526Y336775D01* +X340409Y336755D01* +X340297Y336718D01* +X340193Y336664D01* +X340098Y336594D01* +X340015Y336509D01* +X339946Y336413D01* +X339893Y336308D01* +X339857Y336195D01* +X339840Y336079D01* +X339841Y335961D01* +X339860Y335844D01* +X339897Y335732D01* +X339952Y335627D01* +X340022Y335532D01* +X340106Y335449D01* +X340202Y335381D01* +X340308Y335330D01* +X340716Y335182D01* +X341137Y335078D01* +X341567Y335016D01* +X341993Y334995D01* +Y150957D01* +G37* +G36* +X338387Y154563D02*X335613Y157337D01* +Y337353D01* +X335656Y337360D01* +X335768Y337397D01* +X335873Y337452D01* +X335968Y337522D01* +X336051Y337606D01* +X336119Y337702D01* +X336170Y337808D01* +X336318Y338216D01* +X336422Y338637D01* +X336484Y339067D01* +X336505Y339500D01* +X336484Y339933D01* +X336422Y340363D01* +X336318Y340784D01* +X336175Y341194D01* +X336122Y341300D01* +X336053Y341396D01* +X335970Y341481D01* +X335875Y341551D01* +X335769Y341606D01* +X335657Y341643D01* +X335613Y341651D01* +Y346802D01* +X335652Y346847D01* +X336022Y347451D01* +X336293Y348105D01* +X336458Y348794D01* +X336500Y349500D01* +X336458Y350206D01* +X336293Y350895D01* +X336022Y351549D01* +X335652Y352153D01* +X335613Y352198D01* +Y391500D01* +X338387D01* +Y352198D01* +X338348Y352153D01* +X337978Y351549D01* +X337707Y350895D01* +X337542Y350206D01* +X337486Y349500D01* +X337542Y348794D01* +X337707Y348105D01* +X337978Y347451D01* +X338348Y346847D01* +X338387Y346802D01* +Y341647D01* +X338344Y341640D01* +X338232Y341603D01* +X338127Y341548D01* +X338032Y341478D01* +X337949Y341394D01* +X337881Y341298D01* +X337830Y341192D01* +X337682Y340784D01* +X337578Y340363D01* +X337516Y339933D01* +X337495Y339500D01* +X337516Y339067D01* +X337578Y338637D01* +X337682Y338216D01* +X337825Y337806D01* +X337878Y337700D01* +X337947Y337604D01* +X338030Y337519D01* +X338125Y337449D01* +X338231Y337394D01* +X338343Y337357D01* +X338387Y337349D01* +Y154563D01* +G37* +G36* +X335613Y352198D02*X335192Y352692D01* +X334653Y353152D01* +X334049Y353522D01* +X333395Y353793D01* +X332706Y353958D01* +X332000Y354014D01* +X331993Y354013D01* +Y391500D01* +X335613D01* +Y352198D01* +G37* +G36* +Y157337D02*X332784Y160166D01* +Y200869D01* +X332787Y200870D01* +X332855Y200909D01* +X332916Y200958D01* +X332969Y201017D01* +X333011Y201083D01* +X333174Y201408D01* +X333303Y201748D01* +X333401Y202098D01* +X333467Y202456D01* +X333500Y202818D01* +Y203182D01* +X333467Y203544D01* +X333401Y203902D01* +X333303Y204252D01* +X333174Y204592D01* +X333015Y204919D01* +X332972Y204985D01* +X332919Y205044D01* +X332857Y205094D01* +X332788Y205133D01* +X332784Y205135D01* +Y335067D01* +X332863Y335078D01* +X333284Y335182D01* +X333694Y335325D01* +X333800Y335378D01* +X333896Y335447D01* +X333981Y335530D01* +X334051Y335625D01* +X334106Y335731D01* +X334143Y335843D01* +X334163Y335960D01* +X334164Y336079D01* +X334146Y336196D01* +X334110Y336309D01* +X334057Y336415D01* +X333988Y336512D01* +X333905Y336596D01* +X333809Y336667D01* +X333704Y336721D01* +X333592Y336759D01* +X333475Y336778D01* +X333356Y336779D01* +X333239Y336761D01* +X333126Y336723D01* +X332855Y336624D01* +X332784Y336607D01* +Y342393D01* +X332855Y342376D01* +X333128Y342280D01* +X333239Y342242D01* +X333356Y342225D01* +X333474Y342225D01* +X333591Y342245D01* +X333703Y342282D01* +X333807Y342336D01* +X333902Y342406D01* +X333985Y342491D01* +X334054Y342587D01* +X334107Y342692D01* +X334143Y342805D01* +X334160Y342921D01* +X334159Y343039D01* +X334140Y343156D01* +X334103Y343268D01* +X334048Y343373D01* +X333978Y343468D01* +X333894Y343551D01* +X333798Y343619D01* +X333692Y343670D01* +X333284Y343818D01* +X332863Y343922D01* +X332784Y343933D01* +Y345060D01* +X333395Y345207D01* +X334049Y345478D01* +X334653Y345848D01* +X335192Y346308D01* +X335613Y346802D01* +Y341651D01* +X335540Y341663D01* +X335421Y341664D01* +X335304Y341646D01* +X335191Y341610D01* +X335085Y341557D01* +X334988Y341488D01* +X334904Y341405D01* +X334833Y341309D01* +X334779Y341204D01* +X334741Y341092D01* +X334722Y340975D01* +X334721Y340856D01* +X334739Y340739D01* +X334777Y340626D01* +X334876Y340355D01* +X334944Y340075D01* +X334986Y339789D01* +X335000Y339500D01* +X334986Y339211D01* +X334944Y338925D01* +X334876Y338645D01* +X334780Y338372D01* +X334742Y338261D01* +X334725Y338144D01* +X334725Y338026D01* +X334745Y337909D01* +X334782Y337797D01* +X334836Y337693D01* +X334906Y337598D01* +X334991Y337515D01* +X335087Y337446D01* +X335192Y337393D01* +X335305Y337357D01* +X335421Y337340D01* +X335539Y337341D01* +X335613Y337353D01* +Y157337D01* +G37* +G36* +X332784Y343933D02*X332433Y343984D01* +X332000Y344005D01* +X331993Y344005D01* +Y344987D01* +X332000Y344986D01* +X332706Y345042D01* +X332784Y345060D01* +Y343933D01* +G37* +G36* +Y336607D02*X332575Y336556D01* +X332289Y336514D01* +X332000Y336500D01* +X331993Y336500D01* +Y342500D01* +X332000Y342500D01* +X332289Y342486D01* +X332575Y342444D01* +X332784Y342393D01* +Y336607D01* +G37* +G36* +Y160166D02*X331993Y160957D01* +Y334995D01* +X332000Y334995D01* +X332433Y335016D01* +X332784Y335067D01* +Y205135D01* +X332714Y205161D01* +X332637Y205177D01* +X332558Y205181D01* +X332479Y205173D01* +X332403Y205152D01* +X332330Y205120D01* +X332264Y205077D01* +X332205Y205024D01* +X332156Y204962D01* +X332116Y204893D01* +X332088Y204819D01* +X332072Y204742D01* +X332068Y204663D01* +X332076Y204584D01* +X332097Y204507D01* +X332130Y204436D01* +X332253Y204193D01* +X332350Y203938D01* +X332423Y203676D01* +X332472Y203408D01* +X332497Y203136D01* +Y202864D01* +X332472Y202592D01* +X332423Y202324D01* +X332350Y202062D01* +X332253Y201807D01* +X332133Y201563D01* +X332100Y201491D01* +X332079Y201416D01* +X332071Y201337D01* +X332075Y201259D01* +X332091Y201182D01* +X332119Y201108D01* +X332158Y201040D01* +X332207Y200979D01* +X332266Y200926D01* +X332332Y200883D01* +X332404Y200851D01* +X332480Y200830D01* +X332558Y200822D01* +X332636Y200826D01* +X332713Y200842D01* +X332784Y200869D01* +Y160166D01* +G37* +G36* +X341993Y2000D02*X331993D01* +Y91329D01* +X332058Y91322D01* +X332136Y91326D01* +X332213Y91342D01* +X332287Y91370D01* +X332355Y91409D01* +X332416Y91458D01* +X332469Y91517D01* +X332511Y91583D01* +X332674Y91908D01* +X332803Y92248D01* +X332901Y92598D01* +X332967Y92956D01* +X333000Y93318D01* +Y93682D01* +X332967Y94044D01* +X332901Y94402D01* +X332803Y94752D01* +X332674Y95092D01* +X332515Y95419D01* +X332472Y95485D01* +X332419Y95544D01* +X332357Y95594D01* +X332288Y95633D01* +X332214Y95661D01* +X332137Y95677D01* +X332058Y95681D01* +X331993Y95675D01* +Y151057D01* +X341993Y141057D01* +Y2000D01* +G37* +G36* +X328387Y391500D02*X331993D01* +Y354013D01* +X331294Y353958D01* +X330605Y353793D01* +X329951Y353522D01* +X329347Y353152D01* +X328808Y352692D01* +X328387Y352198D01* +Y391500D01* +G37* +G36* +X331993Y160957D02*X328387Y164563D01* +Y199158D01* +X328598Y199099D01* +X328956Y199033D01* +X329318Y199000D01* +X329682D01* +X330044Y199033D01* +X330402Y199099D01* +X330752Y199197D01* +X331092Y199326D01* +X331419Y199485D01* +X331485Y199528D01* +X331544Y199581D01* +X331594Y199643D01* +X331633Y199712D01* +X331661Y199786D01* +X331677Y199863D01* +X331681Y199942D01* +X331673Y200021D01* +X331652Y200097D01* +X331620Y200170D01* +X331577Y200236D01* +X331524Y200295D01* +X331462Y200344D01* +X331393Y200384D01* +X331319Y200412D01* +X331242Y200428D01* +X331163Y200432D01* +X331084Y200424D01* +X331007Y200403D01* +X330936Y200370D01* +X330693Y200247D01* +X330438Y200150D01* +X330176Y200077D01* +X329908Y200028D01* +X329636Y200003D01* +X329364D01* +X329092Y200028D01* +X328824Y200077D01* +X328562Y200150D01* +X328387Y200217D01* +Y205783D01* +X328562Y205850D01* +X328824Y205923D01* +X329092Y205972D01* +X329364Y205997D01* +X329636D01* +X329908Y205972D01* +X330176Y205923D01* +X330438Y205850D01* +X330693Y205753D01* +X330937Y205633D01* +X331009Y205600D01* +X331084Y205579D01* +X331163Y205571D01* +X331241Y205575D01* +X331318Y205591D01* +X331392Y205619D01* +X331460Y205658D01* +X331521Y205707D01* +X331574Y205766D01* +X331617Y205832D01* +X331649Y205904D01* +X331670Y205980D01* +X331678Y206058D01* +X331674Y206136D01* +X331658Y206213D01* +X331630Y206287D01* +X331591Y206355D01* +X331542Y206416D01* +X331483Y206469D01* +X331417Y206511D01* +X331092Y206674D01* +X330752Y206803D01* +X330402Y206901D01* +X330044Y206967D01* +X329682Y207000D01* +X329318D01* +X328956Y206967D01* +X328598Y206901D01* +X328387Y206842D01* +Y337349D01* +X328460Y337337D01* +X328579Y337336D01* +X328696Y337354D01* +X328809Y337390D01* +X328915Y337443D01* +X329012Y337512D01* +X329096Y337595D01* +X329167Y337691D01* +X329221Y337796D01* +X329259Y337908D01* +X329278Y338025D01* +X329279Y338144D01* +X329261Y338261D01* +X329223Y338374D01* +X329124Y338645D01* +X329056Y338925D01* +X329014Y339211D01* +X329000Y339500D01* +X329014Y339789D01* +X329056Y340075D01* +X329124Y340355D01* +X329220Y340628D01* +X329258Y340739D01* +X329275Y340856D01* +X329275Y340974D01* +X329255Y341091D01* +X329218Y341203D01* +X329164Y341307D01* +X329094Y341402D01* +X329009Y341485D01* +X328913Y341554D01* +X328808Y341607D01* +X328695Y341643D01* +X328579Y341660D01* +X328461Y341659D01* +X328387Y341647D01* +Y346802D01* +X328808Y346308D01* +X329347Y345848D01* +X329951Y345478D01* +X330605Y345207D01* +X331294Y345042D01* +X331993Y344987D01* +Y344005D01* +X331567Y343984D01* +X331137Y343922D01* +X330716Y343818D01* +X330306Y343675D01* +X330200Y343622D01* +X330104Y343553D01* +X330019Y343470D01* +X329949Y343375D01* +X329894Y343269D01* +X329857Y343157D01* +X329837Y343040D01* +X329836Y342921D01* +X329854Y342804D01* +X329890Y342691D01* +X329943Y342585D01* +X330012Y342488D01* +X330095Y342404D01* +X330191Y342333D01* +X330296Y342279D01* +X330408Y342241D01* +X330525Y342222D01* +X330644Y342221D01* +X330761Y342239D01* +X330874Y342277D01* +X331145Y342376D01* +X331425Y342444D01* +X331711Y342486D01* +X331993Y342500D01* +Y336500D01* +X331711Y336514D01* +X331425Y336556D01* +X331145Y336624D01* +X330872Y336720D01* +X330761Y336758D01* +X330644Y336775D01* +X330526Y336775D01* +X330409Y336755D01* +X330297Y336718D01* +X330193Y336664D01* +X330098Y336594D01* +X330015Y336509D01* +X329946Y336413D01* +X329893Y336308D01* +X329857Y336195D01* +X329840Y336079D01* +X329841Y335961D01* +X329860Y335844D01* +X329897Y335732D01* +X329952Y335627D01* +X330022Y335532D01* +X330106Y335449D01* +X330202Y335381D01* +X330308Y335330D01* +X330716Y335182D01* +X331137Y335078D01* +X331567Y335016D01* +X331993Y334995D01* +Y160957D01* +G37* +G36* +X328387Y164563D02*X325613Y167337D01* +Y202047D01* +X325697Y201748D01* +X325826Y201408D01* +X325985Y201081D01* +X326028Y201015D01* +X326081Y200956D01* +X326143Y200906D01* +X326212Y200867D01* +X326286Y200839D01* +X326363Y200823D01* +X326442Y200819D01* +X326521Y200827D01* +X326597Y200848D01* +X326670Y200880D01* +X326736Y200923D01* +X326795Y200976D01* +X326844Y201038D01* +X326884Y201107D01* +X326912Y201181D01* +X326928Y201258D01* +X326932Y201337D01* +X326924Y201416D01* +X326903Y201493D01* +X326870Y201564D01* +X326747Y201807D01* +X326650Y202062D01* +X326577Y202324D01* +X326528Y202592D01* +X326503Y202864D01* +Y203136D01* +X326528Y203408D01* +X326577Y203676D01* +X326650Y203938D01* +X326747Y204193D01* +X326867Y204437D01* +X326900Y204509D01* +X326921Y204585D01* +X326929Y204663D01* +X326925Y204741D01* +X326909Y204818D01* +X326881Y204892D01* +X326842Y204960D01* +X326793Y205021D01* +X326734Y205074D01* +X326668Y205117D01* +X326596Y205149D01* +X326520Y205170D01* +X326442Y205178D01* +X326364Y205174D01* +X326287Y205158D01* +X326213Y205130D01* +X326145Y205091D01* +X326084Y205042D01* +X326031Y204983D01* +X325989Y204917D01* +X325826Y204592D01* +X325697Y204252D01* +X325613Y203953D01* +Y337353D01* +X325656Y337360D01* +X325768Y337397D01* +X325873Y337452D01* +X325968Y337522D01* +X326051Y337606D01* +X326119Y337702D01* +X326170Y337808D01* +X326318Y338216D01* +X326422Y338637D01* +X326484Y339067D01* +X326505Y339500D01* +X326484Y339933D01* +X326422Y340363D01* +X326318Y340784D01* +X326175Y341194D01* +X326122Y341300D01* +X326053Y341396D01* +X325970Y341481D01* +X325875Y341551D01* +X325769Y341606D01* +X325657Y341643D01* +X325613Y341651D01* +Y346802D01* +X325652Y346847D01* +X326022Y347451D01* +X326293Y348105D01* +X326458Y348794D01* +X326500Y349500D01* +X326458Y350206D01* +X326293Y350895D01* +X326022Y351549D01* +X325652Y352153D01* +X325613Y352198D01* +Y391500D01* +X328387D01* +Y352198D01* +X328348Y352153D01* +X327978Y351549D01* +X327707Y350895D01* +X327542Y350206D01* +X327486Y349500D01* +X327542Y348794D01* +X327707Y348105D01* +X327978Y347451D01* +X328348Y346847D01* +X328387Y346802D01* +Y341647D01* +X328344Y341640D01* +X328232Y341603D01* +X328127Y341548D01* +X328032Y341478D01* +X327949Y341394D01* +X327881Y341298D01* +X327830Y341192D01* +X327682Y340784D01* +X327578Y340363D01* +X327516Y339933D01* +X327495Y339500D01* +X327516Y339067D01* +X327578Y338637D01* +X327682Y338216D01* +X327825Y337806D01* +X327878Y337700D01* +X327947Y337604D01* +X328030Y337519D01* +X328125Y337449D01* +X328231Y337394D01* +X328343Y337357D01* +X328387Y337349D01* +Y206842D01* +X328248Y206803D01* +X327908Y206674D01* +X327581Y206515D01* +X327515Y206472D01* +X327456Y206419D01* +X327406Y206357D01* +X327367Y206288D01* +X327339Y206214D01* +X327323Y206137D01* +X327319Y206058D01* +X327327Y205979D01* +X327348Y205903D01* +X327380Y205830D01* +X327423Y205764D01* +X327476Y205705D01* +X327538Y205656D01* +X327607Y205616D01* +X327681Y205588D01* +X327758Y205572D01* +X327837Y205568D01* +X327916Y205576D01* +X327993Y205597D01* +X328064Y205630D01* +X328307Y205753D01* +X328387Y205783D01* +Y200217D01* +X328307Y200247D01* +X328063Y200367D01* +X327991Y200400D01* +X327915Y200421D01* +X327837Y200429D01* +X327759Y200425D01* +X327682Y200409D01* +X327608Y200381D01* +X327540Y200342D01* +X327479Y200293D01* +X327426Y200234D01* +X327383Y200168D01* +X327351Y200096D01* +X327330Y200020D01* +X327322Y199942D01* +X327326Y199864D01* +X327342Y199787D01* +X327370Y199713D01* +X327409Y199645D01* +X327458Y199584D01* +X327517Y199531D01* +X327583Y199489D01* +X327908Y199326D01* +X328248Y199197D01* +X328387Y199158D01* +Y164563D01* +G37* +G36* +X325613Y352198D02*X325192Y352692D01* +X324653Y353152D01* +X324049Y353522D01* +X323395Y353793D01* +X322706Y353958D01* +X322000Y354014D01* +X321993Y354013D01* +Y391500D01* +X325613D01* +Y352198D01* +G37* +G36* +Y167337D02*X321993Y170957D01* +Y334995D01* +X322000Y334995D01* +X322433Y335016D01* +X322863Y335078D01* +X323284Y335182D01* +X323694Y335325D01* +X323800Y335378D01* +X323896Y335447D01* +X323981Y335530D01* +X324051Y335625D01* +X324106Y335731D01* +X324143Y335843D01* +X324163Y335960D01* +X324164Y336079D01* +X324146Y336196D01* +X324110Y336309D01* +X324057Y336415D01* +X323988Y336512D01* +X323905Y336596D01* +X323809Y336667D01* +X323704Y336721D01* +X323592Y336759D01* +X323475Y336778D01* +X323356Y336779D01* +X323239Y336761D01* +X323126Y336723D01* +X322855Y336624D01* +X322575Y336556D01* +X322289Y336514D01* +X322000Y336500D01* +X321993Y336500D01* +Y342500D01* +X322000Y342500D01* +X322289Y342486D01* +X322575Y342444D01* +X322855Y342376D01* +X323128Y342280D01* +X323239Y342242D01* +X323356Y342225D01* +X323474Y342225D01* +X323591Y342245D01* +X323703Y342282D01* +X323807Y342336D01* +X323902Y342406D01* +X323985Y342491D01* +X324054Y342587D01* +X324107Y342692D01* +X324143Y342805D01* +X324160Y342921D01* +X324159Y343039D01* +X324140Y343156D01* +X324103Y343268D01* +X324048Y343373D01* +X323978Y343468D01* +X323894Y343551D01* +X323798Y343619D01* +X323692Y343670D01* +X323284Y343818D01* +X322863Y343922D01* +X322433Y343984D01* +X322000Y344005D01* +X321993Y344005D01* +Y344987D01* +X322000Y344986D01* +X322706Y345042D01* +X323395Y345207D01* +X324049Y345478D01* +X324653Y345848D01* +X325192Y346308D01* +X325613Y346802D01* +Y341651D01* +X325540Y341663D01* +X325421Y341664D01* +X325304Y341646D01* +X325191Y341610D01* +X325085Y341557D01* +X324988Y341488D01* +X324904Y341405D01* +X324833Y341309D01* +X324779Y341204D01* +X324741Y341092D01* +X324722Y340975D01* +X324721Y340856D01* +X324739Y340739D01* +X324777Y340626D01* +X324876Y340355D01* +X324944Y340075D01* +X324986Y339789D01* +X325000Y339500D01* +X324986Y339211D01* +X324944Y338925D01* +X324876Y338645D01* +X324780Y338372D01* +X324742Y338261D01* +X324725Y338144D01* +X324725Y338026D01* +X324745Y337909D01* +X324782Y337797D01* +X324836Y337693D01* +X324906Y337598D01* +X324991Y337515D01* +X325087Y337446D01* +X325192Y337393D01* +X325305Y337357D01* +X325421Y337340D01* +X325539Y337341D01* +X325613Y337353D01* +Y203953D01* +X325599Y203902D01* +X325533Y203544D01* +X325500Y203182D01* +Y202818D01* +X325533Y202456D01* +X325599Y202098D01* +X325613Y202047D01* +Y167337D01* +G37* +G36* +X331993Y2000D02*X329002D01* +Y89500D01* +X329182D01* +X329544Y89533D01* +X329902Y89599D01* +X330252Y89697D01* +X330592Y89826D01* +X330919Y89985D01* +X330985Y90028D01* +X331044Y90081D01* +X331094Y90143D01* +X331133Y90212D01* +X331161Y90286D01* +X331177Y90363D01* +X331181Y90442D01* +X331173Y90521D01* +X331152Y90597D01* +X331120Y90670D01* +X331077Y90736D01* +X331024Y90795D01* +X330962Y90844D01* +X330893Y90884D01* +X330819Y90912D01* +X330742Y90928D01* +X330663Y90932D01* +X330584Y90924D01* +X330507Y90903D01* +X330436Y90870D01* +X330193Y90747D01* +X329938Y90650D01* +X329676Y90577D01* +X329408Y90528D01* +X329136Y90503D01* +X329002D01* +Y96497D01* +X329136D01* +X329408Y96472D01* +X329676Y96423D01* +X329938Y96350D01* +X330193Y96253D01* +X330437Y96133D01* +X330509Y96100D01* +X330584Y96079D01* +X330663Y96071D01* +X330741Y96075D01* +X330818Y96091D01* +X330892Y96119D01* +X330960Y96158D01* +X331021Y96207D01* +X331074Y96266D01* +X331117Y96332D01* +X331149Y96404D01* +X331170Y96480D01* +X331178Y96558D01* +X331174Y96636D01* +X331158Y96713D01* +X331130Y96787D01* +X331091Y96855D01* +X331042Y96916D01* +X330983Y96969D01* +X330917Y97011D01* +X330592Y97174D01* +X330252Y97303D01* +X329902Y97401D01* +X329544Y97467D01* +X329182Y97500D01* +X329002D01* +Y154049D01* +X331993Y151057D01* +Y95675D01* +X331979Y95673D01* +X331903Y95652D01* +X331830Y95620D01* +X331764Y95577D01* +X331705Y95524D01* +X331656Y95462D01* +X331616Y95393D01* +X331588Y95319D01* +X331572Y95242D01* +X331568Y95163D01* +X331576Y95084D01* +X331597Y95007D01* +X331630Y94936D01* +X331753Y94693D01* +X331850Y94438D01* +X331923Y94176D01* +X331972Y93908D01* +X331993Y93678D01* +Y93322D01* +X331972Y93092D01* +X331923Y92824D01* +X331850Y92562D01* +X331753Y92307D01* +X331633Y92063D01* +X331600Y91991D01* +X331579Y91916D01* +X331571Y91837D01* +X331575Y91759D01* +X331591Y91682D01* +X331619Y91608D01* +X331658Y91540D01* +X331707Y91479D01* +X331766Y91426D01* +X331832Y91383D01* +X331904Y91351D01* +X331980Y91330D01* +X331993Y91329D01* +Y2000D01* +G37* +G36* +X329002D02*X325716D01* +Y91365D01* +X325786Y91339D01* +X325863Y91323D01* +X325942Y91319D01* +X326021Y91327D01* +X326097Y91348D01* +X326170Y91380D01* +X326236Y91423D01* +X326295Y91476D01* +X326344Y91538D01* +X326384Y91607D01* +X326412Y91681D01* +X326428Y91758D01* +X326432Y91837D01* +X326424Y91916D01* +X326403Y91993D01* +X326370Y92064D01* +X326247Y92307D01* +X326150Y92562D01* +X326077Y92824D01* +X326028Y93092D01* +X326003Y93364D01* +Y93636D01* +X326028Y93908D01* +X326077Y94176D01* +X326150Y94438D01* +X326247Y94693D01* +X326367Y94937D01* +X326400Y95009D01* +X326421Y95085D01* +X326429Y95163D01* +X326425Y95241D01* +X326409Y95318D01* +X326381Y95392D01* +X326342Y95460D01* +X326293Y95521D01* +X326234Y95574D01* +X326168Y95617D01* +X326096Y95649D01* +X326020Y95670D01* +X325942Y95678D01* +X325864Y95674D01* +X325787Y95658D01* +X325716Y95631D01* +Y157334D01* +X329002Y154049D01* +Y97500D01* +X328818D01* +X328456Y97467D01* +X328098Y97401D01* +X327748Y97303D01* +X327408Y97174D01* +X327081Y97015D01* +X327015Y96972D01* +X326956Y96919D01* +X326906Y96857D01* +X326867Y96788D01* +X326839Y96714D01* +X326823Y96637D01* +X326819Y96558D01* +X326827Y96479D01* +X326848Y96403D01* +X326880Y96330D01* +X326923Y96264D01* +X326976Y96205D01* +X327038Y96156D01* +X327107Y96116D01* +X327181Y96088D01* +X327258Y96072D01* +X327337Y96068D01* +X327416Y96076D01* +X327493Y96097D01* +X327564Y96130D01* +X327807Y96253D01* +X328062Y96350D01* +X328324Y96423D01* +X328592Y96472D01* +X328864Y96497D01* +X329002D01* +Y90503D01* +X328864D01* +X328592Y90528D01* +X328324Y90577D01* +X328062Y90650D01* +X327807Y90747D01* +X327563Y90867D01* +X327491Y90900D01* +X327415Y90921D01* +X327337Y90929D01* +X327259Y90925D01* +X327182Y90909D01* +X327108Y90881D01* +X327040Y90842D01* +X326979Y90793D01* +X326926Y90734D01* +X326883Y90668D01* +X326851Y90596D01* +X326830Y90520D01* +X326822Y90442D01* +X326826Y90364D01* +X326842Y90287D01* +X326870Y90213D01* +X326909Y90145D01* +X326958Y90084D01* +X327017Y90031D01* +X327083Y89989D01* +X327408Y89826D01* +X327748Y89697D01* +X328098Y89599D01* +X328456Y89533D01* +X328818Y89500D01* +X329002D01* +Y2000D01* +G37* +G36* +X325716D02*X321993D01* +Y161057D01* +X325716Y157334D01* +Y95631D01* +X325713Y95630D01* +X325645Y95591D01* +X325584Y95542D01* +X325531Y95483D01* +X325489Y95417D01* +X325326Y95092D01* +X325197Y94752D01* +X325099Y94402D01* +X325033Y94044D01* +X325000Y93682D01* +Y93318D01* +X325033Y92956D01* +X325099Y92598D01* +X325197Y92248D01* +X325326Y91908D01* +X325485Y91581D01* +X325528Y91515D01* +X325581Y91456D01* +X325643Y91406D01* +X325712Y91367D01* +X325716Y91365D01* +Y2000D01* +G37* +G36* +X318387Y391500D02*X321993D01* +Y354013D01* +X321294Y353958D01* +X320605Y353793D01* +X319951Y353522D01* +X319347Y353152D01* +X318808Y352692D01* +X318387Y352198D01* +Y391500D01* +G37* +G36* +X321993Y170957D02*X318387Y174563D01* +Y337349D01* +X318460Y337337D01* +X318579Y337336D01* +X318696Y337354D01* +X318809Y337390D01* +X318915Y337443D01* +X319012Y337512D01* +X319096Y337595D01* +X319167Y337691D01* +X319221Y337796D01* +X319259Y337908D01* +X319278Y338025D01* +X319279Y338144D01* +X319261Y338261D01* +X319223Y338374D01* +X319124Y338645D01* +X319056Y338925D01* +X319014Y339211D01* +X319000Y339500D01* +X319014Y339789D01* +X319056Y340075D01* +X319124Y340355D01* +X319220Y340628D01* +X319258Y340739D01* +X319275Y340856D01* +X319275Y340974D01* +X319255Y341091D01* +X319218Y341203D01* +X319164Y341307D01* +X319094Y341402D01* +X319009Y341485D01* +X318913Y341554D01* +X318808Y341607D01* +X318695Y341643D01* +X318579Y341660D01* +X318461Y341659D01* +X318387Y341647D01* +Y346802D01* +X318808Y346308D01* +X319347Y345848D01* +X319951Y345478D01* +X320605Y345207D01* +X321294Y345042D01* +X321993Y344987D01* +Y344005D01* +X321567Y343984D01* +X321137Y343922D01* +X320716Y343818D01* +X320306Y343675D01* +X320200Y343622D01* +X320104Y343553D01* +X320019Y343470D01* +X319949Y343375D01* +X319894Y343269D01* +X319857Y343157D01* +X319837Y343040D01* +X319836Y342921D01* +X319854Y342804D01* +X319890Y342691D01* +X319943Y342585D01* +X320012Y342488D01* +X320095Y342404D01* +X320191Y342333D01* +X320296Y342279D01* +X320408Y342241D01* +X320525Y342222D01* +X320644Y342221D01* +X320761Y342239D01* +X320874Y342277D01* +X321145Y342376D01* +X321425Y342444D01* +X321711Y342486D01* +X321993Y342500D01* +Y336500D01* +X321711Y336514D01* +X321425Y336556D01* +X321145Y336624D01* +X320872Y336720D01* +X320761Y336758D01* +X320644Y336775D01* +X320526Y336775D01* +X320409Y336755D01* +X320297Y336718D01* +X320193Y336664D01* +X320098Y336594D01* +X320015Y336509D01* +X319946Y336413D01* +X319893Y336308D01* +X319857Y336195D01* +X319840Y336079D01* +X319841Y335961D01* +X319860Y335844D01* +X319897Y335732D01* +X319952Y335627D01* +X320022Y335532D01* +X320106Y335449D01* +X320202Y335381D01* +X320308Y335330D01* +X320716Y335182D01* +X321137Y335078D01* +X321567Y335016D01* +X321993Y334995D01* +Y170957D01* +G37* +G36* +X318387Y174563D02*X315613Y177337D01* +Y337353D01* +X315656Y337360D01* +X315768Y337397D01* +X315873Y337452D01* +X315968Y337522D01* +X316051Y337606D01* +X316119Y337702D01* +X316170Y337808D01* +X316318Y338216D01* +X316422Y338637D01* +X316484Y339067D01* +X316505Y339500D01* +X316484Y339933D01* +X316422Y340363D01* +X316318Y340784D01* +X316175Y341194D01* +X316122Y341300D01* +X316053Y341396D01* +X315970Y341481D01* +X315875Y341551D01* +X315769Y341606D01* +X315657Y341643D01* +X315613Y341651D01* +Y346802D01* +X315652Y346847D01* +X316022Y347451D01* +X316293Y348105D01* +X316458Y348794D01* +X316500Y349500D01* +X316458Y350206D01* +X316293Y350895D01* +X316022Y351549D01* +X315652Y352153D01* +X315613Y352198D01* +Y391500D01* +X318387D01* +Y352198D01* +X318348Y352153D01* +X317978Y351549D01* +X317707Y350895D01* +X317542Y350206D01* +X317486Y349500D01* +X317542Y348794D01* +X317707Y348105D01* +X317978Y347451D01* +X318348Y346847D01* +X318387Y346802D01* +Y341647D01* +X318344Y341640D01* +X318232Y341603D01* +X318127Y341548D01* +X318032Y341478D01* +X317949Y341394D01* +X317881Y341298D01* +X317830Y341192D01* +X317682Y340784D01* +X317578Y340363D01* +X317516Y339933D01* +X317495Y339500D01* +X317516Y339067D01* +X317578Y338637D01* +X317682Y338216D01* +X317825Y337806D01* +X317878Y337700D01* +X317947Y337604D01* +X318030Y337519D01* +X318125Y337449D01* +X318231Y337394D01* +X318343Y337357D01* +X318387Y337349D01* +Y174563D01* +G37* +G36* +X321993Y2000D02*X315613D01* +Y167437D01* +X321993Y161057D01* +Y2000D01* +G37* +G36* +X315613Y352198D02*X315192Y352692D01* +X314653Y353152D01* +X314049Y353522D01* +X313395Y353793D01* +X312706Y353958D01* +X312002Y354014D01* +Y391500D01* +X315613D01* +Y352198D01* +G37* +G36* +Y177337D02*X312002Y180948D01* +Y334995D01* +X312433Y335016D01* +X312863Y335078D01* +X313284Y335182D01* +X313694Y335325D01* +X313800Y335378D01* +X313896Y335447D01* +X313981Y335530D01* +X314051Y335625D01* +X314106Y335731D01* +X314143Y335843D01* +X314163Y335960D01* +X314164Y336079D01* +X314146Y336196D01* +X314110Y336309D01* +X314057Y336415D01* +X313988Y336512D01* +X313905Y336596D01* +X313809Y336667D01* +X313704Y336721D01* +X313592Y336759D01* +X313475Y336778D01* +X313356Y336779D01* +X313239Y336761D01* +X313126Y336723D01* +X312855Y336624D01* +X312575Y336556D01* +X312289Y336514D01* +X312002Y336500D01* +Y342500D01* +X312289Y342486D01* +X312575Y342444D01* +X312855Y342376D01* +X313128Y342280D01* +X313239Y342242D01* +X313356Y342225D01* +X313474Y342225D01* +X313591Y342245D01* +X313703Y342282D01* +X313807Y342336D01* +X313902Y342406D01* +X313985Y342491D01* +X314054Y342587D01* +X314107Y342692D01* +X314143Y342805D01* +X314160Y342921D01* +X314159Y343039D01* +X314140Y343156D01* +X314103Y343268D01* +X314048Y343373D01* +X313978Y343468D01* +X313894Y343551D01* +X313798Y343619D01* +X313692Y343670D01* +X313284Y343818D01* +X312863Y343922D01* +X312433Y343984D01* +X312002Y344005D01* +Y344986D01* +X312706Y345042D01* +X313395Y345207D01* +X314049Y345478D01* +X314653Y345848D01* +X315192Y346308D01* +X315613Y346802D01* +Y341651D01* +X315540Y341663D01* +X315421Y341664D01* +X315304Y341646D01* +X315191Y341610D01* +X315085Y341557D01* +X314988Y341488D01* +X314904Y341405D01* +X314833Y341309D01* +X314779Y341204D01* +X314741Y341092D01* +X314722Y340975D01* +X314721Y340856D01* +X314739Y340739D01* +X314777Y340626D01* +X314876Y340355D01* +X314944Y340075D01* +X314986Y339789D01* +X315000Y339500D01* +X314986Y339211D01* +X314944Y338925D01* +X314876Y338645D01* +X314780Y338372D01* +X314742Y338261D01* +X314725Y338144D01* +X314725Y338026D01* +X314745Y337909D01* +X314782Y337797D01* +X314836Y337693D01* +X314906Y337598D01* +X314991Y337515D01* +X315087Y337446D01* +X315192Y337393D01* +X315305Y337357D01* +X315421Y337340D01* +X315539Y337341D01* +X315613Y337353D01* +Y177337D01* +G37* +G36* +Y2000D02*X312002D01* +Y171049D01* +X315613Y167437D01* +Y2000D01* +G37* +G36* +X312002Y354014D02*X312000Y354014D01* +X311294Y353958D01* +X310605Y353793D01* +X309951Y353522D01* +X309347Y353152D01* +X308808Y352692D01* +X308348Y352153D01* +X307978Y351549D01* +X307747Y350991D01* +Y391500D01* +X312002D01* +Y354014D01* +G37* +G36* +Y180948D02*X307747Y185203D01* +Y235874D01* +X308025Y235989D01* +X308327Y236174D01* +X308596Y236404D01* +X308826Y236673D01* +X309011Y236975D01* +X309146Y237303D01* +X309229Y237647D01* +X309257Y238000D01* +X309250Y238088D01* +Y241512D01* +X310235Y241514D01* +X310465Y241569D01* +X310683Y241659D01* +X310884Y241783D01* +X311064Y241936D01* +X311217Y242116D01* +X311341Y242317D01* +X311431Y242535D01* +X311486Y242765D01* +X311500Y243000D01* +X311486Y249235D01* +X311431Y249465D01* +X311341Y249683D01* +X311217Y249884D01* +X311064Y250064D01* +X310884Y250217D01* +X310683Y250341D01* +X310465Y250431D01* +X310235Y250486D01* +X310000Y250500D01* +X307747Y250495D01* +Y273000D01* +X307749Y273000D01* +X307810Y273013D01* +X307869Y273036D01* +X307923Y273067D01* +X307972Y273107D01* +X308015Y273154D01* +X308049Y273206D01* +X308073Y273264D01* +X308138Y273469D01* +X308179Y273679D01* +X308200Y273893D01* +Y274107D01* +X308179Y274321D01* +X308138Y274531D01* +X308076Y274737D01* +X308050Y274794D01* +X308016Y274847D01* +X307974Y274894D01* +X307924Y274934D01* +X307870Y274966D01* +X307811Y274988D01* +X307749Y275001D01* +X307747Y275001D01* +Y338030D01* +X307825Y337806D01* +X307878Y337700D01* +X307947Y337604D01* +X308030Y337519D01* +X308125Y337449D01* +X308231Y337394D01* +X308343Y337357D01* +X308460Y337337D01* +X308579Y337336D01* +X308696Y337354D01* +X308809Y337390D01* +X308915Y337443D01* +X309012Y337512D01* +X309096Y337595D01* +X309167Y337691D01* +X309221Y337796D01* +X309259Y337908D01* +X309278Y338025D01* +X309279Y338144D01* +X309261Y338261D01* +X309223Y338374D01* +X309124Y338645D01* +X309056Y338925D01* +X309014Y339211D01* +X309000Y339500D01* +X309014Y339789D01* +X309056Y340075D01* +X309124Y340355D01* +X309220Y340628D01* +X309258Y340739D01* +X309275Y340856D01* +X309275Y340974D01* +X309255Y341091D01* +X309218Y341203D01* +X309164Y341307D01* +X309094Y341402D01* +X309009Y341485D01* +X308913Y341554D01* +X308808Y341607D01* +X308695Y341643D01* +X308579Y341660D01* +X308461Y341659D01* +X308344Y341640D01* +X308232Y341603D01* +X308127Y341548D01* +X308032Y341478D01* +X307949Y341394D01* +X307881Y341298D01* +X307830Y341192D01* +X307747Y340963D01* +Y348009D01* +X307978Y347451D01* +X308348Y346847D01* +X308808Y346308D01* +X309347Y345848D01* +X309951Y345478D01* +X310605Y345207D01* +X311294Y345042D01* +X312000Y344986D01* +X312002Y344986D01* +Y344005D01* +X312000Y344005D01* +X311567Y343984D01* +X311137Y343922D01* +X310716Y343818D01* +X310306Y343675D01* +X310200Y343622D01* +X310104Y343553D01* +X310019Y343470D01* +X309949Y343375D01* +X309894Y343269D01* +X309857Y343157D01* +X309837Y343040D01* +X309836Y342921D01* +X309854Y342804D01* +X309890Y342691D01* +X309943Y342585D01* +X310012Y342488D01* +X310095Y342404D01* +X310191Y342333D01* +X310296Y342279D01* +X310408Y342241D01* +X310525Y342222D01* +X310644Y342221D01* +X310761Y342239D01* +X310874Y342277D01* +X311145Y342376D01* +X311425Y342444D01* +X311711Y342486D01* +X312000Y342500D01* +X312002Y342500D01* +Y336500D01* +X312000Y336500D01* +X311711Y336514D01* +X311425Y336556D01* +X311145Y336624D01* +X310872Y336720D01* +X310761Y336758D01* +X310644Y336775D01* +X310526Y336775D01* +X310409Y336755D01* +X310297Y336718D01* +X310193Y336664D01* +X310098Y336594D01* +X310015Y336509D01* +X309946Y336413D01* +X309893Y336308D01* +X309857Y336195D01* +X309840Y336079D01* +X309841Y335961D01* +X309860Y335844D01* +X309897Y335732D01* +X309952Y335627D01* +X310022Y335532D01* +X310106Y335449D01* +X310202Y335381D01* +X310308Y335330D01* +X310716Y335182D01* +X311137Y335078D01* +X311567Y335016D01* +X312000Y334995D01* +X312002Y334995D01* +Y180948D01* +G37* +G36* +Y2000D02*X307747D01* +Y175303D01* +X312002Y171049D01* +Y2000D01* +G37* +G36* +X307747Y250495D02*X306001Y250491D01* +Y271800D01* +X306107D01* +X306321Y271821D01* +X306531Y271862D01* +X306737Y271924D01* +X306794Y271950D01* +X306847Y271984D01* +X306894Y272026D01* +X306934Y272076D01* +X306966Y272130D01* +X306988Y272189D01* +X307001Y272251D01* +X307005Y272314D01* +X306998Y272377D01* +X306982Y272438D01* +X306956Y272496D01* +X306921Y272549D01* +X306879Y272596D01* +X306830Y272636D01* +X306775Y272667D01* +X306716Y272690D01* +X306654Y272703D01* +X306591Y272706D01* +X306528Y272700D01* +X306468Y272682D01* +X306338Y272641D01* +X306204Y272615D01* +X306068Y272602D01* +X306001D01* +Y275398D01* +X306068D01* +X306204Y275385D01* +X306338Y275359D01* +X306468Y275319D01* +X306529Y275302D01* +X306591Y275295D01* +X306654Y275299D01* +X306716Y275312D01* +X306774Y275334D01* +X306829Y275366D01* +X306878Y275405D01* +X306920Y275452D01* +X306954Y275505D01* +X306980Y275562D01* +X306996Y275623D01* +X307003Y275686D01* +X307000Y275749D01* +X306987Y275810D01* +X306964Y275869D01* +X306933Y275923D01* +X306893Y275972D01* +X306846Y276015D01* +X306794Y276049D01* +X306736Y276073D01* +X306531Y276138D01* +X306321Y276179D01* +X306107Y276200D01* +X306001D01* +Y337555D01* +X306051Y337606D01* +X306119Y337702D01* +X306170Y337808D01* +X306318Y338216D01* +X306422Y338637D01* +X306484Y339067D01* +X306505Y339500D01* +X306484Y339933D01* +X306422Y340363D01* +X306318Y340784D01* +X306175Y341194D01* +X306122Y341300D01* +X306053Y341396D01* +X306001Y341450D01* +Y347416D01* +X306022Y347451D01* +X306293Y348105D01* +X306458Y348794D01* +X306500Y349500D01* +X306458Y350206D01* +X306293Y350895D01* +X306022Y351549D01* +X306001Y351584D01* +Y391500D01* +X307747D01* +Y350991D01* +X307707Y350895D01* +X307542Y350206D01* +X307486Y349500D01* +X307542Y348794D01* +X307707Y348105D01* +X307747Y348009D01* +Y340963D01* +X307682Y340784D01* +X307578Y340363D01* +X307516Y339933D01* +X307495Y339500D01* +X307516Y339067D01* +X307578Y338637D01* +X307682Y338216D01* +X307747Y338030D01* +Y275001D01* +X307686Y275005D01* +X307623Y274998D01* +X307562Y274982D01* +X307504Y274956D01* +X307451Y274921D01* +X307404Y274879D01* +X307364Y274830D01* +X307333Y274775D01* +X307310Y274716D01* +X307297Y274654D01* +X307294Y274591D01* +X307300Y274528D01* +X307318Y274468D01* +X307359Y274338D01* +X307385Y274204D01* +X307398Y274068D01* +Y273932D01* +X307385Y273796D01* +X307359Y273662D01* +X307319Y273532D01* +X307302Y273471D01* +X307295Y273409D01* +X307299Y273346D01* +X307312Y273284D01* +X307334Y273226D01* +X307366Y273171D01* +X307405Y273122D01* +X307452Y273080D01* +X307505Y273046D01* +X307562Y273020D01* +X307623Y273004D01* +X307686Y272997D01* +X307747Y273000D01* +Y250495D01* +G37* +G36* +Y185203D02*X306001Y186949D01* +Y235750D01* +X306912D01* +X307000Y235743D01* +X307353Y235771D01* +X307353Y235771D01* +X307697Y235854D01* +X307747Y235874D01* +Y185203D01* +G37* +G36* +Y2000D02*X306001D01* +Y177049D01* +X307747Y175303D01* +Y2000D01* +G37* +G36* +X304253Y391500D02*X306001D01* +Y351584D01* +X305652Y352153D01* +X305192Y352692D01* +X304653Y353152D01* +X304253Y353397D01* +Y391500D01* +G37* +G36* +Y241501D02*X304750Y241502D01* +Y240250D01* +X304253D01* +Y241501D01* +G37* +G36* +X306001Y250491D02*X304253Y250487D01* +Y272999D01* +X304314Y272995D01* +X304377Y273002D01* +X304438Y273018D01* +X304496Y273044D01* +X304549Y273079D01* +X304596Y273121D01* +X304636Y273170D01* +X304667Y273225D01* +X304690Y273284D01* +X304703Y273346D01* +X304706Y273409D01* +X304700Y273472D01* +X304682Y273532D01* +X304641Y273662D01* +X304615Y273796D01* +X304602Y273932D01* +Y274068D01* +X304615Y274204D01* +X304641Y274338D01* +X304681Y274468D01* +X304698Y274529D01* +X304705Y274591D01* +X304701Y274654D01* +X304688Y274716D01* +X304666Y274774D01* +X304634Y274829D01* +X304595Y274878D01* +X304548Y274920D01* +X304495Y274954D01* +X304438Y274980D01* +X304377Y274996D01* +X304314Y275003D01* +X304253Y275000D01* +Y345603D01* +X304653Y345848D01* +X305192Y346308D01* +X305652Y346847D01* +X306001Y347416D01* +Y341450D01* +X305970Y341481D01* +X305875Y341551D01* +X305769Y341606D01* +X305657Y341643D01* +X305540Y341663D01* +X305421Y341664D01* +X305304Y341646D01* +X305191Y341610D01* +X305085Y341557D01* +X304988Y341488D01* +X304904Y341405D01* +X304833Y341309D01* +X304779Y341204D01* +X304741Y341092D01* +X304722Y340975D01* +X304721Y340856D01* +X304739Y340739D01* +X304777Y340626D01* +X304876Y340355D01* +X304944Y340075D01* +X304986Y339789D01* +X305000Y339500D01* +X304986Y339211D01* +X304944Y338925D01* +X304876Y338645D01* +X304780Y338372D01* +X304742Y338261D01* +X304725Y338144D01* +X304725Y338026D01* +X304745Y337909D01* +X304782Y337797D01* +X304836Y337693D01* +X304906Y337598D01* +X304991Y337515D01* +X305087Y337446D01* +X305192Y337393D01* +X305305Y337357D01* +X305421Y337340D01* +X305539Y337341D01* +X305656Y337360D01* +X305768Y337397D01* +X305873Y337452D01* +X305968Y337522D01* +X306001Y337555D01* +Y276200D01* +X305893D01* +X305679Y276179D01* +X305469Y276138D01* +X305263Y276076D01* +X305206Y276050D01* +X305153Y276016D01* +X305106Y275974D01* +X305066Y275924D01* +X305034Y275870D01* +X305012Y275811D01* +X304999Y275749D01* +X304995Y275686D01* +X305002Y275623D01* +X305018Y275562D01* +X305044Y275504D01* +X305079Y275451D01* +X305121Y275404D01* +X305170Y275364D01* +X305225Y275333D01* +X305284Y275310D01* +X305346Y275297D01* +X305409Y275294D01* +X305472Y275300D01* +X305532Y275318D01* +X305662Y275359D01* +X305796Y275385D01* +X305932Y275398D01* +X306001D01* +Y272602D01* +X305932D01* +X305796Y272615D01* +X305662Y272641D01* +X305532Y272681D01* +X305471Y272698D01* +X305409Y272705D01* +X305346Y272701D01* +X305284Y272688D01* +X305226Y272666D01* +X305171Y272634D01* +X305122Y272595D01* +X305080Y272548D01* +X305046Y272495D01* +X305020Y272438D01* +X305004Y272377D01* +X304997Y272314D01* +X305000Y272251D01* +X305013Y272190D01* +X305036Y272131D01* +X305067Y272077D01* +X305107Y272028D01* +X305154Y271985D01* +X305206Y271951D01* +X305264Y271927D01* +X305469Y271862D01* +X305679Y271821D01* +X305893Y271800D01* +X306001D01* +Y250491D01* +G37* +G36* +X302002Y391500D02*X304253D01* +Y353397D01* +X304049Y353522D01* +X303395Y353793D01* +X302706Y353958D01* +X302002Y354014D01* +Y391500D01* +G37* +G36* +X304253Y250487D02*X303765Y250486D01* +X303535Y250431D01* +X303317Y250341D01* +X303116Y250217D01* +X302936Y250064D01* +X302783Y249884D01* +X302659Y249683D01* +X302569Y249465D01* +X302514Y249235D01* +X302500Y249000D01* +X302514Y242765D01* +X302569Y242535D01* +X302659Y242317D01* +X302783Y242116D01* +X302936Y241936D01* +X303116Y241783D01* +X303317Y241659D01* +X303535Y241569D01* +X303765Y241514D01* +X304000Y241500D01* +X304253Y241501D01* +Y240250D01* +X302002D01* +Y334995D01* +X302433Y335016D01* +X302863Y335078D01* +X303284Y335182D01* +X303694Y335325D01* +X303800Y335378D01* +X303896Y335447D01* +X303981Y335530D01* +X304051Y335625D01* +X304106Y335731D01* +X304143Y335843D01* +X304163Y335960D01* +X304164Y336079D01* +X304146Y336196D01* +X304110Y336309D01* +X304057Y336415D01* +X303988Y336512D01* +X303905Y336596D01* +X303809Y336667D01* +X303704Y336721D01* +X303592Y336759D01* +X303475Y336778D01* +X303356Y336779D01* +X303239Y336761D01* +X303126Y336723D01* +X302855Y336624D01* +X302575Y336556D01* +X302289Y336514D01* +X302002Y336500D01* +Y342500D01* +X302289Y342486D01* +X302575Y342444D01* +X302855Y342376D01* +X303128Y342280D01* +X303239Y342242D01* +X303356Y342225D01* +X303474Y342225D01* +X303591Y342245D01* +X303703Y342282D01* +X303807Y342336D01* +X303902Y342406D01* +X303985Y342491D01* +X304054Y342587D01* +X304107Y342692D01* +X304143Y342805D01* +X304160Y342921D01* +X304159Y343039D01* +X304140Y343156D01* +X304103Y343268D01* +X304048Y343373D01* +X303978Y343468D01* +X303894Y343551D01* +X303798Y343619D01* +X303692Y343670D01* +X303284Y343818D01* +X302863Y343922D01* +X302433Y343984D01* +X302002Y344005D01* +Y344986D01* +X302706Y345042D01* +X303395Y345207D01* +X304049Y345478D01* +X304253Y345603D01* +Y275000D01* +X304251Y275000D01* +X304190Y274987D01* +X304131Y274964D01* +X304077Y274933D01* +X304028Y274893D01* +X303985Y274846D01* +X303951Y274794D01* +X303927Y274736D01* +X303862Y274531D01* +X303821Y274321D01* +X303800Y274107D01* +Y273893D01* +X303821Y273679D01* +X303862Y273469D01* +X303924Y273263D01* +X303950Y273206D01* +X303984Y273153D01* +X304026Y273106D01* +X304076Y273066D01* +X304130Y273034D01* +X304189Y273012D01* +X304251Y272999D01* +X304253Y272999D01* +Y250487D01* +G37* +G36* +X298387Y391500D02*X302002D01* +Y354014D01* +X302000Y354014D01* +X301294Y353958D01* +X300605Y353793D01* +X299951Y353522D01* +X299347Y353152D01* +X298808Y352692D01* +X298387Y352198D01* +Y391500D01* +G37* +G36* +X302002Y240250D02*X298387D01* +Y241705D01* +X298395Y241707D01* +X299049Y241978D01* +X299653Y242348D01* +X300192Y242808D01* +X300652Y243347D01* +X301022Y243951D01* +X301293Y244605D01* +X301458Y245294D01* +X301500Y246000D01* +X301458Y246706D01* +X301293Y247395D01* +X301022Y248049D01* +X300652Y248653D01* +X300192Y249192D01* +X299653Y249652D01* +X299049Y250022D01* +X298395Y250293D01* +X298387Y250295D01* +Y337349D01* +X298460Y337337D01* +X298579Y337336D01* +X298696Y337354D01* +X298809Y337390D01* +X298915Y337443D01* +X299012Y337512D01* +X299096Y337595D01* +X299167Y337691D01* +X299221Y337796D01* +X299259Y337908D01* +X299278Y338025D01* +X299279Y338144D01* +X299261Y338261D01* +X299223Y338374D01* +X299124Y338645D01* +X299056Y338925D01* +X299014Y339211D01* +X299000Y339500D01* +X299014Y339789D01* +X299056Y340075D01* +X299124Y340355D01* +X299220Y340628D01* +X299258Y340739D01* +X299275Y340856D01* +X299275Y340974D01* +X299255Y341091D01* +X299218Y341203D01* +X299164Y341307D01* +X299094Y341402D01* +X299009Y341485D01* +X298913Y341554D01* +X298808Y341607D01* +X298695Y341643D01* +X298579Y341660D01* +X298461Y341659D01* +X298387Y341647D01* +Y346802D01* +X298808Y346308D01* +X299347Y345848D01* +X299951Y345478D01* +X300605Y345207D01* +X301294Y345042D01* +X302000Y344986D01* +X302002Y344986D01* +Y344005D01* +X302000Y344005D01* +X301567Y343984D01* +X301137Y343922D01* +X300716Y343818D01* +X300306Y343675D01* +X300200Y343622D01* +X300104Y343553D01* +X300019Y343470D01* +X299949Y343375D01* +X299894Y343269D01* +X299857Y343157D01* +X299837Y343040D01* +X299836Y342921D01* +X299854Y342804D01* +X299890Y342691D01* +X299943Y342585D01* +X300012Y342488D01* +X300095Y342404D01* +X300191Y342333D01* +X300296Y342279D01* +X300408Y342241D01* +X300525Y342222D01* +X300644Y342221D01* +X300761Y342239D01* +X300874Y342277D01* +X301145Y342376D01* +X301425Y342444D01* +X301711Y342486D01* +X302000Y342500D01* +X302002Y342500D01* +Y336500D01* +X302000Y336500D01* +X301711Y336514D01* +X301425Y336556D01* +X301145Y336624D01* +X300872Y336720D01* +X300761Y336758D01* +X300644Y336775D01* +X300526Y336775D01* +X300409Y336755D01* +X300297Y336718D01* +X300193Y336664D01* +X300098Y336594D01* +X300015Y336509D01* +X299946Y336413D01* +X299893Y336308D01* +X299857Y336195D01* +X299840Y336079D01* +X299841Y335961D01* +X299860Y335844D01* +X299897Y335732D01* +X299952Y335627D01* +X300022Y335532D01* +X300106Y335449D01* +X300202Y335381D01* +X300308Y335330D01* +X300716Y335182D01* +X301137Y335078D01* +X301567Y335016D01* +X302000Y334995D01* +X302002Y334995D01* +Y240250D01* +G37* +G36* +X298387Y250295D02*X298300Y250316D01* +Y286949D01* +X298304Y287000D01* +X298288Y287204D01* +X298288Y287204D01* +X298252Y287355D01* +X298240Y287403D01* +X298208Y287481D01* +X298162Y287592D01* +X298120Y287660D01* +X298055Y287766D01* +X298055Y287767D01* +X297922Y287922D01* +X297883Y287955D01* +X296955Y288883D01* +X296922Y288922D01* +X296766Y289055D01* +X296592Y289162D01* +X296403Y289240D01* +X296204Y289288D01* +X296204Y289288D01* +X296000Y289304D01* +X295949Y289300D01* +X295613D01* +Y337353D01* +X295656Y337360D01* +X295768Y337397D01* +X295873Y337452D01* +X295968Y337522D01* +X296051Y337606D01* +X296119Y337702D01* +X296170Y337808D01* +X296318Y338216D01* +X296422Y338637D01* +X296484Y339067D01* +X296505Y339500D01* +X296484Y339933D01* +X296422Y340363D01* +X296318Y340784D01* +X296175Y341194D01* +X296122Y341300D01* +X296053Y341396D01* +X295970Y341481D01* +X295875Y341551D01* +X295769Y341606D01* +X295657Y341643D01* +X295613Y341651D01* +Y346802D01* +X295652Y346847D01* +X296022Y347451D01* +X296293Y348105D01* +X296458Y348794D01* +X296500Y349500D01* +X296458Y350206D01* +X296293Y350895D01* +X296022Y351549D01* +X295652Y352153D01* +X295613Y352198D01* +Y391500D01* +X298387D01* +Y352198D01* +X298348Y352153D01* +X297978Y351549D01* +X297707Y350895D01* +X297542Y350206D01* +X297486Y349500D01* +X297542Y348794D01* +X297707Y348105D01* +X297978Y347451D01* +X298348Y346847D01* +X298387Y346802D01* +Y341647D01* +X298344Y341640D01* +X298232Y341603D01* +X298127Y341548D01* +X298032Y341478D01* +X297949Y341394D01* +X297881Y341298D01* +X297830Y341192D01* +X297682Y340784D01* +X297578Y340363D01* +X297516Y339933D01* +X297495Y339500D01* +X297516Y339067D01* +X297578Y338637D01* +X297682Y338216D01* +X297825Y337806D01* +X297878Y337700D01* +X297947Y337604D01* +X298030Y337519D01* +X298125Y337449D01* +X298231Y337394D01* +X298343Y337357D01* +X298387Y337349D01* +Y250295D01* +G37* +G36* +Y240250D02*X295613D01* +Y241705D01* +X296294Y241542D01* +X297000Y241486D01* +X297706Y241542D01* +X298387Y241705D01* +Y240250D01* +G37* +G36* +X295613Y352198D02*X295192Y352692D01* +X294653Y353152D01* +X294049Y353522D01* +X293747Y353647D01* +Y391500D01* +X295613D01* +Y352198D01* +G37* +G36* +Y289300D02*X293747D01* +Y335352D01* +X293800Y335378D01* +X293896Y335447D01* +X293981Y335530D01* +X294051Y335625D01* +X294106Y335731D01* +X294143Y335843D01* +X294163Y335960D01* +X294164Y336079D01* +X294146Y336196D01* +X294110Y336309D01* +X294057Y336415D01* +X293988Y336512D01* +X293905Y336596D01* +X293809Y336667D01* +X293747Y336699D01* +Y342305D01* +X293807Y342336D01* +X293902Y342406D01* +X293985Y342491D01* +X294054Y342587D01* +X294107Y342692D01* +X294143Y342805D01* +X294160Y342921D01* +X294159Y343039D01* +X294140Y343156D01* +X294103Y343268D01* +X294048Y343373D01* +X293978Y343468D01* +X293894Y343551D01* +X293798Y343619D01* +X293747Y343644D01* +Y345353D01* +X294049Y345478D01* +X294653Y345848D01* +X295192Y346308D01* +X295613Y346802D01* +Y341651D01* +X295540Y341663D01* +X295421Y341664D01* +X295304Y341646D01* +X295191Y341610D01* +X295085Y341557D01* +X294988Y341488D01* +X294904Y341405D01* +X294833Y341309D01* +X294779Y341204D01* +X294741Y341092D01* +X294722Y340975D01* +X294721Y340856D01* +X294739Y340739D01* +X294777Y340626D01* +X294876Y340355D01* +X294944Y340075D01* +X294986Y339789D01* +X295000Y339500D01* +X294986Y339211D01* +X294944Y338925D01* +X294876Y338645D01* +X294780Y338372D01* +X294742Y338261D01* +X294725Y338144D01* +X294725Y338026D01* +X294745Y337909D01* +X294782Y337797D01* +X294836Y337693D01* +X294906Y337598D01* +X294991Y337515D01* +X295087Y337446D01* +X295192Y337393D01* +X295305Y337357D01* +X295421Y337340D01* +X295539Y337341D01* +X295613Y337353D01* +Y289300D01* +G37* +G36* +Y240250D02*X293747D01* +Y242880D01* +X293808Y242808D01* +X294347Y242348D01* +X294951Y241978D01* +X295605Y241707D01* +X295613Y241705D01* +Y240250D01* +G37* +G36* +X306001Y186949D02*X297072Y195878D01* +X296983Y195983D01* +X296564Y196340D01* +X296094Y196628D01* +X295585Y196839D01* +X295049Y196968D01* +X295049Y196968D01* +X294747Y196991D01* +Y213000D01* +X294749Y213000D01* +X294810Y213013D01* +X294869Y213036D01* +X294923Y213067D01* +X294972Y213107D01* +X295015Y213154D01* +X295049Y213206D01* +X295073Y213264D01* +X295138Y213469D01* +X295179Y213679D01* +X295200Y213893D01* +Y214107D01* +X295179Y214321D01* +X295138Y214531D01* +X295076Y214737D01* +X295050Y214794D01* +X295016Y214847D01* +X294974Y214894D01* +X294924Y214934D01* +X294870Y214966D01* +X294811Y214988D01* +X294749Y215001D01* +X294747Y215001D01* +Y235750D01* +X306001D01* +Y186949D01* +G37* +G36* +X294747Y196991D02*X294500Y197011D01* +X294363Y197000D01* +X293750D01* +Y199000D01* +X293747Y199054D01* +Y211929D01* +X293794Y211950D01* +X293847Y211984D01* +X293894Y212026D01* +X293934Y212076D01* +X293966Y212130D01* +X293988Y212189D01* +X294001Y212251D01* +X294005Y212314D01* +X293998Y212377D01* +X293982Y212438D01* +X293956Y212496D01* +X293921Y212549D01* +X293879Y212596D01* +X293830Y212636D01* +X293775Y212667D01* +X293747Y212678D01* +Y215324D01* +X293774Y215334D01* +X293829Y215366D01* +X293878Y215405D01* +X293920Y215452D01* +X293954Y215505D01* +X293980Y215562D01* +X293996Y215623D01* +X294003Y215686D01* +X294000Y215749D01* +X293987Y215810D01* +X293964Y215869D01* +X293933Y215923D01* +X293893Y215972D01* +X293846Y216015D01* +X293794Y216049D01* +X293747Y216069D01* +Y235750D01* +X294747D01* +Y215001D01* +X294686Y215005D01* +X294623Y214998D01* +X294562Y214982D01* +X294504Y214956D01* +X294451Y214921D01* +X294404Y214879D01* +X294364Y214830D01* +X294333Y214775D01* +X294310Y214716D01* +X294297Y214654D01* +X294294Y214591D01* +X294300Y214528D01* +X294318Y214468D01* +X294359Y214338D01* +X294385Y214204D01* +X294398Y214068D01* +Y213932D01* +X294385Y213796D01* +X294359Y213662D01* +X294319Y213532D01* +X294302Y213471D01* +X294295Y213409D01* +X294299Y213346D01* +X294312Y213284D01* +X294334Y213226D01* +X294366Y213171D01* +X294405Y213122D01* +X294452Y213080D01* +X294505Y213046D01* +X294562Y213020D01* +X294623Y213004D01* +X294686Y212997D01* +X294747Y213000D01* +Y196991D01* +G37* +G36* +X306001Y2000D02*X293747D01* +Y189303D01* +X306001Y177049D01* +Y2000D01* +G37* +G36* +X293747Y353647D02*X293395Y353793D01* +X292706Y353958D01* +X292001Y354014D01* +Y391500D01* +X293747D01* +Y353647D01* +G37* +G36* +Y343644D02*X293692Y343670D01* +X293284Y343818D01* +X292863Y343922D01* +X292433Y343984D01* +X292001Y344005D01* +Y344986D01* +X292706Y345042D01* +X293395Y345207D01* +X293747Y345353D01* +Y343644D01* +G37* +G36* +Y336699D02*X293704Y336721D01* +X293592Y336759D01* +X293475Y336778D01* +X293356Y336779D01* +X293239Y336761D01* +X293126Y336723D01* +X292855Y336624D01* +X292575Y336556D01* +X292289Y336514D01* +X292001Y336500D01* +Y342500D01* +X292289Y342486D01* +X292575Y342444D01* +X292855Y342376D01* +X293128Y342280D01* +X293239Y342242D01* +X293356Y342225D01* +X293474Y342225D01* +X293591Y342245D01* +X293703Y342282D01* +X293747Y342305D01* +Y336699D01* +G37* +G36* +Y289300D02*X292001D01* +Y334995D01* +X292433Y335016D01* +X292863Y335078D01* +X293284Y335182D01* +X293694Y335325D01* +X293747Y335352D01* +Y289300D01* +G37* +G36* +Y240250D02*X292001D01* +Y250300D01* +X292107D01* +X292321Y250321D01* +X292531Y250362D01* +X292737Y250424D01* +X292794Y250450D01* +X292847Y250484D01* +X292894Y250526D01* +X292934Y250576D01* +X292966Y250630D01* +X292988Y250689D01* +X293001Y250751D01* +X293005Y250814D01* +X292998Y250877D01* +X292982Y250938D01* +X292956Y250996D01* +X292921Y251049D01* +X292879Y251096D01* +X292830Y251136D01* +X292775Y251167D01* +X292716Y251190D01* +X292654Y251203D01* +X292591Y251206D01* +X292528Y251200D01* +X292468Y251182D01* +X292338Y251141D01* +X292204Y251115D01* +X292068Y251102D01* +X292001D01* +Y253898D01* +X292068D01* +X292204Y253885D01* +X292338Y253859D01* +X292468Y253819D01* +X292529Y253802D01* +X292591Y253795D01* +X292654Y253799D01* +X292716Y253812D01* +X292774Y253834D01* +X292829Y253866D01* +X292878Y253905D01* +X292920Y253952D01* +X292954Y254005D01* +X292980Y254062D01* +X292996Y254123D01* +X293003Y254186D01* +X293000Y254249D01* +X292987Y254310D01* +X292964Y254369D01* +X292933Y254423D01* +X292893Y254472D01* +X292846Y254515D01* +X292794Y254549D01* +X292736Y254573D01* +X292531Y254638D01* +X292321Y254679D01* +X292107Y254700D01* +X292001D01* +Y286700D01* +X293747D01* +Y253501D01* +X293686Y253505D01* +X293623Y253498D01* +X293562Y253482D01* +X293504Y253456D01* +X293451Y253421D01* +X293404Y253379D01* +X293364Y253330D01* +X293333Y253275D01* +X293310Y253216D01* +X293297Y253154D01* +X293294Y253091D01* +X293300Y253028D01* +X293318Y252968D01* +X293359Y252838D01* +X293385Y252704D01* +X293398Y252568D01* +Y252432D01* +X293385Y252296D01* +X293359Y252162D01* +X293319Y252032D01* +X293302Y251971D01* +X293295Y251909D01* +X293299Y251846D01* +X293312Y251784D01* +X293334Y251726D01* +X293366Y251671D01* +X293405Y251622D01* +X293452Y251580D01* +X293505Y251546D01* +X293562Y251520D01* +X293623Y251504D01* +X293686Y251497D01* +X293747Y251500D01* +Y249120D01* +X293348Y248653D01* +X292978Y248049D01* +X292707Y247395D01* +X292542Y246706D01* +X292486Y246000D01* +X292542Y245294D01* +X292707Y244605D01* +X292978Y243951D01* +X293348Y243347D01* +X293747Y242880D01* +Y240250D01* +G37* +G36* +Y216069D02*X293736Y216073D01* +X293531Y216138D01* +X293321Y216179D01* +X293107Y216200D01* +X292893D01* +X292679Y216179D01* +X292469Y216138D01* +X292263Y216076D01* +X292206Y216050D01* +X292153Y216016D01* +X292106Y215974D01* +X292066Y215924D01* +X292034Y215870D01* +X292012Y215811D01* +X292001Y215759D01* +Y235750D01* +X293747D01* +Y216069D01* +G37* +G36* +Y212678D02*X293716Y212690D01* +X293654Y212703D01* +X293591Y212706D01* +X293528Y212700D01* +X293468Y212682D01* +X293338Y212641D01* +X293204Y212615D01* +X293068Y212602D01* +X292932D01* +X292796Y212615D01* +X292662Y212641D01* +X292532Y212681D01* +X292471Y212698D01* +X292409Y212705D01* +X292346Y212701D01* +X292284Y212688D01* +X292226Y212666D01* +X292171Y212634D01* +X292122Y212595D01* +X292080Y212548D01* +X292046Y212495D01* +X292020Y212438D01* +X292004Y212377D01* +X292001Y212350D01* +Y215634D01* +X292002Y215623D01* +X292018Y215562D01* +X292044Y215504D01* +X292079Y215451D01* +X292121Y215404D01* +X292170Y215364D01* +X292225Y215333D01* +X292284Y215310D01* +X292346Y215297D01* +X292409Y215294D01* +X292472Y215300D01* +X292532Y215318D01* +X292662Y215359D01* +X292796Y215385D01* +X292932Y215398D01* +X293068D01* +X293204Y215385D01* +X293338Y215359D01* +X293468Y215319D01* +X293529Y215302D01* +X293591Y215295D01* +X293654Y215299D01* +X293716Y215312D01* +X293747Y215324D01* +Y212678D01* +G37* +G36* +Y199054D02*X293729Y199353D01* +X293646Y199697D01* +X293511Y200025D01* +X293326Y200327D01* +X293096Y200596D01* +X292827Y200826D01* +X292525Y201011D01* +X292197Y201146D01* +X292001Y201194D01* +Y212249D01* +X292013Y212190D01* +X292036Y212131D01* +X292067Y212077D01* +X292107Y212028D01* +X292154Y211985D01* +X292206Y211951D01* +X292264Y211927D01* +X292469Y211862D01* +X292679Y211821D01* +X292893Y211800D01* +X293107D01* +X293321Y211821D01* +X293531Y211862D01* +X293737Y211924D01* +X293747Y211929D01* +Y199054D01* +G37* +G36* +Y2000D02*X292001D01* +Y190000D01* +X293050D01* +X293747Y189303D01* +Y2000D01* +G37* +G36* +X288387Y391500D02*X292001D01* +Y354014D01* +X292000Y354014D01* +X291294Y353958D01* +X290605Y353793D01* +X289951Y353522D01* +X289347Y353152D01* +X288808Y352692D01* +X288387Y352198D01* +Y391500D01* +G37* +G36* +X292001Y289300D02*X288387D01* +Y337349D01* +X288460Y337337D01* +X288579Y337336D01* +X288696Y337354D01* +X288809Y337390D01* +X288915Y337443D01* +X289012Y337512D01* +X289096Y337595D01* +X289167Y337691D01* +X289221Y337796D01* +X289259Y337908D01* +X289278Y338025D01* +X289279Y338144D01* +X289261Y338261D01* +X289223Y338374D01* +X289124Y338645D01* +X289056Y338925D01* +X289014Y339211D01* +X289000Y339500D01* +X289014Y339789D01* +X289056Y340075D01* +X289124Y340355D01* +X289220Y340628D01* +X289258Y340739D01* +X289275Y340856D01* +X289275Y340974D01* +X289255Y341091D01* +X289218Y341203D01* +X289164Y341307D01* +X289094Y341402D01* +X289009Y341485D01* +X288913Y341554D01* +X288808Y341607D01* +X288695Y341643D01* +X288579Y341660D01* +X288461Y341659D01* +X288387Y341647D01* +Y346802D01* +X288808Y346308D01* +X289347Y345848D01* +X289951Y345478D01* +X290605Y345207D01* +X291294Y345042D01* +X292000Y344986D01* +X292001Y344986D01* +Y344005D01* +X292000Y344005D01* +X291567Y343984D01* +X291137Y343922D01* +X290716Y343818D01* +X290306Y343675D01* +X290200Y343622D01* +X290104Y343553D01* +X290019Y343470D01* +X289949Y343375D01* +X289894Y343269D01* +X289857Y343157D01* +X289837Y343040D01* +X289836Y342921D01* +X289854Y342804D01* +X289890Y342691D01* +X289943Y342585D01* +X290012Y342488D01* +X290095Y342404D01* +X290191Y342333D01* +X290296Y342279D01* +X290408Y342241D01* +X290525Y342222D01* +X290644Y342221D01* +X290761Y342239D01* +X290874Y342277D01* +X291145Y342376D01* +X291425Y342444D01* +X291711Y342486D01* +X292000Y342500D01* +X292001Y342500D01* +Y336500D01* +X292000Y336500D01* +X291711Y336514D01* +X291425Y336556D01* +X291145Y336624D01* +X290872Y336720D01* +X290761Y336758D01* +X290644Y336775D01* +X290526Y336775D01* +X290409Y336755D01* +X290297Y336718D01* +X290193Y336664D01* +X290098Y336594D01* +X290015Y336509D01* +X289946Y336413D01* +X289893Y336308D01* +X289857Y336195D01* +X289840Y336079D01* +X289841Y335961D01* +X289860Y335844D01* +X289897Y335732D01* +X289952Y335627D01* +X290022Y335532D01* +X290106Y335449D01* +X290202Y335381D01* +X290308Y335330D01* +X290716Y335182D01* +X291137Y335078D01* +X291567Y335016D01* +X292000Y334995D01* +X292001Y334995D01* +Y289300D01* +G37* +G36* +X288387D02*X285613D01* +Y337353D01* +X285656Y337360D01* +X285768Y337397D01* +X285873Y337452D01* +X285968Y337522D01* +X286051Y337606D01* +X286119Y337702D01* +X286170Y337808D01* +X286318Y338216D01* +X286422Y338637D01* +X286484Y339067D01* +X286505Y339500D01* +X286484Y339933D01* +X286422Y340363D01* +X286318Y340784D01* +X286175Y341194D01* +X286122Y341300D01* +X286053Y341396D01* +X285970Y341481D01* +X285875Y341551D01* +X285769Y341606D01* +X285657Y341643D01* +X285613Y341651D01* +Y347353D01* +X285656Y347360D01* +X285768Y347397D01* +X285873Y347452D01* +X285968Y347522D01* +X286051Y347606D01* +X286119Y347702D01* +X286170Y347808D01* +X286318Y348216D01* +X286422Y348637D01* +X286484Y349067D01* +X286505Y349500D01* +X286484Y349933D01* +X286422Y350363D01* +X286318Y350784D01* +X286175Y351194D01* +X286122Y351300D01* +X286053Y351396D01* +X285970Y351481D01* +X285875Y351551D01* +X285769Y351606D01* +X285657Y351643D01* +X285613Y351651D01* +Y391500D01* +X288387D01* +Y352198D01* +X288348Y352153D01* +X287978Y351549D01* +X287707Y350895D01* +X287542Y350206D01* +X287486Y349500D01* +X287542Y348794D01* +X287707Y348105D01* +X287978Y347451D01* +X288348Y346847D01* +X288387Y346802D01* +Y341647D01* +X288344Y341640D01* +X288232Y341603D01* +X288127Y341548D01* +X288032Y341478D01* +X287949Y341394D01* +X287881Y341298D01* +X287830Y341192D01* +X287682Y340784D01* +X287578Y340363D01* +X287516Y339933D01* +X287495Y339500D01* +X287516Y339067D01* +X287578Y338637D01* +X287682Y338216D01* +X287825Y337806D01* +X287878Y337700D01* +X287947Y337604D01* +X288030Y337519D01* +X288125Y337449D01* +X288231Y337394D01* +X288343Y337357D01* +X288387Y337349D01* +Y289300D01* +G37* +G36* +X285613D02*X283747D01* +Y335352D01* +X283800Y335378D01* +X283896Y335447D01* +X283981Y335530D01* +X284051Y335625D01* +X284106Y335731D01* +X284143Y335843D01* +X284163Y335960D01* +X284164Y336079D01* +X284146Y336196D01* +X284110Y336309D01* +X284057Y336415D01* +X283988Y336512D01* +X283905Y336596D01* +X283809Y336667D01* +X283747Y336699D01* +Y342305D01* +X283807Y342336D01* +X283902Y342406D01* +X283985Y342491D01* +X284054Y342587D01* +X284107Y342692D01* +X284143Y342805D01* +X284160Y342921D01* +X284159Y343039D01* +X284140Y343156D01* +X284103Y343268D01* +X284048Y343373D01* +X283978Y343468D01* +X283894Y343551D01* +X283798Y343619D01* +X283747Y343644D01* +Y345352D01* +X283800Y345378D01* +X283896Y345447D01* +X283981Y345530D01* +X284051Y345625D01* +X284106Y345731D01* +X284143Y345843D01* +X284163Y345960D01* +X284164Y346079D01* +X284146Y346196D01* +X284110Y346309D01* +X284057Y346415D01* +X283988Y346512D01* +X283905Y346596D01* +X283809Y346667D01* +X283747Y346699D01* +Y352305D01* +X283807Y352336D01* +X283902Y352406D01* +X283985Y352491D01* +X284054Y352587D01* +X284107Y352692D01* +X284143Y352805D01* +X284160Y352921D01* +X284159Y353039D01* +X284140Y353156D01* +X284103Y353268D01* +X284048Y353373D01* +X283978Y353468D01* +X283894Y353551D01* +X283798Y353619D01* +X283747Y353644D01* +Y391500D01* +X285613D01* +Y351651D01* +X285540Y351663D01* +X285421Y351664D01* +X285304Y351646D01* +X285191Y351610D01* +X285085Y351557D01* +X284988Y351488D01* +X284904Y351405D01* +X284833Y351309D01* +X284779Y351204D01* +X284741Y351092D01* +X284722Y350975D01* +X284721Y350856D01* +X284739Y350739D01* +X284777Y350626D01* +X284876Y350355D01* +X284944Y350075D01* +X284986Y349789D01* +X285000Y349500D01* +X284986Y349211D01* +X284944Y348925D01* +X284876Y348645D01* +X284780Y348372D01* +X284742Y348261D01* +X284725Y348144D01* +X284725Y348026D01* +X284745Y347909D01* +X284782Y347797D01* +X284836Y347693D01* +X284906Y347598D01* +X284991Y347515D01* +X285087Y347446D01* +X285192Y347393D01* +X285305Y347357D01* +X285421Y347340D01* +X285539Y347341D01* +X285613Y347353D01* +Y341651D01* +X285540Y341663D01* +X285421Y341664D01* +X285304Y341646D01* +X285191Y341610D01* +X285085Y341557D01* +X284988Y341488D01* +X284904Y341405D01* +X284833Y341309D01* +X284779Y341204D01* +X284741Y341092D01* +X284722Y340975D01* +X284721Y340856D01* +X284739Y340739D01* +X284777Y340626D01* +X284876Y340355D01* +X284944Y340075D01* +X284986Y339789D01* +X285000Y339500D01* +X284986Y339211D01* +X284944Y338925D01* +X284876Y338645D01* +X284780Y338372D01* +X284742Y338261D01* +X284725Y338144D01* +X284725Y338026D01* +X284745Y337909D01* +X284782Y337797D01* +X284836Y337693D01* +X284906Y337598D01* +X284991Y337515D01* +X285087Y337446D01* +X285192Y337393D01* +X285305Y337357D01* +X285421Y337340D01* +X285539Y337341D01* +X285613Y337353D01* +Y289300D01* +G37* +G36* +X292001Y240250D02*X290253D01* +Y242880D01* +X290652Y243347D01* +X291022Y243951D01* +X291293Y244605D01* +X291458Y245294D01* +X291500Y246000D01* +X291458Y246706D01* +X291293Y247395D01* +X291022Y248049D01* +X290652Y248653D01* +X290253Y249120D01* +Y251499D01* +X290314Y251495D01* +X290377Y251502D01* +X290438Y251518D01* +X290496Y251544D01* +X290549Y251579D01* +X290596Y251621D01* +X290636Y251670D01* +X290667Y251725D01* +X290690Y251784D01* +X290703Y251846D01* +X290706Y251909D01* +X290700Y251972D01* +X290682Y252032D01* +X290641Y252162D01* +X290615Y252296D01* +X290602Y252432D01* +Y252568D01* +X290615Y252704D01* +X290641Y252838D01* +X290681Y252968D01* +X290698Y253029D01* +X290705Y253091D01* +X290701Y253154D01* +X290688Y253216D01* +X290666Y253274D01* +X290634Y253329D01* +X290595Y253378D01* +X290548Y253420D01* +X290495Y253454D01* +X290438Y253480D01* +X290377Y253496D01* +X290314Y253503D01* +X290253Y253500D01* +Y286700D01* +X292001D01* +Y254700D01* +X291893D01* +X291679Y254679D01* +X291469Y254638D01* +X291263Y254576D01* +X291206Y254550D01* +X291153Y254516D01* +X291106Y254474D01* +X291066Y254424D01* +X291034Y254370D01* +X291012Y254311D01* +X290999Y254249D01* +X290995Y254186D01* +X291002Y254123D01* +X291018Y254062D01* +X291044Y254004D01* +X291079Y253951D01* +X291121Y253904D01* +X291170Y253864D01* +X291225Y253833D01* +X291284Y253810D01* +X291346Y253797D01* +X291409Y253794D01* +X291472Y253800D01* +X291532Y253818D01* +X291662Y253859D01* +X291796Y253885D01* +X291932Y253898D01* +X292001D01* +Y251102D01* +X291932D01* +X291796Y251115D01* +X291662Y251141D01* +X291532Y251181D01* +X291471Y251198D01* +X291409Y251205D01* +X291346Y251201D01* +X291284Y251188D01* +X291226Y251166D01* +X291171Y251134D01* +X291122Y251095D01* +X291080Y251048D01* +X291046Y250995D01* +X291020Y250938D01* +X291004Y250877D01* +X290997Y250814D01* +X291000Y250751D01* +X291013Y250690D01* +X291036Y250631D01* +X291067Y250577D01* +X291107Y250528D01* +X291154Y250485D01* +X291206Y250451D01* +X291264Y250427D01* +X291469Y250362D01* +X291679Y250321D01* +X291893Y250300D01* +X292001D01* +Y240250D01* +G37* +G36* +X290253Y249120D02*X290192Y249192D01* +X289653Y249652D01* +X289049Y250022D01* +X288395Y250293D01* +X288300Y250316D01* +Y282449D01* +X288304Y282500D01* +X288288Y282704D01* +X288288Y282704D01* +X288240Y282903D01* +X288162Y283092D01* +X288055Y283266D01* +X287922Y283422D01* +X287883Y283455D01* +X286455Y284883D01* +X286422Y284922D01* +X286266Y285055D01* +X286092Y285162D01* +X285903Y285240D01* +X285704Y285288D01* +X285704Y285288D01* +X285500Y285304D01* +X285449Y285300D01* +X283747D01* +Y286700D01* +X290253D01* +Y253500D01* +X290251Y253500D01* +X290190Y253487D01* +X290131Y253464D01* +X290077Y253433D01* +X290028Y253393D01* +X289985Y253346D01* +X289951Y253294D01* +X289927Y253236D01* +X289862Y253031D01* +X289821Y252821D01* +X289800Y252607D01* +Y252393D01* +X289821Y252179D01* +X289862Y251969D01* +X289924Y251763D01* +X289950Y251706D01* +X289984Y251653D01* +X290026Y251606D01* +X290076Y251566D01* +X290130Y251534D01* +X290189Y251512D01* +X290251Y251499D01* +X290253Y251499D01* +Y249120D01* +G37* +G36* +Y240250D02*X283747D01* +Y242880D01* +X283808Y242808D01* +X284347Y242348D01* +X284951Y241978D01* +X285605Y241707D01* +X286294Y241542D01* +X287000Y241486D01* +X287706Y241542D01* +X288395Y241707D01* +X289049Y241978D01* +X289653Y242348D01* +X290192Y242808D01* +X290253Y242880D01* +Y240250D01* +G37* +G36* +X292001Y201194D02*X291853Y201229D01* +X291500Y201257D01* +X291253Y201238D01* +Y212999D01* +X291314Y212995D01* +X291377Y213002D01* +X291438Y213018D01* +X291496Y213044D01* +X291549Y213079D01* +X291596Y213121D01* +X291636Y213170D01* +X291667Y213225D01* +X291690Y213284D01* +X291703Y213346D01* +X291706Y213409D01* +X291700Y213472D01* +X291682Y213532D01* +X291641Y213662D01* +X291615Y213796D01* +X291602Y213932D01* +Y214068D01* +X291615Y214204D01* +X291641Y214338D01* +X291681Y214468D01* +X291698Y214529D01* +X291705Y214591D01* +X291701Y214654D01* +X291688Y214716D01* +X291666Y214774D01* +X291634Y214829D01* +X291595Y214878D01* +X291548Y214920D01* +X291495Y214954D01* +X291438Y214980D01* +X291377Y214996D01* +X291314Y215003D01* +X291253Y215000D01* +Y235750D01* +X292001D01* +Y215759D01* +X291999Y215749D01* +X291995Y215686D01* +X292001Y215634D01* +Y212350D01* +X291997Y212314D01* +X292000Y212251D01* +X292001Y212249D01* +Y201194D01* +G37* +G36* +X291253Y201238D02*X291147Y201229D01* +X290803Y201146D01* +X290475Y201011D01* +X290173Y200826D01* +X289904Y200596D01* +X289674Y200327D01* +X289489Y200025D01* +X289354Y199697D01* +X289271Y199353D01* +X289250Y199000D01* +Y197000D01* +X283747D01* +Y235750D01* +X291253D01* +Y215000D01* +X291251Y215000D01* +X291190Y214987D01* +X291131Y214964D01* +X291077Y214933D01* +X291028Y214893D01* +X290985Y214846D01* +X290951Y214794D01* +X290927Y214736D01* +X290862Y214531D01* +X290821Y214321D01* +X290800Y214107D01* +Y213893D01* +X290821Y213679D01* +X290862Y213469D01* +X290924Y213263D01* +X290950Y213206D01* +X290984Y213153D01* +X291026Y213106D01* +X291076Y213066D01* +X291130Y213034D01* +X291189Y213012D01* +X291251Y212999D01* +X291253Y212999D01* +Y201238D01* +G37* +G36* +X292001Y2000D02*X283747D01* +Y190000D01* +X292001D01* +Y2000D01* +G37* +G36* +X283747Y353644D02*X283692Y353670D01* +X283284Y353818D01* +X282863Y353922D01* +X282433Y353984D01* +X282001Y354005D01* +Y391500D01* +X283747D01* +Y353644D01* +G37* +G36* +Y346699D02*X283704Y346721D01* +X283592Y346759D01* +X283475Y346778D01* +X283356Y346779D01* +X283239Y346761D01* +X283126Y346723D01* +X282855Y346624D01* +X282575Y346556D01* +X282289Y346514D01* +X282001Y346500D01* +Y352500D01* +X282289Y352486D01* +X282575Y352444D01* +X282855Y352376D01* +X283128Y352280D01* +X283239Y352242D01* +X283356Y352225D01* +X283474Y352225D01* +X283591Y352245D01* +X283703Y352282D01* +X283747Y352305D01* +Y346699D01* +G37* +G36* +Y343644D02*X283692Y343670D01* +X283284Y343818D01* +X282863Y343922D01* +X282433Y343984D01* +X282001Y344005D01* +Y344995D01* +X282433Y345016D01* +X282863Y345078D01* +X283284Y345182D01* +X283694Y345325D01* +X283747Y345352D01* +Y343644D01* +G37* +G36* +Y336699D02*X283704Y336721D01* +X283592Y336759D01* +X283475Y336778D01* +X283356Y336779D01* +X283239Y336761D01* +X283126Y336723D01* +X282855Y336624D01* +X282575Y336556D01* +X282289Y336514D01* +X282001Y336500D01* +Y342500D01* +X282289Y342486D01* +X282575Y342444D01* +X282855Y342376D01* +X283128Y342280D01* +X283239Y342242D01* +X283356Y342225D01* +X283474Y342225D01* +X283591Y342245D01* +X283703Y342282D01* +X283747Y342305D01* +Y336699D01* +G37* +G36* +Y289300D02*X282001D01* +Y334995D01* +X282433Y335016D01* +X282863Y335078D01* +X283284Y335182D01* +X283694Y335325D01* +X283747Y335352D01* +Y289300D01* +G37* +G36* +Y285300D02*X282001D01* +Y286700D01* +X283747D01* +Y285300D01* +G37* +G36* +Y240250D02*X282001D01* +Y250300D01* +X282107D01* +X282321Y250321D01* +X282531Y250362D01* +X282737Y250424D01* +X282794Y250450D01* +X282847Y250484D01* +X282894Y250526D01* +X282934Y250576D01* +X282966Y250630D01* +X282988Y250689D01* +X283001Y250751D01* +X283005Y250814D01* +X282998Y250877D01* +X282982Y250938D01* +X282956Y250996D01* +X282921Y251049D01* +X282879Y251096D01* +X282830Y251136D01* +X282775Y251167D01* +X282716Y251190D01* +X282654Y251203D01* +X282591Y251206D01* +X282528Y251200D01* +X282468Y251182D01* +X282338Y251141D01* +X282204Y251115D01* +X282068Y251102D01* +X282001D01* +Y253898D01* +X282068D01* +X282204Y253885D01* +X282338Y253859D01* +X282468Y253819D01* +X282529Y253802D01* +X282591Y253795D01* +X282654Y253799D01* +X282716Y253812D01* +X282774Y253834D01* +X282829Y253866D01* +X282878Y253905D01* +X282920Y253952D01* +X282954Y254005D01* +X282980Y254062D01* +X282996Y254123D01* +X283003Y254186D01* +X283000Y254249D01* +X282987Y254310D01* +X282964Y254369D01* +X282933Y254423D01* +X282893Y254472D01* +X282846Y254515D01* +X282794Y254549D01* +X282736Y254573D01* +X282531Y254638D01* +X282321Y254679D01* +X282107Y254700D01* +X282001D01* +Y282700D01* +X283747D01* +Y253501D01* +X283686Y253505D01* +X283623Y253498D01* +X283562Y253482D01* +X283504Y253456D01* +X283451Y253421D01* +X283404Y253379D01* +X283364Y253330D01* +X283333Y253275D01* +X283310Y253216D01* +X283297Y253154D01* +X283294Y253091D01* +X283300Y253028D01* +X283318Y252968D01* +X283359Y252838D01* +X283385Y252704D01* +X283398Y252568D01* +Y252432D01* +X283385Y252296D01* +X283359Y252162D01* +X283319Y252032D01* +X283302Y251971D01* +X283295Y251909D01* +X283299Y251846D01* +X283312Y251784D01* +X283334Y251726D01* +X283366Y251671D01* +X283405Y251622D01* +X283452Y251580D01* +X283505Y251546D01* +X283562Y251520D01* +X283623Y251504D01* +X283686Y251497D01* +X283747Y251500D01* +Y249120D01* +X283348Y248653D01* +X282978Y248049D01* +X282707Y247395D01* +X282542Y246706D01* +X282486Y246000D01* +X282542Y245294D01* +X282707Y244605D01* +X282978Y243951D01* +X283348Y243347D01* +X283747Y242880D01* +Y240250D01* +G37* +G36* +Y197000D02*X282001D01* +Y235750D01* +X283747D01* +Y197000D01* +G37* +G36* +Y2000D02*X282001D01* +Y190000D01* +X283747D01* +Y2000D01* +G37* +G36* +X282001Y289300D02*X278387D01* +Y337349D01* +X278460Y337337D01* +X278579Y337336D01* +X278696Y337354D01* +X278809Y337390D01* +X278915Y337443D01* +X279012Y337512D01* +X279096Y337595D01* +X279167Y337691D01* +X279221Y337796D01* +X279259Y337908D01* +X279278Y338025D01* +X279279Y338144D01* +X279261Y338261D01* +X279223Y338374D01* +X279124Y338645D01* +X279056Y338925D01* +X279014Y339211D01* +X279000Y339500D01* +X279014Y339789D01* +X279056Y340075D01* +X279124Y340355D01* +X279220Y340628D01* +X279258Y340739D01* +X279275Y340856D01* +X279275Y340974D01* +X279255Y341091D01* +X279218Y341203D01* +X279164Y341307D01* +X279094Y341402D01* +X279009Y341485D01* +X278913Y341554D01* +X278808Y341607D01* +X278695Y341643D01* +X278579Y341660D01* +X278461Y341659D01* +X278387Y341647D01* +Y347349D01* +X278460Y347337D01* +X278579Y347336D01* +X278696Y347354D01* +X278809Y347390D01* +X278915Y347443D01* +X279012Y347512D01* +X279096Y347595D01* +X279167Y347691D01* +X279221Y347796D01* +X279259Y347908D01* +X279278Y348025D01* +X279279Y348144D01* +X279261Y348261D01* +X279223Y348374D01* +X279124Y348645D01* +X279056Y348925D01* +X279014Y349211D01* +X279000Y349500D01* +X279014Y349789D01* +X279056Y350075D01* +X279124Y350355D01* +X279220Y350628D01* +X279258Y350739D01* +X279275Y350856D01* +X279275Y350974D01* +X279255Y351091D01* +X279218Y351203D01* +X279164Y351307D01* +X279094Y351402D01* +X279009Y351485D01* +X278913Y351554D01* +X278808Y351607D01* +X278695Y351643D01* +X278579Y351660D01* +X278461Y351659D01* +X278387Y351647D01* +Y391500D01* +X282001D01* +Y354005D01* +X282000Y354005D01* +X281567Y353984D01* +X281137Y353922D01* +X280716Y353818D01* +X280306Y353675D01* +X280200Y353622D01* +X280104Y353553D01* +X280019Y353470D01* +X279949Y353375D01* +X279894Y353269D01* +X279857Y353157D01* +X279837Y353040D01* +X279836Y352921D01* +X279854Y352804D01* +X279890Y352691D01* +X279943Y352585D01* +X280012Y352488D01* +X280095Y352404D01* +X280191Y352333D01* +X280296Y352279D01* +X280408Y352241D01* +X280525Y352222D01* +X280644Y352221D01* +X280761Y352239D01* +X280874Y352277D01* +X281145Y352376D01* +X281425Y352444D01* +X281711Y352486D01* +X282000Y352500D01* +X282001Y352500D01* +Y346500D01* +X282000Y346500D01* +X281711Y346514D01* +X281425Y346556D01* +X281145Y346624D01* +X280872Y346720D01* +X280761Y346758D01* +X280644Y346775D01* +X280526Y346775D01* +X280409Y346755D01* +X280297Y346718D01* +X280193Y346664D01* +X280098Y346594D01* +X280015Y346509D01* +X279946Y346413D01* +X279893Y346308D01* +X279857Y346195D01* +X279840Y346079D01* +X279841Y345961D01* +X279860Y345844D01* +X279897Y345732D01* +X279952Y345627D01* +X280022Y345532D01* +X280106Y345449D01* +X280202Y345381D01* +X280308Y345330D01* +X280716Y345182D01* +X281137Y345078D01* +X281567Y345016D01* +X282000Y344995D01* +X282001Y344995D01* +Y344005D01* +X282000Y344005D01* +X281567Y343984D01* +X281137Y343922D01* +X280716Y343818D01* +X280306Y343675D01* +X280200Y343622D01* +X280104Y343553D01* +X280019Y343470D01* +X279949Y343375D01* +X279894Y343269D01* +X279857Y343157D01* +X279837Y343040D01* +X279836Y342921D01* +X279854Y342804D01* +X279890Y342691D01* +X279943Y342585D01* +X280012Y342488D01* +X280095Y342404D01* +X280191Y342333D01* +X280296Y342279D01* +X280408Y342241D01* +X280525Y342222D01* +X280644Y342221D01* +X280761Y342239D01* +X280874Y342277D01* +X281145Y342376D01* +X281425Y342444D01* +X281711Y342486D01* +X282000Y342500D01* +X282001Y342500D01* +Y336500D01* +X282000Y336500D01* +X281711Y336514D01* +X281425Y336556D01* +X281145Y336624D01* +X280872Y336720D01* +X280761Y336758D01* +X280644Y336775D01* +X280526Y336775D01* +X280409Y336755D01* +X280297Y336718D01* +X280193Y336664D01* +X280098Y336594D01* +X280015Y336509D01* +X279946Y336413D01* +X279893Y336308D01* +X279857Y336195D01* +X279840Y336079D01* +X279841Y335961D01* +X279860Y335844D01* +X279897Y335732D01* +X279952Y335627D01* +X280022Y335532D01* +X280106Y335449D01* +X280202Y335381D01* +X280308Y335330D01* +X280716Y335182D01* +X281137Y335078D01* +X281567Y335016D01* +X282000Y334995D01* +X282001Y334995D01* +Y289300D01* +G37* +G36* +X278387D02*X275613D01* +Y337353D01* +X275656Y337360D01* +X275768Y337397D01* +X275873Y337452D01* +X275968Y337522D01* +X276051Y337606D01* +X276119Y337702D01* +X276170Y337808D01* +X276318Y338216D01* +X276422Y338637D01* +X276484Y339067D01* +X276505Y339500D01* +X276484Y339933D01* +X276422Y340363D01* +X276318Y340784D01* +X276175Y341194D01* +X276122Y341300D01* +X276053Y341396D01* +X275970Y341481D01* +X275875Y341551D01* +X275769Y341606D01* +X275657Y341643D01* +X275613Y341651D01* +Y346802D01* +X275652Y346847D01* +X276022Y347451D01* +X276293Y348105D01* +X276458Y348794D01* +X276500Y349500D01* +X276458Y350206D01* +X276293Y350895D01* +X276022Y351549D01* +X275652Y352153D01* +X275613Y352198D01* +Y391500D01* +X278387D01* +Y351647D01* +X278344Y351640D01* +X278232Y351603D01* +X278127Y351548D01* +X278032Y351478D01* +X277949Y351394D01* +X277881Y351298D01* +X277830Y351192D01* +X277682Y350784D01* +X277578Y350363D01* +X277516Y349933D01* +X277495Y349500D01* +X277516Y349067D01* +X277578Y348637D01* +X277682Y348216D01* +X277825Y347806D01* +X277878Y347700D01* +X277947Y347604D01* +X278030Y347519D01* +X278125Y347449D01* +X278231Y347394D01* +X278343Y347357D01* +X278387Y347349D01* +Y341647D01* +X278344Y341640D01* +X278232Y341603D01* +X278127Y341548D01* +X278032Y341478D01* +X277949Y341394D01* +X277881Y341298D01* +X277830Y341192D01* +X277682Y340784D01* +X277578Y340363D01* +X277516Y339933D01* +X277495Y339500D01* +X277516Y339067D01* +X277578Y338637D01* +X277682Y338216D01* +X277825Y337806D01* +X277878Y337700D01* +X277947Y337604D01* +X278030Y337519D01* +X278125Y337449D01* +X278231Y337394D01* +X278343Y337357D01* +X278387Y337349D01* +Y289300D01* +G37* +G36* +X275613Y352198D02*X275192Y352692D01* +X274653Y353152D01* +X274049Y353522D01* +X273747Y353647D01* +Y391500D01* +X275613D01* +Y352198D01* +G37* +G36* +Y289300D02*X273747D01* +Y335352D01* +X273800Y335378D01* +X273896Y335447D01* +X273981Y335530D01* +X274051Y335625D01* +X274106Y335731D01* +X274143Y335843D01* +X274163Y335960D01* +X274164Y336079D01* +X274146Y336196D01* +X274110Y336309D01* +X274057Y336415D01* +X273988Y336512D01* +X273905Y336596D01* +X273809Y336667D01* +X273747Y336699D01* +Y342305D01* +X273807Y342336D01* +X273902Y342406D01* +X273985Y342491D01* +X274054Y342587D01* +X274107Y342692D01* +X274143Y342805D01* +X274160Y342921D01* +X274159Y343039D01* +X274140Y343156D01* +X274103Y343268D01* +X274048Y343373D01* +X273978Y343468D01* +X273894Y343551D01* +X273798Y343619D01* +X273747Y343644D01* +Y345353D01* +X274049Y345478D01* +X274653Y345848D01* +X275192Y346308D01* +X275613Y346802D01* +Y341651D01* +X275540Y341663D01* +X275421Y341664D01* +X275304Y341646D01* +X275191Y341610D01* +X275085Y341557D01* +X274988Y341488D01* +X274904Y341405D01* +X274833Y341309D01* +X274779Y341204D01* +X274741Y341092D01* +X274722Y340975D01* +X274721Y340856D01* +X274739Y340739D01* +X274777Y340626D01* +X274876Y340355D01* +X274944Y340075D01* +X274986Y339789D01* +X275000Y339500D01* +X274986Y339211D01* +X274944Y338925D01* +X274876Y338645D01* +X274780Y338372D01* +X274742Y338261D01* +X274725Y338144D01* +X274725Y338026D01* +X274745Y337909D01* +X274782Y337797D01* +X274836Y337693D01* +X274906Y337598D01* +X274991Y337515D01* +X275087Y337446D01* +X275192Y337393D01* +X275305Y337357D01* +X275421Y337340D01* +X275539Y337341D01* +X275613Y337353D01* +Y289300D01* +G37* +G36* +X282001Y285300D02*X273747D01* +Y286700D01* +X282001D01* +Y285300D01* +G37* +G36* +Y240250D02*X280253D01* +Y242880D01* +X280652Y243347D01* +X281022Y243951D01* +X281293Y244605D01* +X281458Y245294D01* +X281500Y246000D01* +X281458Y246706D01* +X281293Y247395D01* +X281022Y248049D01* +X280652Y248653D01* +X280253Y249120D01* +Y251499D01* +X280314Y251495D01* +X280377Y251502D01* +X280438Y251518D01* +X280496Y251544D01* +X280549Y251579D01* +X280596Y251621D01* +X280636Y251670D01* +X280667Y251725D01* +X280690Y251784D01* +X280703Y251846D01* +X280706Y251909D01* +X280700Y251972D01* +X280682Y252032D01* +X280641Y252162D01* +X280615Y252296D01* +X280602Y252432D01* +Y252568D01* +X280615Y252704D01* +X280641Y252838D01* +X280681Y252968D01* +X280698Y253029D01* +X280705Y253091D01* +X280701Y253154D01* +X280688Y253216D01* +X280666Y253274D01* +X280634Y253329D01* +X280595Y253378D01* +X280548Y253420D01* +X280495Y253454D01* +X280438Y253480D01* +X280377Y253496D01* +X280314Y253503D01* +X280253Y253500D01* +Y282700D01* +X282001D01* +Y254700D01* +X281893D01* +X281679Y254679D01* +X281469Y254638D01* +X281263Y254576D01* +X281206Y254550D01* +X281153Y254516D01* +X281106Y254474D01* +X281066Y254424D01* +X281034Y254370D01* +X281012Y254311D01* +X280999Y254249D01* +X280995Y254186D01* +X281002Y254123D01* +X281018Y254062D01* +X281044Y254004D01* +X281079Y253951D01* +X281121Y253904D01* +X281170Y253864D01* +X281225Y253833D01* +X281284Y253810D01* +X281346Y253797D01* +X281409Y253794D01* +X281472Y253800D01* +X281532Y253818D01* +X281662Y253859D01* +X281796Y253885D01* +X281932Y253898D01* +X282001D01* +Y251102D01* +X281932D01* +X281796Y251115D01* +X281662Y251141D01* +X281532Y251181D01* +X281471Y251198D01* +X281409Y251205D01* +X281346Y251201D01* +X281284Y251188D01* +X281226Y251166D01* +X281171Y251134D01* +X281122Y251095D01* +X281080Y251048D01* +X281046Y250995D01* +X281020Y250938D01* +X281004Y250877D01* +X280997Y250814D01* +X281000Y250751D01* +X281013Y250690D01* +X281036Y250631D01* +X281067Y250577D01* +X281107Y250528D01* +X281154Y250485D01* +X281206Y250451D01* +X281264Y250427D01* +X281469Y250362D01* +X281679Y250321D01* +X281893Y250300D01* +X282001D01* +Y240250D01* +G37* +G36* +X280253Y249120D02*X280192Y249192D01* +X279653Y249652D01* +X279049Y250022D01* +X278395Y250293D01* +X278300Y250316D01* +Y278949D01* +X278304Y279000D01* +X278288Y279204D01* +X278288Y279204D01* +X278240Y279403D01* +X278162Y279592D01* +X278055Y279766D01* +X277922Y279922D01* +X277883Y279955D01* +X276955Y280883D01* +X276922Y280922D01* +X276766Y281055D01* +X276592Y281162D01* +X276403Y281240D01* +X276204Y281288D01* +X276204Y281288D01* +X276000Y281304D01* +X275949Y281300D01* +X273747D01* +Y282700D01* +X280253D01* +Y253500D01* +X280251Y253500D01* +X280190Y253487D01* +X280131Y253464D01* +X280077Y253433D01* +X280028Y253393D01* +X279985Y253346D01* +X279951Y253294D01* +X279927Y253236D01* +X279862Y253031D01* +X279821Y252821D01* +X279800Y252607D01* +Y252393D01* +X279821Y252179D01* +X279862Y251969D01* +X279924Y251763D01* +X279950Y251706D01* +X279984Y251653D01* +X280026Y251606D01* +X280076Y251566D01* +X280130Y251534D01* +X280189Y251512D01* +X280251Y251499D01* +X280253Y251499D01* +Y249120D01* +G37* +G36* +Y240250D02*X273747D01* +Y242880D01* +X273808Y242808D01* +X274347Y242348D01* +X274951Y241978D01* +X275605Y241707D01* +X276294Y241542D01* +X277000Y241486D01* +X277706Y241542D01* +X278395Y241707D01* +X279049Y241978D01* +X279653Y242348D01* +X280192Y242808D01* +X280253Y242880D01* +Y240250D01* +G37* +G36* +X282001Y197000D02*X273747D01* +Y235750D01* +X282001D01* +Y197000D01* +G37* +G36* +Y2000D02*X278247D01* +Y5500D01* +X278249Y5500D01* +X278310Y5513D01* +X278369Y5536D01* +X278423Y5567D01* +X278472Y5607D01* +X278515Y5654D01* +X278549Y5706D01* +X278573Y5764D01* +X278638Y5969D01* +X278679Y6179D01* +X278700Y6393D01* +Y6607D01* +X278679Y6821D01* +X278638Y7031D01* +X278576Y7237D01* +X278550Y7294D01* +X278516Y7347D01* +X278474Y7394D01* +X278424Y7434D01* +X278370Y7466D01* +X278311Y7488D01* +X278249Y7501D01* +X278247Y7501D01* +Y28928D01* +X278502Y29034D01* +X278797Y29215D01* +X279060Y29440D01* +X279285Y29703D01* +X279466Y29998D01* +X279599Y30318D01* +X279680Y30655D01* +X279700Y31000D01* +X279680Y31345D01* +X279599Y31682D01* +X279466Y32002D01* +X279285Y32297D01* +X279060Y32560D01* +X278797Y32785D01* +X278502Y32966D01* +X278247Y33072D01* +Y34928D01* +X278502Y35034D01* +X278797Y35215D01* +X279060Y35440D01* +X279285Y35703D01* +X279466Y35998D01* +X279599Y36318D01* +X279680Y36655D01* +X279700Y37000D01* +X279680Y37345D01* +X279599Y37682D01* +X279466Y38002D01* +X279285Y38297D01* +X279060Y38560D01* +X278797Y38785D01* +X278502Y38966D01* +X278247Y39072D01* +Y40928D01* +X278502Y41034D01* +X278797Y41215D01* +X279060Y41440D01* +X279285Y41703D01* +X279466Y41998D01* +X279599Y42318D01* +X279680Y42655D01* +X279700Y43000D01* +X279680Y43345D01* +X279599Y43682D01* +X279466Y44002D01* +X279285Y44297D01* +X279060Y44560D01* +X278797Y44785D01* +X278502Y44966D01* +X278247Y45072D01* +Y47428D01* +X278502Y47534D01* +X278797Y47715D01* +X279060Y47940D01* +X279285Y48203D01* +X279466Y48498D01* +X279599Y48818D01* +X279680Y49155D01* +X279700Y49500D01* +X279680Y49845D01* +X279599Y50182D01* +X279466Y50502D01* +X279285Y50797D01* +X279060Y51060D01* +X278797Y51285D01* +X278502Y51466D01* +X278247Y51572D01* +Y53428D01* +X278502Y53534D01* +X278797Y53715D01* +X279060Y53940D01* +X279285Y54203D01* +X279466Y54498D01* +X279599Y54818D01* +X279680Y55155D01* +X279700Y55500D01* +X279680Y55845D01* +X279599Y56182D01* +X279466Y56502D01* +X279285Y56797D01* +X279060Y57060D01* +X278797Y57285D01* +X278502Y57466D01* +X278247Y57572D01* +Y59928D01* +X278502Y60034D01* +X278797Y60215D01* +X279060Y60440D01* +X279285Y60703D01* +X279466Y60998D01* +X279599Y61318D01* +X279680Y61655D01* +X279700Y62000D01* +X279680Y62345D01* +X279599Y62682D01* +X279466Y63002D01* +X279285Y63297D01* +X279060Y63560D01* +X278797Y63785D01* +X278502Y63966D01* +X278247Y64072D01* +Y190000D01* +X282001D01* +Y2000D01* +G37* +G36* +X278247Y64072D02*X278182Y64099D01* +X277845Y64180D01* +X277500Y64207D01* +X277155Y64180D01* +X276818Y64099D01* +X276501Y63967D01* +Y190000D01* +X278247D01* +Y64072D01* +G37* +G36* +Y57572D02*X278182Y57599D01* +X277845Y57680D01* +X277500Y57707D01* +X277155Y57680D01* +X276818Y57599D01* +X276501Y57467D01* +Y60033D01* +X276818Y59901D01* +X277155Y59820D01* +X277500Y59793D01* +X277845Y59820D01* +X278182Y59901D01* +X278247Y59928D01* +Y57572D01* +G37* +G36* +Y51572D02*X278182Y51599D01* +X277845Y51680D01* +X277500Y51707D01* +X277155Y51680D01* +X276818Y51599D01* +X276501Y51467D01* +Y53533D01* +X276818Y53401D01* +X277155Y53320D01* +X277500Y53293D01* +X277845Y53320D01* +X278182Y53401D01* +X278247Y53428D01* +Y51572D01* +G37* +G36* +Y45072D02*X278182Y45099D01* +X277845Y45180D01* +X277500Y45207D01* +X277155Y45180D01* +X276818Y45099D01* +X276501Y44967D01* +Y47533D01* +X276818Y47401D01* +X277155Y47320D01* +X277500Y47293D01* +X277845Y47320D01* +X278182Y47401D01* +X278247Y47428D01* +Y45072D01* +G37* +G36* +Y39072D02*X278182Y39099D01* +X277845Y39180D01* +X277500Y39207D01* +X277155Y39180D01* +X276818Y39099D01* +X276501Y38967D01* +Y41033D01* +X276818Y40901D01* +X277155Y40820D01* +X277500Y40793D01* +X277845Y40820D01* +X278182Y40901D01* +X278247Y40928D01* +Y39072D01* +G37* +G36* +Y33072D02*X278182Y33099D01* +X277845Y33180D01* +X277500Y33207D01* +X277155Y33180D01* +X276818Y33099D01* +X276501Y32967D01* +Y35033D01* +X276818Y34901D01* +X277155Y34820D01* +X277500Y34793D01* +X277845Y34820D01* +X278182Y34901D01* +X278247Y34928D01* +Y33072D01* +G37* +G36* +Y2000D02*X276501D01* +Y4300D01* +X276607D01* +X276821Y4321D01* +X277031Y4362D01* +X277237Y4424D01* +X277294Y4450D01* +X277347Y4484D01* +X277394Y4526D01* +X277434Y4576D01* +X277466Y4630D01* +X277488Y4689D01* +X277501Y4751D01* +X277505Y4814D01* +X277498Y4877D01* +X277482Y4938D01* +X277456Y4996D01* +X277421Y5049D01* +X277379Y5096D01* +X277330Y5136D01* +X277275Y5167D01* +X277216Y5190D01* +X277154Y5203D01* +X277091Y5206D01* +X277028Y5200D01* +X276968Y5182D01* +X276838Y5141D01* +X276704Y5115D01* +X276568Y5102D01* +X276501D01* +Y7898D01* +X276568D01* +X276704Y7885D01* +X276838Y7859D01* +X276968Y7819D01* +X277029Y7802D01* +X277091Y7795D01* +X277154Y7799D01* +X277216Y7812D01* +X277274Y7834D01* +X277329Y7866D01* +X277378Y7905D01* +X277420Y7952D01* +X277454Y8005D01* +X277480Y8062D01* +X277496Y8123D01* +X277503Y8186D01* +X277500Y8249D01* +X277487Y8310D01* +X277464Y8369D01* +X277433Y8423D01* +X277393Y8472D01* +X277346Y8515D01* +X277294Y8549D01* +X277236Y8573D01* +X277031Y8638D01* +X276821Y8679D01* +X276607Y8700D01* +X276501D01* +Y29033D01* +X276818Y28901D01* +X277155Y28820D01* +X277500Y28793D01* +X277845Y28820D01* +X278182Y28901D01* +X278247Y28928D01* +Y7501D01* +X278186Y7505D01* +X278123Y7498D01* +X278062Y7482D01* +X278004Y7456D01* +X277951Y7421D01* +X277904Y7379D01* +X277864Y7330D01* +X277833Y7275D01* +X277810Y7216D01* +X277797Y7154D01* +X277794Y7091D01* +X277800Y7028D01* +X277818Y6968D01* +X277859Y6838D01* +X277885Y6704D01* +X277898Y6568D01* +Y6432D01* +X277885Y6296D01* +X277859Y6162D01* +X277819Y6032D01* +X277802Y5971D01* +X277795Y5909D01* +X277799Y5846D01* +X277812Y5784D01* +X277834Y5726D01* +X277866Y5671D01* +X277905Y5622D01* +X277952Y5580D01* +X278005Y5546D01* +X278062Y5520D01* +X278123Y5504D01* +X278186Y5497D01* +X278247Y5500D01* +Y2000D01* +G37* +G36* +X276501Y63967D02*X276498Y63966D01* +X276203Y63785D01* +X275940Y63560D01* +X275717Y63300D01* +X273747D01* +Y190000D01* +X276501D01* +Y63967D01* +G37* +G36* +Y57467D02*X276498Y57466D01* +X276203Y57285D01* +X275940Y57060D01* +X275717Y56800D01* +X273747D01* +Y60700D01* +X275717D01* +X275940Y60440D01* +X276203Y60215D01* +X276498Y60034D01* +X276501Y60033D01* +Y57467D01* +G37* +G36* +Y51467D02*X276498Y51466D01* +X276203Y51285D01* +X275940Y51060D01* +X275717Y50800D01* +X273747D01* +Y54200D01* +X275717D01* +X275940Y53940D01* +X276203Y53715D01* +X276498Y53534D01* +X276501Y53533D01* +Y51467D01* +G37* +G36* +Y44967D02*X276498Y44966D01* +X276203Y44785D01* +X275940Y44560D01* +X275717Y44300D01* +X273747D01* +Y48200D01* +X275717D01* +X275940Y47940D01* +X276203Y47715D01* +X276498Y47534D01* +X276501Y47533D01* +Y44967D01* +G37* +G36* +Y38967D02*X276498Y38966D01* +X276203Y38785D01* +X275940Y38560D01* +X275717Y38300D01* +X273747D01* +Y41700D01* +X275717D01* +X275940Y41440D01* +X276203Y41215D01* +X276498Y41034D01* +X276501Y41033D01* +Y38967D01* +G37* +G36* +Y32967D02*X276498Y32966D01* +X276203Y32785D01* +X275940Y32560D01* +X275717Y32300D01* +X273747D01* +Y35700D01* +X275717D01* +X275940Y35440D01* +X276203Y35215D01* +X276498Y35034D01* +X276501Y35033D01* +Y32967D01* +G37* +G36* +Y2000D02*X274753D01* +Y5499D01* +X274814Y5495D01* +X274877Y5502D01* +X274938Y5518D01* +X274996Y5544D01* +X275049Y5579D01* +X275096Y5621D01* +X275136Y5670D01* +X275167Y5725D01* +X275190Y5784D01* +X275203Y5846D01* +X275206Y5909D01* +X275200Y5972D01* +X275182Y6032D01* +X275141Y6162D01* +X275115Y6296D01* +X275102Y6432D01* +Y6568D01* +X275115Y6704D01* +X275141Y6838D01* +X275181Y6968D01* +X275198Y7029D01* +X275205Y7091D01* +X275201Y7154D01* +X275188Y7216D01* +X275166Y7274D01* +X275134Y7329D01* +X275095Y7378D01* +X275048Y7420D01* +X274995Y7454D01* +X274938Y7480D01* +X274877Y7496D01* +X274814Y7503D01* +X274753Y7500D01* +Y29700D01* +X275717D01* +X275940Y29440D01* +X276203Y29215D01* +X276498Y29034D01* +X276501Y29033D01* +Y8700D01* +X276393D01* +X276179Y8679D01* +X275969Y8638D01* +X275763Y8576D01* +X275706Y8550D01* +X275653Y8516D01* +X275606Y8474D01* +X275566Y8424D01* +X275534Y8370D01* +X275512Y8311D01* +X275499Y8249D01* +X275495Y8186D01* +X275502Y8123D01* +X275518Y8062D01* +X275544Y8004D01* +X275579Y7951D01* +X275621Y7904D01* +X275670Y7864D01* +X275725Y7833D01* +X275784Y7810D01* +X275846Y7797D01* +X275909Y7794D01* +X275972Y7800D01* +X276032Y7818D01* +X276162Y7859D01* +X276296Y7885D01* +X276432Y7898D01* +X276501D01* +Y5102D01* +X276432D01* +X276296Y5115D01* +X276162Y5141D01* +X276032Y5181D01* +X275971Y5198D01* +X275909Y5205D01* +X275846Y5201D01* +X275784Y5188D01* +X275726Y5166D01* +X275671Y5134D01* +X275622Y5095D01* +X275580Y5048D01* +X275546Y4995D01* +X275520Y4938D01* +X275504Y4877D01* +X275497Y4814D01* +X275500Y4751D01* +X275513Y4690D01* +X275536Y4631D01* +X275567Y4577D01* +X275607Y4528D01* +X275654Y4485D01* +X275706Y4451D01* +X275764Y4427D01* +X275969Y4362D01* +X276179Y4321D01* +X276393Y4300D01* +X276501D01* +Y2000D01* +G37* +G36* +X274753D02*X273747D01* +Y29700D01* +X274753D01* +Y7500D01* +X274751Y7500D01* +X274690Y7487D01* +X274631Y7464D01* +X274577Y7433D01* +X274528Y7393D01* +X274485Y7346D01* +X274451Y7294D01* +X274427Y7236D01* +X274362Y7031D01* +X274321Y6821D01* +X274300Y6607D01* +Y6393D01* +X274321Y6179D01* +X274362Y5969D01* +X274424Y5763D01* +X274450Y5706D01* +X274484Y5653D01* +X274526Y5606D01* +X274576Y5566D01* +X274630Y5534D01* +X274689Y5512D01* +X274751Y5499D01* +X274753Y5499D01* +Y2000D01* +G37* +G36* +X273747Y353647D02*X273395Y353793D01* +X272706Y353958D01* +X272001Y354014D01* +Y391500D01* +X273747D01* +Y353647D01* +G37* +G36* +Y343644D02*X273692Y343670D01* +X273284Y343818D01* +X272863Y343922D01* +X272433Y343984D01* +X272001Y344005D01* +Y344986D01* +X272706Y345042D01* +X273395Y345207D01* +X273747Y345353D01* +Y343644D01* +G37* +G36* +Y336699D02*X273704Y336721D01* +X273592Y336759D01* +X273475Y336778D01* +X273356Y336779D01* +X273239Y336761D01* +X273126Y336723D01* +X272855Y336624D01* +X272575Y336556D01* +X272289Y336514D01* +X272001Y336500D01* +Y342500D01* +X272289Y342486D01* +X272575Y342444D01* +X272855Y342376D01* +X273128Y342280D01* +X273239Y342242D01* +X273356Y342225D01* +X273474Y342225D01* +X273591Y342245D01* +X273703Y342282D01* +X273747Y342305D01* +Y336699D01* +G37* +G36* +Y289300D02*X272001D01* +Y334995D01* +X272433Y335016D01* +X272863Y335078D01* +X273284Y335182D01* +X273694Y335325D01* +X273747Y335352D01* +Y289300D01* +G37* +G36* +Y285300D02*X272001D01* +Y286700D01* +X273747D01* +Y285300D01* +G37* +G36* +Y281300D02*X273000D01* +X273000Y281300D01* +X272001D01* +Y282700D01* +X273747D01* +Y281300D01* +G37* +G36* +Y240250D02*X272001D01* +Y250300D01* +X272107D01* +X272321Y250321D01* +X272531Y250362D01* +X272737Y250424D01* +X272794Y250450D01* +X272847Y250484D01* +X272894Y250526D01* +X272934Y250576D01* +X272966Y250630D01* +X272988Y250689D01* +X273001Y250751D01* +X273005Y250814D01* +X272998Y250877D01* +X272982Y250938D01* +X272956Y250996D01* +X272921Y251049D01* +X272879Y251096D01* +X272830Y251136D01* +X272775Y251167D01* +X272716Y251190D01* +X272654Y251203D01* +X272591Y251206D01* +X272528Y251200D01* +X272468Y251182D01* +X272338Y251141D01* +X272204Y251115D01* +X272068Y251102D01* +X272001D01* +Y253898D01* +X272068D01* +X272204Y253885D01* +X272338Y253859D01* +X272468Y253819D01* +X272529Y253802D01* +X272591Y253795D01* +X272654Y253799D01* +X272716Y253812D01* +X272774Y253834D01* +X272829Y253866D01* +X272878Y253905D01* +X272920Y253952D01* +X272954Y254005D01* +X272980Y254062D01* +X272996Y254123D01* +X273003Y254186D01* +X273000Y254249D01* +X272987Y254310D01* +X272964Y254369D01* +X272933Y254423D01* +X272893Y254472D01* +X272846Y254515D01* +X272794Y254549D01* +X272736Y254573D01* +X272531Y254638D01* +X272321Y254679D01* +X272107Y254700D01* +X272001D01* +Y278700D01* +X273000D01* +X273000Y278700D01* +X273747D01* +Y253501D01* +X273686Y253505D01* +X273623Y253498D01* +X273562Y253482D01* +X273504Y253456D01* +X273451Y253421D01* +X273404Y253379D01* +X273364Y253330D01* +X273333Y253275D01* +X273310Y253216D01* +X273297Y253154D01* +X273294Y253091D01* +X273300Y253028D01* +X273318Y252968D01* +X273359Y252838D01* +X273385Y252704D01* +X273398Y252568D01* +Y252432D01* +X273385Y252296D01* +X273359Y252162D01* +X273319Y252032D01* +X273302Y251971D01* +X273295Y251909D01* +X273299Y251846D01* +X273312Y251784D01* +X273334Y251726D01* +X273366Y251671D01* +X273405Y251622D01* +X273452Y251580D01* +X273505Y251546D01* +X273562Y251520D01* +X273623Y251504D01* +X273686Y251497D01* +X273747Y251500D01* +Y249120D01* +X273348Y248653D01* +X272978Y248049D01* +X272707Y247395D01* +X272542Y246706D01* +X272486Y246000D01* +X272542Y245294D01* +X272707Y244605D01* +X272978Y243951D01* +X273348Y243347D01* +X273747Y242880D01* +Y240250D01* +G37* +G36* +Y197000D02*X272001D01* +Y235750D01* +X273747D01* +Y197000D01* +G37* +G36* +Y63300D02*X272001D01* +Y190000D01* +X273747D01* +Y63300D01* +G37* +G36* +Y56800D02*X272001D01* +Y60700D01* +X273747D01* +Y56800D01* +G37* +G36* +Y50800D02*X272001D01* +Y54200D01* +X273747D01* +Y50800D01* +G37* +G36* +Y44300D02*X272001D01* +Y48200D01* +X273747D01* +Y44300D01* +G37* +G36* +Y38300D02*X272001D01* +Y41700D01* +X273747D01* +Y38300D01* +G37* +G36* +Y32300D02*X272001D01* +Y35700D01* +X273747D01* +Y32300D01* +G37* +G36* +Y2000D02*X272001D01* +Y29700D01* +X273747D01* +Y2000D01* +G37* +G36* +X268387Y391500D02*X272001D01* +Y354014D01* +X272000Y354014D01* +X271294Y353958D01* +X270605Y353793D01* +X269951Y353522D01* +X269347Y353152D01* +X268808Y352692D01* +X268387Y352198D01* +Y391500D01* +G37* +G36* +X272001Y289300D02*X268387D01* +Y337349D01* +X268460Y337337D01* +X268579Y337336D01* +X268696Y337354D01* +X268809Y337390D01* +X268915Y337443D01* +X269012Y337512D01* +X269096Y337595D01* +X269167Y337691D01* +X269221Y337796D01* +X269259Y337908D01* +X269278Y338025D01* +X269279Y338144D01* +X269261Y338261D01* +X269223Y338374D01* +X269124Y338645D01* +X269056Y338925D01* +X269014Y339211D01* +X269000Y339500D01* +X269014Y339789D01* +X269056Y340075D01* +X269124Y340355D01* +X269220Y340628D01* +X269258Y340739D01* +X269275Y340856D01* +X269275Y340974D01* +X269255Y341091D01* +X269218Y341203D01* +X269164Y341307D01* +X269094Y341402D01* +X269009Y341485D01* +X268913Y341554D01* +X268808Y341607D01* +X268695Y341643D01* +X268579Y341660D01* +X268461Y341659D01* +X268387Y341647D01* +Y346802D01* +X268808Y346308D01* +X269347Y345848D01* +X269951Y345478D01* +X270605Y345207D01* +X271294Y345042D01* +X272000Y344986D01* +X272001Y344986D01* +Y344005D01* +X272000Y344005D01* +X271567Y343984D01* +X271137Y343922D01* +X270716Y343818D01* +X270306Y343675D01* +X270200Y343622D01* +X270104Y343553D01* +X270019Y343470D01* +X269949Y343375D01* +X269894Y343269D01* +X269857Y343157D01* +X269837Y343040D01* +X269836Y342921D01* +X269854Y342804D01* +X269890Y342691D01* +X269943Y342585D01* +X270012Y342488D01* +X270095Y342404D01* +X270191Y342333D01* +X270296Y342279D01* +X270408Y342241D01* +X270525Y342222D01* +X270644Y342221D01* +X270761Y342239D01* +X270874Y342277D01* +X271145Y342376D01* +X271425Y342444D01* +X271711Y342486D01* +X272000Y342500D01* +X272001Y342500D01* +Y336500D01* +X272000Y336500D01* +X271711Y336514D01* +X271425Y336556D01* +X271145Y336624D01* +X270872Y336720D01* +X270761Y336758D01* +X270644Y336775D01* +X270526Y336775D01* +X270409Y336755D01* +X270297Y336718D01* +X270193Y336664D01* +X270098Y336594D01* +X270015Y336509D01* +X269946Y336413D01* +X269893Y336308D01* +X269857Y336195D01* +X269840Y336079D01* +X269841Y335961D01* +X269860Y335844D01* +X269897Y335732D01* +X269952Y335627D01* +X270022Y335532D01* +X270106Y335449D01* +X270202Y335381D01* +X270308Y335330D01* +X270716Y335182D01* +X271137Y335078D01* +X271567Y335016D01* +X272000Y334995D01* +X272001Y334995D01* +Y289300D01* +G37* +G36* +X268387D02*X265613D01* +Y337353D01* +X265656Y337360D01* +X265768Y337397D01* +X265873Y337452D01* +X265968Y337522D01* +X266051Y337606D01* +X266119Y337702D01* +X266170Y337808D01* +X266318Y338216D01* +X266422Y338637D01* +X266484Y339067D01* +X266505Y339500D01* +X266484Y339933D01* +X266422Y340363D01* +X266318Y340784D01* +X266175Y341194D01* +X266122Y341300D01* +X266053Y341396D01* +X265970Y341481D01* +X265875Y341551D01* +X265769Y341606D01* +X265657Y341643D01* +X265613Y341651D01* +Y347353D01* +X265656Y347360D01* +X265768Y347397D01* +X265873Y347452D01* +X265968Y347522D01* +X266051Y347606D01* +X266119Y347702D01* +X266170Y347808D01* +X266318Y348216D01* +X266422Y348637D01* +X266484Y349067D01* +X266505Y349500D01* +X266484Y349933D01* +X266422Y350363D01* +X266318Y350784D01* +X266175Y351194D01* +X266122Y351300D01* +X266053Y351396D01* +X265970Y351481D01* +X265875Y351551D01* +X265769Y351606D01* +X265657Y351643D01* +X265613Y351651D01* +Y391500D01* +X268387D01* +Y352198D01* +X268348Y352153D01* +X267978Y351549D01* +X267707Y350895D01* +X267542Y350206D01* +X267486Y349500D01* +X267542Y348794D01* +X267707Y348105D01* +X267978Y347451D01* +X268348Y346847D01* +X268387Y346802D01* +Y341647D01* +X268344Y341640D01* +X268232Y341603D01* +X268127Y341548D01* +X268032Y341478D01* +X267949Y341394D01* +X267881Y341298D01* +X267830Y341192D01* +X267682Y340784D01* +X267578Y340363D01* +X267516Y339933D01* +X267495Y339500D01* +X267516Y339067D01* +X267578Y338637D01* +X267682Y338216D01* +X267825Y337806D01* +X267878Y337700D01* +X267947Y337604D01* +X268030Y337519D01* +X268125Y337449D01* +X268231Y337394D01* +X268343Y337357D01* +X268387Y337349D01* +Y289300D01* +G37* +G36* +X265613D02*X263747D01* +Y335352D01* +X263800Y335378D01* +X263896Y335447D01* +X263981Y335530D01* +X264051Y335625D01* +X264106Y335731D01* +X264143Y335843D01* +X264163Y335960D01* +X264164Y336079D01* +X264146Y336196D01* +X264110Y336309D01* +X264057Y336415D01* +X263988Y336512D01* +X263905Y336596D01* +X263809Y336667D01* +X263747Y336699D01* +Y342305D01* +X263807Y342336D01* +X263902Y342406D01* +X263985Y342491D01* +X264054Y342587D01* +X264107Y342692D01* +X264143Y342805D01* +X264160Y342921D01* +X264159Y343039D01* +X264140Y343156D01* +X264103Y343268D01* +X264048Y343373D01* +X263978Y343468D01* +X263894Y343551D01* +X263798Y343619D01* +X263747Y343644D01* +Y345352D01* +X263800Y345378D01* +X263896Y345447D01* +X263981Y345530D01* +X264051Y345625D01* +X264106Y345731D01* +X264143Y345843D01* +X264163Y345960D01* +X264164Y346079D01* +X264146Y346196D01* +X264110Y346309D01* +X264057Y346415D01* +X263988Y346512D01* +X263905Y346596D01* +X263809Y346667D01* +X263747Y346699D01* +Y352305D01* +X263807Y352336D01* +X263902Y352406D01* +X263985Y352491D01* +X264054Y352587D01* +X264107Y352692D01* +X264143Y352805D01* +X264160Y352921D01* +X264159Y353039D01* +X264140Y353156D01* +X264103Y353268D01* +X264048Y353373D01* +X263978Y353468D01* +X263894Y353551D01* +X263798Y353619D01* +X263747Y353644D01* +Y391500D01* +X265613D01* +Y351651D01* +X265540Y351663D01* +X265421Y351664D01* +X265304Y351646D01* +X265191Y351610D01* +X265085Y351557D01* +X264988Y351488D01* +X264904Y351405D01* +X264833Y351309D01* +X264779Y351204D01* +X264741Y351092D01* +X264722Y350975D01* +X264721Y350856D01* +X264739Y350739D01* +X264777Y350626D01* +X264876Y350355D01* +X264944Y350075D01* +X264986Y349789D01* +X265000Y349500D01* +X264986Y349211D01* +X264944Y348925D01* +X264876Y348645D01* +X264780Y348372D01* +X264742Y348261D01* +X264725Y348144D01* +X264725Y348026D01* +X264745Y347909D01* +X264782Y347797D01* +X264836Y347693D01* +X264906Y347598D01* +X264991Y347515D01* +X265087Y347446D01* +X265192Y347393D01* +X265305Y347357D01* +X265421Y347340D01* +X265539Y347341D01* +X265613Y347353D01* +Y341651D01* +X265540Y341663D01* +X265421Y341664D01* +X265304Y341646D01* +X265191Y341610D01* +X265085Y341557D01* +X264988Y341488D01* +X264904Y341405D01* +X264833Y341309D01* +X264779Y341204D01* +X264741Y341092D01* +X264722Y340975D01* +X264721Y340856D01* +X264739Y340739D01* +X264777Y340626D01* +X264876Y340355D01* +X264944Y340075D01* +X264986Y339789D01* +X265000Y339500D01* +X264986Y339211D01* +X264944Y338925D01* +X264876Y338645D01* +X264780Y338372D01* +X264742Y338261D01* +X264725Y338144D01* +X264725Y338026D01* +X264745Y337909D01* +X264782Y337797D01* +X264836Y337693D01* +X264906Y337598D01* +X264991Y337515D01* +X265087Y337446D01* +X265192Y337393D01* +X265305Y337357D01* +X265421Y337340D01* +X265539Y337341D01* +X265613Y337353D01* +Y289300D01* +G37* +G36* +X272001Y285300D02*X263747D01* +Y286700D01* +X272001D01* +Y285300D01* +G37* +G36* +Y281300D02*X263747D01* +Y282700D01* +X272001D01* +Y281300D01* +G37* +G36* +Y240250D02*X270253D01* +Y242880D01* +X270652Y243347D01* +X271022Y243951D01* +X271293Y244605D01* +X271458Y245294D01* +X271500Y246000D01* +X271458Y246706D01* +X271293Y247395D01* +X271022Y248049D01* +X270652Y248653D01* +X270253Y249120D01* +Y251499D01* +X270314Y251495D01* +X270377Y251502D01* +X270438Y251518D01* +X270496Y251544D01* +X270549Y251579D01* +X270596Y251621D01* +X270636Y251670D01* +X270667Y251725D01* +X270690Y251784D01* +X270703Y251846D01* +X270706Y251909D01* +X270700Y251972D01* +X270682Y252032D01* +X270641Y252162D01* +X270615Y252296D01* +X270602Y252432D01* +Y252568D01* +X270615Y252704D01* +X270641Y252838D01* +X270681Y252968D01* +X270698Y253029D01* +X270705Y253091D01* +X270701Y253154D01* +X270688Y253216D01* +X270666Y253274D01* +X270634Y253329D01* +X270595Y253378D01* +X270548Y253420D01* +X270495Y253454D01* +X270438Y253480D01* +X270377Y253496D01* +X270314Y253503D01* +X270253Y253500D01* +Y278700D01* +X272001D01* +Y254700D01* +X271893D01* +X271679Y254679D01* +X271469Y254638D01* +X271263Y254576D01* +X271206Y254550D01* +X271153Y254516D01* +X271106Y254474D01* +X271066Y254424D01* +X271034Y254370D01* +X271012Y254311D01* +X270999Y254249D01* +X270995Y254186D01* +X271002Y254123D01* +X271018Y254062D01* +X271044Y254004D01* +X271079Y253951D01* +X271121Y253904D01* +X271170Y253864D01* +X271225Y253833D01* +X271284Y253810D01* +X271346Y253797D01* +X271409Y253794D01* +X271472Y253800D01* +X271532Y253818D01* +X271662Y253859D01* +X271796Y253885D01* +X271932Y253898D01* +X272001D01* +Y251102D01* +X271932D01* +X271796Y251115D01* +X271662Y251141D01* +X271532Y251181D01* +X271471Y251198D01* +X271409Y251205D01* +X271346Y251201D01* +X271284Y251188D01* +X271226Y251166D01* +X271171Y251134D01* +X271122Y251095D01* +X271080Y251048D01* +X271046Y250995D01* +X271020Y250938D01* +X271004Y250877D01* +X270997Y250814D01* +X271000Y250751D01* +X271013Y250690D01* +X271036Y250631D01* +X271067Y250577D01* +X271107Y250528D01* +X271154Y250485D01* +X271206Y250451D01* +X271264Y250427D01* +X271469Y250362D01* +X271679Y250321D01* +X271893Y250300D01* +X272001D01* +Y240250D01* +G37* +G36* +X270253Y249120D02*X270192Y249192D01* +X269653Y249652D01* +X269049Y250022D01* +X268395Y250293D01* +X268300Y250316D01* +Y274449D01* +X268304Y274500D01* +X268288Y274704D01* +X268288Y274704D01* +X268252Y274855D01* +X268240Y274903D01* +X268208Y274981D01* +X268162Y275092D01* +X268120Y275160D01* +X268055Y275266D01* +X268055Y275267D01* +X267922Y275422D01* +X267883Y275455D01* +X266455Y276883D01* +X266422Y276922D01* +X266266Y277055D01* +X266092Y277162D01* +X265903Y277240D01* +X265704Y277288D01* +X265704Y277288D01* +X265500Y277304D01* +X265449Y277300D01* +X263747D01* +Y278700D01* +X270253D01* +Y253500D01* +X270251Y253500D01* +X270190Y253487D01* +X270131Y253464D01* +X270077Y253433D01* +X270028Y253393D01* +X269985Y253346D01* +X269951Y253294D01* +X269927Y253236D01* +X269862Y253031D01* +X269821Y252821D01* +X269800Y252607D01* +Y252393D01* +X269821Y252179D01* +X269862Y251969D01* +X269924Y251763D01* +X269950Y251706D01* +X269984Y251653D01* +X270026Y251606D01* +X270076Y251566D01* +X270130Y251534D01* +X270189Y251512D01* +X270251Y251499D01* +X270253Y251499D01* +Y249120D01* +G37* +G36* +Y240250D02*X263747D01* +Y242880D01* +X263808Y242808D01* +X264347Y242348D01* +X264951Y241978D01* +X265605Y241707D01* +X266294Y241542D01* +X267000Y241486D01* +X267706Y241542D01* +X268395Y241707D01* +X269049Y241978D01* +X269653Y242348D01* +X270192Y242808D01* +X270253Y242880D01* +Y240250D01* +G37* +G36* +X272001Y197000D02*X263747D01* +Y235750D01* +X272001D01* +Y197000D01* +G37* +G36* +Y63300D02*X263747D01* +Y190000D01* +X272001D01* +Y63300D01* +G37* +G36* +Y56800D02*X263747D01* +Y60700D01* +X272001D01* +Y56800D01* +G37* +G36* +Y50800D02*X263747D01* +Y54200D01* +X272001D01* +Y50800D01* +G37* +G36* +Y44300D02*X263747D01* +Y48200D01* +X272001D01* +Y44300D01* +G37* +G36* +Y38300D02*X263747D01* +Y41700D01* +X272001D01* +Y38300D01* +G37* +G36* +Y32300D02*X263747D01* +Y35700D01* +X272001D01* +Y32300D01* +G37* +G36* +Y2000D02*X263747D01* +Y29700D01* +X272001D01* +Y2000D01* +G37* +G36* +X263747Y353644D02*X263692Y353670D01* +X263284Y353818D01* +X262863Y353922D01* +X262433Y353984D01* +X262001Y354005D01* +Y391500D01* +X263747D01* +Y353644D01* +G37* +G36* +Y346699D02*X263704Y346721D01* +X263592Y346759D01* +X263475Y346778D01* +X263356Y346779D01* +X263239Y346761D01* +X263126Y346723D01* +X262855Y346624D01* +X262575Y346556D01* +X262289Y346514D01* +X262001Y346500D01* +Y352500D01* +X262289Y352486D01* +X262575Y352444D01* +X262855Y352376D01* +X263128Y352280D01* +X263239Y352242D01* +X263356Y352225D01* +X263474Y352225D01* +X263591Y352245D01* +X263703Y352282D01* +X263747Y352305D01* +Y346699D01* +G37* +G36* +Y343644D02*X263692Y343670D01* +X263284Y343818D01* +X262863Y343922D01* +X262433Y343984D01* +X262001Y344005D01* +Y344995D01* +X262433Y345016D01* +X262863Y345078D01* +X263284Y345182D01* +X263694Y345325D01* +X263747Y345352D01* +Y343644D01* +G37* +G36* +Y336699D02*X263704Y336721D01* +X263592Y336759D01* +X263475Y336778D01* +X263356Y336779D01* +X263239Y336761D01* +X263126Y336723D01* +X262855Y336624D01* +X262575Y336556D01* +X262289Y336514D01* +X262001Y336500D01* +Y342500D01* +X262289Y342486D01* +X262575Y342444D01* +X262855Y342376D01* +X263128Y342280D01* +X263239Y342242D01* +X263356Y342225D01* +X263474Y342225D01* +X263591Y342245D01* +X263703Y342282D01* +X263747Y342305D01* +Y336699D01* +G37* +G36* +Y289300D02*X263500D01* +X263500Y289300D01* +X262001D01* +Y334995D01* +X262433Y335016D01* +X262863Y335078D01* +X263284Y335182D01* +X263694Y335325D01* +X263747Y335352D01* +Y289300D01* +G37* +G36* +Y285300D02*X262001D01* +Y286700D01* +X263500D01* +X263500Y286700D01* +X263747D01* +Y285300D01* +G37* +G36* +Y281300D02*X262001D01* +Y282700D01* +X263747D01* +Y281300D01* +G37* +G36* +Y277300D02*X262001D01* +Y278700D01* +X263747D01* +Y277300D01* +G37* +G36* +Y240250D02*X262001D01* +Y250300D01* +X262107D01* +X262321Y250321D01* +X262531Y250362D01* +X262737Y250424D01* +X262794Y250450D01* +X262847Y250484D01* +X262894Y250526D01* +X262934Y250576D01* +X262966Y250630D01* +X262988Y250689D01* +X263001Y250751D01* +X263005Y250814D01* +X262998Y250877D01* +X262982Y250938D01* +X262956Y250996D01* +X262921Y251049D01* +X262879Y251096D01* +X262830Y251136D01* +X262775Y251167D01* +X262716Y251190D01* +X262654Y251203D01* +X262591Y251206D01* +X262528Y251200D01* +X262468Y251182D01* +X262338Y251141D01* +X262204Y251115D01* +X262068Y251102D01* +X262001D01* +Y253898D01* +X262068D01* +X262204Y253885D01* +X262338Y253859D01* +X262468Y253819D01* +X262529Y253802D01* +X262591Y253795D01* +X262654Y253799D01* +X262716Y253812D01* +X262774Y253834D01* +X262829Y253866D01* +X262878Y253905D01* +X262920Y253952D01* +X262954Y254005D01* +X262980Y254062D01* +X262996Y254123D01* +X263003Y254186D01* +X263000Y254249D01* +X262987Y254310D01* +X262964Y254369D01* +X262933Y254423D01* +X262893Y254472D01* +X262846Y254515D01* +X262794Y254549D01* +X262736Y254573D01* +X262531Y254638D01* +X262321Y254679D01* +X262107Y254700D01* +X262001D01* +Y274700D01* +X263747D01* +Y253501D01* +X263686Y253505D01* +X263623Y253498D01* +X263562Y253482D01* +X263504Y253456D01* +X263451Y253421D01* +X263404Y253379D01* +X263364Y253330D01* +X263333Y253275D01* +X263310Y253216D01* +X263297Y253154D01* +X263294Y253091D01* +X263300Y253028D01* +X263318Y252968D01* +X263359Y252838D01* +X263385Y252704D01* +X263398Y252568D01* +Y252432D01* +X263385Y252296D01* +X263359Y252162D01* +X263319Y252032D01* +X263302Y251971D01* +X263295Y251909D01* +X263299Y251846D01* +X263312Y251784D01* +X263334Y251726D01* +X263366Y251671D01* +X263405Y251622D01* +X263452Y251580D01* +X263505Y251546D01* +X263562Y251520D01* +X263623Y251504D01* +X263686Y251497D01* +X263747Y251500D01* +Y249120D01* +X263348Y248653D01* +X262978Y248049D01* +X262707Y247395D01* +X262542Y246706D01* +X262486Y246000D01* +X262542Y245294D01* +X262707Y244605D01* +X262978Y243951D01* +X263348Y243347D01* +X263747Y242880D01* +Y240250D01* +G37* +G36* +Y197000D02*X262001D01* +Y235750D01* +X263747D01* +Y197000D01* +G37* +G36* +Y63300D02*X262001D01* +Y190000D01* +X263747D01* +Y63300D01* +G37* +G36* +Y56800D02*X262001D01* +Y60700D01* +X263747D01* +Y56800D01* +G37* +G36* +Y50800D02*X262001D01* +Y54200D01* +X263747D01* +Y50800D01* +G37* +G36* +Y44300D02*X262001D01* +Y48200D01* +X263747D01* +Y44300D01* +G37* +G36* +Y38300D02*X262001D01* +Y41700D01* +X263747D01* +Y38300D01* +G37* +G36* +Y32300D02*X262001D01* +Y35700D01* +X263747D01* +Y32300D01* +G37* +G36* +Y2000D02*X262001D01* +Y29700D01* +X263747D01* +Y2000D01* +G37* +G36* +X262001Y289300D02*X258387D01* +Y337349D01* +X258460Y337337D01* +X258579Y337336D01* +X258696Y337354D01* +X258809Y337390D01* +X258915Y337443D01* +X259012Y337512D01* +X259096Y337595D01* +X259167Y337691D01* +X259221Y337796D01* +X259259Y337908D01* +X259278Y338025D01* +X259279Y338144D01* +X259261Y338261D01* +X259223Y338374D01* +X259124Y338645D01* +X259056Y338925D01* +X259014Y339211D01* +X259000Y339500D01* +X259014Y339789D01* +X259056Y340075D01* +X259124Y340355D01* +X259220Y340628D01* +X259258Y340739D01* +X259275Y340856D01* +X259275Y340974D01* +X259255Y341091D01* +X259218Y341203D01* +X259164Y341307D01* +X259094Y341402D01* +X259009Y341485D01* +X258913Y341554D01* +X258808Y341607D01* +X258695Y341643D01* +X258579Y341660D01* +X258461Y341659D01* +X258387Y341647D01* +Y347349D01* +X258460Y347337D01* +X258579Y347336D01* +X258696Y347354D01* +X258809Y347390D01* +X258915Y347443D01* +X259012Y347512D01* +X259096Y347595D01* +X259167Y347691D01* +X259221Y347796D01* +X259259Y347908D01* +X259278Y348025D01* +X259279Y348144D01* +X259261Y348261D01* +X259223Y348374D01* +X259124Y348645D01* +X259056Y348925D01* +X259014Y349211D01* +X259000Y349500D01* +X259014Y349789D01* +X259056Y350075D01* +X259124Y350355D01* +X259220Y350628D01* +X259258Y350739D01* +X259275Y350856D01* +X259275Y350974D01* +X259255Y351091D01* +X259218Y351203D01* +X259164Y351307D01* +X259094Y351402D01* +X259009Y351485D01* +X258913Y351554D01* +X258808Y351607D01* +X258695Y351643D01* +X258579Y351660D01* +X258461Y351659D01* +X258387Y351647D01* +Y391500D01* +X262001D01* +Y354005D01* +X262000Y354005D01* +X261567Y353984D01* +X261137Y353922D01* +X260716Y353818D01* +X260306Y353675D01* +X260200Y353622D01* +X260104Y353553D01* +X260019Y353470D01* +X259949Y353375D01* +X259894Y353269D01* +X259857Y353157D01* +X259837Y353040D01* +X259836Y352921D01* +X259854Y352804D01* +X259890Y352691D01* +X259943Y352585D01* +X260012Y352488D01* +X260095Y352404D01* +X260191Y352333D01* +X260296Y352279D01* +X260408Y352241D01* +X260525Y352222D01* +X260644Y352221D01* +X260761Y352239D01* +X260874Y352277D01* +X261145Y352376D01* +X261425Y352444D01* +X261711Y352486D01* +X262000Y352500D01* +X262001Y352500D01* +Y346500D01* +X262000Y346500D01* +X261711Y346514D01* +X261425Y346556D01* +X261145Y346624D01* +X260872Y346720D01* +X260761Y346758D01* +X260644Y346775D01* +X260526Y346775D01* +X260409Y346755D01* +X260297Y346718D01* +X260193Y346664D01* +X260098Y346594D01* +X260015Y346509D01* +X259946Y346413D01* +X259893Y346308D01* +X259857Y346195D01* +X259840Y346079D01* +X259841Y345961D01* +X259860Y345844D01* +X259897Y345732D01* +X259952Y345627D01* +X260022Y345532D01* +X260106Y345449D01* +X260202Y345381D01* +X260308Y345330D01* +X260716Y345182D01* +X261137Y345078D01* +X261567Y345016D01* +X262000Y344995D01* +X262001Y344995D01* +Y344005D01* +X262000Y344005D01* +X261567Y343984D01* +X261137Y343922D01* +X260716Y343818D01* +X260306Y343675D01* +X260200Y343622D01* +X260104Y343553D01* +X260019Y343470D01* +X259949Y343375D01* +X259894Y343269D01* +X259857Y343157D01* +X259837Y343040D01* +X259836Y342921D01* +X259854Y342804D01* +X259890Y342691D01* +X259943Y342585D01* +X260012Y342488D01* +X260095Y342404D01* +X260191Y342333D01* +X260296Y342279D01* +X260408Y342241D01* +X260525Y342222D01* +X260644Y342221D01* +X260761Y342239D01* +X260874Y342277D01* +X261145Y342376D01* +X261425Y342444D01* +X261711Y342486D01* +X262000Y342500D01* +X262001Y342500D01* +Y336500D01* +X262000Y336500D01* +X261711Y336514D01* +X261425Y336556D01* +X261145Y336624D01* +X260872Y336720D01* +X260761Y336758D01* +X260644Y336775D01* +X260526Y336775D01* +X260409Y336755D01* +X260297Y336718D01* +X260193Y336664D01* +X260098Y336594D01* +X260015Y336509D01* +X259946Y336413D01* +X259893Y336308D01* +X259857Y336195D01* +X259840Y336079D01* +X259841Y335961D01* +X259860Y335844D01* +X259897Y335732D01* +X259952Y335627D01* +X260022Y335532D01* +X260106Y335449D01* +X260202Y335381D01* +X260308Y335330D01* +X260716Y335182D01* +X261137Y335078D01* +X261567Y335016D01* +X262000Y334995D01* +X262001Y334995D01* +Y289300D01* +G37* +G36* +X258387D02*X256000D01* +Y337555D01* +X256051Y337606D01* +X256119Y337702D01* +X256170Y337808D01* +X256318Y338216D01* +X256422Y338637D01* +X256484Y339067D01* +X256505Y339500D01* +X256484Y339933D01* +X256422Y340363D01* +X256318Y340784D01* +X256175Y341194D01* +X256122Y341300D01* +X256053Y341396D01* +X256000Y341450D01* +Y347555D01* +X256051Y347606D01* +X256119Y347702D01* +X256170Y347808D01* +X256318Y348216D01* +X256422Y348637D01* +X256484Y349067D01* +X256505Y349500D01* +X256484Y349933D01* +X256422Y350363D01* +X256318Y350784D01* +X256175Y351194D01* +X256122Y351300D01* +X256053Y351396D01* +X256000Y351450D01* +Y391500D01* +X258387D01* +Y351647D01* +X258344Y351640D01* +X258232Y351603D01* +X258127Y351548D01* +X258032Y351478D01* +X257949Y351394D01* +X257881Y351298D01* +X257830Y351192D01* +X257682Y350784D01* +X257578Y350363D01* +X257516Y349933D01* +X257495Y349500D01* +X257516Y349067D01* +X257578Y348637D01* +X257682Y348216D01* +X257825Y347806D01* +X257878Y347700D01* +X257947Y347604D01* +X258030Y347519D01* +X258125Y347449D01* +X258231Y347394D01* +X258343Y347357D01* +X258387Y347349D01* +Y341647D01* +X258344Y341640D01* +X258232Y341603D01* +X258127Y341548D01* +X258032Y341478D01* +X257949Y341394D01* +X257881Y341298D01* +X257830Y341192D01* +X257682Y340784D01* +X257578Y340363D01* +X257516Y339933D01* +X257495Y339500D01* +X257516Y339067D01* +X257578Y338637D01* +X257682Y338216D01* +X257825Y337806D01* +X257878Y337700D01* +X257947Y337604D01* +X258030Y337519D01* +X258125Y337449D01* +X258231Y337394D01* +X258343Y337357D01* +X258387Y337349D01* +Y289300D01* +G37* +G36* +X262001Y285300D02*X256000D01* +Y286700D01* +X262001D01* +Y285300D01* +G37* +G36* +Y281300D02*X256000D01* +Y282700D01* +X262001D01* +Y281300D01* +G37* +G36* +Y277300D02*X256000D01* +Y278700D01* +X262001D01* +Y277300D01* +G37* +G36* +Y240250D02*X260253D01* +Y242880D01* +X260652Y243347D01* +X261022Y243951D01* +X261293Y244605D01* +X261458Y245294D01* +X261500Y246000D01* +X261458Y246706D01* +X261293Y247395D01* +X261022Y248049D01* +X260652Y248653D01* +X260253Y249120D01* +Y251499D01* +X260314Y251495D01* +X260377Y251502D01* +X260438Y251518D01* +X260496Y251544D01* +X260549Y251579D01* +X260596Y251621D01* +X260636Y251670D01* +X260667Y251725D01* +X260690Y251784D01* +X260703Y251846D01* +X260706Y251909D01* +X260700Y251972D01* +X260682Y252032D01* +X260641Y252162D01* +X260615Y252296D01* +X260602Y252432D01* +Y252568D01* +X260615Y252704D01* +X260641Y252838D01* +X260681Y252968D01* +X260698Y253029D01* +X260705Y253091D01* +X260701Y253154D01* +X260688Y253216D01* +X260666Y253274D01* +X260634Y253329D01* +X260595Y253378D01* +X260548Y253420D01* +X260495Y253454D01* +X260438Y253480D01* +X260377Y253496D01* +X260314Y253503D01* +X260253Y253500D01* +Y274700D01* +X262001D01* +Y254700D01* +X261893D01* +X261679Y254679D01* +X261469Y254638D01* +X261263Y254576D01* +X261206Y254550D01* +X261153Y254516D01* +X261106Y254474D01* +X261066Y254424D01* +X261034Y254370D01* +X261012Y254311D01* +X260999Y254249D01* +X260995Y254186D01* +X261002Y254123D01* +X261018Y254062D01* +X261044Y254004D01* +X261079Y253951D01* +X261121Y253904D01* +X261170Y253864D01* +X261225Y253833D01* +X261284Y253810D01* +X261346Y253797D01* +X261409Y253794D01* +X261472Y253800D01* +X261532Y253818D01* +X261662Y253859D01* +X261796Y253885D01* +X261932Y253898D01* +X262001D01* +Y251102D01* +X261932D01* +X261796Y251115D01* +X261662Y251141D01* +X261532Y251181D01* +X261471Y251198D01* +X261409Y251205D01* +X261346Y251201D01* +X261284Y251188D01* +X261226Y251166D01* +X261171Y251134D01* +X261122Y251095D01* +X261080Y251048D01* +X261046Y250995D01* +X261020Y250938D01* +X261004Y250877D01* +X260997Y250814D01* +X261000Y250751D01* +X261013Y250690D01* +X261036Y250631D01* +X261067Y250577D01* +X261107Y250528D01* +X261154Y250485D01* +X261206Y250451D01* +X261264Y250427D01* +X261469Y250362D01* +X261679Y250321D01* +X261893Y250300D01* +X262001D01* +Y240250D01* +G37* +G36* +X260253Y249120D02*X260192Y249192D01* +X259653Y249652D01* +X259049Y250022D01* +X258395Y250293D01* +X258300Y250316D01* +Y270449D01* +X258304Y270500D01* +X258288Y270704D01* +X258288Y270704D01* +X258240Y270903D01* +X258162Y271092D01* +X258055Y271266D01* +X257922Y271422D01* +X257883Y271455D01* +X256455Y272883D01* +X256422Y272922D01* +X256266Y273055D01* +X256092Y273162D01* +X256000Y273200D01* +Y274700D01* +X260253D01* +Y253500D01* +X260251Y253500D01* +X260190Y253487D01* +X260131Y253464D01* +X260077Y253433D01* +X260028Y253393D01* +X259985Y253346D01* +X259951Y253294D01* +X259927Y253236D01* +X259862Y253031D01* +X259821Y252821D01* +X259800Y252607D01* +Y252393D01* +X259821Y252179D01* +X259862Y251969D01* +X259924Y251763D01* +X259950Y251706D01* +X259984Y251653D01* +X260026Y251606D01* +X260076Y251566D01* +X260130Y251534D01* +X260189Y251512D01* +X260251Y251499D01* +X260253Y251499D01* +Y249120D01* +G37* +G36* +Y240250D02*X256000D01* +Y241612D01* +X256294Y241542D01* +X257000Y241486D01* +X257706Y241542D01* +X258395Y241707D01* +X259049Y241978D01* +X259653Y242348D01* +X260192Y242808D01* +X260253Y242880D01* +Y240250D01* +G37* +G36* +X262001Y197000D02*X256000D01* +Y235750D01* +X262001D01* +Y197000D01* +G37* +G36* +Y63300D02*X256747D01* +Y77000D01* +X256749Y77000D01* +X256810Y77013D01* +X256869Y77036D01* +X256923Y77067D01* +X256972Y77107D01* +X257015Y77154D01* +X257049Y77206D01* +X257073Y77264D01* +X257138Y77469D01* +X257179Y77679D01* +X257200Y77893D01* +Y78107D01* +X257179Y78321D01* +X257138Y78531D01* +X257076Y78737D01* +X257050Y78794D01* +X257016Y78847D01* +X256974Y78894D01* +X256924Y78934D01* +X256870Y78966D01* +X256811Y78988D01* +X256749Y79001D01* +X256747Y79001D01* +Y190000D01* +X262001D01* +Y63300D01* +G37* +G36* +X256747D02*X256000D01* +Y76245D01* +X256001Y76251D01* +X256005Y76314D01* +X256000Y76358D01* +Y79657D01* +X256003Y79686D01* +X256000Y79743D01* +Y165549D01* +X256005Y165546D01* +X256062Y165520D01* +X256123Y165504D01* +X256186Y165497D01* +X256249Y165500D01* +X256310Y165513D01* +X256369Y165536D01* +X256423Y165567D01* +X256472Y165607D01* +X256515Y165654D01* +X256549Y165706D01* +X256573Y165764D01* +X256638Y165969D01* +X256679Y166179D01* +X256700Y166393D01* +Y166607D01* +X256679Y166821D01* +X256638Y167031D01* +X256576Y167237D01* +X256550Y167294D01* +X256516Y167347D01* +X256474Y167394D01* +X256424Y167434D01* +X256370Y167466D01* +X256311Y167488D01* +X256249Y167501D01* +X256186Y167505D01* +X256123Y167498D01* +X256062Y167482D01* +X256004Y167456D01* +X256000Y167453D01* +Y190000D01* +X256747D01* +Y79001D01* +X256686Y79005D01* +X256623Y78998D01* +X256562Y78982D01* +X256504Y78956D01* +X256451Y78921D01* +X256404Y78879D01* +X256364Y78830D01* +X256333Y78775D01* +X256310Y78716D01* +X256297Y78654D01* +X256294Y78591D01* +X256300Y78528D01* +X256318Y78468D01* +X256359Y78338D01* +X256385Y78204D01* +X256398Y78068D01* +Y77932D01* +X256385Y77796D01* +X256359Y77662D01* +X256319Y77532D01* +X256302Y77471D01* +X256295Y77409D01* +X256299Y77346D01* +X256312Y77284D01* +X256334Y77226D01* +X256366Y77171D01* +X256405Y77122D01* +X256452Y77080D01* +X256505Y77046D01* +X256562Y77020D01* +X256623Y77004D01* +X256686Y76997D01* +X256747Y77000D01* +Y63300D01* +G37* +G36* +X262001Y56800D02*X256000D01* +Y60700D01* +X262001D01* +Y56800D01* +G37* +G36* +Y50800D02*X256000D01* +Y54200D01* +X262001D01* +Y50800D01* +G37* +G36* +Y44300D02*X256000D01* +Y48200D01* +X262001D01* +Y44300D01* +G37* +G36* +Y38300D02*X256000D01* +Y41700D01* +X262001D01* +Y38300D01* +G37* +G36* +Y32300D02*X256000D01* +Y35700D01* +X262001D01* +Y32300D01* +G37* +G36* +Y2000D02*X256000D01* +Y29700D01* +X262001D01* +Y2000D01* +G37* +G36* +X256000Y289300D02*X252002D01* +Y334995D01* +X252433Y335016D01* +X252863Y335078D01* +X253284Y335182D01* +X253694Y335325D01* +X253800Y335378D01* +X253896Y335447D01* +X253981Y335530D01* +X254051Y335625D01* +X254106Y335731D01* +X254143Y335843D01* +X254163Y335960D01* +X254164Y336079D01* +X254146Y336196D01* +X254110Y336309D01* +X254057Y336415D01* +X253988Y336512D01* +X253905Y336596D01* +X253809Y336667D01* +X253704Y336721D01* +X253592Y336759D01* +X253475Y336778D01* +X253356Y336779D01* +X253239Y336761D01* +X253126Y336723D01* +X252855Y336624D01* +X252575Y336556D01* +X252289Y336514D01* +X252002Y336500D01* +Y342500D01* +X252289Y342486D01* +X252575Y342444D01* +X252855Y342376D01* +X253128Y342280D01* +X253239Y342242D01* +X253356Y342225D01* +X253474Y342225D01* +X253591Y342245D01* +X253703Y342282D01* +X253807Y342336D01* +X253902Y342406D01* +X253985Y342491D01* +X254054Y342587D01* +X254107Y342692D01* +X254143Y342805D01* +X254160Y342921D01* +X254159Y343039D01* +X254140Y343156D01* +X254103Y343268D01* +X254048Y343373D01* +X253978Y343468D01* +X253894Y343551D01* +X253798Y343619D01* +X253692Y343670D01* +X253284Y343818D01* +X252863Y343922D01* +X252433Y343984D01* +X252002Y344005D01* +Y344995D01* +X252433Y345016D01* +X252863Y345078D01* +X253284Y345182D01* +X253694Y345325D01* +X253800Y345378D01* +X253896Y345447D01* +X253981Y345530D01* +X254051Y345625D01* +X254106Y345731D01* +X254143Y345843D01* +X254163Y345960D01* +X254164Y346079D01* +X254146Y346196D01* +X254110Y346309D01* +X254057Y346415D01* +X253988Y346512D01* +X253905Y346596D01* +X253809Y346667D01* +X253704Y346721D01* +X253592Y346759D01* +X253475Y346778D01* +X253356Y346779D01* +X253239Y346761D01* +X253126Y346723D01* +X252855Y346624D01* +X252575Y346556D01* +X252289Y346514D01* +X252002Y346500D01* +Y352500D01* +X252289Y352486D01* +X252575Y352444D01* +X252855Y352376D01* +X253128Y352280D01* +X253239Y352242D01* +X253356Y352225D01* +X253474Y352225D01* +X253591Y352245D01* +X253703Y352282D01* +X253807Y352336D01* +X253902Y352406D01* +X253985Y352491D01* +X254054Y352587D01* +X254107Y352692D01* +X254143Y352805D01* +X254160Y352921D01* +X254159Y353039D01* +X254140Y353156D01* +X254103Y353268D01* +X254048Y353373D01* +X253978Y353468D01* +X253894Y353551D01* +X253798Y353619D01* +X253692Y353670D01* +X253284Y353818D01* +X252863Y353922D01* +X252433Y353984D01* +X252002Y354005D01* +Y391500D01* +X256000D01* +Y351450D01* +X255970Y351481D01* +X255875Y351551D01* +X255769Y351606D01* +X255657Y351643D01* +X255540Y351663D01* +X255421Y351664D01* +X255304Y351646D01* +X255191Y351610D01* +X255085Y351557D01* +X254988Y351488D01* +X254904Y351405D01* +X254833Y351309D01* +X254779Y351204D01* +X254741Y351092D01* +X254722Y350975D01* +X254721Y350856D01* +X254739Y350739D01* +X254777Y350626D01* +X254876Y350355D01* +X254944Y350075D01* +X254986Y349789D01* +X255000Y349500D01* +X254986Y349211D01* +X254944Y348925D01* +X254876Y348645D01* +X254780Y348372D01* +X254742Y348261D01* +X254725Y348144D01* +X254725Y348026D01* +X254745Y347909D01* +X254782Y347797D01* +X254836Y347693D01* +X254906Y347598D01* +X254991Y347515D01* +X255087Y347446D01* +X255192Y347393D01* +X255305Y347357D01* +X255421Y347340D01* +X255539Y347341D01* +X255656Y347360D01* +X255768Y347397D01* +X255873Y347452D01* +X255968Y347522D01* +X256000Y347555D01* +Y341450D01* +X255970Y341481D01* +X255875Y341551D01* +X255769Y341606D01* +X255657Y341643D01* +X255540Y341663D01* +X255421Y341664D01* +X255304Y341646D01* +X255191Y341610D01* +X255085Y341557D01* +X254988Y341488D01* +X254904Y341405D01* +X254833Y341309D01* +X254779Y341204D01* +X254741Y341092D01* +X254722Y340975D01* +X254721Y340856D01* +X254739Y340739D01* +X254777Y340626D01* +X254876Y340355D01* +X254944Y340075D01* +X254986Y339789D01* +X255000Y339500D01* +X254986Y339211D01* +X254944Y338925D01* +X254876Y338645D01* +X254780Y338372D01* +X254742Y338261D01* +X254725Y338144D01* +X254725Y338026D01* +X254745Y337909D01* +X254782Y337797D01* +X254836Y337693D01* +X254906Y337598D01* +X254991Y337515D01* +X255087Y337446D01* +X255192Y337393D01* +X255305Y337357D01* +X255421Y337340D01* +X255539Y337341D01* +X255656Y337360D01* +X255768Y337397D01* +X255873Y337452D01* +X255968Y337522D01* +X256000Y337555D01* +Y289300D01* +G37* +G36* +X252002D02*X248387D01* +Y337349D01* +X248460Y337337D01* +X248579Y337336D01* +X248696Y337354D01* +X248809Y337390D01* +X248915Y337443D01* +X249012Y337512D01* +X249096Y337595D01* +X249167Y337691D01* +X249221Y337796D01* +X249259Y337908D01* +X249278Y338025D01* +X249279Y338144D01* +X249261Y338261D01* +X249223Y338374D01* +X249124Y338645D01* +X249056Y338925D01* +X249014Y339211D01* +X249000Y339500D01* +X249014Y339789D01* +X249056Y340075D01* +X249124Y340355D01* +X249220Y340628D01* +X249258Y340739D01* +X249275Y340856D01* +X249275Y340974D01* +X249255Y341091D01* +X249218Y341203D01* +X249164Y341307D01* +X249094Y341402D01* +X249009Y341485D01* +X248913Y341554D01* +X248808Y341607D01* +X248695Y341643D01* +X248579Y341660D01* +X248461Y341659D01* +X248387Y341647D01* +Y347349D01* +X248460Y347337D01* +X248579Y347336D01* +X248696Y347354D01* +X248809Y347390D01* +X248915Y347443D01* +X249012Y347512D01* +X249096Y347595D01* +X249167Y347691D01* +X249221Y347796D01* +X249259Y347908D01* +X249278Y348025D01* +X249279Y348144D01* +X249261Y348261D01* +X249223Y348374D01* +X249124Y348645D01* +X249056Y348925D01* +X249014Y349211D01* +X249000Y349500D01* +X249014Y349789D01* +X249056Y350075D01* +X249124Y350355D01* +X249220Y350628D01* +X249258Y350739D01* +X249275Y350856D01* +X249275Y350974D01* +X249255Y351091D01* +X249218Y351203D01* +X249164Y351307D01* +X249094Y351402D01* +X249009Y351485D01* +X248913Y351554D01* +X248808Y351607D01* +X248695Y351643D01* +X248579Y351660D01* +X248461Y351659D01* +X248387Y351647D01* +Y391500D01* +X252002D01* +Y354005D01* +X252000Y354005D01* +X251567Y353984D01* +X251137Y353922D01* +X250716Y353818D01* +X250306Y353675D01* +X250200Y353622D01* +X250104Y353553D01* +X250019Y353470D01* +X249949Y353375D01* +X249894Y353269D01* +X249857Y353157D01* +X249837Y353040D01* +X249836Y352921D01* +X249854Y352804D01* +X249890Y352691D01* +X249943Y352585D01* +X250012Y352488D01* +X250095Y352404D01* +X250191Y352333D01* +X250296Y352279D01* +X250408Y352241D01* +X250525Y352222D01* +X250644Y352221D01* +X250761Y352239D01* +X250874Y352277D01* +X251145Y352376D01* +X251425Y352444D01* +X251711Y352486D01* +X252000Y352500D01* +X252002Y352500D01* +Y346500D01* +X252000Y346500D01* +X251711Y346514D01* +X251425Y346556D01* +X251145Y346624D01* +X250872Y346720D01* +X250761Y346758D01* +X250644Y346775D01* +X250526Y346775D01* +X250409Y346755D01* +X250297Y346718D01* +X250193Y346664D01* +X250098Y346594D01* +X250015Y346509D01* +X249946Y346413D01* +X249893Y346308D01* +X249857Y346195D01* +X249840Y346079D01* +X249841Y345961D01* +X249860Y345844D01* +X249897Y345732D01* +X249952Y345627D01* +X250022Y345532D01* +X250106Y345449D01* +X250202Y345381D01* +X250308Y345330D01* +X250716Y345182D01* +X251137Y345078D01* +X251567Y345016D01* +X252000Y344995D01* +X252002Y344995D01* +Y344005D01* +X252000Y344005D01* +X251567Y343984D01* +X251137Y343922D01* +X250716Y343818D01* +X250306Y343675D01* +X250200Y343622D01* +X250104Y343553D01* +X250019Y343470D01* +X249949Y343375D01* +X249894Y343269D01* +X249857Y343157D01* +X249837Y343040D01* +X249836Y342921D01* +X249854Y342804D01* +X249890Y342691D01* +X249943Y342585D01* +X250012Y342488D01* +X250095Y342404D01* +X250191Y342333D01* +X250296Y342279D01* +X250408Y342241D01* +X250525Y342222D01* +X250644Y342221D01* +X250761Y342239D01* +X250874Y342277D01* +X251145Y342376D01* +X251425Y342444D01* +X251711Y342486D01* +X252000Y342500D01* +X252002Y342500D01* +Y336500D01* +X252000Y336500D01* +X251711Y336514D01* +X251425Y336556D01* +X251145Y336624D01* +X250872Y336720D01* +X250761Y336758D01* +X250644Y336775D01* +X250526Y336775D01* +X250409Y336755D01* +X250297Y336718D01* +X250193Y336664D01* +X250098Y336594D01* +X250015Y336509D01* +X249946Y336413D01* +X249893Y336308D01* +X249857Y336195D01* +X249840Y336079D01* +X249841Y335961D01* +X249860Y335844D01* +X249897Y335732D01* +X249952Y335627D01* +X250022Y335532D01* +X250106Y335449D01* +X250202Y335381D01* +X250308Y335330D01* +X250716Y335182D01* +X251137Y335078D01* +X251567Y335016D01* +X252000Y334995D01* +X252002Y334995D01* +Y289300D01* +G37* +G36* +X248387D02*X241993D01* +Y334987D01* +X242000Y334986D01* +X242706Y335042D01* +X243395Y335207D01* +X244049Y335478D01* +X244653Y335848D01* +X245192Y336308D01* +X245652Y336847D01* +X246022Y337451D01* +X246293Y338105D01* +X246458Y338794D01* +X246500Y339500D01* +X246458Y340206D01* +X246293Y340895D01* +X246022Y341549D01* +X245652Y342153D01* +X245192Y342692D01* +X244653Y343152D01* +X244049Y343522D01* +X243395Y343793D01* +X242706Y343958D01* +X242000Y344014D01* +X241993Y344013D01* +Y344987D01* +X242000Y344986D01* +X242706Y345042D01* +X243395Y345207D01* +X244049Y345478D01* +X244653Y345848D01* +X245192Y346308D01* +X245652Y346847D01* +X246022Y347451D01* +X246293Y348105D01* +X246458Y348794D01* +X246500Y349500D01* +X246458Y350206D01* +X246293Y350895D01* +X246022Y351549D01* +X245652Y352153D01* +X245192Y352692D01* +X244653Y353152D01* +X244049Y353522D01* +X243395Y353793D01* +X242706Y353958D01* +X242000Y354014D01* +X241993Y354013D01* +Y391500D01* +X248387D01* +Y351647D01* +X248344Y351640D01* +X248232Y351603D01* +X248127Y351548D01* +X248032Y351478D01* +X247949Y351394D01* +X247881Y351298D01* +X247830Y351192D01* +X247682Y350784D01* +X247578Y350363D01* +X247516Y349933D01* +X247495Y349500D01* +X247516Y349067D01* +X247578Y348637D01* +X247682Y348216D01* +X247825Y347806D01* +X247878Y347700D01* +X247947Y347604D01* +X248030Y347519D01* +X248125Y347449D01* +X248231Y347394D01* +X248343Y347357D01* +X248387Y347349D01* +Y341647D01* +X248344Y341640D01* +X248232Y341603D01* +X248127Y341548D01* +X248032Y341478D01* +X247949Y341394D01* +X247881Y341298D01* +X247830Y341192D01* +X247682Y340784D01* +X247578Y340363D01* +X247516Y339933D01* +X247495Y339500D01* +X247516Y339067D01* +X247578Y338637D01* +X247682Y338216D01* +X247825Y337806D01* +X247878Y337700D01* +X247947Y337604D01* +X248030Y337519D01* +X248125Y337449D01* +X248231Y337394D01* +X248343Y337357D01* +X248387Y337349D01* +Y289300D01* +G37* +G36* +X241993D02*X235613D01* +Y337353D01* +X235656Y337360D01* +X235768Y337397D01* +X235873Y337452D01* +X235968Y337522D01* +X236051Y337606D01* +X236119Y337702D01* +X236170Y337808D01* +X236318Y338216D01* +X236422Y338637D01* +X236484Y339067D01* +X236505Y339500D01* +X236484Y339933D01* +X236422Y340363D01* +X236318Y340784D01* +X236175Y341194D01* +X236122Y341300D01* +X236053Y341396D01* +X235970Y341481D01* +X235875Y341551D01* +X235769Y341606D01* +X235657Y341643D01* +X235613Y341651D01* +Y347353D01* +X235656Y347360D01* +X235768Y347397D01* +X235873Y347452D01* +X235968Y347522D01* +X236051Y347606D01* +X236119Y347702D01* +X236170Y347808D01* +X236318Y348216D01* +X236422Y348637D01* +X236484Y349067D01* +X236505Y349500D01* +X236484Y349933D01* +X236422Y350363D01* +X236318Y350784D01* +X236175Y351194D01* +X236122Y351300D01* +X236053Y351396D01* +X235970Y351481D01* +X235875Y351551D01* +X235769Y351606D01* +X235657Y351643D01* +X235613Y351651D01* +Y391500D01* +X241993D01* +Y354013D01* +X241294Y353958D01* +X240605Y353793D01* +X239951Y353522D01* +X239347Y353152D01* +X238808Y352692D01* +X238348Y352153D01* +X237978Y351549D01* +X237707Y350895D01* +X237542Y350206D01* +X237486Y349500D01* +X237542Y348794D01* +X237707Y348105D01* +X237978Y347451D01* +X238348Y346847D01* +X238808Y346308D01* +X239347Y345848D01* +X239951Y345478D01* +X240605Y345207D01* +X241294Y345042D01* +X241993Y344987D01* +Y344013D01* +X241294Y343958D01* +X240605Y343793D01* +X239951Y343522D01* +X239347Y343152D01* +X238808Y342692D01* +X238348Y342153D01* +X237978Y341549D01* +X237707Y340895D01* +X237542Y340206D01* +X237486Y339500D01* +X237542Y338794D01* +X237707Y338105D01* +X237978Y337451D01* +X238348Y336847D01* +X238808Y336308D01* +X239347Y335848D01* +X239951Y335478D01* +X240605Y335207D01* +X241294Y335042D01* +X241993Y334987D01* +Y289300D01* +G37* +G36* +X235613D02*X232002D01* +Y334995D01* +X232433Y335016D01* +X232863Y335078D01* +X233284Y335182D01* +X233694Y335325D01* +X233800Y335378D01* +X233896Y335447D01* +X233981Y335530D01* +X234051Y335625D01* +X234106Y335731D01* +X234143Y335843D01* +X234163Y335960D01* +X234164Y336079D01* +X234146Y336196D01* +X234110Y336309D01* +X234057Y336415D01* +X233988Y336512D01* +X233905Y336596D01* +X233809Y336667D01* +X233704Y336721D01* +X233592Y336759D01* +X233475Y336778D01* +X233356Y336779D01* +X233239Y336761D01* +X233126Y336723D01* +X232855Y336624D01* +X232575Y336556D01* +X232289Y336514D01* +X232002Y336500D01* +Y342500D01* +X232289Y342486D01* +X232575Y342444D01* +X232855Y342376D01* +X233128Y342280D01* +X233239Y342242D01* +X233356Y342225D01* +X233474Y342225D01* +X233591Y342245D01* +X233703Y342282D01* +X233807Y342336D01* +X233902Y342406D01* +X233985Y342491D01* +X234054Y342587D01* +X234107Y342692D01* +X234143Y342805D01* +X234160Y342921D01* +X234159Y343039D01* +X234140Y343156D01* +X234103Y343268D01* +X234048Y343373D01* +X233978Y343468D01* +X233894Y343551D01* +X233798Y343619D01* +X233692Y343670D01* +X233284Y343818D01* +X232863Y343922D01* +X232433Y343984D01* +X232002Y344005D01* +Y344995D01* +X232433Y345016D01* +X232863Y345078D01* +X233284Y345182D01* +X233694Y345325D01* +X233800Y345378D01* +X233896Y345447D01* +X233981Y345530D01* +X234051Y345625D01* +X234106Y345731D01* +X234143Y345843D01* +X234163Y345960D01* +X234164Y346079D01* +X234146Y346196D01* +X234110Y346309D01* +X234057Y346415D01* +X233988Y346512D01* +X233905Y346596D01* +X233809Y346667D01* +X233704Y346721D01* +X233592Y346759D01* +X233475Y346778D01* +X233356Y346779D01* +X233239Y346761D01* +X233126Y346723D01* +X232855Y346624D01* +X232575Y346556D01* +X232289Y346514D01* +X232002Y346500D01* +Y352500D01* +X232289Y352486D01* +X232575Y352444D01* +X232855Y352376D01* +X233128Y352280D01* +X233239Y352242D01* +X233356Y352225D01* +X233474Y352225D01* +X233591Y352245D01* +X233703Y352282D01* +X233807Y352336D01* +X233902Y352406D01* +X233985Y352491D01* +X234054Y352587D01* +X234107Y352692D01* +X234143Y352805D01* +X234160Y352921D01* +X234159Y353039D01* +X234140Y353156D01* +X234103Y353268D01* +X234048Y353373D01* +X233978Y353468D01* +X233894Y353551D01* +X233798Y353619D01* +X233692Y353670D01* +X233284Y353818D01* +X232863Y353922D01* +X232433Y353984D01* +X232002Y354005D01* +Y391500D01* +X235613D01* +Y351651D01* +X235540Y351663D01* +X235421Y351664D01* +X235304Y351646D01* +X235191Y351610D01* +X235085Y351557D01* +X234988Y351488D01* +X234904Y351405D01* +X234833Y351309D01* +X234779Y351204D01* +X234741Y351092D01* +X234722Y350975D01* +X234721Y350856D01* +X234739Y350739D01* +X234777Y350626D01* +X234876Y350355D01* +X234944Y350075D01* +X234986Y349789D01* +X235000Y349500D01* +X234986Y349211D01* +X234944Y348925D01* +X234876Y348645D01* +X234780Y348372D01* +X234742Y348261D01* +X234725Y348144D01* +X234725Y348026D01* +X234745Y347909D01* +X234782Y347797D01* +X234836Y347693D01* +X234906Y347598D01* +X234991Y347515D01* +X235087Y347446D01* +X235192Y347393D01* +X235305Y347357D01* +X235421Y347340D01* +X235539Y347341D01* +X235613Y347353D01* +Y341651D01* +X235540Y341663D01* +X235421Y341664D01* +X235304Y341646D01* +X235191Y341610D01* +X235085Y341557D01* +X234988Y341488D01* +X234904Y341405D01* +X234833Y341309D01* +X234779Y341204D01* +X234741Y341092D01* +X234722Y340975D01* +X234721Y340856D01* +X234739Y340739D01* +X234777Y340626D01* +X234876Y340355D01* +X234944Y340075D01* +X234986Y339789D01* +X235000Y339500D01* +X234986Y339211D01* +X234944Y338925D01* +X234876Y338645D01* +X234780Y338372D01* +X234742Y338261D01* +X234725Y338144D01* +X234725Y338026D01* +X234745Y337909D01* +X234782Y337797D01* +X234836Y337693D01* +X234906Y337598D01* +X234991Y337515D01* +X235087Y337446D01* +X235192Y337393D01* +X235305Y337357D01* +X235421Y337340D01* +X235539Y337341D01* +X235613Y337353D01* +Y289300D01* +G37* +G36* +X232002D02*X228387D01* +Y337349D01* +X228460Y337337D01* +X228579Y337336D01* +X228696Y337354D01* +X228809Y337390D01* +X228915Y337443D01* +X229012Y337512D01* +X229096Y337595D01* +X229167Y337691D01* +X229221Y337796D01* +X229259Y337908D01* +X229278Y338025D01* +X229279Y338144D01* +X229261Y338261D01* +X229223Y338374D01* +X229124Y338645D01* +X229056Y338925D01* +X229014Y339211D01* +X229000Y339500D01* +X229014Y339789D01* +X229056Y340075D01* +X229124Y340355D01* +X229220Y340628D01* +X229258Y340739D01* +X229275Y340856D01* +X229275Y340974D01* +X229255Y341091D01* +X229218Y341203D01* +X229164Y341307D01* +X229094Y341402D01* +X229009Y341485D01* +X228913Y341554D01* +X228808Y341607D01* +X228695Y341643D01* +X228579Y341660D01* +X228461Y341659D01* +X228387Y341647D01* +Y347349D01* +X228460Y347337D01* +X228579Y347336D01* +X228696Y347354D01* +X228809Y347390D01* +X228915Y347443D01* +X229012Y347512D01* +X229096Y347595D01* +X229167Y347691D01* +X229221Y347796D01* +X229259Y347908D01* +X229278Y348025D01* +X229279Y348144D01* +X229261Y348261D01* +X229223Y348374D01* +X229124Y348645D01* +X229056Y348925D01* +X229014Y349211D01* +X229000Y349500D01* +X229014Y349789D01* +X229056Y350075D01* +X229124Y350355D01* +X229220Y350628D01* +X229258Y350739D01* +X229275Y350856D01* +X229275Y350974D01* +X229255Y351091D01* +X229218Y351203D01* +X229164Y351307D01* +X229094Y351402D01* +X229009Y351485D01* +X228913Y351554D01* +X228808Y351607D01* +X228695Y351643D01* +X228579Y351660D01* +X228461Y351659D01* +X228387Y351647D01* +Y391500D01* +X232002D01* +Y354005D01* +X232000Y354005D01* +X231567Y353984D01* +X231137Y353922D01* +X230716Y353818D01* +X230306Y353675D01* +X230200Y353622D01* +X230104Y353553D01* +X230019Y353470D01* +X229949Y353375D01* +X229894Y353269D01* +X229857Y353157D01* +X229837Y353040D01* +X229836Y352921D01* +X229854Y352804D01* +X229890Y352691D01* +X229943Y352585D01* +X230012Y352488D01* +X230095Y352404D01* +X230191Y352333D01* +X230296Y352279D01* +X230408Y352241D01* +X230525Y352222D01* +X230644Y352221D01* +X230761Y352239D01* +X230874Y352277D01* +X231145Y352376D01* +X231425Y352444D01* +X231711Y352486D01* +X232000Y352500D01* +X232002Y352500D01* +Y346500D01* +X232000Y346500D01* +X231711Y346514D01* +X231425Y346556D01* +X231145Y346624D01* +X230872Y346720D01* +X230761Y346758D01* +X230644Y346775D01* +X230526Y346775D01* +X230409Y346755D01* +X230297Y346718D01* +X230193Y346664D01* +X230098Y346594D01* +X230015Y346509D01* +X229946Y346413D01* +X229893Y346308D01* +X229857Y346195D01* +X229840Y346079D01* +X229841Y345961D01* +X229860Y345844D01* +X229897Y345732D01* +X229952Y345627D01* +X230022Y345532D01* +X230106Y345449D01* +X230202Y345381D01* +X230308Y345330D01* +X230716Y345182D01* +X231137Y345078D01* +X231567Y345016D01* +X232000Y344995D01* +X232002Y344995D01* +Y344005D01* +X232000Y344005D01* +X231567Y343984D01* +X231137Y343922D01* +X230716Y343818D01* +X230306Y343675D01* +X230200Y343622D01* +X230104Y343553D01* +X230019Y343470D01* +X229949Y343375D01* +X229894Y343269D01* +X229857Y343157D01* +X229837Y343040D01* +X229836Y342921D01* +X229854Y342804D01* +X229890Y342691D01* +X229943Y342585D01* +X230012Y342488D01* +X230095Y342404D01* +X230191Y342333D01* +X230296Y342279D01* +X230408Y342241D01* +X230525Y342222D01* +X230644Y342221D01* +X230761Y342239D01* +X230874Y342277D01* +X231145Y342376D01* +X231425Y342444D01* +X231711Y342486D01* +X232000Y342500D01* +X232002Y342500D01* +Y336500D01* +X232000Y336500D01* +X231711Y336514D01* +X231425Y336556D01* +X231145Y336624D01* +X230872Y336720D01* +X230761Y336758D01* +X230644Y336775D01* +X230526Y336775D01* +X230409Y336755D01* +X230297Y336718D01* +X230193Y336664D01* +X230098Y336594D01* +X230015Y336509D01* +X229946Y336413D01* +X229893Y336308D01* +X229857Y336195D01* +X229840Y336079D01* +X229841Y335961D01* +X229860Y335844D01* +X229897Y335732D01* +X229952Y335627D01* +X230022Y335532D01* +X230106Y335449D01* +X230202Y335381D01* +X230308Y335330D01* +X230716Y335182D01* +X231137Y335078D01* +X231567Y335016D01* +X232000Y334995D01* +X232002Y334995D01* +Y289300D01* +G37* +G36* +X228387D02*X225613D01* +Y337353D01* +X225656Y337360D01* +X225768Y337397D01* +X225873Y337452D01* +X225968Y337522D01* +X226051Y337606D01* +X226119Y337702D01* +X226170Y337808D01* +X226318Y338216D01* +X226422Y338637D01* +X226484Y339067D01* +X226505Y339500D01* +X226484Y339933D01* +X226422Y340363D01* +X226318Y340784D01* +X226175Y341194D01* +X226122Y341300D01* +X226053Y341396D01* +X225970Y341481D01* +X225875Y341551D01* +X225769Y341606D01* +X225657Y341643D01* +X225613Y341651D01* +Y347353D01* +X225656Y347360D01* +X225768Y347397D01* +X225873Y347452D01* +X225968Y347522D01* +X226051Y347606D01* +X226119Y347702D01* +X226170Y347808D01* +X226318Y348216D01* +X226422Y348637D01* +X226484Y349067D01* +X226505Y349500D01* +X226484Y349933D01* +X226422Y350363D01* +X226318Y350784D01* +X226175Y351194D01* +X226122Y351300D01* +X226053Y351396D01* +X225970Y351481D01* +X225875Y351551D01* +X225769Y351606D01* +X225657Y351643D01* +X225613Y351651D01* +Y391500D01* +X228387D01* +Y351647D01* +X228344Y351640D01* +X228232Y351603D01* +X228127Y351548D01* +X228032Y351478D01* +X227949Y351394D01* +X227881Y351298D01* +X227830Y351192D01* +X227682Y350784D01* +X227578Y350363D01* +X227516Y349933D01* +X227495Y349500D01* +X227516Y349067D01* +X227578Y348637D01* +X227682Y348216D01* +X227825Y347806D01* +X227878Y347700D01* +X227947Y347604D01* +X228030Y347519D01* +X228125Y347449D01* +X228231Y347394D01* +X228343Y347357D01* +X228387Y347349D01* +Y341647D01* +X228344Y341640D01* +X228232Y341603D01* +X228127Y341548D01* +X228032Y341478D01* +X227949Y341394D01* +X227881Y341298D01* +X227830Y341192D01* +X227682Y340784D01* +X227578Y340363D01* +X227516Y339933D01* +X227495Y339500D01* +X227516Y339067D01* +X227578Y338637D01* +X227682Y338216D01* +X227825Y337806D01* +X227878Y337700D01* +X227947Y337604D01* +X228030Y337519D01* +X228125Y337449D01* +X228231Y337394D01* +X228343Y337357D01* +X228387Y337349D01* +Y289300D01* +G37* +G36* +X225613D02*X222002D01* +Y334995D01* +X222433Y335016D01* +X222863Y335078D01* +X223284Y335182D01* +X223694Y335325D01* +X223800Y335378D01* +X223896Y335447D01* +X223981Y335530D01* +X224051Y335625D01* +X224106Y335731D01* +X224143Y335843D01* +X224163Y335960D01* +X224164Y336079D01* +X224146Y336196D01* +X224110Y336309D01* +X224057Y336415D01* +X223988Y336512D01* +X223905Y336596D01* +X223809Y336667D01* +X223704Y336721D01* +X223592Y336759D01* +X223475Y336778D01* +X223356Y336779D01* +X223239Y336761D01* +X223126Y336723D01* +X222855Y336624D01* +X222575Y336556D01* +X222289Y336514D01* +X222002Y336500D01* +Y342500D01* +X222289Y342486D01* +X222575Y342444D01* +X222855Y342376D01* +X223128Y342280D01* +X223239Y342242D01* +X223356Y342225D01* +X223474Y342225D01* +X223591Y342245D01* +X223703Y342282D01* +X223807Y342336D01* +X223902Y342406D01* +X223985Y342491D01* +X224054Y342587D01* +X224107Y342692D01* +X224143Y342805D01* +X224160Y342921D01* +X224159Y343039D01* +X224140Y343156D01* +X224103Y343268D01* +X224048Y343373D01* +X223978Y343468D01* +X223894Y343551D01* +X223798Y343619D01* +X223692Y343670D01* +X223284Y343818D01* +X222863Y343922D01* +X222433Y343984D01* +X222002Y344005D01* +Y344995D01* +X222433Y345016D01* +X222863Y345078D01* +X223284Y345182D01* +X223694Y345325D01* +X223800Y345378D01* +X223896Y345447D01* +X223981Y345530D01* +X224051Y345625D01* +X224106Y345731D01* +X224143Y345843D01* +X224163Y345960D01* +X224164Y346079D01* +X224146Y346196D01* +X224110Y346309D01* +X224057Y346415D01* +X223988Y346512D01* +X223905Y346596D01* +X223809Y346667D01* +X223704Y346721D01* +X223592Y346759D01* +X223475Y346778D01* +X223356Y346779D01* +X223239Y346761D01* +X223126Y346723D01* +X222855Y346624D01* +X222575Y346556D01* +X222289Y346514D01* +X222002Y346500D01* +Y352500D01* +X222289Y352486D01* +X222575Y352444D01* +X222855Y352376D01* +X223128Y352280D01* +X223239Y352242D01* +X223356Y352225D01* +X223474Y352225D01* +X223591Y352245D01* +X223703Y352282D01* +X223807Y352336D01* +X223902Y352406D01* +X223985Y352491D01* +X224054Y352587D01* +X224107Y352692D01* +X224143Y352805D01* +X224160Y352921D01* +X224159Y353039D01* +X224140Y353156D01* +X224103Y353268D01* +X224048Y353373D01* +X223978Y353468D01* +X223894Y353551D01* +X223798Y353619D01* +X223692Y353670D01* +X223284Y353818D01* +X222863Y353922D01* +X222433Y353984D01* +X222002Y354005D01* +Y391500D01* +X225613D01* +Y351651D01* +X225540Y351663D01* +X225421Y351664D01* +X225304Y351646D01* +X225191Y351610D01* +X225085Y351557D01* +X224988Y351488D01* +X224904Y351405D01* +X224833Y351309D01* +X224779Y351204D01* +X224741Y351092D01* +X224722Y350975D01* +X224721Y350856D01* +X224739Y350739D01* +X224777Y350626D01* +X224876Y350355D01* +X224944Y350075D01* +X224986Y349789D01* +X225000Y349500D01* +X224986Y349211D01* +X224944Y348925D01* +X224876Y348645D01* +X224780Y348372D01* +X224742Y348261D01* +X224725Y348144D01* +X224725Y348026D01* +X224745Y347909D01* +X224782Y347797D01* +X224836Y347693D01* +X224906Y347598D01* +X224991Y347515D01* +X225087Y347446D01* +X225192Y347393D01* +X225305Y347357D01* +X225421Y347340D01* +X225539Y347341D01* +X225613Y347353D01* +Y341651D01* +X225540Y341663D01* +X225421Y341664D01* +X225304Y341646D01* +X225191Y341610D01* +X225085Y341557D01* +X224988Y341488D01* +X224904Y341405D01* +X224833Y341309D01* +X224779Y341204D01* +X224741Y341092D01* +X224722Y340975D01* +X224721Y340856D01* +X224739Y340739D01* +X224777Y340626D01* +X224876Y340355D01* +X224944Y340075D01* +X224986Y339789D01* +X225000Y339500D01* +X224986Y339211D01* +X224944Y338925D01* +X224876Y338645D01* +X224780Y338372D01* +X224742Y338261D01* +X224725Y338144D01* +X224725Y338026D01* +X224745Y337909D01* +X224782Y337797D01* +X224836Y337693D01* +X224906Y337598D01* +X224991Y337515D01* +X225087Y337446D01* +X225192Y337393D01* +X225305Y337357D01* +X225421Y337340D01* +X225539Y337341D01* +X225613Y337353D01* +Y289300D01* +G37* +G36* +X222002D02*X218387D01* +Y337349D01* +X218460Y337337D01* +X218579Y337336D01* +X218696Y337354D01* +X218809Y337390D01* +X218915Y337443D01* +X219012Y337512D01* +X219096Y337595D01* +X219167Y337691D01* +X219221Y337796D01* +X219259Y337908D01* +X219278Y338025D01* +X219279Y338144D01* +X219261Y338261D01* +X219223Y338374D01* +X219124Y338645D01* +X219056Y338925D01* +X219014Y339211D01* +X219000Y339500D01* +X219014Y339789D01* +X219056Y340075D01* +X219124Y340355D01* +X219220Y340628D01* +X219258Y340739D01* +X219275Y340856D01* +X219275Y340974D01* +X219255Y341091D01* +X219218Y341203D01* +X219164Y341307D01* +X219094Y341402D01* +X219009Y341485D01* +X218913Y341554D01* +X218808Y341607D01* +X218695Y341643D01* +X218579Y341660D01* +X218461Y341659D01* +X218387Y341647D01* +Y347349D01* +X218460Y347337D01* +X218579Y347336D01* +X218696Y347354D01* +X218809Y347390D01* +X218915Y347443D01* +X219012Y347512D01* +X219096Y347595D01* +X219167Y347691D01* +X219221Y347796D01* +X219259Y347908D01* +X219278Y348025D01* +X219279Y348144D01* +X219261Y348261D01* +X219223Y348374D01* +X219124Y348645D01* +X219056Y348925D01* +X219014Y349211D01* +X219000Y349500D01* +X219014Y349789D01* +X219056Y350075D01* +X219124Y350355D01* +X219220Y350628D01* +X219258Y350739D01* +X219275Y350856D01* +X219275Y350974D01* +X219255Y351091D01* +X219218Y351203D01* +X219164Y351307D01* +X219094Y351402D01* +X219009Y351485D01* +X218913Y351554D01* +X218808Y351607D01* +X218695Y351643D01* +X218579Y351660D01* +X218461Y351659D01* +X218387Y351647D01* +Y391500D01* +X222002D01* +Y354005D01* +X222000Y354005D01* +X221567Y353984D01* +X221137Y353922D01* +X220716Y353818D01* +X220306Y353675D01* +X220200Y353622D01* +X220104Y353553D01* +X220019Y353470D01* +X219949Y353375D01* +X219894Y353269D01* +X219857Y353157D01* +X219837Y353040D01* +X219836Y352921D01* +X219854Y352804D01* +X219890Y352691D01* +X219943Y352585D01* +X220012Y352488D01* +X220095Y352404D01* +X220191Y352333D01* +X220296Y352279D01* +X220408Y352241D01* +X220525Y352222D01* +X220644Y352221D01* +X220761Y352239D01* +X220874Y352277D01* +X221145Y352376D01* +X221425Y352444D01* +X221711Y352486D01* +X222000Y352500D01* +X222002Y352500D01* +Y346500D01* +X222000Y346500D01* +X221711Y346514D01* +X221425Y346556D01* +X221145Y346624D01* +X220872Y346720D01* +X220761Y346758D01* +X220644Y346775D01* +X220526Y346775D01* +X220409Y346755D01* +X220297Y346718D01* +X220193Y346664D01* +X220098Y346594D01* +X220015Y346509D01* +X219946Y346413D01* +X219893Y346308D01* +X219857Y346195D01* +X219840Y346079D01* +X219841Y345961D01* +X219860Y345844D01* +X219897Y345732D01* +X219952Y345627D01* +X220022Y345532D01* +X220106Y345449D01* +X220202Y345381D01* +X220308Y345330D01* +X220716Y345182D01* +X221137Y345078D01* +X221567Y345016D01* +X222000Y344995D01* +X222002Y344995D01* +Y344005D01* +X222000Y344005D01* +X221567Y343984D01* +X221137Y343922D01* +X220716Y343818D01* +X220306Y343675D01* +X220200Y343622D01* +X220104Y343553D01* +X220019Y343470D01* +X219949Y343375D01* +X219894Y343269D01* +X219857Y343157D01* +X219837Y343040D01* +X219836Y342921D01* +X219854Y342804D01* +X219890Y342691D01* +X219943Y342585D01* +X220012Y342488D01* +X220095Y342404D01* +X220191Y342333D01* +X220296Y342279D01* +X220408Y342241D01* +X220525Y342222D01* +X220644Y342221D01* +X220761Y342239D01* +X220874Y342277D01* +X221145Y342376D01* +X221425Y342444D01* +X221711Y342486D01* +X222000Y342500D01* +X222002Y342500D01* +Y336500D01* +X222000Y336500D01* +X221711Y336514D01* +X221425Y336556D01* +X221145Y336624D01* +X220872Y336720D01* +X220761Y336758D01* +X220644Y336775D01* +X220526Y336775D01* +X220409Y336755D01* +X220297Y336718D01* +X220193Y336664D01* +X220098Y336594D01* +X220015Y336509D01* +X219946Y336413D01* +X219893Y336308D01* +X219857Y336195D01* +X219840Y336079D01* +X219841Y335961D01* +X219860Y335844D01* +X219897Y335732D01* +X219952Y335627D01* +X220022Y335532D01* +X220106Y335449D01* +X220202Y335381D01* +X220308Y335330D01* +X220716Y335182D01* +X221137Y335078D01* +X221567Y335016D01* +X222000Y334995D01* +X222002Y334995D01* +Y289300D01* +G37* +G36* +X218387D02*X215613D01* +Y337353D01* +X215656Y337360D01* +X215768Y337397D01* +X215873Y337452D01* +X215968Y337522D01* +X216051Y337606D01* +X216119Y337702D01* +X216170Y337808D01* +X216318Y338216D01* +X216422Y338637D01* +X216484Y339067D01* +X216505Y339500D01* +X216484Y339933D01* +X216422Y340363D01* +X216318Y340784D01* +X216175Y341194D01* +X216122Y341300D01* +X216053Y341396D01* +X215970Y341481D01* +X215875Y341551D01* +X215769Y341606D01* +X215657Y341643D01* +X215613Y341651D01* +Y347353D01* +X215656Y347360D01* +X215768Y347397D01* +X215873Y347452D01* +X215968Y347522D01* +X216051Y347606D01* +X216119Y347702D01* +X216170Y347808D01* +X216318Y348216D01* +X216422Y348637D01* +X216484Y349067D01* +X216505Y349500D01* +X216484Y349933D01* +X216422Y350363D01* +X216318Y350784D01* +X216175Y351194D01* +X216122Y351300D01* +X216053Y351396D01* +X215970Y351481D01* +X215875Y351551D01* +X215769Y351606D01* +X215657Y351643D01* +X215613Y351651D01* +Y391500D01* +X218387D01* +Y351647D01* +X218344Y351640D01* +X218232Y351603D01* +X218127Y351548D01* +X218032Y351478D01* +X217949Y351394D01* +X217881Y351298D01* +X217830Y351192D01* +X217682Y350784D01* +X217578Y350363D01* +X217516Y349933D01* +X217495Y349500D01* +X217516Y349067D01* +X217578Y348637D01* +X217682Y348216D01* +X217825Y347806D01* +X217878Y347700D01* +X217947Y347604D01* +X218030Y347519D01* +X218125Y347449D01* +X218231Y347394D01* +X218343Y347357D01* +X218387Y347349D01* +Y341647D01* +X218344Y341640D01* +X218232Y341603D01* +X218127Y341548D01* +X218032Y341478D01* +X217949Y341394D01* +X217881Y341298D01* +X217830Y341192D01* +X217682Y340784D01* +X217578Y340363D01* +X217516Y339933D01* +X217495Y339500D01* +X217516Y339067D01* +X217578Y338637D01* +X217682Y338216D01* +X217825Y337806D01* +X217878Y337700D01* +X217947Y337604D01* +X218030Y337519D01* +X218125Y337449D01* +X218231Y337394D01* +X218343Y337357D01* +X218387Y337349D01* +Y289300D01* +G37* +G36* +X215613D02*X212002D01* +Y334995D01* +X212433Y335016D01* +X212863Y335078D01* +X213284Y335182D01* +X213694Y335325D01* +X213800Y335378D01* +X213896Y335447D01* +X213981Y335530D01* +X214051Y335625D01* +X214106Y335731D01* +X214143Y335843D01* +X214163Y335960D01* +X214164Y336079D01* +X214146Y336196D01* +X214110Y336309D01* +X214057Y336415D01* +X213988Y336512D01* +X213905Y336596D01* +X213809Y336667D01* +X213704Y336721D01* +X213592Y336759D01* +X213475Y336778D01* +X213356Y336779D01* +X213239Y336761D01* +X213126Y336723D01* +X212855Y336624D01* +X212575Y336556D01* +X212289Y336514D01* +X212002Y336500D01* +Y342500D01* +X212289Y342486D01* +X212575Y342444D01* +X212855Y342376D01* +X213128Y342280D01* +X213239Y342242D01* +X213356Y342225D01* +X213474Y342225D01* +X213591Y342245D01* +X213703Y342282D01* +X213807Y342336D01* +X213902Y342406D01* +X213985Y342491D01* +X214054Y342587D01* +X214107Y342692D01* +X214143Y342805D01* +X214160Y342921D01* +X214159Y343039D01* +X214140Y343156D01* +X214103Y343268D01* +X214048Y343373D01* +X213978Y343468D01* +X213894Y343551D01* +X213798Y343619D01* +X213692Y343670D01* +X213284Y343818D01* +X212863Y343922D01* +X212433Y343984D01* +X212002Y344005D01* +Y344995D01* +X212433Y345016D01* +X212863Y345078D01* +X213284Y345182D01* +X213694Y345325D01* +X213800Y345378D01* +X213896Y345447D01* +X213981Y345530D01* +X214051Y345625D01* +X214106Y345731D01* +X214143Y345843D01* +X214163Y345960D01* +X214164Y346079D01* +X214146Y346196D01* +X214110Y346309D01* +X214057Y346415D01* +X213988Y346512D01* +X213905Y346596D01* +X213809Y346667D01* +X213704Y346721D01* +X213592Y346759D01* +X213475Y346778D01* +X213356Y346779D01* +X213239Y346761D01* +X213126Y346723D01* +X212855Y346624D01* +X212575Y346556D01* +X212289Y346514D01* +X212002Y346500D01* +Y352500D01* +X212289Y352486D01* +X212575Y352444D01* +X212855Y352376D01* +X213128Y352280D01* +X213239Y352242D01* +X213356Y352225D01* +X213474Y352225D01* +X213591Y352245D01* +X213703Y352282D01* +X213807Y352336D01* +X213902Y352406D01* +X213985Y352491D01* +X214054Y352587D01* +X214107Y352692D01* +X214143Y352805D01* +X214160Y352921D01* +X214159Y353039D01* +X214140Y353156D01* +X214103Y353268D01* +X214048Y353373D01* +X213978Y353468D01* +X213894Y353551D01* +X213798Y353619D01* +X213692Y353670D01* +X213284Y353818D01* +X212863Y353922D01* +X212433Y353984D01* +X212002Y354005D01* +Y391500D01* +X215613D01* +Y351651D01* +X215540Y351663D01* +X215421Y351664D01* +X215304Y351646D01* +X215191Y351610D01* +X215085Y351557D01* +X214988Y351488D01* +X214904Y351405D01* +X214833Y351309D01* +X214779Y351204D01* +X214741Y351092D01* +X214722Y350975D01* +X214721Y350856D01* +X214739Y350739D01* +X214777Y350626D01* +X214876Y350355D01* +X214944Y350075D01* +X214986Y349789D01* +X215000Y349500D01* +X214986Y349211D01* +X214944Y348925D01* +X214876Y348645D01* +X214780Y348372D01* +X214742Y348261D01* +X214725Y348144D01* +X214725Y348026D01* +X214745Y347909D01* +X214782Y347797D01* +X214836Y347693D01* +X214906Y347598D01* +X214991Y347515D01* +X215087Y347446D01* +X215192Y347393D01* +X215305Y347357D01* +X215421Y347340D01* +X215539Y347341D01* +X215613Y347353D01* +Y341651D01* +X215540Y341663D01* +X215421Y341664D01* +X215304Y341646D01* +X215191Y341610D01* +X215085Y341557D01* +X214988Y341488D01* +X214904Y341405D01* +X214833Y341309D01* +X214779Y341204D01* +X214741Y341092D01* +X214722Y340975D01* +X214721Y340856D01* +X214739Y340739D01* +X214777Y340626D01* +X214876Y340355D01* +X214944Y340075D01* +X214986Y339789D01* +X215000Y339500D01* +X214986Y339211D01* +X214944Y338925D01* +X214876Y338645D01* +X214780Y338372D01* +X214742Y338261D01* +X214725Y338144D01* +X214725Y338026D01* +X214745Y337909D01* +X214782Y337797D01* +X214836Y337693D01* +X214906Y337598D01* +X214991Y337515D01* +X215087Y337446D01* +X215192Y337393D01* +X215305Y337357D01* +X215421Y337340D01* +X215539Y337341D01* +X215613Y337353D01* +Y289300D01* +G37* +G36* +X212002D02*X208387D01* +Y337349D01* +X208460Y337337D01* +X208579Y337336D01* +X208696Y337354D01* +X208809Y337390D01* +X208915Y337443D01* +X209012Y337512D01* +X209096Y337595D01* +X209167Y337691D01* +X209221Y337796D01* +X209259Y337908D01* +X209278Y338025D01* +X209279Y338144D01* +X209261Y338261D01* +X209223Y338374D01* +X209124Y338645D01* +X209056Y338925D01* +X209014Y339211D01* +X209000Y339500D01* +X209014Y339789D01* +X209056Y340075D01* +X209124Y340355D01* +X209220Y340628D01* +X209258Y340739D01* +X209275Y340856D01* +X209275Y340974D01* +X209255Y341091D01* +X209218Y341203D01* +X209164Y341307D01* +X209094Y341402D01* +X209009Y341485D01* +X208913Y341554D01* +X208808Y341607D01* +X208695Y341643D01* +X208579Y341660D01* +X208461Y341659D01* +X208387Y341647D01* +Y347349D01* +X208460Y347337D01* +X208579Y347336D01* +X208696Y347354D01* +X208809Y347390D01* +X208915Y347443D01* +X209012Y347512D01* +X209096Y347595D01* +X209167Y347691D01* +X209221Y347796D01* +X209259Y347908D01* +X209278Y348025D01* +X209279Y348144D01* +X209261Y348261D01* +X209223Y348374D01* +X209124Y348645D01* +X209056Y348925D01* +X209014Y349211D01* +X209000Y349500D01* +X209014Y349789D01* +X209056Y350075D01* +X209124Y350355D01* +X209220Y350628D01* +X209258Y350739D01* +X209275Y350856D01* +X209275Y350974D01* +X209255Y351091D01* +X209218Y351203D01* +X209164Y351307D01* +X209094Y351402D01* +X209009Y351485D01* +X208913Y351554D01* +X208808Y351607D01* +X208695Y351643D01* +X208579Y351660D01* +X208461Y351659D01* +X208387Y351647D01* +Y391500D01* +X212002D01* +Y354005D01* +X212000Y354005D01* +X211567Y353984D01* +X211137Y353922D01* +X210716Y353818D01* +X210306Y353675D01* +X210200Y353622D01* +X210104Y353553D01* +X210019Y353470D01* +X209949Y353375D01* +X209894Y353269D01* +X209857Y353157D01* +X209837Y353040D01* +X209836Y352921D01* +X209854Y352804D01* +X209890Y352691D01* +X209943Y352585D01* +X210012Y352488D01* +X210095Y352404D01* +X210191Y352333D01* +X210296Y352279D01* +X210408Y352241D01* +X210525Y352222D01* +X210644Y352221D01* +X210761Y352239D01* +X210874Y352277D01* +X211145Y352376D01* +X211425Y352444D01* +X211711Y352486D01* +X212000Y352500D01* +X212002Y352500D01* +Y346500D01* +X212000Y346500D01* +X211711Y346514D01* +X211425Y346556D01* +X211145Y346624D01* +X210872Y346720D01* +X210761Y346758D01* +X210644Y346775D01* +X210526Y346775D01* +X210409Y346755D01* +X210297Y346718D01* +X210193Y346664D01* +X210098Y346594D01* +X210015Y346509D01* +X209946Y346413D01* +X209893Y346308D01* +X209857Y346195D01* +X209840Y346079D01* +X209841Y345961D01* +X209860Y345844D01* +X209897Y345732D01* +X209952Y345627D01* +X210022Y345532D01* +X210106Y345449D01* +X210202Y345381D01* +X210308Y345330D01* +X210716Y345182D01* +X211137Y345078D01* +X211567Y345016D01* +X212000Y344995D01* +X212002Y344995D01* +Y344005D01* +X212000Y344005D01* +X211567Y343984D01* +X211137Y343922D01* +X210716Y343818D01* +X210306Y343675D01* +X210200Y343622D01* +X210104Y343553D01* +X210019Y343470D01* +X209949Y343375D01* +X209894Y343269D01* +X209857Y343157D01* +X209837Y343040D01* +X209836Y342921D01* +X209854Y342804D01* +X209890Y342691D01* +X209943Y342585D01* +X210012Y342488D01* +X210095Y342404D01* +X210191Y342333D01* +X210296Y342279D01* +X210408Y342241D01* +X210525Y342222D01* +X210644Y342221D01* +X210761Y342239D01* +X210874Y342277D01* +X211145Y342376D01* +X211425Y342444D01* +X211711Y342486D01* +X212000Y342500D01* +X212002Y342500D01* +Y336500D01* +X212000Y336500D01* +X211711Y336514D01* +X211425Y336556D01* +X211145Y336624D01* +X210872Y336720D01* +X210761Y336758D01* +X210644Y336775D01* +X210526Y336775D01* +X210409Y336755D01* +X210297Y336718D01* +X210193Y336664D01* +X210098Y336594D01* +X210015Y336509D01* +X209946Y336413D01* +X209893Y336308D01* +X209857Y336195D01* +X209840Y336079D01* +X209841Y335961D01* +X209860Y335844D01* +X209897Y335732D01* +X209952Y335627D01* +X210022Y335532D01* +X210106Y335449D01* +X210202Y335381D01* +X210308Y335330D01* +X210716Y335182D01* +X211137Y335078D01* +X211567Y335016D01* +X212000Y334995D01* +X212002Y334995D01* +Y289300D01* +G37* +G36* +X208387D02*X205613D01* +Y337353D01* +X205656Y337360D01* +X205768Y337397D01* +X205873Y337452D01* +X205968Y337522D01* +X206051Y337606D01* +X206119Y337702D01* +X206170Y337808D01* +X206318Y338216D01* +X206422Y338637D01* +X206484Y339067D01* +X206505Y339500D01* +X206484Y339933D01* +X206422Y340363D01* +X206318Y340784D01* +X206175Y341194D01* +X206122Y341300D01* +X206053Y341396D01* +X205970Y341481D01* +X205875Y341551D01* +X205769Y341606D01* +X205657Y341643D01* +X205613Y341651D01* +Y346802D01* +X205652Y346847D01* +X206022Y347451D01* +X206293Y348105D01* +X206458Y348794D01* +X206500Y349500D01* +X206458Y350206D01* +X206293Y350895D01* +X206022Y351549D01* +X205652Y352153D01* +X205613Y352198D01* +Y391500D01* +X208387D01* +Y351647D01* +X208344Y351640D01* +X208232Y351603D01* +X208127Y351548D01* +X208032Y351478D01* +X207949Y351394D01* +X207881Y351298D01* +X207830Y351192D01* +X207682Y350784D01* +X207578Y350363D01* +X207516Y349933D01* +X207495Y349500D01* +X207516Y349067D01* +X207578Y348637D01* +X207682Y348216D01* +X207825Y347806D01* +X207878Y347700D01* +X207947Y347604D01* +X208030Y347519D01* +X208125Y347449D01* +X208231Y347394D01* +X208343Y347357D01* +X208387Y347349D01* +Y341647D01* +X208344Y341640D01* +X208232Y341603D01* +X208127Y341548D01* +X208032Y341478D01* +X207949Y341394D01* +X207881Y341298D01* +X207830Y341192D01* +X207682Y340784D01* +X207578Y340363D01* +X207516Y339933D01* +X207495Y339500D01* +X207516Y339067D01* +X207578Y338637D01* +X207682Y338216D01* +X207825Y337806D01* +X207878Y337700D01* +X207947Y337604D01* +X208030Y337519D01* +X208125Y337449D01* +X208231Y337394D01* +X208343Y337357D01* +X208387Y337349D01* +Y289300D01* +G37* +G36* +X205613Y352198D02*X205192Y352692D01* +X204653Y353152D01* +X204049Y353522D01* +X203395Y353793D01* +X202706Y353958D01* +X202002Y354014D01* +Y391500D01* +X205613D01* +Y352198D01* +G37* +G36* +Y289300D02*X202002D01* +Y334995D01* +X202433Y335016D01* +X202863Y335078D01* +X203284Y335182D01* +X203694Y335325D01* +X203800Y335378D01* +X203896Y335447D01* +X203981Y335530D01* +X204051Y335625D01* +X204106Y335731D01* +X204143Y335843D01* +X204163Y335960D01* +X204164Y336079D01* +X204146Y336196D01* +X204110Y336309D01* +X204057Y336415D01* +X203988Y336512D01* +X203905Y336596D01* +X203809Y336667D01* +X203704Y336721D01* +X203592Y336759D01* +X203475Y336778D01* +X203356Y336779D01* +X203239Y336761D01* +X203126Y336723D01* +X202855Y336624D01* +X202575Y336556D01* +X202289Y336514D01* +X202002Y336500D01* +Y342500D01* +X202289Y342486D01* +X202575Y342444D01* +X202855Y342376D01* +X203128Y342280D01* +X203239Y342242D01* +X203356Y342225D01* +X203474Y342225D01* +X203591Y342245D01* +X203703Y342282D01* +X203807Y342336D01* +X203902Y342406D01* +X203985Y342491D01* +X204054Y342587D01* +X204107Y342692D01* +X204143Y342805D01* +X204160Y342921D01* +X204159Y343039D01* +X204140Y343156D01* +X204103Y343268D01* +X204048Y343373D01* +X203978Y343468D01* +X203894Y343551D01* +X203798Y343619D01* +X203692Y343670D01* +X203284Y343818D01* +X202863Y343922D01* +X202433Y343984D01* +X202002Y344005D01* +Y344986D01* +X202706Y345042D01* +X203395Y345207D01* +X204049Y345478D01* +X204653Y345848D01* +X205192Y346308D01* +X205613Y346802D01* +Y341651D01* +X205540Y341663D01* +X205421Y341664D01* +X205304Y341646D01* +X205191Y341610D01* +X205085Y341557D01* +X204988Y341488D01* +X204904Y341405D01* +X204833Y341309D01* +X204779Y341204D01* +X204741Y341092D01* +X204722Y340975D01* +X204721Y340856D01* +X204739Y340739D01* +X204777Y340626D01* +X204876Y340355D01* +X204944Y340075D01* +X204986Y339789D01* +X205000Y339500D01* +X204986Y339211D01* +X204944Y338925D01* +X204876Y338645D01* +X204780Y338372D01* +X204742Y338261D01* +X204725Y338144D01* +X204725Y338026D01* +X204745Y337909D01* +X204782Y337797D01* +X204836Y337693D01* +X204906Y337598D01* +X204991Y337515D01* +X205087Y337446D01* +X205192Y337393D01* +X205305Y337357D01* +X205421Y337340D01* +X205539Y337341D01* +X205613Y337353D01* +Y289300D01* +G37* +G36* +X202002Y354014D02*X202000Y354014D01* +X201294Y353958D01* +X200605Y353793D01* +X199951Y353522D01* +X199347Y353152D01* +X198808Y352692D01* +X198348Y352153D01* +X197978Y351549D01* +X197707Y350895D01* +X197542Y350206D01* +X197486Y349500D01* +X197542Y348794D01* +X197707Y348105D01* +X197978Y347451D01* +X198029Y347368D01* +X196117Y345455D01* +X196078Y345422D01* +X195945Y345266D01* +X195838Y345092D01* +X195760Y344903D01* +X195712Y344704D01* +X195712Y344704D01* +X195696Y344500D01* +X195700Y344449D01* +Y341629D01* +X195657Y341643D01* +X195540Y341663D01* +X195421Y341664D01* +X195304Y341646D01* +X195191Y341610D01* +X195085Y341557D01* +X194988Y341488D01* +X194904Y341405D01* +X194833Y341309D01* +X194779Y341204D01* +X194741Y341092D01* +X194722Y340975D01* +X194721Y340856D01* +X194739Y340739D01* +X194777Y340626D01* +X194876Y340355D01* +X194944Y340075D01* +X194986Y339789D01* +X195000Y339500D01* +X194986Y339211D01* +X194944Y338925D01* +X194876Y338645D01* +X194780Y338372D01* +X194742Y338261D01* +X194725Y338144D01* +X194725Y338026D01* +X194745Y337909D01* +X194782Y337797D01* +X194836Y337693D01* +X194906Y337598D01* +X194991Y337515D01* +X195087Y337446D01* +X195192Y337393D01* +X195305Y337357D01* +X195421Y337340D01* +X195539Y337341D01* +X195656Y337360D01* +X195700Y337375D01* +Y296038D01* +X195462Y295800D01* +X192002D01* +Y334995D01* +X192433Y335016D01* +X192863Y335078D01* +X193284Y335182D01* +X193694Y335325D01* +X193800Y335378D01* +X193896Y335447D01* +X193981Y335530D01* +X194051Y335625D01* +X194106Y335731D01* +X194143Y335843D01* +X194163Y335960D01* +X194164Y336079D01* +X194146Y336196D01* +X194110Y336309D01* +X194057Y336415D01* +X193988Y336512D01* +X193905Y336596D01* +X193809Y336667D01* +X193704Y336721D01* +X193592Y336759D01* +X193475Y336778D01* +X193356Y336779D01* +X193239Y336761D01* +X193126Y336723D01* +X192855Y336624D01* +X192575Y336556D01* +X192289Y336514D01* +X192002Y336500D01* +Y342500D01* +X192289Y342486D01* +X192575Y342444D01* +X192855Y342376D01* +X193128Y342280D01* +X193239Y342242D01* +X193356Y342225D01* +X193474Y342225D01* +X193591Y342245D01* +X193703Y342282D01* +X193807Y342336D01* +X193902Y342406D01* +X193985Y342491D01* +X194054Y342587D01* +X194107Y342692D01* +X194143Y342805D01* +X194160Y342921D01* +X194159Y343039D01* +X194140Y343156D01* +X194103Y343268D01* +X194048Y343373D01* +X193978Y343468D01* +X193894Y343551D01* +X193798Y343619D01* +X193692Y343670D01* +X193284Y343818D01* +X192863Y343922D01* +X192433Y343984D01* +X192002Y344005D01* +Y344986D01* +X192706Y345042D01* +X193395Y345207D01* +X194049Y345478D01* +X194653Y345848D01* +X195192Y346308D01* +X195652Y346847D01* +X196022Y347451D01* +X196293Y348105D01* +X196458Y348794D01* +X196500Y349500D01* +X196458Y350206D01* +X196293Y350895D01* +X196022Y351549D01* +X195652Y352153D01* +X195192Y352692D01* +X194653Y353152D01* +X194049Y353522D01* +X193395Y353793D01* +X192706Y353958D01* +X192002Y354014D01* +Y391500D01* +X202002D01* +Y354014D01* +G37* +G36* +Y289300D02*X193000D01* +X193000Y289300D01* +X192002D01* +Y293200D01* +X195949D01* +X196000Y293196D01* +X196204Y293212D01* +X196204Y293212D01* +X196403Y293260D01* +X196592Y293338D01* +X196766Y293445D01* +X196922Y293578D01* +X196955Y293617D01* +X197883Y294545D01* +X197922Y294578D01* +X198055Y294733D01* +X198055Y294734D01* +X198162Y294908D01* +X198240Y295097D01* +X198288Y295296D01* +X198304Y295500D01* +X198300Y295551D01* +Y337371D01* +X198343Y337357D01* +X198460Y337337D01* +X198579Y337336D01* +X198696Y337354D01* +X198809Y337390D01* +X198915Y337443D01* +X199012Y337512D01* +X199096Y337595D01* +X199167Y337691D01* +X199221Y337796D01* +X199259Y337908D01* +X199278Y338025D01* +X199279Y338144D01* +X199261Y338261D01* +X199223Y338374D01* +X199124Y338645D01* +X199056Y338925D01* +X199014Y339211D01* +X199000Y339500D01* +X199014Y339789D01* +X199056Y340075D01* +X199124Y340355D01* +X199220Y340628D01* +X199258Y340739D01* +X199275Y340856D01* +X199275Y340974D01* +X199255Y341091D01* +X199218Y341203D01* +X199164Y341307D01* +X199094Y341402D01* +X199009Y341485D01* +X198913Y341554D01* +X198808Y341607D01* +X198695Y341643D01* +X198579Y341660D01* +X198461Y341659D01* +X198344Y341640D01* +X198300Y341625D01* +Y343962D01* +X199868Y345529D01* +X199951Y345478D01* +X200605Y345207D01* +X201294Y345042D01* +X202000Y344986D01* +X202002Y344986D01* +Y344005D01* +X202000Y344005D01* +X201567Y343984D01* +X201137Y343922D01* +X200716Y343818D01* +X200306Y343675D01* +X200200Y343622D01* +X200104Y343553D01* +X200019Y343470D01* +X199949Y343375D01* +X199894Y343269D01* +X199857Y343157D01* +X199837Y343040D01* +X199836Y342921D01* +X199854Y342804D01* +X199890Y342691D01* +X199943Y342585D01* +X200012Y342488D01* +X200095Y342404D01* +X200191Y342333D01* +X200296Y342279D01* +X200408Y342241D01* +X200525Y342222D01* +X200644Y342221D01* +X200761Y342239D01* +X200874Y342277D01* +X201145Y342376D01* +X201425Y342444D01* +X201711Y342486D01* +X202000Y342500D01* +X202002Y342500D01* +Y336500D01* +X202000Y336500D01* +X201711Y336514D01* +X201425Y336556D01* +X201145Y336624D01* +X200872Y336720D01* +X200761Y336758D01* +X200644Y336775D01* +X200526Y336775D01* +X200409Y336755D01* +X200297Y336718D01* +X200193Y336664D01* +X200098Y336594D01* +X200015Y336509D01* +X199946Y336413D01* +X199893Y336308D01* +X199857Y336195D01* +X199840Y336079D01* +X199841Y335961D01* +X199860Y335844D01* +X199897Y335732D01* +X199952Y335627D01* +X200022Y335532D01* +X200106Y335449D01* +X200202Y335381D01* +X200308Y335330D01* +X200716Y335182D01* +X201137Y335078D01* +X201567Y335016D01* +X202000Y334995D01* +X202002Y334995D01* +Y289300D01* +G37* +G36* +X192002Y354014D02*X192000Y354014D01* +X191294Y353958D01* +X190605Y353793D01* +X189951Y353522D01* +X189347Y353152D01* +X188808Y352692D01* +X188348Y352153D01* +X187978Y351549D01* +X187707Y350895D01* +X187542Y350206D01* +X187486Y349500D01* +X187542Y348794D01* +X187707Y348105D01* +X187978Y347451D01* +X188029Y347368D01* +X186117Y345455D01* +X186078Y345422D01* +X185945Y345266D01* +X185838Y345092D01* +X185760Y344903D01* +X185712Y344704D01* +X185712Y344704D01* +X185696Y344500D01* +X185700Y344449D01* +Y341629D01* +X185657Y341643D01* +X185540Y341663D01* +X185421Y341664D01* +X185304Y341646D01* +X185191Y341610D01* +X185085Y341557D01* +X184988Y341488D01* +X184904Y341405D01* +X184833Y341309D01* +X184779Y341204D01* +X184741Y341092D01* +X184722Y340975D01* +X184721Y340856D01* +X184739Y340739D01* +X184777Y340626D01* +X184876Y340355D01* +X184944Y340075D01* +X184986Y339789D01* +X185000Y339500D01* +X184986Y339211D01* +X184944Y338925D01* +X184876Y338645D01* +X184780Y338372D01* +X184742Y338261D01* +X184725Y338144D01* +X184725Y338026D01* +X184745Y337909D01* +X184782Y337797D01* +X184836Y337693D01* +X184906Y337598D01* +X184991Y337515D01* +X185087Y337446D01* +X185192Y337393D01* +X185305Y337357D01* +X185421Y337340D01* +X185539Y337341D01* +X185656Y337360D01* +X185700Y337375D01* +Y300538D01* +X184962Y299800D01* +X182002D01* +Y334995D01* +X182433Y335016D01* +X182863Y335078D01* +X183284Y335182D01* +X183694Y335325D01* +X183800Y335378D01* +X183896Y335447D01* +X183981Y335530D01* +X184051Y335625D01* +X184106Y335731D01* +X184143Y335843D01* +X184163Y335960D01* +X184164Y336079D01* +X184146Y336196D01* +X184110Y336309D01* +X184057Y336415D01* +X183988Y336512D01* +X183905Y336596D01* +X183809Y336667D01* +X183704Y336721D01* +X183592Y336759D01* +X183475Y336778D01* +X183356Y336779D01* +X183239Y336761D01* +X183126Y336723D01* +X182855Y336624D01* +X182575Y336556D01* +X182289Y336514D01* +X182002Y336500D01* +Y342500D01* +X182289Y342486D01* +X182575Y342444D01* +X182855Y342376D01* +X183128Y342280D01* +X183239Y342242D01* +X183356Y342225D01* +X183474Y342225D01* +X183591Y342245D01* +X183703Y342282D01* +X183807Y342336D01* +X183902Y342406D01* +X183985Y342491D01* +X184054Y342587D01* +X184107Y342692D01* +X184143Y342805D01* +X184160Y342921D01* +X184159Y343039D01* +X184140Y343156D01* +X184103Y343268D01* +X184048Y343373D01* +X183978Y343468D01* +X183894Y343551D01* +X183798Y343619D01* +X183692Y343670D01* +X183284Y343818D01* +X182863Y343922D01* +X182433Y343984D01* +X182002Y344005D01* +Y344986D01* +X182706Y345042D01* +X183395Y345207D01* +X184049Y345478D01* +X184653Y345848D01* +X185192Y346308D01* +X185652Y346847D01* +X186022Y347451D01* +X186293Y348105D01* +X186458Y348794D01* +X186500Y349500D01* +X186458Y350206D01* +X186293Y350895D01* +X186022Y351549D01* +X185652Y352153D01* +X185192Y352692D01* +X184653Y353152D01* +X184049Y353522D01* +X183395Y353793D01* +X182706Y353958D01* +X182002Y354014D01* +Y391500D01* +X192002D01* +Y354014D01* +G37* +G36* +Y295800D02*X182002D01* +Y297200D01* +X185449D01* +X185500Y297196D01* +X185704Y297212D01* +X185704Y297212D01* +X185903Y297260D01* +X186092Y297338D01* +X186266Y297445D01* +X186422Y297578D01* +X186455Y297617D01* +X187883Y299045D01* +X187922Y299078D01* +X188055Y299233D01* +X188055Y299234D01* +X188162Y299408D01* +X188240Y299597D01* +X188288Y299796D01* +X188304Y300000D01* +X188300Y300051D01* +Y337371D01* +X188343Y337357D01* +X188460Y337337D01* +X188579Y337336D01* +X188696Y337354D01* +X188809Y337390D01* +X188915Y337443D01* +X189012Y337512D01* +X189096Y337595D01* +X189167Y337691D01* +X189221Y337796D01* +X189259Y337908D01* +X189278Y338025D01* +X189279Y338144D01* +X189261Y338261D01* +X189223Y338374D01* +X189124Y338645D01* +X189056Y338925D01* +X189014Y339211D01* +X189000Y339500D01* +X189014Y339789D01* +X189056Y340075D01* +X189124Y340355D01* +X189220Y340628D01* +X189258Y340739D01* +X189275Y340856D01* +X189275Y340974D01* +X189255Y341091D01* +X189218Y341203D01* +X189164Y341307D01* +X189094Y341402D01* +X189009Y341485D01* +X188913Y341554D01* +X188808Y341607D01* +X188695Y341643D01* +X188579Y341660D01* +X188461Y341659D01* +X188344Y341640D01* +X188300Y341625D01* +Y343962D01* +X189868Y345529D01* +X189951Y345478D01* +X190605Y345207D01* +X191294Y345042D01* +X192000Y344986D01* +X192002Y344986D01* +Y344005D01* +X192000Y344005D01* +X191567Y343984D01* +X191137Y343922D01* +X190716Y343818D01* +X190306Y343675D01* +X190200Y343622D01* +X190104Y343553D01* +X190019Y343470D01* +X189949Y343375D01* +X189894Y343269D01* +X189857Y343157D01* +X189837Y343040D01* +X189836Y342921D01* +X189854Y342804D01* +X189890Y342691D01* +X189943Y342585D01* +X190012Y342488D01* +X190095Y342404D01* +X190191Y342333D01* +X190296Y342279D01* +X190408Y342241D01* +X190525Y342222D01* +X190644Y342221D01* +X190761Y342239D01* +X190874Y342277D01* +X191145Y342376D01* +X191425Y342444D01* +X191711Y342486D01* +X192000Y342500D01* +X192002Y342500D01* +Y336500D01* +X192000Y336500D01* +X191711Y336514D01* +X191425Y336556D01* +X191145Y336624D01* +X190872Y336720D01* +X190761Y336758D01* +X190644Y336775D01* +X190526Y336775D01* +X190409Y336755D01* +X190297Y336718D01* +X190193Y336664D01* +X190098Y336594D01* +X190015Y336509D01* +X189946Y336413D01* +X189893Y336308D01* +X189857Y336195D01* +X189840Y336079D01* +X189841Y335961D01* +X189860Y335844D01* +X189897Y335732D01* +X189952Y335627D01* +X190022Y335532D01* +X190106Y335449D01* +X190202Y335381D01* +X190308Y335330D01* +X190716Y335182D01* +X191137Y335078D01* +X191567Y335016D01* +X192000Y334995D01* +X192002Y334995D01* +Y295800D01* +G37* +G36* +Y289300D02*X182002D01* +Y293200D01* +X192002D01* +Y289300D01* +G37* +G36* +X182002Y354014D02*X182000Y354014D01* +X181294Y353958D01* +X180605Y353793D01* +X179951Y353522D01* +X179347Y353152D01* +X178808Y352692D01* +X178348Y352153D01* +X177978Y351549D01* +X177707Y350895D01* +X177542Y350206D01* +X177486Y349500D01* +X177542Y348794D01* +X177707Y348105D01* +X177978Y347451D01* +X178029Y347368D01* +X176117Y345455D01* +X176078Y345422D01* +X175945Y345266D01* +X175838Y345092D01* +X175760Y344903D01* +X175712Y344704D01* +X175712Y344704D01* +X175696Y344500D01* +X175700Y344449D01* +Y341629D01* +X175657Y341643D01* +X175540Y341663D01* +X175421Y341664D01* +X175304Y341646D01* +X175191Y341610D01* +X175085Y341557D01* +X174988Y341488D01* +X174904Y341405D01* +X174833Y341309D01* +X174779Y341204D01* +X174741Y341092D01* +X174722Y340975D01* +X174721Y340856D01* +X174739Y340739D01* +X174777Y340626D01* +X174876Y340355D01* +X174944Y340075D01* +X174986Y339789D01* +X175000Y339500D01* +X174986Y339211D01* +X174944Y338925D01* +X174876Y338645D01* +X174780Y338372D01* +X174742Y338261D01* +X174725Y338144D01* +X174725Y338026D01* +X174745Y337909D01* +X174782Y337797D01* +X174836Y337693D01* +X174906Y337598D01* +X174991Y337515D01* +X175087Y337446D01* +X175192Y337393D01* +X175305Y337357D01* +X175421Y337340D01* +X175539Y337341D01* +X175656Y337360D01* +X175700Y337375D01* +Y304538D01* +X174962Y303800D01* +X172002D01* +Y334995D01* +X172433Y335016D01* +X172863Y335078D01* +X173284Y335182D01* +X173694Y335325D01* +X173800Y335378D01* +X173896Y335447D01* +X173981Y335530D01* +X174051Y335625D01* +X174106Y335731D01* +X174143Y335843D01* +X174163Y335960D01* +X174164Y336079D01* +X174146Y336196D01* +X174110Y336309D01* +X174057Y336415D01* +X173988Y336512D01* +X173905Y336596D01* +X173809Y336667D01* +X173704Y336721D01* +X173592Y336759D01* +X173475Y336778D01* +X173356Y336779D01* +X173239Y336761D01* +X173126Y336723D01* +X172855Y336624D01* +X172575Y336556D01* +X172289Y336514D01* +X172002Y336500D01* +Y342500D01* +X172289Y342486D01* +X172575Y342444D01* +X172855Y342376D01* +X173128Y342280D01* +X173239Y342242D01* +X173356Y342225D01* +X173474Y342225D01* +X173591Y342245D01* +X173703Y342282D01* +X173807Y342336D01* +X173902Y342406D01* +X173985Y342491D01* +X174054Y342587D01* +X174107Y342692D01* +X174143Y342805D01* +X174160Y342921D01* +X174159Y343039D01* +X174140Y343156D01* +X174103Y343268D01* +X174048Y343373D01* +X173978Y343468D01* +X173894Y343551D01* +X173798Y343619D01* +X173692Y343670D01* +X173284Y343818D01* +X172863Y343922D01* +X172433Y343984D01* +X172002Y344005D01* +Y344986D01* +X172706Y345042D01* +X173395Y345207D01* +X174049Y345478D01* +X174653Y345848D01* +X175192Y346308D01* +X175652Y346847D01* +X176022Y347451D01* +X176293Y348105D01* +X176458Y348794D01* +X176500Y349500D01* +X176458Y350206D01* +X176293Y350895D01* +X176022Y351549D01* +X175652Y352153D01* +X175192Y352692D01* +X174653Y353152D01* +X174049Y353522D01* +X173395Y353793D01* +X172706Y353958D01* +X172002Y354014D01* +Y391500D01* +X182002D01* +Y354014D01* +G37* +G36* +Y299800D02*X172002D01* +Y301200D01* +X175449D01* +X175500Y301196D01* +X175704Y301212D01* +X175704Y301212D01* +X175903Y301260D01* +X176092Y301338D01* +X176266Y301445D01* +X176422Y301578D01* +X176455Y301617D01* +X177883Y303045D01* +X177922Y303078D01* +X178055Y303233D01* +X178055Y303234D01* +X178162Y303408D01* +X178240Y303597D01* +X178288Y303796D01* +X178304Y304000D01* +X178300Y304051D01* +Y337371D01* +X178343Y337357D01* +X178460Y337337D01* +X178579Y337336D01* +X178696Y337354D01* +X178809Y337390D01* +X178915Y337443D01* +X179012Y337512D01* +X179096Y337595D01* +X179167Y337691D01* +X179221Y337796D01* +X179259Y337908D01* +X179278Y338025D01* +X179279Y338144D01* +X179261Y338261D01* +X179223Y338374D01* +X179124Y338645D01* +X179056Y338925D01* +X179014Y339211D01* +X179000Y339500D01* +X179014Y339789D01* +X179056Y340075D01* +X179124Y340355D01* +X179220Y340628D01* +X179258Y340739D01* +X179275Y340856D01* +X179275Y340974D01* +X179255Y341091D01* +X179218Y341203D01* +X179164Y341307D01* +X179094Y341402D01* +X179009Y341485D01* +X178913Y341554D01* +X178808Y341607D01* +X178695Y341643D01* +X178579Y341660D01* +X178461Y341659D01* +X178344Y341640D01* +X178300Y341625D01* +Y343962D01* +X179868Y345529D01* +X179951Y345478D01* +X180605Y345207D01* +X181294Y345042D01* +X182000Y344986D01* +X182002Y344986D01* +Y344005D01* +X182000Y344005D01* +X181567Y343984D01* +X181137Y343922D01* +X180716Y343818D01* +X180306Y343675D01* +X180200Y343622D01* +X180104Y343553D01* +X180019Y343470D01* +X179949Y343375D01* +X179894Y343269D01* +X179857Y343157D01* +X179837Y343040D01* +X179836Y342921D01* +X179854Y342804D01* +X179890Y342691D01* +X179943Y342585D01* +X180012Y342488D01* +X180095Y342404D01* +X180191Y342333D01* +X180296Y342279D01* +X180408Y342241D01* +X180525Y342222D01* +X180644Y342221D01* +X180761Y342239D01* +X180874Y342277D01* +X181145Y342376D01* +X181425Y342444D01* +X181711Y342486D01* +X182000Y342500D01* +X182002Y342500D01* +Y336500D01* +X182000Y336500D01* +X181711Y336514D01* +X181425Y336556D01* +X181145Y336624D01* +X180872Y336720D01* +X180761Y336758D01* +X180644Y336775D01* +X180526Y336775D01* +X180409Y336755D01* +X180297Y336718D01* +X180193Y336664D01* +X180098Y336594D01* +X180015Y336509D01* +X179946Y336413D01* +X179893Y336308D01* +X179857Y336195D01* +X179840Y336079D01* +X179841Y335961D01* +X179860Y335844D01* +X179897Y335732D01* +X179952Y335627D01* +X180022Y335532D01* +X180106Y335449D01* +X180202Y335381D01* +X180308Y335330D01* +X180716Y335182D01* +X181137Y335078D01* +X181567Y335016D01* +X182000Y334995D01* +X182002Y334995D01* +Y299800D01* +G37* +G36* +Y295800D02*X172002D01* +Y297200D01* +X182002D01* +Y295800D01* +G37* +G36* +Y289300D02*X172002D01* +Y293200D01* +X182002D01* +Y289300D01* +G37* +G36* +X172002Y354014D02*X172000Y354014D01* +X171294Y353958D01* +X170605Y353793D01* +X169951Y353522D01* +X169347Y353152D01* +X168808Y352692D01* +X168348Y352153D01* +X167978Y351549D01* +X167707Y350895D01* +X167542Y350206D01* +X167486Y349500D01* +X167542Y348794D01* +X167707Y348105D01* +X167978Y347451D01* +X168029Y347368D01* +X166117Y345455D01* +X166078Y345422D01* +X165945Y345266D01* +X165838Y345092D01* +X165760Y344903D01* +X165712Y344704D01* +X165712Y344704D01* +X165696Y344500D01* +X165700Y344449D01* +Y341629D01* +X165657Y341643D01* +X165540Y341663D01* +X165421Y341664D01* +X165304Y341646D01* +X165191Y341610D01* +X165085Y341557D01* +X164988Y341488D01* +X164904Y341405D01* +X164833Y341309D01* +X164779Y341204D01* +X164741Y341092D01* +X164722Y340975D01* +X164721Y340856D01* +X164739Y340739D01* +X164777Y340626D01* +X164876Y340355D01* +X164944Y340075D01* +X164986Y339789D01* +X165000Y339500D01* +X164986Y339211D01* +X164944Y338925D01* +X164876Y338645D01* +X164780Y338372D01* +X164742Y338261D01* +X164725Y338144D01* +X164725Y338026D01* +X164745Y337909D01* +X164782Y337797D01* +X164836Y337693D01* +X164906Y337598D01* +X164991Y337515D01* +X165087Y337446D01* +X165192Y337393D01* +X165305Y337357D01* +X165421Y337340D01* +X165539Y337341D01* +X165656Y337360D01* +X165700Y337375D01* +Y308538D01* +X164962Y307800D01* +X164247D01* +Y345599D01* +X164653Y345848D01* +X165192Y346308D01* +X165652Y346847D01* +X166022Y347451D01* +X166293Y348105D01* +X166458Y348794D01* +X166500Y349500D01* +X166458Y350206D01* +X166293Y350895D01* +X166022Y351549D01* +X165652Y352153D01* +X165192Y352692D01* +X164653Y353152D01* +X164247Y353401D01* +Y391500D01* +X172002D01* +Y354014D01* +G37* +G36* +Y303800D02*X164247D01* +Y305200D01* +X165449D01* +X165500Y305196D01* +X165704Y305212D01* +X165704Y305212D01* +X165903Y305260D01* +X166092Y305338D01* +X166266Y305445D01* +X166422Y305578D01* +X166455Y305617D01* +X167883Y307045D01* +X167922Y307078D01* +X168055Y307233D01* +X168055Y307234D01* +X168162Y307408D01* +X168240Y307597D01* +X168288Y307796D01* +X168304Y308000D01* +X168300Y308051D01* +Y337371D01* +X168343Y337357D01* +X168460Y337337D01* +X168579Y337336D01* +X168696Y337354D01* +X168809Y337390D01* +X168915Y337443D01* +X169012Y337512D01* +X169096Y337595D01* +X169167Y337691D01* +X169221Y337796D01* +X169259Y337908D01* +X169278Y338025D01* +X169279Y338144D01* +X169261Y338261D01* +X169223Y338374D01* +X169124Y338645D01* +X169056Y338925D01* +X169014Y339211D01* +X169000Y339500D01* +X169014Y339789D01* +X169056Y340075D01* +X169124Y340355D01* +X169220Y340628D01* +X169258Y340739D01* +X169275Y340856D01* +X169275Y340974D01* +X169255Y341091D01* +X169218Y341203D01* +X169164Y341307D01* +X169094Y341402D01* +X169009Y341485D01* +X168913Y341554D01* +X168808Y341607D01* +X168695Y341643D01* +X168579Y341660D01* +X168461Y341659D01* +X168344Y341640D01* +X168300Y341625D01* +Y343962D01* +X169868Y345529D01* +X169951Y345478D01* +X170605Y345207D01* +X171294Y345042D01* +X172000Y344986D01* +X172002Y344986D01* +Y344005D01* +X172000Y344005D01* +X171567Y343984D01* +X171137Y343922D01* +X170716Y343818D01* +X170306Y343675D01* +X170200Y343622D01* +X170104Y343553D01* +X170019Y343470D01* +X169949Y343375D01* +X169894Y343269D01* +X169857Y343157D01* +X169837Y343040D01* +X169836Y342921D01* +X169854Y342804D01* +X169890Y342691D01* +X169943Y342585D01* +X170012Y342488D01* +X170095Y342404D01* +X170191Y342333D01* +X170296Y342279D01* +X170408Y342241D01* +X170525Y342222D01* +X170644Y342221D01* +X170761Y342239D01* +X170874Y342277D01* +X171145Y342376D01* +X171425Y342444D01* +X171711Y342486D01* +X172000Y342500D01* +X172002Y342500D01* +Y336500D01* +X172000Y336500D01* +X171711Y336514D01* +X171425Y336556D01* +X171145Y336624D01* +X170872Y336720D01* +X170761Y336758D01* +X170644Y336775D01* +X170526Y336775D01* +X170409Y336755D01* +X170297Y336718D01* +X170193Y336664D01* +X170098Y336594D01* +X170015Y336509D01* +X169946Y336413D01* +X169893Y336308D01* +X169857Y336195D01* +X169840Y336079D01* +X169841Y335961D01* +X169860Y335844D01* +X169897Y335732D01* +X169952Y335627D01* +X170022Y335532D01* +X170106Y335449D01* +X170202Y335381D01* +X170308Y335330D01* +X170716Y335182D01* +X171137Y335078D01* +X171567Y335016D01* +X172000Y334995D01* +X172002Y334995D01* +Y303800D01* +G37* +G36* +Y299800D02*X164247D01* +Y301200D01* +X172002D01* +Y299800D01* +G37* +G36* +Y295800D02*X164247D01* +Y297200D01* +X172002D01* +Y295800D01* +G37* +G36* +Y289300D02*X164247D01* +Y293200D01* +X172002D01* +Y289300D01* +G37* +G36* +X256000Y285300D02*X164247D01* +Y286700D01* +X193000D01* +X193000Y286700D01* +X256000D01* +Y285300D01* +G37* +G36* +Y281300D02*X212500D01* +X212500Y281300D01* +X164247D01* +Y282700D01* +X256000D01* +Y281300D01* +G37* +G36* +Y277300D02*X164247D01* +Y278700D01* +X212500D01* +X212500Y278700D01* +X256000D01* +Y277300D01* +G37* +G36* +Y273200D02*X255903Y273240D01* +X255704Y273288D01* +X255704Y273288D01* +X255500Y273304D01* +X255449Y273300D01* +X231500D01* +X231500Y273300D01* +X164247D01* +Y274700D01* +X256000D01* +Y273200D01* +G37* +G36* +X253747Y242880D02*X253808Y242808D01* +X254347Y242348D01* +X254951Y241978D01* +X255605Y241707D01* +X256000Y241612D01* +Y240250D01* +X253747D01* +Y242880D01* +G37* +G36* +Y270700D02*X254962D01* +X255700Y269962D01* +Y250316D01* +X255605Y250293D01* +X254951Y250022D01* +X254347Y249652D01* +X253808Y249192D01* +X253747Y249120D01* +Y251500D01* +X253749Y251500D01* +X253810Y251513D01* +X253869Y251536D01* +X253923Y251567D01* +X253972Y251607D01* +X254015Y251654D01* +X254049Y251706D01* +X254073Y251764D01* +X254138Y251969D01* +X254179Y252179D01* +X254200Y252393D01* +Y252607D01* +X254179Y252821D01* +X254138Y253031D01* +X254076Y253237D01* +X254050Y253294D01* +X254016Y253347D01* +X253974Y253394D01* +X253924Y253434D01* +X253870Y253466D01* +X253811Y253488D01* +X253749Y253501D01* +X253747Y253501D01* +Y270700D01* +G37* +G36* +X252001D02*X253747D01* +Y253501D01* +X253686Y253505D01* +X253623Y253498D01* +X253562Y253482D01* +X253504Y253456D01* +X253451Y253421D01* +X253404Y253379D01* +X253364Y253330D01* +X253333Y253275D01* +X253310Y253216D01* +X253297Y253154D01* +X253294Y253091D01* +X253300Y253028D01* +X253318Y252968D01* +X253359Y252838D01* +X253385Y252704D01* +X253398Y252568D01* +Y252432D01* +X253385Y252296D01* +X253359Y252162D01* +X253319Y252032D01* +X253302Y251971D01* +X253295Y251909D01* +X253299Y251846D01* +X253312Y251784D01* +X253334Y251726D01* +X253366Y251671D01* +X253405Y251622D01* +X253452Y251580D01* +X253505Y251546D01* +X253562Y251520D01* +X253623Y251504D01* +X253686Y251497D01* +X253747Y251500D01* +Y249120D01* +X253348Y248653D01* +X252978Y248049D01* +X252707Y247395D01* +X252542Y246706D01* +X252486Y246000D01* +X252542Y245294D01* +X252707Y244605D01* +X252978Y243951D01* +X253348Y243347D01* +X253747Y242880D01* +Y240250D01* +X252001D01* +Y250300D01* +X252107D01* +X252321Y250321D01* +X252531Y250362D01* +X252737Y250424D01* +X252794Y250450D01* +X252847Y250484D01* +X252894Y250526D01* +X252934Y250576D01* +X252966Y250630D01* +X252988Y250689D01* +X253001Y250751D01* +X253005Y250814D01* +X252998Y250877D01* +X252982Y250938D01* +X252956Y250996D01* +X252921Y251049D01* +X252879Y251096D01* +X252830Y251136D01* +X252775Y251167D01* +X252716Y251190D01* +X252654Y251203D01* +X252591Y251206D01* +X252528Y251200D01* +X252468Y251182D01* +X252338Y251141D01* +X252204Y251115D01* +X252068Y251102D01* +X252001D01* +Y253898D01* +X252068D01* +X252204Y253885D01* +X252338Y253859D01* +X252468Y253819D01* +X252529Y253802D01* +X252591Y253795D01* +X252654Y253799D01* +X252716Y253812D01* +X252774Y253834D01* +X252829Y253866D01* +X252878Y253905D01* +X252920Y253952D01* +X252954Y254005D01* +X252980Y254062D01* +X252996Y254123D01* +X253003Y254186D01* +X253000Y254249D01* +X252987Y254310D01* +X252964Y254369D01* +X252933Y254423D01* +X252893Y254472D01* +X252846Y254515D01* +X252794Y254549D01* +X252736Y254573D01* +X252531Y254638D01* +X252321Y254679D01* +X252107Y254700D01* +X252001D01* +Y270700D01* +G37* +G36* +X256000Y197000D02*X252001D01* +Y223638D01* +X252015Y223654D01* +X252049Y223706D01* +X252073Y223764D01* +X252138Y223969D01* +X252179Y224179D01* +X252200Y224393D01* +Y224607D01* +X252179Y224821D01* +X252138Y225031D01* +X252076Y225237D01* +X252050Y225294D01* +X252016Y225347D01* +X252001Y225364D01* +Y235750D01* +X256000D01* +Y197000D01* +G37* +G36* +X250253Y242880D02*X250652Y243347D01* +X251022Y243951D01* +X251293Y244605D01* +X251458Y245294D01* +X251500Y246000D01* +X251458Y246706D01* +X251293Y247395D01* +X251022Y248049D01* +X250652Y248653D01* +X250253Y249120D01* +Y251499D01* +X250314Y251495D01* +X250377Y251502D01* +X250438Y251518D01* +X250496Y251544D01* +X250549Y251579D01* +X250596Y251621D01* +X250636Y251670D01* +X250667Y251725D01* +X250690Y251784D01* +X250703Y251846D01* +X250706Y251909D01* +X250700Y251972D01* +X250682Y252032D01* +X250641Y252162D01* +X250615Y252296D01* +X250602Y252432D01* +Y252568D01* +X250615Y252704D01* +X250641Y252838D01* +X250681Y252968D01* +X250698Y253029D01* +X250705Y253091D01* +X250701Y253154D01* +X250688Y253216D01* +X250666Y253274D01* +X250634Y253329D01* +X250595Y253378D01* +X250548Y253420D01* +X250495Y253454D01* +X250438Y253480D01* +X250377Y253496D01* +X250314Y253503D01* +X250253Y253500D01* +Y270700D01* +X252001D01* +Y254700D01* +X251893D01* +X251679Y254679D01* +X251469Y254638D01* +X251263Y254576D01* +X251206Y254550D01* +X251153Y254516D01* +X251106Y254474D01* +X251066Y254424D01* +X251034Y254370D01* +X251012Y254311D01* +X250999Y254249D01* +X250995Y254186D01* +X251002Y254123D01* +X251018Y254062D01* +X251044Y254004D01* +X251079Y253951D01* +X251121Y253904D01* +X251170Y253864D01* +X251225Y253833D01* +X251284Y253810D01* +X251346Y253797D01* +X251409Y253794D01* +X251472Y253800D01* +X251532Y253818D01* +X251662Y253859D01* +X251796Y253885D01* +X251932Y253898D01* +X252001D01* +Y251102D01* +X251932D01* +X251796Y251115D01* +X251662Y251141D01* +X251532Y251181D01* +X251471Y251198D01* +X251409Y251205D01* +X251346Y251201D01* +X251284Y251188D01* +X251226Y251166D01* +X251171Y251134D01* +X251122Y251095D01* +X251080Y251048D01* +X251046Y250995D01* +X251020Y250938D01* +X251004Y250877D01* +X250997Y250814D01* +X251000Y250751D01* +X251013Y250690D01* +X251036Y250631D01* +X251067Y250577D01* +X251107Y250528D01* +X251154Y250485D01* +X251206Y250451D01* +X251264Y250427D01* +X251469Y250362D01* +X251679Y250321D01* +X251893Y250300D01* +X252001D01* +Y240250D01* +X250253D01* +Y242880D01* +G37* +G36* +X252001Y197000D02*X250253D01* +Y222314D01* +X250321Y222321D01* +X250531Y222362D01* +X250737Y222424D01* +X250794Y222450D01* +X250847Y222484D01* +X250894Y222526D01* +X250934Y222576D01* +X250966Y222630D01* +X250988Y222689D01* +X251001Y222751D01* +X251005Y222814D01* +X250998Y222877D01* +X250982Y222938D01* +X250956Y222996D01* +X250921Y223049D01* +X250879Y223096D01* +X250830Y223136D01* +X250775Y223167D01* +X250716Y223190D01* +X250654Y223203D01* +X250591Y223206D01* +X250528Y223200D01* +X250468Y223182D01* +X250338Y223141D01* +X250253Y223125D01* +Y225875D01* +X250338Y225859D01* +X250468Y225819D01* +X250529Y225802D01* +X250591Y225795D01* +X250654Y225799D01* +X250716Y225812D01* +X250774Y225834D01* +X250829Y225866D01* +X250878Y225905D01* +X250920Y225952D01* +X250954Y226005D01* +X250980Y226062D01* +X250996Y226123D01* +X251003Y226186D01* +X251000Y226249D01* +X250987Y226310D01* +X250964Y226369D01* +X250933Y226423D01* +X250893Y226472D01* +X250846Y226515D01* +X250794Y226549D01* +X250736Y226573D01* +X250531Y226638D01* +X250321Y226679D01* +X250253Y226686D01* +Y235750D01* +X252001D01* +Y225364D01* +X251974Y225394D01* +X251924Y225434D01* +X251870Y225466D01* +X251811Y225488D01* +X251749Y225501D01* +X251686Y225505D01* +X251623Y225498D01* +X251562Y225482D01* +X251504Y225456D01* +X251451Y225421D01* +X251404Y225379D01* +X251364Y225330D01* +X251333Y225275D01* +X251310Y225216D01* +X251297Y225154D01* +X251294Y225091D01* +X251300Y225028D01* +X251318Y224968D01* +X251359Y224838D01* +X251385Y224704D01* +X251398Y224568D01* +Y224432D01* +X251385Y224296D01* +X251359Y224162D01* +X251319Y224032D01* +X251302Y223971D01* +X251295Y223909D01* +X251299Y223846D01* +X251312Y223784D01* +X251334Y223726D01* +X251366Y223671D01* +X251405Y223622D01* +X251452Y223580D01* +X251505Y223546D01* +X251562Y223520D01* +X251623Y223504D01* +X251686Y223497D01* +X251749Y223500D01* +X251810Y223513D01* +X251869Y223536D01* +X251923Y223567D01* +X251972Y223607D01* +X252001Y223638D01* +Y197000D01* +G37* +G36* +X250253Y249120D02*X250192Y249192D01* +X249653Y249652D01* +X249049Y250022D01* +X248395Y250293D01* +X248300Y250316D01* +Y266449D01* +X248304Y266500D01* +X248288Y266704D01* +X248288Y266704D01* +X248252Y266855D01* +X248240Y266903D01* +X248208Y266981D01* +X248162Y267092D01* +X248120Y267160D01* +X248055Y267266D01* +X248055Y267267D01* +X247922Y267422D01* +X247883Y267455D01* +X246455Y268883D01* +X246422Y268922D01* +X246266Y269055D01* +X246092Y269162D01* +X245903Y269240D01* +X245704Y269288D01* +X245704Y269288D01* +X245500Y269304D01* +X245449Y269300D01* +X164247D01* +Y270700D01* +X231500D01* +X231500Y270700D01* +X250253D01* +Y253500D01* +X250251Y253500D01* +X250190Y253487D01* +X250131Y253464D01* +X250077Y253433D01* +X250028Y253393D01* +X249985Y253346D01* +X249951Y253294D01* +X249927Y253236D01* +X249862Y253031D01* +X249821Y252821D01* +X249800Y252607D01* +Y252393D01* +X249821Y252179D01* +X249862Y251969D01* +X249924Y251763D01* +X249950Y251706D01* +X249984Y251653D01* +X250026Y251606D01* +X250076Y251566D01* +X250130Y251534D01* +X250189Y251512D01* +X250251Y251499D01* +X250253Y251499D01* +Y249120D01* +G37* +G36* +X248253Y241673D02*X248395Y241707D01* +X249049Y241978D01* +X249653Y242348D01* +X250192Y242808D01* +X250253Y242880D01* +Y240250D01* +X248253D01* +Y241673D01* +G37* +G36* +X250253Y197000D02*X249000D01* +X249000Y197000D01* +X248253D01* +Y223499D01* +X248314Y223495D01* +X248377Y223502D01* +X248438Y223518D01* +X248496Y223544D01* +X248549Y223579D01* +X248596Y223621D01* +X248636Y223670D01* +X248667Y223725D01* +X248690Y223784D01* +X248703Y223846D01* +X248706Y223909D01* +X248700Y223972D01* +X248682Y224032D01* +X248641Y224162D01* +X248615Y224296D01* +X248602Y224432D01* +Y224568D01* +X248615Y224704D01* +X248641Y224838D01* +X248681Y224968D01* +X248698Y225029D01* +X248705Y225091D01* +X248701Y225154D01* +X248688Y225216D01* +X248666Y225274D01* +X248634Y225329D01* +X248595Y225378D01* +X248548Y225420D01* +X248495Y225454D01* +X248438Y225480D01* +X248377Y225496D01* +X248314Y225503D01* +X248253Y225500D01* +Y235750D01* +X250253D01* +Y226686D01* +X250107Y226700D01* +X249893D01* +X249679Y226679D01* +X249469Y226638D01* +X249263Y226576D01* +X249206Y226550D01* +X249153Y226516D01* +X249106Y226474D01* +X249066Y226424D01* +X249034Y226370D01* +X249012Y226311D01* +X248999Y226249D01* +X248995Y226186D01* +X249002Y226123D01* +X249018Y226062D01* +X249044Y226004D01* +X249079Y225951D01* +X249121Y225904D01* +X249170Y225864D01* +X249225Y225833D01* +X249284Y225810D01* +X249346Y225797D01* +X249409Y225794D01* +X249472Y225800D01* +X249532Y225818D01* +X249662Y225859D01* +X249796Y225885D01* +X249932Y225898D01* +X250068D01* +X250204Y225885D01* +X250253Y225875D01* +Y223125D01* +X250204Y223115D01* +X250068Y223102D01* +X249932D01* +X249796Y223115D01* +X249662Y223141D01* +X249532Y223181D01* +X249471Y223198D01* +X249409Y223205D01* +X249346Y223201D01* +X249284Y223188D01* +X249226Y223166D01* +X249171Y223134D01* +X249122Y223095D01* +X249080Y223048D01* +X249046Y222995D01* +X249020Y222938D01* +X249004Y222877D01* +X248997Y222814D01* +X249000Y222751D01* +X249013Y222690D01* +X249036Y222631D01* +X249067Y222577D01* +X249107Y222528D01* +X249154Y222485D01* +X249206Y222451D01* +X249264Y222427D01* +X249469Y222362D01* +X249679Y222321D01* +X249893Y222300D01* +X250107D01* +X250253Y222314D01* +Y197000D01* +G37* +G36* +X243747Y242880D02*X243808Y242808D01* +X244347Y242348D01* +X244951Y241978D01* +X245605Y241707D01* +X246294Y241542D01* +X247000Y241486D01* +X247706Y241542D01* +X248253Y241673D01* +Y240250D01* +X243747D01* +Y242880D01* +G37* +G36* +Y266700D02*X244962D01* +X245700Y265962D01* +Y250316D01* +X245605Y250293D01* +X244951Y250022D01* +X244347Y249652D01* +X243808Y249192D01* +X243747Y249120D01* +Y251500D01* +X243749Y251500D01* +X243810Y251513D01* +X243869Y251536D01* +X243923Y251567D01* +X243972Y251607D01* +X244015Y251654D01* +X244049Y251706D01* +X244073Y251764D01* +X244138Y251969D01* +X244179Y252179D01* +X244200Y252393D01* +Y252607D01* +X244179Y252821D01* +X244138Y253031D01* +X244076Y253237D01* +X244050Y253294D01* +X244016Y253347D01* +X243974Y253394D01* +X243924Y253434D01* +X243870Y253466D01* +X243811Y253488D01* +X243749Y253501D01* +X243747Y253501D01* +Y266700D01* +G37* +G36* +X242001D02*X243747D01* +Y253501D01* +X243686Y253505D01* +X243623Y253498D01* +X243562Y253482D01* +X243504Y253456D01* +X243451Y253421D01* +X243404Y253379D01* +X243364Y253330D01* +X243333Y253275D01* +X243310Y253216D01* +X243297Y253154D01* +X243294Y253091D01* +X243300Y253028D01* +X243318Y252968D01* +X243359Y252838D01* +X243385Y252704D01* +X243398Y252568D01* +Y252432D01* +X243385Y252296D01* +X243359Y252162D01* +X243319Y252032D01* +X243302Y251971D01* +X243295Y251909D01* +X243299Y251846D01* +X243312Y251784D01* +X243334Y251726D01* +X243366Y251671D01* +X243405Y251622D01* +X243452Y251580D01* +X243505Y251546D01* +X243562Y251520D01* +X243623Y251504D01* +X243686Y251497D01* +X243747Y251500D01* +Y249120D01* +X243348Y248653D01* +X242978Y248049D01* +X242707Y247395D01* +X242542Y246706D01* +X242486Y246000D01* +X242542Y245294D01* +X242707Y244605D01* +X242978Y243951D01* +X243348Y243347D01* +X243747Y242880D01* +Y240250D01* +X242001D01* +Y250300D01* +X242107D01* +X242321Y250321D01* +X242531Y250362D01* +X242737Y250424D01* +X242794Y250450D01* +X242847Y250484D01* +X242894Y250526D01* +X242934Y250576D01* +X242966Y250630D01* +X242988Y250689D01* +X243001Y250751D01* +X243005Y250814D01* +X242998Y250877D01* +X242982Y250938D01* +X242956Y250996D01* +X242921Y251049D01* +X242879Y251096D01* +X242830Y251136D01* +X242775Y251167D01* +X242716Y251190D01* +X242654Y251203D01* +X242591Y251206D01* +X242528Y251200D01* +X242468Y251182D01* +X242338Y251141D01* +X242204Y251115D01* +X242068Y251102D01* +X242001D01* +Y253898D01* +X242068D01* +X242204Y253885D01* +X242338Y253859D01* +X242468Y253819D01* +X242529Y253802D01* +X242591Y253795D01* +X242654Y253799D01* +X242716Y253812D01* +X242774Y253834D01* +X242829Y253866D01* +X242878Y253905D01* +X242920Y253952D01* +X242954Y254005D01* +X242980Y254062D01* +X242996Y254123D01* +X243003Y254186D01* +X243000Y254249D01* +X242987Y254310D01* +X242964Y254369D01* +X242933Y254423D01* +X242893Y254472D01* +X242846Y254515D01* +X242794Y254549D01* +X242736Y254573D01* +X242531Y254638D01* +X242321Y254679D01* +X242107Y254700D01* +X242001D01* +Y266700D01* +G37* +G36* +X240253Y242880D02*X240652Y243347D01* +X241022Y243951D01* +X241293Y244605D01* +X241458Y245294D01* +X241500Y246000D01* +X241458Y246706D01* +X241293Y247395D01* +X241022Y248049D01* +X240652Y248653D01* +X240253Y249120D01* +Y251499D01* +X240314Y251495D01* +X240377Y251502D01* +X240438Y251518D01* +X240496Y251544D01* +X240549Y251579D01* +X240596Y251621D01* +X240636Y251670D01* +X240667Y251725D01* +X240690Y251784D01* +X240703Y251846D01* +X240706Y251909D01* +X240700Y251972D01* +X240682Y252032D01* +X240641Y252162D01* +X240615Y252296D01* +X240602Y252432D01* +Y252568D01* +X240615Y252704D01* +X240641Y252838D01* +X240681Y252968D01* +X240698Y253029D01* +X240705Y253091D01* +X240701Y253154D01* +X240688Y253216D01* +X240666Y253274D01* +X240634Y253329D01* +X240595Y253378D01* +X240548Y253420D01* +X240495Y253454D01* +X240438Y253480D01* +X240377Y253496D01* +X240314Y253503D01* +X240253Y253500D01* +Y266700D01* +X242001D01* +Y254700D01* +X241893D01* +X241679Y254679D01* +X241469Y254638D01* +X241263Y254576D01* +X241206Y254550D01* +X241153Y254516D01* +X241106Y254474D01* +X241066Y254424D01* +X241034Y254370D01* +X241012Y254311D01* +X240999Y254249D01* +X240995Y254186D01* +X241002Y254123D01* +X241018Y254062D01* +X241044Y254004D01* +X241079Y253951D01* +X241121Y253904D01* +X241170Y253864D01* +X241225Y253833D01* +X241284Y253810D01* +X241346Y253797D01* +X241409Y253794D01* +X241472Y253800D01* +X241532Y253818D01* +X241662Y253859D01* +X241796Y253885D01* +X241932Y253898D01* +X242001D01* +Y251102D01* +X241932D01* +X241796Y251115D01* +X241662Y251141D01* +X241532Y251181D01* +X241471Y251198D01* +X241409Y251205D01* +X241346Y251201D01* +X241284Y251188D01* +X241226Y251166D01* +X241171Y251134D01* +X241122Y251095D01* +X241080Y251048D01* +X241046Y250995D01* +X241020Y250938D01* +X241004Y250877D01* +X240997Y250814D01* +X241000Y250751D01* +X241013Y250690D01* +X241036Y250631D01* +X241067Y250577D01* +X241107Y250528D01* +X241154Y250485D01* +X241206Y250451D01* +X241264Y250427D01* +X241469Y250362D01* +X241679Y250321D01* +X241893Y250300D01* +X242001D01* +Y240250D01* +X240253D01* +Y242880D01* +G37* +G36* +Y249120D02*X240192Y249192D01* +X239653Y249652D01* +X239049Y250022D01* +X238395Y250293D01* +X238300Y250316D01* +Y262449D01* +X238304Y262500D01* +X238288Y262704D01* +X238288Y262704D01* +X238240Y262903D01* +X238162Y263092D01* +X238055Y263266D01* +X237922Y263422D01* +X237883Y263455D01* +X236455Y264883D01* +X236422Y264922D01* +X236266Y265055D01* +X236092Y265162D01* +X235903Y265240D01* +X235704Y265288D01* +X235704Y265288D01* +X235500Y265304D01* +X235449Y265300D01* +X233747D01* +Y266700D01* +X240253D01* +Y253500D01* +X240251Y253500D01* +X240190Y253487D01* +X240131Y253464D01* +X240077Y253433D01* +X240028Y253393D01* +X239985Y253346D01* +X239951Y253294D01* +X239927Y253236D01* +X239862Y253031D01* +X239821Y252821D01* +X239800Y252607D01* +Y252393D01* +X239821Y252179D01* +X239862Y251969D01* +X239924Y251763D01* +X239950Y251706D01* +X239984Y251653D01* +X240026Y251606D01* +X240076Y251566D01* +X240130Y251534D01* +X240189Y251512D01* +X240251Y251499D01* +X240253Y251499D01* +Y249120D01* +G37* +G36* +X233747Y242880D02*X233808Y242808D01* +X234347Y242348D01* +X234951Y241978D01* +X235605Y241707D01* +X236294Y241542D01* +X237000Y241486D01* +X237706Y241542D01* +X238395Y241707D01* +X239049Y241978D01* +X239653Y242348D01* +X240192Y242808D01* +X240253Y242880D01* +Y240250D01* +X233747D01* +Y242880D01* +G37* +G36* +Y262700D02*X234962D01* +X235700Y261962D01* +Y250316D01* +X235605Y250293D01* +X234951Y250022D01* +X234347Y249652D01* +X233808Y249192D01* +X233747Y249120D01* +Y251500D01* +X233749Y251500D01* +X233810Y251513D01* +X233869Y251536D01* +X233923Y251567D01* +X233972Y251607D01* +X234015Y251654D01* +X234049Y251706D01* +X234073Y251764D01* +X234138Y251969D01* +X234179Y252179D01* +X234200Y252393D01* +Y252607D01* +X234179Y252821D01* +X234138Y253031D01* +X234076Y253237D01* +X234050Y253294D01* +X234016Y253347D01* +X233974Y253394D01* +X233924Y253434D01* +X233870Y253466D01* +X233811Y253488D01* +X233749Y253501D01* +X233747Y253501D01* +Y262700D01* +G37* +G36* +Y265300D02*X231501D01* +Y266700D01* +X233747D01* +Y265300D01* +G37* +G36* +X231501Y262700D02*X233747D01* +Y253501D01* +X233686Y253505D01* +X233623Y253498D01* +X233562Y253482D01* +X233504Y253456D01* +X233451Y253421D01* +X233404Y253379D01* +X233364Y253330D01* +X233333Y253275D01* +X233310Y253216D01* +X233297Y253154D01* +X233294Y253091D01* +X233300Y253028D01* +X233318Y252968D01* +X233359Y252838D01* +X233385Y252704D01* +X233398Y252568D01* +Y252432D01* +X233385Y252296D01* +X233359Y252162D01* +X233319Y252032D01* +X233302Y251971D01* +X233295Y251909D01* +X233299Y251846D01* +X233312Y251784D01* +X233334Y251726D01* +X233366Y251671D01* +X233405Y251622D01* +X233452Y251580D01* +X233505Y251546D01* +X233562Y251520D01* +X233623Y251504D01* +X233686Y251497D01* +X233747Y251500D01* +Y249120D01* +X233348Y248653D01* +X232978Y248049D01* +X232707Y247395D01* +X232542Y246706D01* +X232486Y246000D01* +X232542Y245294D01* +X232707Y244605D01* +X232978Y243951D01* +X233348Y243347D01* +X233747Y242880D01* +Y240250D01* +X231501D01* +Y250356D01* +X231679Y250321D01* +X231893Y250300D01* +X232107D01* +X232321Y250321D01* +X232531Y250362D01* +X232737Y250424D01* +X232794Y250450D01* +X232847Y250484D01* +X232894Y250526D01* +X232934Y250576D01* +X232966Y250630D01* +X232988Y250689D01* +X233001Y250751D01* +X233005Y250814D01* +X232998Y250877D01* +X232982Y250938D01* +X232956Y250996D01* +X232921Y251049D01* +X232879Y251096D01* +X232830Y251136D01* +X232775Y251167D01* +X232716Y251190D01* +X232654Y251203D01* +X232591Y251206D01* +X232528Y251200D01* +X232468Y251182D01* +X232338Y251141D01* +X232204Y251115D01* +X232068Y251102D01* +X231932D01* +X231796Y251115D01* +X231662Y251141D01* +X231532Y251181D01* +X231501Y251190D01* +Y253809D01* +X231532Y253818D01* +X231662Y253859D01* +X231796Y253885D01* +X231932Y253898D01* +X232068D01* +X232204Y253885D01* +X232338Y253859D01* +X232468Y253819D01* +X232529Y253802D01* +X232591Y253795D01* +X232654Y253799D01* +X232716Y253812D01* +X232774Y253834D01* +X232829Y253866D01* +X232878Y253905D01* +X232920Y253952D01* +X232954Y254005D01* +X232980Y254062D01* +X232996Y254123D01* +X233003Y254186D01* +X233000Y254249D01* +X232987Y254310D01* +X232964Y254369D01* +X232933Y254423D01* +X232893Y254472D01* +X232846Y254515D01* +X232794Y254549D01* +X232736Y254573D01* +X232531Y254638D01* +X232321Y254679D01* +X232107Y254700D01* +X231893D01* +X231679Y254679D01* +X231501Y254644D01* +Y262700D01* +G37* +G36* +X248253Y197000D02*X233250D01* +Y199000D01* +X233247Y199054D01* +Y214500D01* +X233249Y214500D01* +X233310Y214513D01* +X233369Y214536D01* +X233423Y214567D01* +X233472Y214607D01* +X233515Y214654D01* +X233549Y214706D01* +X233573Y214764D01* +X233638Y214969D01* +X233679Y215179D01* +X233700Y215393D01* +Y215607D01* +X233679Y215821D01* +X233638Y216031D01* +X233576Y216237D01* +X233550Y216294D01* +X233516Y216347D01* +X233474Y216394D01* +X233424Y216434D01* +X233370Y216466D01* +X233311Y216488D01* +X233249Y216501D01* +X233247Y216501D01* +Y235750D01* +X248253D01* +Y225500D01* +X248251Y225500D01* +X248190Y225487D01* +X248131Y225464D01* +X248077Y225433D01* +X248028Y225393D01* +X247985Y225346D01* +X247951Y225294D01* +X247927Y225236D01* +X247862Y225031D01* +X247821Y224821D01* +X247800Y224607D01* +Y224393D01* +X247821Y224179D01* +X247862Y223969D01* +X247924Y223763D01* +X247950Y223706D01* +X247984Y223653D01* +X248026Y223606D01* +X248076Y223566D01* +X248130Y223534D01* +X248189Y223512D01* +X248251Y223499D01* +X248253Y223499D01* +Y197000D01* +G37* +G36* +X233247Y199054D02*X233229Y199353D01* +X233146Y199697D01* +X233011Y200025D01* +X232826Y200327D01* +X232596Y200596D01* +X232327Y200826D01* +X232025Y201011D01* +X231697Y201146D01* +X231501Y201194D01* +Y213300D01* +X231607D01* +X231821Y213321D01* +X232031Y213362D01* +X232237Y213424D01* +X232294Y213450D01* +X232347Y213484D01* +X232394Y213526D01* +X232434Y213576D01* +X232466Y213630D01* +X232488Y213689D01* +X232501Y213751D01* +X232505Y213814D01* +X232498Y213877D01* +X232482Y213938D01* +X232456Y213996D01* +X232421Y214049D01* +X232379Y214096D01* +X232330Y214136D01* +X232275Y214167D01* +X232216Y214190D01* +X232154Y214203D01* +X232091Y214206D01* +X232028Y214200D01* +X231968Y214182D01* +X231838Y214141D01* +X231704Y214115D01* +X231568Y214102D01* +X231501D01* +Y216898D01* +X231568D01* +X231704Y216885D01* +X231838Y216859D01* +X231968Y216819D01* +X232029Y216802D01* +X232091Y216795D01* +X232154Y216799D01* +X232216Y216812D01* +X232274Y216834D01* +X232329Y216866D01* +X232378Y216905D01* +X232420Y216952D01* +X232454Y217005D01* +X232480Y217062D01* +X232496Y217123D01* +X232503Y217186D01* +X232500Y217249D01* +X232487Y217310D01* +X232464Y217369D01* +X232433Y217423D01* +X232393Y217472D01* +X232346Y217515D01* +X232294Y217549D01* +X232236Y217573D01* +X232031Y217638D01* +X231821Y217679D01* +X231607Y217700D01* +X231501D01* +Y235750D01* +X233247D01* +Y216501D01* +X233186Y216505D01* +X233123Y216498D01* +X233062Y216482D01* +X233004Y216456D01* +X232951Y216421D01* +X232904Y216379D01* +X232864Y216330D01* +X232833Y216275D01* +X232810Y216216D01* +X232797Y216154D01* +X232794Y216091D01* +X232800Y216028D01* +X232818Y215968D01* +X232859Y215838D01* +X232885Y215704D01* +X232898Y215568D01* +Y215432D01* +X232885Y215296D01* +X232859Y215162D01* +X232819Y215032D01* +X232802Y214971D01* +X232795Y214909D01* +X232799Y214846D01* +X232812Y214784D01* +X232834Y214726D01* +X232866Y214671D01* +X232905Y214622D01* +X232952Y214580D01* +X233005Y214546D01* +X233062Y214520D01* +X233123Y214504D01* +X233186Y214497D01* +X233247Y214500D01* +Y199054D01* +G37* +G36* +X231501Y265300D02*X194993D01* +Y266700D01* +X231501D01* +Y265300D01* +G37* +G36* +X230253Y242880D02*X230652Y243347D01* +X231022Y243951D01* +X231293Y244605D01* +X231458Y245294D01* +X231500Y246000D01* +X231458Y246706D01* +X231293Y247395D01* +X231022Y248049D01* +X230652Y248653D01* +X230253Y249120D01* +Y251499D01* +X230314Y251495D01* +X230377Y251502D01* +X230438Y251518D01* +X230496Y251544D01* +X230549Y251579D01* +X230596Y251621D01* +X230636Y251670D01* +X230667Y251725D01* +X230690Y251784D01* +X230703Y251846D01* +X230706Y251909D01* +X230700Y251972D01* +X230682Y252032D01* +X230641Y252162D01* +X230615Y252296D01* +X230602Y252432D01* +Y252568D01* +X230615Y252704D01* +X230641Y252838D01* +X230681Y252968D01* +X230698Y253029D01* +X230705Y253091D01* +X230701Y253154D01* +X230688Y253216D01* +X230666Y253274D01* +X230634Y253329D01* +X230595Y253378D01* +X230548Y253420D01* +X230495Y253454D01* +X230438Y253480D01* +X230377Y253496D01* +X230314Y253503D01* +X230253Y253500D01* +Y262700D01* +X231501D01* +Y254644D01* +X231469Y254638D01* +X231263Y254576D01* +X231206Y254550D01* +X231153Y254516D01* +X231106Y254474D01* +X231066Y254424D01* +X231034Y254370D01* +X231012Y254311D01* +X230999Y254249D01* +X230995Y254186D01* +X231002Y254123D01* +X231018Y254062D01* +X231044Y254004D01* +X231079Y253951D01* +X231121Y253904D01* +X231170Y253864D01* +X231225Y253833D01* +X231284Y253810D01* +X231346Y253797D01* +X231409Y253794D01* +X231472Y253800D01* +X231501Y253809D01* +Y251190D01* +X231471Y251198D01* +X231409Y251205D01* +X231346Y251201D01* +X231284Y251188D01* +X231226Y251166D01* +X231171Y251134D01* +X231122Y251095D01* +X231080Y251048D01* +X231046Y250995D01* +X231020Y250938D01* +X231004Y250877D01* +X230997Y250814D01* +X231000Y250751D01* +X231013Y250690D01* +X231036Y250631D01* +X231067Y250577D01* +X231107Y250528D01* +X231154Y250485D01* +X231206Y250451D01* +X231264Y250427D01* +X231469Y250362D01* +X231501Y250356D01* +Y240250D01* +X230253D01* +Y242880D01* +G37* +G36* +Y249120D02*X230192Y249192D01* +X229753Y249566D01* +Y262700D01* +X230253D01* +Y253500D01* +X230251Y253500D01* +X230190Y253487D01* +X230131Y253464D01* +X230077Y253433D01* +X230028Y253393D01* +X229985Y253346D01* +X229951Y253294D01* +X229927Y253236D01* +X229862Y253031D01* +X229821Y252821D01* +X229800Y252607D01* +Y252393D01* +X229821Y252179D01* +X229862Y251969D01* +X229924Y251763D01* +X229950Y251706D01* +X229984Y251653D01* +X230026Y251606D01* +X230076Y251566D01* +X230130Y251534D01* +X230189Y251512D01* +X230251Y251499D01* +X230253Y251499D01* +Y249120D01* +G37* +G36* +X229753Y242434D02*X230192Y242808D01* +X230253Y242880D01* +Y240250D01* +X229753D01* +Y242434D01* +G37* +G36* +X231501Y201194D02*X231353Y201229D01* +X231000Y201257D01* +X230647Y201229D01* +X230303Y201146D01* +X229975Y201011D01* +X229753Y200875D01* +Y214499D01* +X229814Y214495D01* +X229877Y214502D01* +X229938Y214518D01* +X229996Y214544D01* +X230049Y214579D01* +X230096Y214621D01* +X230136Y214670D01* +X230167Y214725D01* +X230190Y214784D01* +X230203Y214846D01* +X230206Y214909D01* +X230200Y214972D01* +X230182Y215032D01* +X230141Y215162D01* +X230115Y215296D01* +X230102Y215432D01* +Y215568D01* +X230115Y215704D01* +X230141Y215838D01* +X230181Y215968D01* +X230198Y216029D01* +X230205Y216091D01* +X230201Y216154D01* +X230188Y216216D01* +X230166Y216274D01* +X230134Y216329D01* +X230095Y216378D01* +X230048Y216420D01* +X229995Y216454D01* +X229938Y216480D01* +X229877Y216496D01* +X229814Y216503D01* +X229753Y216500D01* +Y235750D01* +X231501D01* +Y217700D01* +X231393D01* +X231179Y217679D01* +X230969Y217638D01* +X230763Y217576D01* +X230706Y217550D01* +X230653Y217516D01* +X230606Y217474D01* +X230566Y217424D01* +X230534Y217370D01* +X230512Y217311D01* +X230499Y217249D01* +X230495Y217186D01* +X230502Y217123D01* +X230518Y217062D01* +X230544Y217004D01* +X230579Y216951D01* +X230621Y216904D01* +X230670Y216864D01* +X230725Y216833D01* +X230784Y216810D01* +X230846Y216797D01* +X230909Y216794D01* +X230972Y216800D01* +X231032Y216818D01* +X231162Y216859D01* +X231296Y216885D01* +X231432Y216898D01* +X231501D01* +Y214102D01* +X231432D01* +X231296Y214115D01* +X231162Y214141D01* +X231032Y214181D01* +X230971Y214198D01* +X230909Y214205D01* +X230846Y214201D01* +X230784Y214188D01* +X230726Y214166D01* +X230671Y214134D01* +X230622Y214095D01* +X230580Y214048D01* +X230546Y213995D01* +X230520Y213938D01* +X230504Y213877D01* +X230497Y213814D01* +X230500Y213751D01* +X230513Y213690D01* +X230536Y213631D01* +X230567Y213577D01* +X230607Y213528D01* +X230654Y213485D01* +X230706Y213451D01* +X230764Y213427D01* +X230969Y213362D01* +X231179Y213321D01* +X231393Y213300D01* +X231501D01* +Y201194D01* +G37* +G36* +X229753Y249566D02*X229653Y249652D01* +X229049Y250022D01* +X228395Y250293D01* +X228300Y250316D01* +Y258449D01* +X228304Y258500D01* +X228288Y258704D01* +X228288Y258704D01* +X228240Y258903D01* +X228162Y259092D01* +X228055Y259266D01* +X227922Y259422D01* +X227883Y259455D01* +X226455Y260883D01* +X226422Y260922D01* +X226266Y261055D01* +X226092Y261162D01* +X225903Y261240D01* +X225704Y261288D01* +X225704Y261288D01* +X225500Y261304D01* +X225449Y261300D01* +X194993D01* +Y262700D01* +X229753D01* +Y249566D01* +G37* +G36* +X223747Y242880D02*X223808Y242808D01* +X224347Y242348D01* +X224951Y241978D01* +X225605Y241707D01* +X226294Y241542D01* +X227000Y241486D01* +X227706Y241542D01* +X228395Y241707D01* +X229049Y241978D01* +X229653Y242348D01* +X229753Y242434D01* +Y240250D01* +X223747D01* +Y242880D01* +G37* +G36* +Y258700D02*X224962D01* +X225700Y257962D01* +Y250316D01* +X225605Y250293D01* +X224951Y250022D01* +X224347Y249652D01* +X223808Y249192D01* +X223747Y249120D01* +Y251500D01* +X223749Y251500D01* +X223810Y251513D01* +X223869Y251536D01* +X223923Y251567D01* +X223972Y251607D01* +X224015Y251654D01* +X224049Y251706D01* +X224073Y251764D01* +X224138Y251969D01* +X224179Y252179D01* +X224200Y252393D01* +Y252607D01* +X224179Y252821D01* +X224138Y253031D01* +X224076Y253237D01* +X224050Y253294D01* +X224016Y253347D01* +X223974Y253394D01* +X223924Y253434D01* +X223870Y253466D01* +X223811Y253488D01* +X223749Y253501D01* +X223747Y253501D01* +Y258700D01* +G37* +G36* +X222001D02*X223747D01* +Y253501D01* +X223686Y253505D01* +X223623Y253498D01* +X223562Y253482D01* +X223504Y253456D01* +X223451Y253421D01* +X223404Y253379D01* +X223364Y253330D01* +X223333Y253275D01* +X223310Y253216D01* +X223297Y253154D01* +X223294Y253091D01* +X223300Y253028D01* +X223318Y252968D01* +X223359Y252838D01* +X223385Y252704D01* +X223398Y252568D01* +Y252432D01* +X223385Y252296D01* +X223359Y252162D01* +X223319Y252032D01* +X223302Y251971D01* +X223295Y251909D01* +X223299Y251846D01* +X223312Y251784D01* +X223334Y251726D01* +X223366Y251671D01* +X223405Y251622D01* +X223452Y251580D01* +X223505Y251546D01* +X223562Y251520D01* +X223623Y251504D01* +X223686Y251497D01* +X223747Y251500D01* +Y249120D01* +X223348Y248653D01* +X222978Y248049D01* +X222707Y247395D01* +X222542Y246706D01* +X222486Y246000D01* +X222542Y245294D01* +X222707Y244605D01* +X222978Y243951D01* +X223348Y243347D01* +X223747Y242880D01* +Y240250D01* +X222001D01* +Y250300D01* +X222107D01* +X222321Y250321D01* +X222531Y250362D01* +X222737Y250424D01* +X222794Y250450D01* +X222847Y250484D01* +X222894Y250526D01* +X222934Y250576D01* +X222966Y250630D01* +X222988Y250689D01* +X223001Y250751D01* +X223005Y250814D01* +X222998Y250877D01* +X222982Y250938D01* +X222956Y250996D01* +X222921Y251049D01* +X222879Y251096D01* +X222830Y251136D01* +X222775Y251167D01* +X222716Y251190D01* +X222654Y251203D01* +X222591Y251206D01* +X222528Y251200D01* +X222468Y251182D01* +X222338Y251141D01* +X222204Y251115D01* +X222068Y251102D01* +X222001D01* +Y253898D01* +X222068D01* +X222204Y253885D01* +X222338Y253859D01* +X222468Y253819D01* +X222529Y253802D01* +X222591Y253795D01* +X222654Y253799D01* +X222716Y253812D01* +X222774Y253834D01* +X222829Y253866D01* +X222878Y253905D01* +X222920Y253952D01* +X222954Y254005D01* +X222980Y254062D01* +X222996Y254123D01* +X223003Y254186D01* +X223000Y254249D01* +X222987Y254310D01* +X222964Y254369D01* +X222933Y254423D01* +X222893Y254472D01* +X222846Y254515D01* +X222794Y254549D01* +X222736Y254573D01* +X222531Y254638D01* +X222321Y254679D01* +X222107Y254700D01* +X222001D01* +Y258700D01* +G37* +G36* +X220253Y242880D02*X220652Y243347D01* +X221022Y243951D01* +X221293Y244605D01* +X221458Y245294D01* +X221500Y246000D01* +X221458Y246706D01* +X221293Y247395D01* +X221022Y248049D01* +X220652Y248653D01* +X220253Y249120D01* +Y251499D01* +X220314Y251495D01* +X220377Y251502D01* +X220438Y251518D01* +X220496Y251544D01* +X220549Y251579D01* +X220596Y251621D01* +X220636Y251670D01* +X220667Y251725D01* +X220690Y251784D01* +X220703Y251846D01* +X220706Y251909D01* +X220700Y251972D01* +X220682Y252032D01* +X220641Y252162D01* +X220615Y252296D01* +X220602Y252432D01* +Y252568D01* +X220615Y252704D01* +X220641Y252838D01* +X220681Y252968D01* +X220698Y253029D01* +X220705Y253091D01* +X220701Y253154D01* +X220688Y253216D01* +X220666Y253274D01* +X220634Y253329D01* +X220595Y253378D01* +X220548Y253420D01* +X220495Y253454D01* +X220438Y253480D01* +X220377Y253496D01* +X220314Y253503D01* +X220253Y253500D01* +Y258700D01* +X222001D01* +Y254700D01* +X221893D01* +X221679Y254679D01* +X221469Y254638D01* +X221263Y254576D01* +X221206Y254550D01* +X221153Y254516D01* +X221106Y254474D01* +X221066Y254424D01* +X221034Y254370D01* +X221012Y254311D01* +X220999Y254249D01* +X220995Y254186D01* +X221002Y254123D01* +X221018Y254062D01* +X221044Y254004D01* +X221079Y253951D01* +X221121Y253904D01* +X221170Y253864D01* +X221225Y253833D01* +X221284Y253810D01* +X221346Y253797D01* +X221409Y253794D01* +X221472Y253800D01* +X221532Y253818D01* +X221662Y253859D01* +X221796Y253885D01* +X221932Y253898D01* +X222001D01* +Y251102D01* +X221932D01* +X221796Y251115D01* +X221662Y251141D01* +X221532Y251181D01* +X221471Y251198D01* +X221409Y251205D01* +X221346Y251201D01* +X221284Y251188D01* +X221226Y251166D01* +X221171Y251134D01* +X221122Y251095D01* +X221080Y251048D01* +X221046Y250995D01* +X221020Y250938D01* +X221004Y250877D01* +X220997Y250814D01* +X221000Y250751D01* +X221013Y250690D01* +X221036Y250631D01* +X221067Y250577D01* +X221107Y250528D01* +X221154Y250485D01* +X221206Y250451D01* +X221264Y250427D01* +X221469Y250362D01* +X221679Y250321D01* +X221893Y250300D01* +X222001D01* +Y240250D01* +X220253D01* +Y242880D01* +G37* +G36* +Y249120D02*X220192Y249192D01* +X219653Y249652D01* +X219049Y250022D01* +X218395Y250293D01* +X218300Y250316D01* +Y254949D01* +X218304Y255000D01* +X218288Y255204D01* +X218288Y255204D01* +X218252Y255355D01* +X218240Y255403D01* +X218208Y255481D01* +X218162Y255592D01* +X218120Y255660D01* +X218055Y255766D01* +X218055Y255767D01* +X217922Y255922D01* +X217883Y255955D01* +X216955Y256883D01* +X216922Y256922D01* +X216767Y257055D01* +X216766Y257055D01* +X216660Y257120D01* +X216592Y257162D01* +X216481Y257208D01* +X216403Y257240D01* +X216355Y257252D01* +X216204Y257288D01* +X216204Y257288D01* +X216000Y257304D01* +X215949Y257300D01* +X212747D01* +Y258700D01* +X220253D01* +Y253500D01* +X220251Y253500D01* +X220190Y253487D01* +X220131Y253464D01* +X220077Y253433D01* +X220028Y253393D01* +X219985Y253346D01* +X219951Y253294D01* +X219927Y253236D01* +X219862Y253031D01* +X219821Y252821D01* +X219800Y252607D01* +Y252393D01* +X219821Y252179D01* +X219862Y251969D01* +X219924Y251763D01* +X219950Y251706D01* +X219984Y251653D01* +X220026Y251606D01* +X220076Y251566D01* +X220130Y251534D01* +X220189Y251512D01* +X220251Y251499D01* +X220253Y251499D01* +Y249120D01* +G37* +G36* +X212747Y244509D02*X212978Y243951D01* +X213348Y243347D01* +X213808Y242808D01* +X214347Y242348D01* +X214951Y241978D01* +X215605Y241707D01* +X216294Y241542D01* +X217000Y241486D01* +X217706Y241542D01* +X218395Y241707D01* +X219049Y241978D01* +X219653Y242348D01* +X220192Y242808D01* +X220253Y242880D01* +Y240250D01* +X212747D01* +Y244509D01* +G37* +G36* +Y254700D02*X215462D01* +X215700Y254462D01* +Y250316D01* +X215605Y250293D01* +X214951Y250022D01* +X214347Y249652D01* +X213808Y249192D01* +X213348Y248653D01* +X212978Y248049D01* +X212747Y247491D01* +Y251500D01* +X212749Y251500D01* +X212810Y251513D01* +X212869Y251536D01* +X212923Y251567D01* +X212972Y251607D01* +X213015Y251654D01* +X213049Y251706D01* +X213073Y251764D01* +X213138Y251969D01* +X213179Y252179D01* +X213200Y252393D01* +Y252607D01* +X213179Y252821D01* +X213138Y253031D01* +X213076Y253237D01* +X213050Y253294D01* +X213016Y253347D01* +X212974Y253394D01* +X212924Y253434D01* +X212870Y253466D01* +X212811Y253488D01* +X212749Y253501D01* +X212747Y253501D01* +Y254700D01* +G37* +G36* +Y257300D02*X211001D01* +Y258700D01* +X212747D01* +Y257300D01* +G37* +G36* +X211001Y254700D02*X212747D01* +Y253501D01* +X212686Y253505D01* +X212623Y253498D01* +X212562Y253482D01* +X212504Y253456D01* +X212451Y253421D01* +X212404Y253379D01* +X212364Y253330D01* +X212333Y253275D01* +X212310Y253216D01* +X212297Y253154D01* +X212294Y253091D01* +X212300Y253028D01* +X212318Y252968D01* +X212359Y252838D01* +X212385Y252704D01* +X212398Y252568D01* +Y252432D01* +X212385Y252296D01* +X212359Y252162D01* +X212319Y252032D01* +X212302Y251971D01* +X212295Y251909D01* +X212299Y251846D01* +X212312Y251784D01* +X212334Y251726D01* +X212366Y251671D01* +X212405Y251622D01* +X212452Y251580D01* +X212505Y251546D01* +X212562Y251520D01* +X212623Y251504D01* +X212686Y251497D01* +X212747Y251500D01* +Y247491D01* +X212707Y247395D01* +X212542Y246706D01* +X212486Y246000D01* +X212542Y245294D01* +X212707Y244605D01* +X212747Y244509D01* +Y240250D01* +X211001D01* +Y250300D01* +X211107D01* +X211321Y250321D01* +X211531Y250362D01* +X211737Y250424D01* +X211794Y250450D01* +X211847Y250484D01* +X211894Y250526D01* +X211934Y250576D01* +X211966Y250630D01* +X211988Y250689D01* +X212001Y250751D01* +X212005Y250814D01* +X211998Y250877D01* +X211982Y250938D01* +X211956Y250996D01* +X211921Y251049D01* +X211879Y251096D01* +X211830Y251136D01* +X211775Y251167D01* +X211716Y251190D01* +X211654Y251203D01* +X211591Y251206D01* +X211528Y251200D01* +X211468Y251182D01* +X211338Y251141D01* +X211204Y251115D01* +X211068Y251102D01* +X211001D01* +Y253898D01* +X211068D01* +X211204Y253885D01* +X211338Y253859D01* +X211468Y253819D01* +X211529Y253802D01* +X211591Y253795D01* +X211654Y253799D01* +X211716Y253812D01* +X211774Y253834D01* +X211829Y253866D01* +X211878Y253905D01* +X211920Y253952D01* +X211954Y254005D01* +X211980Y254062D01* +X211996Y254123D01* +X212003Y254186D01* +X212000Y254249D01* +X211987Y254310D01* +X211964Y254369D01* +X211933Y254423D01* +X211893Y254472D01* +X211846Y254515D01* +X211794Y254549D01* +X211736Y254573D01* +X211531Y254638D01* +X211321Y254679D01* +X211107Y254700D01* +X211001D01* +Y254700D01* +G37* +G36* +Y257300D02*X200747D01* +Y258700D01* +X211001D01* +Y257300D01* +G37* +G36* +X209253Y254700D02*X211001D01* +Y254700D01* +X210893D01* +X210679Y254679D01* +X210469Y254638D01* +X210263Y254576D01* +X210206Y254550D01* +X210153Y254516D01* +X210106Y254474D01* +X210066Y254424D01* +X210034Y254370D01* +X210012Y254311D01* +X209999Y254249D01* +X209995Y254186D01* +X210002Y254123D01* +X210018Y254062D01* +X210044Y254004D01* +X210079Y253951D01* +X210121Y253904D01* +X210170Y253864D01* +X210225Y253833D01* +X210284Y253810D01* +X210346Y253797D01* +X210409Y253794D01* +X210472Y253800D01* +X210532Y253818D01* +X210662Y253859D01* +X210796Y253885D01* +X210932Y253898D01* +X211001D01* +Y251102D01* +X210932D01* +X210796Y251115D01* +X210662Y251141D01* +X210532Y251181D01* +X210471Y251198D01* +X210409Y251205D01* +X210346Y251201D01* +X210284Y251188D01* +X210226Y251166D01* +X210171Y251134D01* +X210122Y251095D01* +X210080Y251048D01* +X210046Y250995D01* +X210020Y250938D01* +X210004Y250877D01* +X209997Y250814D01* +X210000Y250751D01* +X210013Y250690D01* +X210036Y250631D01* +X210067Y250577D01* +X210107Y250528D01* +X210154Y250485D01* +X210206Y250451D01* +X210264Y250427D01* +X210469Y250362D01* +X210679Y250321D01* +X210893Y250300D01* +X211001D01* +Y240250D01* +X209932D01* +X209253Y240929D01* +Y242174D01* +X209341Y242317D01* +X209431Y242535D01* +X209486Y242765D01* +X209500Y243000D01* +X209486Y249235D01* +X209431Y249465D01* +X209341Y249683D01* +X209253Y249826D01* +Y251499D01* +X209314Y251495D01* +X209377Y251502D01* +X209438Y251518D01* +X209496Y251544D01* +X209549Y251579D01* +X209596Y251621D01* +X209636Y251670D01* +X209667Y251725D01* +X209690Y251784D01* +X209703Y251846D01* +X209706Y251909D01* +X209700Y251972D01* +X209682Y252032D01* +X209641Y252162D01* +X209615Y252296D01* +X209602Y252432D01* +Y252568D01* +X209615Y252704D01* +X209641Y252838D01* +X209681Y252968D01* +X209698Y253029D01* +X209705Y253091D01* +X209701Y253154D01* +X209688Y253216D01* +X209666Y253274D01* +X209634Y253329D01* +X209595Y253378D01* +X209548Y253420D01* +X209495Y253454D01* +X209438Y253480D01* +X209377Y253496D01* +X209314Y253503D01* +X209253Y253500D01* +Y254700D01* +G37* +G36* +Y240929D02*X208570Y241612D01* +X208683Y241659D01* +X208884Y241783D01* +X209064Y241936D01* +X209217Y242116D01* +X209253Y242174D01* +Y240929D01* +G37* +G36* +X200747Y254700D02*X209253D01* +Y253500D01* +X209251Y253500D01* +X209190Y253487D01* +X209131Y253464D01* +X209077Y253433D01* +X209028Y253393D01* +X208985Y253346D01* +X208951Y253294D01* +X208927Y253236D01* +X208862Y253031D01* +X208821Y252821D01* +X208800Y252607D01* +Y252393D01* +X208821Y252179D01* +X208862Y251969D01* +X208924Y251763D01* +X208950Y251706D01* +X208984Y251653D01* +X209026Y251606D01* +X209076Y251566D01* +X209130Y251534D01* +X209189Y251512D01* +X209251Y251499D01* +X209253Y251499D01* +Y249826D01* +X209217Y249884D01* +X209064Y250064D01* +X208884Y250217D01* +X208683Y250341D01* +X208465Y250431D01* +X208235Y250486D01* +X208000Y250500D01* +X201765Y250486D01* +X201535Y250431D01* +X201317Y250341D01* +X201116Y250217D01* +X200936Y250064D01* +X200783Y249884D01* +X200747Y249826D01* +Y251500D01* +X200749Y251500D01* +X200810Y251513D01* +X200869Y251536D01* +X200923Y251567D01* +X200972Y251607D01* +X201015Y251654D01* +X201049Y251706D01* +X201073Y251764D01* +X201138Y251969D01* +X201179Y252179D01* +X201200Y252393D01* +Y252607D01* +X201179Y252821D01* +X201138Y253031D01* +X201076Y253237D01* +X201050Y253294D01* +X201016Y253347D01* +X200974Y253394D01* +X200924Y253434D01* +X200870Y253466D01* +X200811Y253488D01* +X200749Y253501D01* +X200747Y253501D01* +Y254700D01* +G37* +G36* +X229753Y200875D02*X229673Y200826D01* +X229404Y200596D01* +X229174Y200327D01* +X228989Y200025D01* +X228854Y199697D01* +X228771Y199353D01* +X228750Y199000D01* +Y197000D01* +X200747D01* +Y242174D01* +X200783Y242116D01* +X200936Y241936D01* +X201116Y241783D01* +X201317Y241659D01* +X201535Y241569D01* +X201765Y241514D01* +X202000Y241500D01* +X202806Y241502D01* +X202854Y241303D01* +X202989Y240975D01* +X203174Y240673D01* +X203174Y240673D01* +X203404Y240404D01* +X203471Y240347D01* +X207347Y236471D01* +X207404Y236404D01* +X207673Y236174D01* +X207673Y236174D01* +X207861Y236059D01* +X207975Y235989D01* +X208303Y235854D01* +X208647Y235771D01* +X208647D01* +X209000Y235743D01* +X209088Y235750D01* +X229753D01* +Y216500D01* +X229751Y216500D01* +X229690Y216487D01* +X229631Y216464D01* +X229577Y216433D01* +X229528Y216393D01* +X229485Y216346D01* +X229451Y216294D01* +X229427Y216236D01* +X229362Y216031D01* +X229321Y215821D01* +X229300Y215607D01* +Y215393D01* +X229321Y215179D01* +X229362Y214969D01* +X229424Y214763D01* +X229450Y214706D01* +X229484Y214653D01* +X229526Y214606D01* +X229576Y214566D01* +X229630Y214534D01* +X229689Y214512D01* +X229751Y214499D01* +X229753Y214499D01* +Y200875D01* +G37* +G36* +X200747Y257300D02*X199001D01* +Y258700D01* +X200747D01* +Y257300D01* +G37* +G36* +Y197000D02*X199001D01* +Y243916D01* +X199022Y243951D01* +X199293Y244605D01* +X199458Y245294D01* +X199500Y246000D01* +X199458Y246706D01* +X199293Y247395D01* +X199022Y248049D01* +X199001Y248084D01* +Y250300D01* +X199107D01* +X199321Y250321D01* +X199531Y250362D01* +X199737Y250424D01* +X199794Y250450D01* +X199847Y250484D01* +X199894Y250526D01* +X199934Y250576D01* +X199966Y250630D01* +X199988Y250689D01* +X200001Y250751D01* +X200005Y250814D01* +X199998Y250877D01* +X199982Y250938D01* +X199956Y250996D01* +X199921Y251049D01* +X199879Y251096D01* +X199830Y251136D01* +X199775Y251167D01* +X199716Y251190D01* +X199654Y251203D01* +X199591Y251206D01* +X199528Y251200D01* +X199468Y251182D01* +X199338Y251141D01* +X199204Y251115D01* +X199068Y251102D01* +X199001D01* +Y253898D01* +X199068D01* +X199204Y253885D01* +X199338Y253859D01* +X199468Y253819D01* +X199529Y253802D01* +X199591Y253795D01* +X199654Y253799D01* +X199716Y253812D01* +X199774Y253834D01* +X199829Y253866D01* +X199878Y253905D01* +X199920Y253952D01* +X199954Y254005D01* +X199980Y254062D01* +X199996Y254123D01* +X200003Y254186D01* +X200000Y254249D01* +X199987Y254310D01* +X199964Y254369D01* +X199933Y254423D01* +X199893Y254472D01* +X199846Y254515D01* +X199794Y254549D01* +X199736Y254573D01* +X199531Y254638D01* +X199321Y254679D01* +X199107Y254700D01* +X199001D01* +Y254700D01* +X200747D01* +Y253501D01* +X200686Y253505D01* +X200623Y253498D01* +X200562Y253482D01* +X200504Y253456D01* +X200451Y253421D01* +X200404Y253379D01* +X200364Y253330D01* +X200333Y253275D01* +X200310Y253216D01* +X200297Y253154D01* +X200294Y253091D01* +X200300Y253028D01* +X200318Y252968D01* +X200359Y252838D01* +X200385Y252704D01* +X200398Y252568D01* +Y252432D01* +X200385Y252296D01* +X200359Y252162D01* +X200319Y252032D01* +X200302Y251971D01* +X200295Y251909D01* +X200299Y251846D01* +X200312Y251784D01* +X200334Y251726D01* +X200366Y251671D01* +X200405Y251622D01* +X200452Y251580D01* +X200505Y251546D01* +X200562Y251520D01* +X200623Y251504D01* +X200686Y251497D01* +X200747Y251500D01* +Y249826D01* +X200659Y249683D01* +X200569Y249465D01* +X200514Y249235D01* +X200500Y249000D01* +X200514Y242765D01* +X200569Y242535D01* +X200659Y242317D01* +X200747Y242174D01* +Y197000D01* +G37* +G36* +X199001Y257300D02*X194993D01* +Y258700D01* +X199001D01* +Y257300D01* +G37* +G36* +Y248084D02*X198652Y248653D01* +X198192Y249192D01* +X197653Y249652D01* +X197253Y249897D01* +Y251499D01* +X197314Y251495D01* +X197377Y251502D01* +X197438Y251518D01* +X197496Y251544D01* +X197549Y251579D01* +X197596Y251621D01* +X197636Y251670D01* +X197667Y251725D01* +X197690Y251784D01* +X197703Y251846D01* +X197706Y251909D01* +X197700Y251972D01* +X197682Y252032D01* +X197641Y252162D01* +X197615Y252296D01* +X197602Y252432D01* +Y252568D01* +X197615Y252704D01* +X197641Y252838D01* +X197681Y252968D01* +X197698Y253029D01* +X197705Y253091D01* +X197701Y253154D01* +X197688Y253216D01* +X197666Y253274D01* +X197634Y253329D01* +X197595Y253378D01* +X197548Y253420D01* +X197495Y253454D01* +X197438Y253480D01* +X197377Y253496D01* +X197314Y253503D01* +X197253Y253500D01* +Y254700D01* +X199001D01* +Y254700D01* +X198893D01* +X198679Y254679D01* +X198469Y254638D01* +X198263Y254576D01* +X198206Y254550D01* +X198153Y254516D01* +X198106Y254474D01* +X198066Y254424D01* +X198034Y254370D01* +X198012Y254311D01* +X197999Y254249D01* +X197995Y254186D01* +X198002Y254123D01* +X198018Y254062D01* +X198044Y254004D01* +X198079Y253951D01* +X198121Y253904D01* +X198170Y253864D01* +X198225Y253833D01* +X198284Y253810D01* +X198346Y253797D01* +X198409Y253794D01* +X198472Y253800D01* +X198532Y253818D01* +X198662Y253859D01* +X198796Y253885D01* +X198932Y253898D01* +X199001D01* +Y251102D01* +X198932D01* +X198796Y251115D01* +X198662Y251141D01* +X198532Y251181D01* +X198471Y251198D01* +X198409Y251205D01* +X198346Y251201D01* +X198284Y251188D01* +X198226Y251166D01* +X198171Y251134D01* +X198122Y251095D01* +X198080Y251048D01* +X198046Y250995D01* +X198020Y250938D01* +X198004Y250877D01* +X197997Y250814D01* +X198000Y250751D01* +X198013Y250690D01* +X198036Y250631D01* +X198067Y250577D01* +X198107Y250528D01* +X198154Y250485D01* +X198206Y250451D01* +X198264Y250427D01* +X198469Y250362D01* +X198679Y250321D01* +X198893Y250300D01* +X199001D01* +Y248084D01* +G37* +G36* +X197253Y249897D02*X197049Y250022D01* +X196395Y250293D01* +X195706Y250458D01* +X195000Y250514D01* +X194993Y250513D01* +Y254700D01* +X197253D01* +Y253500D01* +X197251Y253500D01* +X197190Y253487D01* +X197131Y253464D01* +X197077Y253433D01* +X197028Y253393D01* +X196985Y253346D01* +X196951Y253294D01* +X196927Y253236D01* +X196862Y253031D01* +X196821Y252821D01* +X196800Y252607D01* +Y252393D01* +X196821Y252179D01* +X196862Y251969D01* +X196924Y251763D01* +X196950Y251706D01* +X196984Y251653D01* +X197026Y251606D01* +X197076Y251566D01* +X197130Y251534D01* +X197189Y251512D01* +X197251Y251499D01* +X197253Y251499D01* +Y249897D01* +G37* +G36* +X199001Y197000D02*X194993D01* +Y241487D01* +X195000Y241486D01* +X195706Y241542D01* +X196395Y241707D01* +X197049Y241978D01* +X197653Y242348D01* +X198192Y242808D01* +X198652Y243347D01* +X199001Y243916D01* +Y197000D01* +G37* +G36* +X194993Y265300D02*X164247D01* +Y266700D01* +X194993D01* +Y265300D01* +G37* +G36* +Y261300D02*X164247D01* +Y262700D01* +X194993D01* +Y261300D01* +G37* +G36* +Y257300D02*X164247D01* +Y258700D01* +X194993D01* +Y257300D01* +G37* +G36* +X191747Y254700D02*X194993D01* +Y250513D01* +X194294Y250458D01* +X193605Y250293D01* +X192951Y250022D01* +X192347Y249652D01* +X191808Y249192D01* +X191747Y249120D01* +Y251500D01* +X191749Y251500D01* +X191810Y251513D01* +X191869Y251536D01* +X191923Y251567D01* +X191972Y251607D01* +X192015Y251654D01* +X192049Y251706D01* +X192073Y251764D01* +X192138Y251969D01* +X192179Y252179D01* +X192200Y252393D01* +Y252607D01* +X192179Y252821D01* +X192138Y253031D01* +X192076Y253237D01* +X192050Y253294D01* +X192016Y253347D01* +X191974Y253394D01* +X191924Y253434D01* +X191870Y253466D01* +X191811Y253488D01* +X191749Y253501D01* +X191747Y253501D01* +Y254700D01* +G37* +G36* +X191247D02*X191747D01* +Y253501D01* +X191686Y253505D01* +X191623Y253498D01* +X191562Y253482D01* +X191504Y253456D01* +X191451Y253421D01* +X191404Y253379D01* +X191364Y253330D01* +X191333Y253275D01* +X191310Y253216D01* +X191297Y253154D01* +X191294Y253091D01* +X191300Y253028D01* +X191318Y252968D01* +X191359Y252838D01* +X191385Y252704D01* +X191398Y252568D01* +Y252432D01* +X191385Y252296D01* +X191359Y252162D01* +X191319Y252032D01* +X191302Y251971D01* +X191295Y251909D01* +X191299Y251846D01* +X191312Y251784D01* +X191334Y251726D01* +X191366Y251671D01* +X191405Y251622D01* +X191452Y251580D01* +X191505Y251546D01* +X191562Y251520D01* +X191623Y251504D01* +X191686Y251497D01* +X191747Y251500D01* +Y249120D01* +X191348Y248653D01* +X191247Y248488D01* +Y254700D01* +G37* +G36* +X194993Y197000D02*X191247D01* +Y223500D01* +X191249Y223500D01* +X191310Y223513D01* +X191369Y223536D01* +X191423Y223567D01* +X191472Y223607D01* +X191515Y223654D01* +X191549Y223706D01* +X191573Y223764D01* +X191638Y223969D01* +X191679Y224179D01* +X191700Y224393D01* +Y224607D01* +X191679Y224821D01* +X191638Y225031D01* +X191576Y225237D01* +X191550Y225294D01* +X191516Y225347D01* +X191474Y225394D01* +X191424Y225434D01* +X191370Y225466D01* +X191311Y225488D01* +X191249Y225501D01* +X191247Y225501D01* +Y243512D01* +X191348Y243347D01* +X191808Y242808D01* +X192347Y242348D01* +X192951Y241978D01* +X193605Y241707D01* +X194294Y241542D01* +X194993Y241487D01* +Y197000D01* +G37* +G36* +X191247D02*X189501D01* +Y222300D01* +X189607D01* +X189821Y222321D01* +X190031Y222362D01* +X190237Y222424D01* +X190294Y222450D01* +X190347Y222484D01* +X190394Y222526D01* +X190434Y222576D01* +X190466Y222630D01* +X190488Y222689D01* +X190501Y222751D01* +X190505Y222814D01* +X190498Y222877D01* +X190482Y222938D01* +X190456Y222996D01* +X190421Y223049D01* +X190379Y223096D01* +X190330Y223136D01* +X190275Y223167D01* +X190216Y223190D01* +X190154Y223203D01* +X190091Y223206D01* +X190028Y223200D01* +X189968Y223182D01* +X189838Y223141D01* +X189704Y223115D01* +X189568Y223102D01* +X189501D01* +Y225898D01* +X189568D01* +X189704Y225885D01* +X189838Y225859D01* +X189968Y225819D01* +X190029Y225802D01* +X190091Y225795D01* +X190154Y225799D01* +X190216Y225812D01* +X190274Y225834D01* +X190329Y225866D01* +X190378Y225905D01* +X190420Y225952D01* +X190454Y226005D01* +X190480Y226062D01* +X190496Y226123D01* +X190503Y226186D01* +X190500Y226249D01* +X190487Y226310D01* +X190464Y226369D01* +X190433Y226423D01* +X190393Y226472D01* +X190346Y226515D01* +X190294Y226549D01* +X190236Y226573D01* +X190031Y226638D01* +X189821Y226679D01* +X189607Y226700D01* +X189501D01* +Y250356D01* +X189679Y250321D01* +X189893Y250300D01* +X190107D01* +X190321Y250321D01* +X190531Y250362D01* +X190737Y250424D01* +X190794Y250450D01* +X190847Y250484D01* +X190894Y250526D01* +X190934Y250576D01* +X190966Y250630D01* +X190988Y250689D01* +X191001Y250751D01* +X191005Y250814D01* +X190998Y250877D01* +X190982Y250938D01* +X190956Y250996D01* +X190921Y251049D01* +X190879Y251096D01* +X190830Y251136D01* +X190775Y251167D01* +X190716Y251190D01* +X190654Y251203D01* +X190591Y251206D01* +X190528Y251200D01* +X190468Y251182D01* +X190338Y251141D01* +X190204Y251115D01* +X190068Y251102D01* +X189932D01* +X189796Y251115D01* +X189662Y251141D01* +X189532Y251181D01* +X189501Y251190D01* +Y253809D01* +X189532Y253818D01* +X189662Y253859D01* +X189796Y253885D01* +X189932Y253898D01* +X190068D01* +X190204Y253885D01* +X190338Y253859D01* +X190468Y253819D01* +X190529Y253802D01* +X190591Y253795D01* +X190654Y253799D01* +X190716Y253812D01* +X190774Y253834D01* +X190829Y253866D01* +X190878Y253905D01* +X190920Y253952D01* +X190954Y254005D01* +X190980Y254062D01* +X190996Y254123D01* +X191003Y254186D01* +X191000Y254249D01* +X190987Y254310D01* +X190964Y254369D01* +X190933Y254423D01* +X190893Y254472D01* +X190846Y254515D01* +X190794Y254549D01* +X190736Y254573D01* +X190531Y254638D01* +X190321Y254679D01* +X190107Y254700D01* +X189893D01* +X189679Y254679D01* +X189501Y254644D01* +Y254700D01* +X191247D01* +Y248488D01* +X190978Y248049D01* +X190707Y247395D01* +X190542Y246706D01* +X190486Y246000D01* +X190542Y245294D01* +X190707Y244605D01* +X190978Y243951D01* +X191247Y243512D01* +Y225501D01* +X191186Y225505D01* +X191123Y225498D01* +X191062Y225482D01* +X191004Y225456D01* +X190951Y225421D01* +X190904Y225379D01* +X190864Y225330D01* +X190833Y225275D01* +X190810Y225216D01* +X190797Y225154D01* +X190794Y225091D01* +X190800Y225028D01* +X190818Y224968D01* +X190859Y224838D01* +X190885Y224704D01* +X190898Y224568D01* +Y224432D01* +X190885Y224296D01* +X190859Y224162D01* +X190819Y224032D01* +X190802Y223971D01* +X190795Y223909D01* +X190799Y223846D01* +X190812Y223784D01* +X190834Y223726D01* +X190866Y223671D01* +X190905Y223622D01* +X190952Y223580D01* +X191005Y223546D01* +X191062Y223520D01* +X191123Y223504D01* +X191186Y223497D01* +X191247Y223500D01* +Y197000D01* +G37* +G36* +X189501D02*X188253D01* +Y242880D01* +X188652Y243347D01* +X189022Y243951D01* +X189293Y244605D01* +X189458Y245294D01* +X189500Y246000D01* +X189458Y246706D01* +X189293Y247395D01* +X189022Y248049D01* +X188652Y248653D01* +X188253Y249120D01* +Y251499D01* +X188314Y251495D01* +X188377Y251502D01* +X188438Y251518D01* +X188496Y251544D01* +X188549Y251579D01* +X188596Y251621D01* +X188636Y251670D01* +X188667Y251725D01* +X188690Y251784D01* +X188703Y251846D01* +X188706Y251909D01* +X188700Y251972D01* +X188682Y252032D01* +X188641Y252162D01* +X188615Y252296D01* +X188602Y252432D01* +Y252568D01* +X188615Y252704D01* +X188641Y252838D01* +X188681Y252968D01* +X188698Y253029D01* +X188705Y253091D01* +X188701Y253154D01* +X188688Y253216D01* +X188666Y253274D01* +X188634Y253329D01* +X188595Y253378D01* +X188548Y253420D01* +X188495Y253454D01* +X188438Y253480D01* +X188377Y253496D01* +X188314Y253503D01* +X188253Y253500D01* +Y254700D01* +X189501D01* +Y254644D01* +X189469Y254638D01* +X189263Y254576D01* +X189206Y254550D01* +X189153Y254516D01* +X189106Y254474D01* +X189066Y254424D01* +X189034Y254370D01* +X189012Y254311D01* +X188999Y254249D01* +X188995Y254186D01* +X189002Y254123D01* +X189018Y254062D01* +X189044Y254004D01* +X189079Y253951D01* +X189121Y253904D01* +X189170Y253864D01* +X189225Y253833D01* +X189284Y253810D01* +X189346Y253797D01* +X189409Y253794D01* +X189472Y253800D01* +X189501Y253809D01* +Y251190D01* +X189471Y251198D01* +X189409Y251205D01* +X189346Y251201D01* +X189284Y251188D01* +X189226Y251166D01* +X189171Y251134D01* +X189122Y251095D01* +X189080Y251048D01* +X189046Y250995D01* +X189020Y250938D01* +X189004Y250877D01* +X188997Y250814D01* +X189000Y250751D01* +X189013Y250690D01* +X189036Y250631D01* +X189067Y250577D01* +X189107Y250528D01* +X189154Y250485D01* +X189206Y250451D01* +X189264Y250427D01* +X189469Y250362D01* +X189501Y250356D01* +Y226700D01* +X189393D01* +X189179Y226679D01* +X188969Y226638D01* +X188763Y226576D01* +X188706Y226550D01* +X188653Y226516D01* +X188606Y226474D01* +X188566Y226424D01* +X188534Y226370D01* +X188512Y226311D01* +X188499Y226249D01* +X188495Y226186D01* +X188502Y226123D01* +X188518Y226062D01* +X188544Y226004D01* +X188579Y225951D01* +X188621Y225904D01* +X188670Y225864D01* +X188725Y225833D01* +X188784Y225810D01* +X188846Y225797D01* +X188909Y225794D01* +X188972Y225800D01* +X189032Y225818D01* +X189162Y225859D01* +X189296Y225885D01* +X189432Y225898D01* +X189501D01* +Y223102D01* +X189432D01* +X189296Y223115D01* +X189162Y223141D01* +X189032Y223181D01* +X188971Y223198D01* +X188909Y223205D01* +X188846Y223201D01* +X188784Y223188D01* +X188726Y223166D01* +X188671Y223134D01* +X188622Y223095D01* +X188580Y223048D01* +X188546Y222995D01* +X188520Y222938D01* +X188504Y222877D01* +X188497Y222814D01* +X188500Y222751D01* +X188513Y222690D01* +X188536Y222631D01* +X188567Y222577D01* +X188607Y222528D01* +X188654Y222485D01* +X188706Y222451D01* +X188764Y222427D01* +X188969Y222362D01* +X189179Y222321D01* +X189393Y222300D01* +X189501D01* +Y197000D01* +G37* +G36* +X188253Y249120D02*X188192Y249192D01* +X187753Y249566D01* +Y254700D01* +X188253D01* +Y253500D01* +X188251Y253500D01* +X188190Y253487D01* +X188131Y253464D01* +X188077Y253433D01* +X188028Y253393D01* +X187985Y253346D01* +X187951Y253294D01* +X187927Y253236D01* +X187862Y253031D01* +X187821Y252821D01* +X187800Y252607D01* +Y252393D01* +X187821Y252179D01* +X187862Y251969D01* +X187924Y251763D01* +X187950Y251706D01* +X187984Y251653D01* +X188026Y251606D01* +X188076Y251566D01* +X188130Y251534D01* +X188189Y251512D01* +X188251Y251499D01* +X188253Y251499D01* +Y249120D01* +G37* +G36* +Y197000D02*X187753D01* +Y223499D01* +X187814Y223495D01* +X187877Y223502D01* +X187938Y223518D01* +X187996Y223544D01* +X188049Y223579D01* +X188096Y223621D01* +X188136Y223670D01* +X188167Y223725D01* +X188190Y223784D01* +X188203Y223846D01* +X188206Y223909D01* +X188200Y223972D01* +X188182Y224032D01* +X188141Y224162D01* +X188115Y224296D01* +X188102Y224432D01* +Y224568D01* +X188115Y224704D01* +X188141Y224838D01* +X188181Y224968D01* +X188198Y225029D01* +X188205Y225091D01* +X188201Y225154D01* +X188188Y225216D01* +X188166Y225274D01* +X188134Y225329D01* +X188095Y225378D01* +X188048Y225420D01* +X187995Y225454D01* +X187938Y225480D01* +X187877Y225496D01* +X187814Y225503D01* +X187753Y225500D01* +Y242434D01* +X188192Y242808D01* +X188253Y242880D01* +Y197000D01* +G37* +G36* +X187753Y249566D02*X187653Y249652D01* +X187049Y250022D01* +X186395Y250293D01* +X185706Y250458D01* +X185000Y250514D01* +X184993Y250513D01* +Y254700D01* +X187753D01* +Y249566D01* +G37* +G36* +Y197000D02*X184993D01* +Y241487D01* +X185000Y241486D01* +X185706Y241542D01* +X186395Y241707D01* +X187049Y241978D01* +X187653Y242348D01* +X187753Y242434D01* +Y225500D01* +X187751Y225500D01* +X187690Y225487D01* +X187631Y225464D01* +X187577Y225433D01* +X187528Y225393D01* +X187485Y225346D01* +X187451Y225294D01* +X187427Y225236D01* +X187362Y225031D01* +X187321Y224821D01* +X187300Y224607D01* +Y224393D01* +X187321Y224179D01* +X187362Y223969D01* +X187424Y223763D01* +X187450Y223706D01* +X187484Y223653D01* +X187526Y223606D01* +X187576Y223566D01* +X187630Y223534D01* +X187689Y223512D01* +X187751Y223499D01* +X187753Y223499D01* +Y197000D01* +G37* +G36* +X181747Y254700D02*X184993D01* +Y250513D01* +X184294Y250458D01* +X183605Y250293D01* +X182951Y250022D01* +X182347Y249652D01* +X181808Y249192D01* +X181747Y249120D01* +Y251500D01* +X181749Y251500D01* +X181810Y251513D01* +X181869Y251536D01* +X181923Y251567D01* +X181972Y251607D01* +X182015Y251654D01* +X182049Y251706D01* +X182073Y251764D01* +X182138Y251969D01* +X182179Y252179D01* +X182200Y252393D01* +Y252607D01* +X182179Y252821D01* +X182138Y253031D01* +X182076Y253237D01* +X182050Y253294D01* +X182016Y253347D01* +X181974Y253394D01* +X181924Y253434D01* +X181870Y253466D01* +X181811Y253488D01* +X181749Y253501D01* +X181747Y253501D01* +Y254700D01* +G37* +G36* +X184993Y197000D02*X181747D01* +Y242880D01* +X181808Y242808D01* +X182347Y242348D01* +X182951Y241978D01* +X183605Y241707D01* +X184294Y241542D01* +X184993Y241487D01* +Y197000D01* +G37* +G36* +X181747D02*X180001D01* +Y250300D01* +X180107D01* +X180321Y250321D01* +X180531Y250362D01* +X180737Y250424D01* +X180794Y250450D01* +X180847Y250484D01* +X180894Y250526D01* +X180934Y250576D01* +X180966Y250630D01* +X180988Y250689D01* +X181001Y250751D01* +X181005Y250814D01* +X180998Y250877D01* +X180982Y250938D01* +X180956Y250996D01* +X180921Y251049D01* +X180879Y251096D01* +X180830Y251136D01* +X180775Y251167D01* +X180716Y251190D01* +X180654Y251203D01* +X180591Y251206D01* +X180528Y251200D01* +X180468Y251182D01* +X180338Y251141D01* +X180204Y251115D01* +X180068Y251102D01* +X180001D01* +Y253898D01* +X180068D01* +X180204Y253885D01* +X180338Y253859D01* +X180468Y253819D01* +X180529Y253802D01* +X180591Y253795D01* +X180654Y253799D01* +X180716Y253812D01* +X180774Y253834D01* +X180829Y253866D01* +X180878Y253905D01* +X180920Y253952D01* +X180954Y254005D01* +X180980Y254062D01* +X180996Y254123D01* +X181003Y254186D01* +X181000Y254249D01* +X180987Y254310D01* +X180964Y254369D01* +X180933Y254423D01* +X180893Y254472D01* +X180846Y254515D01* +X180794Y254549D01* +X180736Y254573D01* +X180531Y254638D01* +X180321Y254679D01* +X180107Y254700D01* +X180001D01* +Y254700D01* +X181747D01* +Y253501D01* +X181686Y253505D01* +X181623Y253498D01* +X181562Y253482D01* +X181504Y253456D01* +X181451Y253421D01* +X181404Y253379D01* +X181364Y253330D01* +X181333Y253275D01* +X181310Y253216D01* +X181297Y253154D01* +X181294Y253091D01* +X181300Y253028D01* +X181318Y252968D01* +X181359Y252838D01* +X181385Y252704D01* +X181398Y252568D01* +Y252432D01* +X181385Y252296D01* +X181359Y252162D01* +X181319Y252032D01* +X181302Y251971D01* +X181295Y251909D01* +X181299Y251846D01* +X181312Y251784D01* +X181334Y251726D01* +X181366Y251671D01* +X181405Y251622D01* +X181452Y251580D01* +X181505Y251546D01* +X181562Y251520D01* +X181623Y251504D01* +X181686Y251497D01* +X181747Y251500D01* +Y249120D01* +X181348Y248653D01* +X180978Y248049D01* +X180707Y247395D01* +X180542Y246706D01* +X180486Y246000D01* +X180542Y245294D01* +X180707Y244605D01* +X180978Y243951D01* +X181348Y243347D01* +X181747Y242880D01* +Y197000D01* +G37* +G36* +X180001D02*X178253D01* +Y242880D01* +X178652Y243347D01* +X179022Y243951D01* +X179293Y244605D01* +X179458Y245294D01* +X179500Y246000D01* +X179458Y246706D01* +X179293Y247395D01* +X179022Y248049D01* +X178652Y248653D01* +X178253Y249120D01* +Y251499D01* +X178314Y251495D01* +X178377Y251502D01* +X178438Y251518D01* +X178496Y251544D01* +X178549Y251579D01* +X178596Y251621D01* +X178636Y251670D01* +X178667Y251725D01* +X178690Y251784D01* +X178703Y251846D01* +X178706Y251909D01* +X178700Y251972D01* +X178682Y252032D01* +X178641Y252162D01* +X178615Y252296D01* +X178602Y252432D01* +Y252568D01* +X178615Y252704D01* +X178641Y252838D01* +X178681Y252968D01* +X178698Y253029D01* +X178705Y253091D01* +X178701Y253154D01* +X178688Y253216D01* +X178666Y253274D01* +X178634Y253329D01* +X178595Y253378D01* +X178548Y253420D01* +X178495Y253454D01* +X178438Y253480D01* +X178377Y253496D01* +X178314Y253503D01* +X178253Y253500D01* +Y254700D01* +X180001D01* +Y254700D01* +X179893D01* +X179679Y254679D01* +X179469Y254638D01* +X179263Y254576D01* +X179206Y254550D01* +X179153Y254516D01* +X179106Y254474D01* +X179066Y254424D01* +X179034Y254370D01* +X179012Y254311D01* +X178999Y254249D01* +X178995Y254186D01* +X179002Y254123D01* +X179018Y254062D01* +X179044Y254004D01* +X179079Y253951D01* +X179121Y253904D01* +X179170Y253864D01* +X179225Y253833D01* +X179284Y253810D01* +X179346Y253797D01* +X179409Y253794D01* +X179472Y253800D01* +X179532Y253818D01* +X179662Y253859D01* +X179796Y253885D01* +X179932Y253898D01* +X180001D01* +Y251102D01* +X179932D01* +X179796Y251115D01* +X179662Y251141D01* +X179532Y251181D01* +X179471Y251198D01* +X179409Y251205D01* +X179346Y251201D01* +X179284Y251188D01* +X179226Y251166D01* +X179171Y251134D01* +X179122Y251095D01* +X179080Y251048D01* +X179046Y250995D01* +X179020Y250938D01* +X179004Y250877D01* +X178997Y250814D01* +X179000Y250751D01* +X179013Y250690D01* +X179036Y250631D01* +X179067Y250577D01* +X179107Y250528D01* +X179154Y250485D01* +X179206Y250451D01* +X179264Y250427D01* +X179469Y250362D01* +X179679Y250321D01* +X179893Y250300D01* +X180001D01* +Y197000D01* +G37* +G36* +X178253Y249120D02*X178192Y249192D01* +X177653Y249652D01* +X177049Y250022D01* +X176395Y250293D01* +X175706Y250458D01* +X175000Y250514D01* +X174993Y250513D01* +Y254700D01* +X178253D01* +Y253500D01* +X178251Y253500D01* +X178190Y253487D01* +X178131Y253464D01* +X178077Y253433D01* +X178028Y253393D01* +X177985Y253346D01* +X177951Y253294D01* +X177927Y253236D01* +X177862Y253031D01* +X177821Y252821D01* +X177800Y252607D01* +Y252393D01* +X177821Y252179D01* +X177862Y251969D01* +X177924Y251763D01* +X177950Y251706D01* +X177984Y251653D01* +X178026Y251606D01* +X178076Y251566D01* +X178130Y251534D01* +X178189Y251512D01* +X178251Y251499D01* +X178253Y251499D01* +Y249120D01* +G37* +G36* +Y197000D02*X174993D01* +Y241487D01* +X175000Y241486D01* +X175706Y241542D01* +X176395Y241707D01* +X177049Y241978D01* +X177653Y242348D01* +X178192Y242808D01* +X178253Y242880D01* +Y197000D01* +G37* +G36* +X171747Y254700D02*X174993D01* +Y250513D01* +X174294Y250458D01* +X173605Y250293D01* +X172951Y250022D01* +X172347Y249652D01* +X171808Y249192D01* +X171747Y249120D01* +Y251500D01* +X171749Y251500D01* +X171810Y251513D01* +X171869Y251536D01* +X171923Y251567D01* +X171972Y251607D01* +X172015Y251654D01* +X172049Y251706D01* +X172073Y251764D01* +X172138Y251969D01* +X172179Y252179D01* +X172200Y252393D01* +Y252607D01* +X172179Y252821D01* +X172138Y253031D01* +X172076Y253237D01* +X172050Y253294D01* +X172016Y253347D01* +X171974Y253394D01* +X171924Y253434D01* +X171870Y253466D01* +X171811Y253488D01* +X171749Y253501D01* +X171747Y253501D01* +Y254700D01* +G37* +G36* +X174993Y197000D02*X171747D01* +Y242880D01* +X171808Y242808D01* +X172347Y242348D01* +X172951Y241978D01* +X173605Y241707D01* +X174294Y241542D01* +X174993Y241487D01* +Y197000D01* +G37* +G36* +X171747D02*X170001D01* +Y250300D01* +X170107D01* +X170321Y250321D01* +X170531Y250362D01* +X170737Y250424D01* +X170794Y250450D01* +X170847Y250484D01* +X170894Y250526D01* +X170934Y250576D01* +X170966Y250630D01* +X170988Y250689D01* +X171001Y250751D01* +X171005Y250814D01* +X170998Y250877D01* +X170982Y250938D01* +X170956Y250996D01* +X170921Y251049D01* +X170879Y251096D01* +X170830Y251136D01* +X170775Y251167D01* +X170716Y251190D01* +X170654Y251203D01* +X170591Y251206D01* +X170528Y251200D01* +X170468Y251182D01* +X170338Y251141D01* +X170204Y251115D01* +X170068Y251102D01* +X170001D01* +Y253898D01* +X170068D01* +X170204Y253885D01* +X170338Y253859D01* +X170468Y253819D01* +X170529Y253802D01* +X170591Y253795D01* +X170654Y253799D01* +X170716Y253812D01* +X170774Y253834D01* +X170829Y253866D01* +X170878Y253905D01* +X170920Y253952D01* +X170954Y254005D01* +X170980Y254062D01* +X170996Y254123D01* +X171003Y254186D01* +X171000Y254249D01* +X170987Y254310D01* +X170964Y254369D01* +X170933Y254423D01* +X170893Y254472D01* +X170846Y254515D01* +X170794Y254549D01* +X170736Y254573D01* +X170531Y254638D01* +X170321Y254679D01* +X170107Y254700D01* +X170001D01* +Y254700D01* +X171747D01* +Y253501D01* +X171686Y253505D01* +X171623Y253498D01* +X171562Y253482D01* +X171504Y253456D01* +X171451Y253421D01* +X171404Y253379D01* +X171364Y253330D01* +X171333Y253275D01* +X171310Y253216D01* +X171297Y253154D01* +X171294Y253091D01* +X171300Y253028D01* +X171318Y252968D01* +X171359Y252838D01* +X171385Y252704D01* +X171398Y252568D01* +Y252432D01* +X171385Y252296D01* +X171359Y252162D01* +X171319Y252032D01* +X171302Y251971D01* +X171295Y251909D01* +X171299Y251846D01* +X171312Y251784D01* +X171334Y251726D01* +X171366Y251671D01* +X171405Y251622D01* +X171452Y251580D01* +X171505Y251546D01* +X171562Y251520D01* +X171623Y251504D01* +X171686Y251497D01* +X171747Y251500D01* +Y249120D01* +X171348Y248653D01* +X170978Y248049D01* +X170707Y247395D01* +X170542Y246706D01* +X170486Y246000D01* +X170542Y245294D01* +X170707Y244605D01* +X170978Y243951D01* +X171348Y243347D01* +X171747Y242880D01* +Y197000D01* +G37* +G36* +X170001D02*X168253D01* +Y242880D01* +X168652Y243347D01* +X169022Y243951D01* +X169293Y244605D01* +X169458Y245294D01* +X169500Y246000D01* +X169458Y246706D01* +X169293Y247395D01* +X169022Y248049D01* +X168652Y248653D01* +X168253Y249120D01* +Y251499D01* +X168314Y251495D01* +X168377Y251502D01* +X168438Y251518D01* +X168496Y251544D01* +X168549Y251579D01* +X168596Y251621D01* +X168636Y251670D01* +X168667Y251725D01* +X168690Y251784D01* +X168703Y251846D01* +X168706Y251909D01* +X168700Y251972D01* +X168682Y252032D01* +X168641Y252162D01* +X168615Y252296D01* +X168602Y252432D01* +Y252568D01* +X168615Y252704D01* +X168641Y252838D01* +X168681Y252968D01* +X168698Y253029D01* +X168705Y253091D01* +X168701Y253154D01* +X168688Y253216D01* +X168666Y253274D01* +X168634Y253329D01* +X168595Y253378D01* +X168548Y253420D01* +X168495Y253454D01* +X168438Y253480D01* +X168377Y253496D01* +X168314Y253503D01* +X168253Y253500D01* +Y254700D01* +X170001D01* +Y254700D01* +X169893D01* +X169679Y254679D01* +X169469Y254638D01* +X169263Y254576D01* +X169206Y254550D01* +X169153Y254516D01* +X169106Y254474D01* +X169066Y254424D01* +X169034Y254370D01* +X169012Y254311D01* +X168999Y254249D01* +X168995Y254186D01* +X169002Y254123D01* +X169018Y254062D01* +X169044Y254004D01* +X169079Y253951D01* +X169121Y253904D01* +X169170Y253864D01* +X169225Y253833D01* +X169284Y253810D01* +X169346Y253797D01* +X169409Y253794D01* +X169472Y253800D01* +X169532Y253818D01* +X169662Y253859D01* +X169796Y253885D01* +X169932Y253898D01* +X170001D01* +Y251102D01* +X169932D01* +X169796Y251115D01* +X169662Y251141D01* +X169532Y251181D01* +X169471Y251198D01* +X169409Y251205D01* +X169346Y251201D01* +X169284Y251188D01* +X169226Y251166D01* +X169171Y251134D01* +X169122Y251095D01* +X169080Y251048D01* +X169046Y250995D01* +X169020Y250938D01* +X169004Y250877D01* +X168997Y250814D01* +X169000Y250751D01* +X169013Y250690D01* +X169036Y250631D01* +X169067Y250577D01* +X169107Y250528D01* +X169154Y250485D01* +X169206Y250451D01* +X169264Y250427D01* +X169469Y250362D01* +X169679Y250321D01* +X169893Y250300D01* +X170001D01* +Y197000D01* +G37* +G36* +X168253Y249120D02*X168192Y249192D01* +X167653Y249652D01* +X167049Y250022D01* +X166395Y250293D01* +X165706Y250458D01* +X165000Y250514D01* +X164294Y250458D01* +X164247Y250447D01* +Y254700D01* +X168253D01* +Y253500D01* +X168251Y253500D01* +X168190Y253487D01* +X168131Y253464D01* +X168077Y253433D01* +X168028Y253393D01* +X167985Y253346D01* +X167951Y253294D01* +X167927Y253236D01* +X167862Y253031D01* +X167821Y252821D01* +X167800Y252607D01* +Y252393D01* +X167821Y252179D01* +X167862Y251969D01* +X167924Y251763D01* +X167950Y251706D01* +X167984Y251653D01* +X168026Y251606D01* +X168076Y251566D01* +X168130Y251534D01* +X168189Y251512D01* +X168251Y251499D01* +X168253Y251499D01* +Y249120D01* +G37* +G36* +Y197000D02*X164247D01* +Y241553D01* +X164294Y241542D01* +X165000Y241486D01* +X165706Y241542D01* +X166395Y241707D01* +X167049Y241978D01* +X167653Y242348D01* +X168192Y242808D01* +X168253Y242880D01* +Y197000D01* +G37* +G36* +X247308Y41700D02*X256000D01* +Y38300D01* +X248551D01* +X248500Y38304D01* +X248296Y38288D01* +X248097Y38240D01* +X247908Y38162D01* +X247734Y38055D01* +X247733Y38055D01* +X247578Y37922D01* +X247545Y37883D01* +X247308Y37646D01* +Y41700D01* +G37* +G36* +Y48200D02*X256000D01* +Y44300D01* +X247308D01* +Y48200D01* +G37* +G36* +Y54200D02*X256000D01* +Y50800D01* +X247308D01* +Y54200D01* +G37* +G36* +Y60700D02*X256000D01* +Y56800D01* +X247308D01* +Y60700D01* +G37* +G36* +X254501Y75856D02*X254679Y75821D01* +X254893Y75800D01* +X255107D01* +X255321Y75821D01* +X255531Y75862D01* +X255737Y75924D01* +X255794Y75950D01* +X255847Y75984D01* +X255894Y76026D01* +X255934Y76076D01* +X255966Y76130D01* +X255988Y76189D01* +X256000Y76245D01* +Y63300D01* +X254501D01* +Y75856D01* +G37* +G36* +Y79309D02*X254532Y79318D01* +X254662Y79359D01* +X254796Y79385D01* +X254932Y79398D01* +X255068D01* +X255204Y79385D01* +X255338Y79359D01* +X255468Y79319D01* +X255529Y79302D01* +X255591Y79295D01* +X255654Y79299D01* +X255716Y79312D01* +X255774Y79334D01* +X255829Y79366D01* +X255878Y79405D01* +X255920Y79452D01* +X255954Y79505D01* +X255980Y79562D01* +X255996Y79623D01* +X256000Y79657D01* +Y76358D01* +X255998Y76377D01* +X255982Y76438D01* +X255956Y76496D01* +X255921Y76549D01* +X255879Y76596D01* +X255830Y76636D01* +X255775Y76667D01* +X255716Y76690D01* +X255654Y76703D01* +X255591Y76706D01* +X255528Y76700D01* +X255468Y76682D01* +X255338Y76641D01* +X255204Y76615D01* +X255068Y76602D01* +X254932D01* +X254796Y76615D01* +X254662Y76641D01* +X254532Y76681D01* +X254501Y76690D01* +Y79309D01* +G37* +G36* +Y190000D02*X256000D01* +Y167453D01* +X255951Y167421D01* +X255904Y167379D01* +X255864Y167330D01* +X255833Y167275D01* +X255810Y167216D01* +X255797Y167154D01* +X255794Y167091D01* +X255800Y167028D01* +X255818Y166968D01* +X255859Y166838D01* +X255885Y166704D01* +X255898Y166568D01* +Y166432D01* +X255885Y166296D01* +X255859Y166162D01* +X255819Y166032D01* +X255802Y165971D01* +X255795Y165909D01* +X255799Y165846D01* +X255812Y165784D01* +X255834Y165726D01* +X255866Y165671D01* +X255905Y165622D01* +X255952Y165580D01* +X256000Y165549D01* +Y79743D01* +X256000Y79749D01* +X255987Y79810D01* +X255964Y79869D01* +X255933Y79923D01* +X255893Y79972D01* +X255846Y80015D01* +X255794Y80049D01* +X255736Y80073D01* +X255531Y80138D01* +X255321Y80179D01* +X255107Y80200D01* +X254893D01* +X254679Y80179D01* +X254501Y80144D01* +Y164300D01* +X254607D01* +X254821Y164321D01* +X255031Y164362D01* +X255237Y164424D01* +X255294Y164450D01* +X255347Y164484D01* +X255394Y164526D01* +X255434Y164576D01* +X255466Y164630D01* +X255488Y164689D01* +X255501Y164751D01* +X255505Y164814D01* +X255498Y164877D01* +X255482Y164938D01* +X255456Y164996D01* +X255421Y165049D01* +X255379Y165096D01* +X255330Y165136D01* +X255275Y165167D01* +X255216Y165190D01* +X255154Y165203D01* +X255091Y165206D01* +X255028Y165200D01* +X254968Y165182D01* +X254838Y165141D01* +X254704Y165115D01* +X254568Y165102D01* +X254501D01* +Y167898D01* +X254568D01* +X254704Y167885D01* +X254838Y167859D01* +X254968Y167819D01* +X255029Y167802D01* +X255091Y167795D01* +X255154Y167799D01* +X255216Y167812D01* +X255274Y167834D01* +X255329Y167866D01* +X255378Y167905D01* +X255420Y167952D01* +X255454Y168005D01* +X255480Y168062D01* +X255496Y168123D01* +X255503Y168186D01* +X255500Y168249D01* +X255487Y168310D01* +X255464Y168369D01* +X255433Y168423D01* +X255393Y168472D01* +X255346Y168515D01* +X255294Y168549D01* +X255236Y168573D01* +X255031Y168638D01* +X254821Y168679D01* +X254607Y168700D01* +X254501D01* +Y190000D01* +G37* +G36* +X253253D02*X254501D01* +Y168700D01* +X254393D01* +X254179Y168679D01* +X253969Y168638D01* +X253763Y168576D01* +X253706Y168550D01* +X253653Y168516D01* +X253606Y168474D01* +X253566Y168424D01* +X253534Y168370D01* +X253512Y168311D01* +X253499Y168249D01* +X253495Y168186D01* +X253502Y168123D01* +X253518Y168062D01* +X253544Y168004D01* +X253579Y167951D01* +X253621Y167904D01* +X253670Y167864D01* +X253725Y167833D01* +X253784Y167810D01* +X253846Y167797D01* +X253909Y167794D01* +X253972Y167800D01* +X254032Y167818D01* +X254162Y167859D01* +X254296Y167885D01* +X254432Y167898D01* +X254501D01* +Y165102D01* +X254432D01* +X254296Y165115D01* +X254162Y165141D01* +X254032Y165181D01* +X253971Y165198D01* +X253909Y165205D01* +X253846Y165201D01* +X253784Y165188D01* +X253726Y165166D01* +X253671Y165134D01* +X253622Y165095D01* +X253580Y165048D01* +X253546Y164995D01* +X253520Y164938D01* +X253504Y164877D01* +X253497Y164814D01* +X253500Y164751D01* +X253513Y164690D01* +X253536Y164631D01* +X253567Y164577D01* +X253607Y164528D01* +X253654Y164485D01* +X253706Y164451D01* +X253764Y164427D01* +X253969Y164362D01* +X254179Y164321D01* +X254393Y164300D01* +X254501D01* +Y80144D01* +X254469Y80138D01* +X254263Y80076D01* +X254206Y80050D01* +X254153Y80016D01* +X254106Y79974D01* +X254066Y79924D01* +X254034Y79870D01* +X254012Y79811D01* +X253999Y79749D01* +X253995Y79686D01* +X254002Y79623D01* +X254018Y79562D01* +X254044Y79504D01* +X254079Y79451D01* +X254121Y79404D01* +X254170Y79364D01* +X254225Y79333D01* +X254284Y79310D01* +X254346Y79297D01* +X254409Y79294D01* +X254472Y79300D01* +X254501Y79309D01* +Y76690D01* +X254471Y76698D01* +X254409Y76705D01* +X254346Y76701D01* +X254284Y76688D01* +X254226Y76666D01* +X254171Y76634D01* +X254122Y76595D01* +X254080Y76548D01* +X254046Y76495D01* +X254020Y76438D01* +X254004Y76377D01* +X253997Y76314D01* +X254000Y76251D01* +X254013Y76190D01* +X254036Y76131D01* +X254067Y76077D01* +X254107Y76028D01* +X254154Y75985D01* +X254206Y75951D01* +X254264Y75927D01* +X254469Y75862D01* +X254501Y75856D01* +Y63300D01* +X253253D01* +Y76999D01* +X253314Y76995D01* +X253377Y77002D01* +X253438Y77018D01* +X253496Y77044D01* +X253549Y77079D01* +X253596Y77121D01* +X253636Y77170D01* +X253667Y77225D01* +X253690Y77284D01* +X253703Y77346D01* +X253706Y77409D01* +X253700Y77472D01* +X253682Y77532D01* +X253641Y77662D01* +X253615Y77796D01* +X253602Y77932D01* +Y78068D01* +X253615Y78204D01* +X253641Y78338D01* +X253681Y78468D01* +X253698Y78529D01* +X253705Y78591D01* +X253701Y78654D01* +X253688Y78716D01* +X253666Y78774D01* +X253634Y78829D01* +X253595Y78878D01* +X253548Y78920D01* +X253495Y78954D01* +X253438Y78980D01* +X253377Y78996D01* +X253314Y79003D01* +X253253Y79000D01* +Y190000D01* +G37* +G36* +X252753D02*X253253D01* +Y79000D01* +X253251Y79000D01* +X253190Y78987D01* +X253131Y78964D01* +X253077Y78933D01* +X253028Y78893D01* +X252985Y78846D01* +X252951Y78794D01* +X252927Y78736D01* +X252862Y78531D01* +X252821Y78321D01* +X252800Y78107D01* +Y77893D01* +X252821Y77679D01* +X252862Y77469D01* +X252924Y77263D01* +X252950Y77206D01* +X252984Y77153D01* +X253026Y77106D01* +X253076Y77066D01* +X253130Y77034D01* +X253189Y77012D01* +X253251Y76999D01* +X253253Y76999D01* +Y63300D01* +X252753D01* +Y165499D01* +X252814Y165495D01* +X252877Y165502D01* +X252938Y165518D01* +X252996Y165544D01* +X253049Y165579D01* +X253096Y165621D01* +X253136Y165670D01* +X253167Y165725D01* +X253190Y165784D01* +X253203Y165846D01* +X253206Y165909D01* +X253200Y165972D01* +X253182Y166032D01* +X253141Y166162D01* +X253115Y166296D01* +X253102Y166432D01* +Y166568D01* +X253115Y166704D01* +X253141Y166838D01* +X253181Y166968D01* +X253198Y167029D01* +X253205Y167091D01* +X253201Y167154D01* +X253188Y167216D01* +X253166Y167274D01* +X253134Y167329D01* +X253095Y167378D01* +X253048Y167420D01* +X252995Y167454D01* +X252938Y167480D01* +X252877Y167496D01* +X252814Y167503D01* +X252753Y167500D01* +Y190000D01* +G37* +G36* +X247308Y190000D02*X249000D01* +X249000Y190000D01* +X252753D01* +Y167500D01* +X252751Y167500D01* +X252690Y167487D01* +X252631Y167464D01* +X252577Y167433D01* +X252528Y167393D01* +X252485Y167346D01* +X252451Y167294D01* +X252427Y167236D01* +X252362Y167031D01* +X252321Y166821D01* +X252300Y166607D01* +Y166393D01* +X252321Y166179D01* +X252362Y165969D01* +X252424Y165763D01* +X252450Y165706D01* +X252484Y165653D01* +X252526Y165606D01* +X252576Y165566D01* +X252630Y165534D01* +X252689Y165512D01* +X252751Y165499D01* +X252753Y165499D01* +Y63300D01* +X247308D01* +Y75406D01* +X247318Y75401D01* +X247655Y75320D01* +X248000Y75293D01* +X248345Y75320D01* +X248682Y75401D01* +X249002Y75534D01* +X249297Y75715D01* +X249560Y75940D01* +X249785Y76203D01* +X249966Y76498D01* +X250099Y76818D01* +X250180Y77155D01* +X250200Y77500D01* +X250180Y77845D01* +X250099Y78182D01* +X249966Y78502D01* +X249785Y78797D01* +X249560Y79060D01* +X249300Y79283D01* +Y96949D01* +X249304Y97000D01* +X249288Y97204D01* +X249288Y97204D01* +X249252Y97355D01* +X249240Y97403D01* +X249208Y97481D01* +X249162Y97592D01* +X249120Y97660D01* +X249055Y97766D01* +X249055Y97767D01* +X248922Y97922D01* +X248883Y97955D01* +X248802Y98037D01* +X248822Y98378D01* +X248802Y98723D01* +X248721Y99060D01* +X248588Y99380D01* +X248407Y99675D01* +X248182Y99938D01* +X247919Y100163D01* +X247624Y100344D01* +X247308Y100475D01* +Y190000D01* +G37* +G36* +X256000Y2000D02*X247308D01* +Y12808D01* +X247500Y12793D01* +X247845Y12820D01* +X248182Y12901D01* +X248502Y13034D01* +X248797Y13215D01* +X249060Y13440D01* +X249285Y13703D01* +X249466Y13998D01* +X249599Y14318D01* +X249680Y14655D01* +X249700Y15000D01* +X249680Y15345D01* +X249599Y15682D01* +X249466Y16002D01* +X249285Y16297D01* +X249060Y16560D01* +X248800Y16783D01* +Y35462D01* +X249038Y35700D01* +X256000D01* +Y32300D01* +X253551D01* +X253500Y32304D01* +X253296Y32288D01* +X253097Y32240D01* +X252908Y32162D01* +X252734Y32055D01* +X252733Y32055D01* +X252578Y31922D01* +X252545Y31883D01* +X251117Y30455D01* +X251078Y30422D01* +X250945Y30266D01* +X250838Y30092D01* +X250760Y29903D01* +X250712Y29704D01* +X250712Y29704D01* +X250696Y29500D01* +X250700Y29449D01* +Y16783D01* +X250440Y16560D01* +X250215Y16297D01* +X250034Y16002D01* +X249901Y15682D01* +X249820Y15345D01* +X249793Y15000D01* +X249820Y14655D01* +X249901Y14318D01* +X250034Y13998D01* +X250215Y13703D01* +X250440Y13440D01* +X250703Y13215D01* +X250998Y13034D01* +X251318Y12901D01* +X251655Y12820D01* +X252000Y12793D01* +X252345Y12820D01* +X252682Y12901D01* +X253002Y13034D01* +X253297Y13215D01* +X253560Y13440D01* +X253785Y13703D01* +X253966Y13998D01* +X254099Y14318D01* +X254180Y14655D01* +X254200Y15000D01* +X254180Y15345D01* +X254099Y15682D01* +X253966Y16002D01* +X253785Y16297D01* +X253560Y16560D01* +X253300Y16783D01* +Y28962D01* +X254038Y29700D01* +X256000D01* +Y2000D01* +G37* +G36* +X172501Y134000D02*X175250D01* +Y104750D01* +X175254Y104686D01* +X172501Y101933D01* +Y134000D01* +G37* +G36* +X230001Y60700D02*X247308D01* +Y56800D01* +X230001D01* +Y60700D01* +G37* +G36* +X243747Y190000D02*X247308D01* +Y100475D01* +X247304Y100477D01* +X246967Y100558D01* +X246622Y100585D01* +X246277Y100558D01* +X245940Y100477D01* +X245620Y100344D01* +X245325Y100163D01* +X245062Y99938D01* +X244837Y99675D01* +X244656Y99380D01* +X244523Y99060D01* +X244442Y98723D01* +X244415Y98378D01* +X244442Y98033D01* +X244523Y97696D01* +X244656Y97376D01* +X244837Y97081D01* +X245062Y96818D01* +X245325Y96593D01* +X245620Y96412D01* +X245940Y96279D01* +X246277Y96198D01* +X246622Y96171D01* +X246700Y96177D01* +Y79283D01* +X246440Y79060D01* +X246215Y78797D01* +X246034Y78502D01* +X245901Y78182D01* +X245820Y77845D01* +X245793Y77500D01* +X245820Y77155D01* +X245901Y76818D01* +X246034Y76498D01* +X246215Y76203D01* +X246440Y75940D01* +X246703Y75715D01* +X246998Y75534D01* +X247308Y75406D01* +Y63300D01* +X243747D01* +Y89500D01* +X243749Y89500D01* +X243810Y89513D01* +X243869Y89536D01* +X243923Y89567D01* +X243972Y89607D01* +X244015Y89654D01* +X244049Y89706D01* +X244073Y89764D01* +X244138Y89969D01* +X244179Y90179D01* +X244200Y90393D01* +Y90607D01* +X244179Y90821D01* +X244138Y91031D01* +X244076Y91237D01* +X244050Y91294D01* +X244016Y91347D01* +X243974Y91394D01* +X243924Y91434D01* +X243870Y91466D01* +X243811Y91488D01* +X243749Y91501D01* +X243747Y91501D01* +Y190000D01* +G37* +G36* +X242001D02*X243747D01* +Y91501D01* +X243686Y91505D01* +X243623Y91498D01* +X243562Y91482D01* +X243504Y91456D01* +X243451Y91421D01* +X243404Y91379D01* +X243364Y91330D01* +X243333Y91275D01* +X243310Y91216D01* +X243297Y91154D01* +X243294Y91091D01* +X243300Y91028D01* +X243318Y90968D01* +X243359Y90838D01* +X243385Y90704D01* +X243398Y90568D01* +Y90432D01* +X243385Y90296D01* +X243359Y90162D01* +X243319Y90032D01* +X243302Y89971D01* +X243295Y89909D01* +X243299Y89846D01* +X243312Y89784D01* +X243334Y89726D01* +X243366Y89671D01* +X243405Y89622D01* +X243452Y89580D01* +X243505Y89546D01* +X243562Y89520D01* +X243623Y89504D01* +X243686Y89497D01* +X243747Y89500D01* +Y63300D01* +X242001D01* +Y88300D01* +X242107D01* +X242321Y88321D01* +X242531Y88362D01* +X242737Y88424D01* +X242794Y88450D01* +X242847Y88484D01* +X242894Y88526D01* +X242934Y88576D01* +X242966Y88630D01* +X242988Y88689D01* +X243001Y88751D01* +X243005Y88814D01* +X242998Y88877D01* +X242982Y88938D01* +X242956Y88996D01* +X242921Y89049D01* +X242879Y89096D01* +X242830Y89136D01* +X242775Y89167D01* +X242716Y89190D01* +X242654Y89203D01* +X242591Y89206D01* +X242528Y89200D01* +X242468Y89182D01* +X242338Y89141D01* +X242204Y89115D01* +X242068Y89102D01* +X242001D01* +Y91898D01* +X242068D01* +X242204Y91885D01* +X242338Y91859D01* +X242468Y91819D01* +X242529Y91802D01* +X242591Y91795D01* +X242654Y91799D01* +X242716Y91812D01* +X242774Y91834D01* +X242829Y91866D01* +X242878Y91905D01* +X242920Y91952D01* +X242954Y92005D01* +X242980Y92062D01* +X242996Y92123D01* +X243003Y92186D01* +X243000Y92249D01* +X242987Y92310D01* +X242964Y92369D01* +X242933Y92423D01* +X242893Y92472D01* +X242846Y92515D01* +X242794Y92549D01* +X242736Y92573D01* +X242531Y92638D01* +X242321Y92679D01* +X242107Y92700D01* +X242001D01* +Y124548D01* +X242005Y124546D01* +X242062Y124520D01* +X242123Y124504D01* +X242186Y124497D01* +X242249Y124500D01* +X242310Y124513D01* +X242369Y124536D01* +X242423Y124567D01* +X242472Y124607D01* +X242515Y124654D01* +X242549Y124706D01* +X242573Y124764D01* +X242638Y124969D01* +X242679Y125179D01* +X242700Y125393D01* +Y125607D01* +X242679Y125821D01* +X242638Y126031D01* +X242576Y126237D01* +X242550Y126294D01* +X242516Y126347D01* +X242474Y126394D01* +X242424Y126434D01* +X242370Y126466D01* +X242311Y126488D01* +X242249Y126501D01* +X242186Y126505D01* +X242123Y126498D01* +X242062Y126482D01* +X242004Y126456D01* +X242001Y126454D01* +Y190000D01* +G37* +G36* +X240253D02*X242001D01* +Y126454D01* +X241951Y126421D01* +X241904Y126379D01* +X241864Y126330D01* +X241833Y126275D01* +X241810Y126216D01* +X241797Y126154D01* +X241794Y126091D01* +X241800Y126028D01* +X241818Y125968D01* +X241859Y125838D01* +X241885Y125704D01* +X241898Y125568D01* +Y125432D01* +X241885Y125296D01* +X241859Y125162D01* +X241819Y125032D01* +X241802Y124971D01* +X241795Y124909D01* +X241799Y124846D01* +X241812Y124784D01* +X241834Y124726D01* +X241866Y124671D01* +X241905Y124622D01* +X241952Y124580D01* +X242001Y124548D01* +Y92700D01* +X241893D01* +X241679Y92679D01* +X241469Y92638D01* +X241263Y92576D01* +X241206Y92550D01* +X241153Y92516D01* +X241106Y92474D01* +X241066Y92424D01* +X241034Y92370D01* +X241012Y92311D01* +X240999Y92249D01* +X240995Y92186D01* +X241002Y92123D01* +X241018Y92062D01* +X241044Y92004D01* +X241079Y91951D01* +X241121Y91904D01* +X241170Y91864D01* +X241225Y91833D01* +X241284Y91810D01* +X241346Y91797D01* +X241409Y91794D01* +X241472Y91800D01* +X241532Y91818D01* +X241662Y91859D01* +X241796Y91885D01* +X241932Y91898D01* +X242001D01* +Y89102D01* +X241932D01* +X241796Y89115D01* +X241662Y89141D01* +X241532Y89181D01* +X241471Y89198D01* +X241409Y89205D01* +X241346Y89201D01* +X241284Y89188D01* +X241226Y89166D01* +X241171Y89134D01* +X241122Y89095D01* +X241080Y89048D01* +X241046Y88995D01* +X241020Y88938D01* +X241004Y88877D01* +X240997Y88814D01* +X241000Y88751D01* +X241013Y88690D01* +X241036Y88631D01* +X241067Y88577D01* +X241107Y88528D01* +X241154Y88485D01* +X241206Y88451D01* +X241264Y88427D01* +X241469Y88362D01* +X241679Y88321D01* +X241893Y88300D01* +X242001D01* +Y63300D01* +X240253D01* +Y89499D01* +X240314Y89495D01* +X240377Y89502D01* +X240438Y89518D01* +X240496Y89544D01* +X240549Y89579D01* +X240596Y89621D01* +X240636Y89670D01* +X240667Y89725D01* +X240690Y89784D01* +X240703Y89846D01* +X240706Y89909D01* +X240700Y89972D01* +X240682Y90032D01* +X240641Y90162D01* +X240615Y90296D01* +X240602Y90432D01* +Y90568D01* +X240615Y90704D01* +X240641Y90838D01* +X240681Y90968D01* +X240698Y91029D01* +X240705Y91091D01* +X240701Y91154D01* +X240688Y91216D01* +X240666Y91274D01* +X240634Y91329D01* +X240595Y91378D01* +X240548Y91420D01* +X240495Y91454D01* +X240438Y91480D01* +X240377Y91496D01* +X240314Y91503D01* +X240253Y91500D01* +Y123314D01* +X240393Y123300D01* +X240607D01* +X240821Y123321D01* +X241031Y123362D01* +X241237Y123424D01* +X241294Y123450D01* +X241347Y123484D01* +X241394Y123526D01* +X241434Y123576D01* +X241466Y123630D01* +X241488Y123689D01* +X241501Y123751D01* +X241505Y123814D01* +X241498Y123877D01* +X241482Y123938D01* +X241456Y123996D01* +X241421Y124049D01* +X241379Y124096D01* +X241330Y124136D01* +X241275Y124167D01* +X241216Y124190D01* +X241154Y124203D01* +X241091Y124206D01* +X241028Y124200D01* +X240968Y124182D01* +X240838Y124141D01* +X240704Y124115D01* +X240568Y124102D01* +X240432D01* +X240296Y124115D01* +X240253Y124123D01* +Y126877D01* +X240296Y126885D01* +X240432Y126898D01* +X240568D01* +X240704Y126885D01* +X240838Y126859D01* +X240968Y126819D01* +X241029Y126802D01* +X241091Y126795D01* +X241154Y126799D01* +X241216Y126812D01* +X241274Y126834D01* +X241329Y126866D01* +X241378Y126905D01* +X241420Y126952D01* +X241454Y127005D01* +X241480Y127062D01* +X241496Y127123D01* +X241503Y127186D01* +X241500Y127249D01* +X241487Y127310D01* +X241464Y127369D01* +X241433Y127423D01* +X241393Y127472D01* +X241346Y127515D01* +X241294Y127549D01* +X241236Y127573D01* +X241031Y127638D01* +X240821Y127679D01* +X240607Y127700D01* +X240393D01* +X240253Y127686D01* +Y190000D01* +G37* +G36* +X238753D02*X240253D01* +Y127686D01* +X240179Y127679D01* +X239969Y127638D01* +X239763Y127576D01* +X239706Y127550D01* +X239653Y127516D01* +X239606Y127474D01* +X239566Y127424D01* +X239534Y127370D01* +X239512Y127311D01* +X239499Y127249D01* +X239495Y127186D01* +X239502Y127123D01* +X239518Y127062D01* +X239544Y127004D01* +X239579Y126951D01* +X239621Y126904D01* +X239670Y126864D01* +X239725Y126833D01* +X239784Y126810D01* +X239846Y126797D01* +X239909Y126794D01* +X239972Y126800D01* +X240032Y126818D01* +X240162Y126859D01* +X240253Y126877D01* +Y124123D01* +X240162Y124141D01* +X240032Y124181D01* +X239971Y124198D01* +X239909Y124205D01* +X239846Y124201D01* +X239784Y124188D01* +X239726Y124166D01* +X239671Y124134D01* +X239622Y124095D01* +X239580Y124048D01* +X239546Y123995D01* +X239520Y123938D01* +X239504Y123877D01* +X239497Y123814D01* +X239500Y123751D01* +X239513Y123690D01* +X239536Y123631D01* +X239567Y123577D01* +X239607Y123528D01* +X239654Y123485D01* +X239706Y123451D01* +X239764Y123427D01* +X239969Y123362D01* +X240179Y123321D01* +X240253Y123314D01* +Y91500D01* +X240251Y91500D01* +X240190Y91487D01* +X240131Y91464D01* +X240077Y91433D01* +X240028Y91393D01* +X239985Y91346D01* +X239951Y91294D01* +X239927Y91236D01* +X239862Y91031D01* +X239821Y90821D01* +X239800Y90607D01* +Y90393D01* +X239821Y90179D01* +X239862Y89969D01* +X239924Y89763D01* +X239950Y89706D01* +X239984Y89653D01* +X240026Y89606D01* +X240076Y89566D01* +X240130Y89534D01* +X240189Y89512D01* +X240251Y89499D01* +X240253Y89499D01* +Y63300D01* +X238753D01* +Y124499D01* +X238814Y124495D01* +X238877Y124502D01* +X238938Y124518D01* +X238996Y124544D01* +X239049Y124579D01* +X239096Y124621D01* +X239136Y124670D01* +X239167Y124725D01* +X239190Y124784D01* +X239203Y124846D01* +X239206Y124909D01* +X239200Y124972D01* +X239182Y125032D01* +X239141Y125162D01* +X239115Y125296D01* +X239102Y125432D01* +Y125568D01* +X239115Y125704D01* +X239141Y125838D01* +X239181Y125968D01* +X239198Y126029D01* +X239205Y126091D01* +X239201Y126154D01* +X239188Y126216D01* +X239166Y126274D01* +X239134Y126329D01* +X239095Y126378D01* +X239048Y126420D01* +X238995Y126454D01* +X238938Y126480D01* +X238877Y126496D01* +X238814Y126503D01* +X238753Y126500D01* +Y190000D01* +G37* +G36* +X232747D02*X238753D01* +Y126500D01* +X238751Y126500D01* +X238690Y126487D01* +X238631Y126464D01* +X238577Y126433D01* +X238528Y126393D01* +X238485Y126346D01* +X238451Y126294D01* +X238427Y126236D01* +X238362Y126031D01* +X238321Y125821D01* +X238300Y125607D01* +Y125393D01* +X238321Y125179D01* +X238362Y124969D01* +X238424Y124763D01* +X238450Y124706D01* +X238484Y124653D01* +X238526Y124606D01* +X238576Y124566D01* +X238630Y124534D01* +X238689Y124512D01* +X238751Y124499D01* +X238753Y124499D01* +Y63300D01* +X232747D01* +Y110000D01* +X232749Y110000D01* +X232810Y110013D01* +X232869Y110036D01* +X232923Y110067D01* +X232972Y110107D01* +X233015Y110154D01* +X233049Y110206D01* +X233073Y110264D01* +X233138Y110469D01* +X233179Y110679D01* +X233200Y110893D01* +Y111107D01* +X233179Y111321D01* +X233138Y111531D01* +X233076Y111737D01* +X233050Y111794D01* +X233016Y111847D01* +X232974Y111894D01* +X232924Y111934D01* +X232870Y111966D01* +X232811Y111988D01* +X232749Y112001D01* +X232747Y112001D01* +Y190000D01* +G37* +G36* +X230001D02*X232747D01* +Y112001D01* +X232686Y112005D01* +X232623Y111998D01* +X232562Y111982D01* +X232504Y111956D01* +X232451Y111921D01* +X232404Y111879D01* +X232364Y111830D01* +X232333Y111775D01* +X232310Y111716D01* +X232297Y111654D01* +X232294Y111591D01* +X232300Y111528D01* +X232318Y111468D01* +X232359Y111338D01* +X232385Y111204D01* +X232398Y111068D01* +Y110932D01* +X232385Y110796D01* +X232359Y110662D01* +X232319Y110532D01* +X232302Y110471D01* +X232295Y110409D01* +X232299Y110346D01* +X232312Y110284D01* +X232334Y110226D01* +X232366Y110171D01* +X232405Y110122D01* +X232452Y110080D01* +X232505Y110046D01* +X232562Y110020D01* +X232623Y110004D01* +X232686Y109997D01* +X232747Y110000D01* +Y63300D01* +X230001D01* +Y109249D01* +X230013Y109190D01* +X230036Y109131D01* +X230067Y109077D01* +X230107Y109028D01* +X230154Y108985D01* +X230206Y108951D01* +X230264Y108927D01* +X230469Y108862D01* +X230679Y108821D01* +X230893Y108800D01* +X231107D01* +X231321Y108821D01* +X231531Y108862D01* +X231737Y108924D01* +X231794Y108950D01* +X231847Y108984D01* +X231894Y109026D01* +X231934Y109076D01* +X231966Y109130D01* +X231988Y109189D01* +X232001Y109251D01* +X232005Y109314D01* +X231998Y109377D01* +X231982Y109438D01* +X231956Y109496D01* +X231921Y109549D01* +X231879Y109596D01* +X231830Y109636D01* +X231775Y109667D01* +X231716Y109690D01* +X231654Y109703D01* +X231591Y109706D01* +X231528Y109700D01* +X231468Y109682D01* +X231338Y109641D01* +X231204Y109615D01* +X231068Y109602D01* +X230932D01* +X230796Y109615D01* +X230662Y109641D01* +X230532Y109681D01* +X230471Y109698D01* +X230409Y109705D01* +X230346Y109701D01* +X230284Y109688D01* +X230226Y109666D01* +X230171Y109634D01* +X230122Y109595D01* +X230080Y109548D01* +X230046Y109495D01* +X230020Y109438D01* +X230004Y109377D01* +X230001Y109350D01* +Y112634D01* +X230002Y112623D01* +X230018Y112562D01* +X230044Y112504D01* +X230079Y112451D01* +X230121Y112404D01* +X230170Y112364D01* +X230225Y112333D01* +X230284Y112310D01* +X230346Y112297D01* +X230409Y112294D01* +X230472Y112300D01* +X230532Y112318D01* +X230662Y112359D01* +X230796Y112385D01* +X230932Y112398D01* +X231068D01* +X231204Y112385D01* +X231338Y112359D01* +X231468Y112319D01* +X231529Y112302D01* +X231591Y112295D01* +X231654Y112299D01* +X231716Y112312D01* +X231774Y112334D01* +X231829Y112366D01* +X231878Y112405D01* +X231920Y112452D01* +X231954Y112505D01* +X231980Y112562D01* +X231996Y112623D01* +X232003Y112686D01* +X232000Y112749D01* +X231987Y112810D01* +X231964Y112869D01* +X231933Y112923D01* +X231893Y112972D01* +X231846Y113015D01* +X231794Y113049D01* +X231736Y113073D01* +X231531Y113138D01* +X231321Y113179D01* +X231107Y113200D01* +X230893D01* +X230679Y113179D01* +X230469Y113138D01* +X230263Y113076D01* +X230206Y113050D01* +X230153Y113016D01* +X230106Y112974D01* +X230066Y112924D01* +X230034Y112870D01* +X230012Y112811D01* +X230001Y112759D01* +Y190000D01* +G37* +G36* +X247308Y2000D02*X231747D01* +Y14000D01* +X231749Y14000D01* +X231810Y14013D01* +X231869Y14036D01* +X231923Y14067D01* +X231972Y14107D01* +X232015Y14154D01* +X232049Y14206D01* +X232073Y14264D01* +X232138Y14469D01* +X232179Y14679D01* +X232200Y14893D01* +Y15107D01* +X232179Y15321D01* +X232138Y15531D01* +X232076Y15737D01* +X232050Y15794D01* +X232016Y15847D01* +X231974Y15894D01* +X231924Y15934D01* +X231870Y15966D01* +X231811Y15988D01* +X231749Y16001D01* +X231747Y16001D01* +Y54200D01* +X247308D01* +Y50800D01* +X236051D01* +X236000Y50804D01* +X235796Y50788D01* +X235597Y50740D01* +X235408Y50662D01* +X235234Y50555D01* +X235233Y50555D01* +X235078Y50422D01* +X235045Y50383D01* +X233617Y48955D01* +X233578Y48922D01* +X233445Y48766D01* +X233338Y48592D01* +X233260Y48403D01* +X233212Y48204D01* +X233212Y48204D01* +X233196Y48000D01* +X233200Y47949D01* +Y16783D01* +X232940Y16560D01* +X232715Y16297D01* +X232534Y16002D01* +X232401Y15682D01* +X232320Y15345D01* +X232293Y15000D01* +X232320Y14655D01* +X232401Y14318D01* +X232534Y13998D01* +X232715Y13703D01* +X232940Y13440D01* +X233203Y13215D01* +X233498Y13034D01* +X233818Y12901D01* +X234155Y12820D01* +X234500Y12793D01* +X234845Y12820D01* +X235182Y12901D01* +X235502Y13034D01* +X235797Y13215D01* +X236060Y13440D01* +X236285Y13703D01* +X236466Y13998D01* +X236599Y14318D01* +X236680Y14655D01* +X236700Y15000D01* +X236680Y15345D01* +X236599Y15682D01* +X236466Y16002D01* +X236285Y16297D01* +X236060Y16560D01* +X235800Y16783D01* +Y47462D01* +X236538Y48200D01* +X247308D01* +Y44300D01* +X244551D01* +X244500Y44304D01* +X244296Y44288D01* +X244097Y44240D01* +X243908Y44162D01* +X243734Y44055D01* +X243733Y44055D01* +X243578Y43922D01* +X243545Y43883D01* +X242117Y42455D01* +X242078Y42422D01* +X241945Y42266D01* +X241838Y42092D01* +X241760Y41903D01* +X241712Y41704D01* +X241712Y41704D01* +X241696Y41500D01* +X241700Y41449D01* +Y16783D01* +X241440Y16560D01* +X241215Y16297D01* +X241034Y16002D01* +X240901Y15682D01* +X240820Y15345D01* +X240793Y15000D01* +X240820Y14655D01* +X240901Y14318D01* +X241034Y13998D01* +X241215Y13703D01* +X241440Y13440D01* +X241703Y13215D01* +X241998Y13034D01* +X242318Y12901D01* +X242655Y12820D01* +X243000Y12793D01* +X243345Y12820D01* +X243682Y12901D01* +X244002Y13034D01* +X244297Y13215D01* +X244560Y13440D01* +X244785Y13703D01* +X244966Y13998D01* +X245099Y14318D01* +X245180Y14655D01* +X245200Y15000D01* +X245180Y15345D01* +X245099Y15682D01* +X244966Y16002D01* +X244785Y16297D01* +X244560Y16560D01* +X244300Y16783D01* +Y40962D01* +X245038Y41700D01* +X247308D01* +Y37646D01* +X246617Y36955D01* +X246578Y36922D01* +X246445Y36766D01* +X246338Y36592D01* +X246260Y36403D01* +X246212Y36204D01* +X246212Y36204D01* +X246196Y36000D01* +X246200Y35949D01* +Y16783D01* +X245940Y16560D01* +X245715Y16297D01* +X245534Y16002D01* +X245401Y15682D01* +X245320Y15345D01* +X245293Y15000D01* +X245320Y14655D01* +X245401Y14318D01* +X245534Y13998D01* +X245715Y13703D01* +X245940Y13440D01* +X246203Y13215D01* +X246498Y13034D01* +X246818Y12901D01* +X247155Y12820D01* +X247308Y12808D01* +Y2000D01* +G37* +G36* +X231747D02*X230001D01* +Y12800D01* +X230107D01* +X230321Y12821D01* +X230531Y12862D01* +X230737Y12924D01* +X230794Y12950D01* +X230847Y12984D01* +X230894Y13026D01* +X230934Y13076D01* +X230966Y13130D01* +X230988Y13189D01* +X231001Y13251D01* +X231005Y13314D01* +X230998Y13377D01* +X230982Y13438D01* +X230956Y13496D01* +X230921Y13549D01* +X230879Y13596D01* +X230830Y13636D01* +X230775Y13667D01* +X230716Y13690D01* +X230654Y13703D01* +X230591Y13706D01* +X230528Y13700D01* +X230468Y13682D01* +X230338Y13641D01* +X230204Y13615D01* +X230068Y13602D01* +X230001D01* +Y16398D01* +X230068D01* +X230204Y16385D01* +X230338Y16359D01* +X230468Y16319D01* +X230529Y16302D01* +X230591Y16295D01* +X230654Y16299D01* +X230716Y16312D01* +X230774Y16334D01* +X230829Y16366D01* +X230878Y16405D01* +X230920Y16452D01* +X230954Y16505D01* +X230980Y16562D01* +X230996Y16623D01* +X231003Y16686D01* +X231000Y16749D01* +X230987Y16810D01* +X230964Y16869D01* +X230933Y16923D01* +X230893Y16972D01* +X230846Y17015D01* +X230794Y17049D01* +X230736Y17073D01* +X230531Y17138D01* +X230321Y17179D01* +X230107Y17200D01* +X230001D01* +Y54200D01* +X231747D01* +Y16001D01* +X231686Y16005D01* +X231623Y15998D01* +X231562Y15982D01* +X231504Y15956D01* +X231451Y15921D01* +X231404Y15879D01* +X231364Y15830D01* +X231333Y15775D01* +X231310Y15716D01* +X231297Y15654D01* +X231294Y15591D01* +X231300Y15528D01* +X231318Y15468D01* +X231359Y15338D01* +X231385Y15204D01* +X231398Y15068D01* +Y14932D01* +X231385Y14796D01* +X231359Y14662D01* +X231319Y14532D01* +X231302Y14471D01* +X231295Y14409D01* +X231299Y14346D01* +X231312Y14284D01* +X231334Y14226D01* +X231366Y14171D01* +X231405Y14122D01* +X231452Y14080D01* +X231505Y14046D01* +X231562Y14020D01* +X231623Y14004D01* +X231686Y13997D01* +X231747Y14000D01* +Y2000D01* +G37* +G36* +X228253Y60700D02*X230001D01* +Y56800D01* +X228253D01* +Y60700D01* +G37* +G36* +X229253Y190000D02*X230001D01* +Y112759D01* +X229999Y112749D01* +X229995Y112686D01* +X230001Y112634D01* +Y109350D01* +X229997Y109314D01* +X230000Y109251D01* +X230001Y109249D01* +Y63300D01* +X229253D01* +Y109999D01* +X229314Y109995D01* +X229377Y110002D01* +X229438Y110018D01* +X229496Y110044D01* +X229549Y110079D01* +X229596Y110121D01* +X229636Y110170D01* +X229667Y110225D01* +X229690Y110284D01* +X229703Y110346D01* +X229706Y110409D01* +X229700Y110472D01* +X229682Y110532D01* +X229641Y110662D01* +X229615Y110796D01* +X229602Y110932D01* +Y111068D01* +X229615Y111204D01* +X229641Y111338D01* +X229681Y111468D01* +X229698Y111529D01* +X229705Y111591D01* +X229701Y111654D01* +X229688Y111716D01* +X229666Y111774D01* +X229634Y111829D01* +X229595Y111878D01* +X229548Y111920D01* +X229495Y111954D01* +X229438Y111980D01* +X229377Y111996D01* +X229314Y112003D01* +X229253Y112000D01* +Y190000D01* +G37* +G36* +X228253D02*X229253D01* +Y112000D01* +X229251Y112000D01* +X229190Y111987D01* +X229131Y111964D01* +X229077Y111933D01* +X229028Y111893D01* +X228985Y111846D01* +X228951Y111794D01* +X228927Y111736D01* +X228862Y111531D01* +X228821Y111321D01* +X228800Y111107D01* +Y110893D01* +X228821Y110679D01* +X228862Y110469D01* +X228924Y110263D01* +X228950Y110206D01* +X228984Y110153D01* +X229026Y110106D01* +X229076Y110066D01* +X229130Y110034D01* +X229189Y110012D01* +X229251Y109999D01* +X229253Y109999D01* +Y63300D01* +X228253D01* +Y190000D01* +G37* +G36* +X230001Y2000D02*X228253D01* +Y13999D01* +X228314Y13995D01* +X228377Y14002D01* +X228438Y14018D01* +X228496Y14044D01* +X228549Y14079D01* +X228596Y14121D01* +X228636Y14170D01* +X228667Y14225D01* +X228690Y14284D01* +X228703Y14346D01* +X228706Y14409D01* +X228700Y14472D01* +X228682Y14532D01* +X228641Y14662D01* +X228615Y14796D01* +X228602Y14932D01* +Y15068D01* +X228615Y15204D01* +X228641Y15338D01* +X228681Y15468D01* +X228698Y15529D01* +X228705Y15591D01* +X228701Y15654D01* +X228688Y15716D01* +X228666Y15774D01* +X228634Y15829D01* +X228595Y15878D01* +X228548Y15920D01* +X228495Y15954D01* +X228438Y15980D01* +X228377Y15996D01* +X228314Y16003D01* +X228253Y16000D01* +Y54200D01* +X230001D01* +Y17200D01* +X229893D01* +X229679Y17179D01* +X229469Y17138D01* +X229263Y17076D01* +X229206Y17050D01* +X229153Y17016D01* +X229106Y16974D01* +X229066Y16924D01* +X229034Y16870D01* +X229012Y16811D01* +X228999Y16749D01* +X228995Y16686D01* +X229002Y16623D01* +X229018Y16562D01* +X229044Y16504D01* +X229079Y16451D01* +X229121Y16404D01* +X229170Y16364D01* +X229225Y16333D01* +X229284Y16310D01* +X229346Y16297D01* +X229409Y16294D01* +X229472Y16300D01* +X229532Y16318D01* +X229662Y16359D01* +X229796Y16385D01* +X229932Y16398D01* +X230001D01* +Y13602D01* +X229932D01* +X229796Y13615D01* +X229662Y13641D01* +X229532Y13681D01* +X229471Y13698D01* +X229409Y13705D01* +X229346Y13701D01* +X229284Y13688D01* +X229226Y13666D01* +X229171Y13634D01* +X229122Y13595D01* +X229080Y13548D01* +X229046Y13495D01* +X229020Y13438D01* +X229004Y13377D01* +X228997Y13314D01* +X229000Y13251D01* +X229013Y13190D01* +X229036Y13131D01* +X229067Y13077D01* +X229107Y13028D01* +X229154Y12985D01* +X229206Y12951D01* +X229264Y12927D01* +X229469Y12862D01* +X229679Y12821D01* +X229893Y12800D01* +X230001D01* +Y2000D01* +G37* +G36* +X218247Y141565D02*X219029Y142347D01* +X219096Y142404D01* +X219326Y142673D01* +X219326Y142673D01* +X219511Y142975D01* +X219646Y143303D01* +X219729Y143647D01* +X219757Y144000D01* +X219750Y144088D01* +Y145500D01* +X219729Y145853D01* +X219646Y146197D01* +X219511Y146525D01* +X219326Y146827D01* +X219096Y147096D01* +X218827Y147326D01* +X218525Y147511D01* +X218247Y147626D01* +Y190000D01* +X228253D01* +Y63300D01* +X218247D01* +Y105500D01* +X218249Y105500D01* +X218310Y105513D01* +X218369Y105536D01* +X218423Y105567D01* +X218472Y105607D01* +X218515Y105654D01* +X218549Y105706D01* +X218573Y105764D01* +X218638Y105969D01* +X218679Y106179D01* +X218700Y106393D01* +Y106607D01* +X218679Y106821D01* +X218638Y107031D01* +X218576Y107237D01* +X218550Y107294D01* +X218516Y107347D01* +X218474Y107394D01* +X218424Y107434D01* +X218370Y107466D01* +X218311Y107488D01* +X218249Y107501D01* +X218247Y107501D01* +Y117000D01* +X218249Y117000D01* +X218310Y117013D01* +X218369Y117036D01* +X218423Y117067D01* +X218472Y117107D01* +X218515Y117154D01* +X218549Y117206D01* +X218573Y117264D01* +X218638Y117469D01* +X218679Y117679D01* +X218700Y117893D01* +Y118107D01* +X218679Y118321D01* +X218638Y118531D01* +X218576Y118737D01* +X218550Y118794D01* +X218516Y118847D01* +X218474Y118894D01* +X218424Y118934D01* +X218370Y118966D01* +X218311Y118988D01* +X218249Y119001D01* +X218247Y119001D01* +Y129000D01* +X218249Y129000D01* +X218310Y129013D01* +X218369Y129036D01* +X218423Y129067D01* +X218472Y129107D01* +X218515Y129154D01* +X218549Y129206D01* +X218573Y129264D01* +X218638Y129469D01* +X218679Y129679D01* +X218700Y129893D01* +Y130107D01* +X218679Y130321D01* +X218638Y130531D01* +X218576Y130737D01* +X218550Y130794D01* +X218516Y130847D01* +X218474Y130894D01* +X218424Y130934D01* +X218370Y130966D01* +X218311Y130988D01* +X218249Y131001D01* +X218247Y131001D01* +Y141565D01* +G37* +G36* +Y147626D02*X218197Y147646D01* +X217853Y147729D01* +X217500Y147757D01* +X217147Y147729D01* +X216803Y147646D01* +X216501Y147522D01* +Y190000D01* +X218247D01* +Y147626D01* +G37* +G36* +X216501Y139819D02*X218247Y141565D01* +Y131001D01* +X218186Y131005D01* +X218123Y130998D01* +X218062Y130982D01* +X218004Y130956D01* +X217951Y130921D01* +X217904Y130879D01* +X217864Y130830D01* +X217833Y130775D01* +X217810Y130716D01* +X217797Y130654D01* +X217794Y130591D01* +X217800Y130528D01* +X217818Y130468D01* +X217859Y130338D01* +X217885Y130204D01* +X217898Y130068D01* +Y129932D01* +X217885Y129796D01* +X217859Y129662D01* +X217819Y129532D01* +X217802Y129471D01* +X217795Y129409D01* +X217799Y129346D01* +X217812Y129284D01* +X217834Y129226D01* +X217866Y129171D01* +X217905Y129122D01* +X217952Y129080D01* +X218005Y129046D01* +X218062Y129020D01* +X218123Y129004D01* +X218186Y128997D01* +X218247Y129000D01* +Y119001D01* +X218186Y119005D01* +X218123Y118998D01* +X218062Y118982D01* +X218004Y118956D01* +X217951Y118921D01* +X217904Y118879D01* +X217864Y118830D01* +X217833Y118775D01* +X217810Y118716D01* +X217797Y118654D01* +X217794Y118591D01* +X217800Y118528D01* +X217818Y118468D01* +X217859Y118338D01* +X217885Y118204D01* +X217898Y118068D01* +Y117932D01* +X217885Y117796D01* +X217859Y117662D01* +X217819Y117532D01* +X217802Y117471D01* +X217795Y117409D01* +X217799Y117346D01* +X217812Y117284D01* +X217834Y117226D01* +X217866Y117171D01* +X217905Y117122D01* +X217952Y117080D01* +X218005Y117046D01* +X218062Y117020D01* +X218123Y117004D01* +X218186Y116997D01* +X218247Y117000D01* +Y107501D01* +X218186Y107505D01* +X218123Y107498D01* +X218062Y107482D01* +X218004Y107456D01* +X217951Y107421D01* +X217904Y107379D01* +X217864Y107330D01* +X217833Y107275D01* +X217810Y107216D01* +X217797Y107154D01* +X217794Y107091D01* +X217800Y107028D01* +X217818Y106968D01* +X217859Y106838D01* +X217885Y106704D01* +X217898Y106568D01* +Y106432D01* +X217885Y106296D01* +X217859Y106162D01* +X217819Y106032D01* +X217802Y105971D01* +X217795Y105909D01* +X217799Y105846D01* +X217812Y105784D01* +X217834Y105726D01* +X217866Y105671D01* +X217905Y105622D01* +X217952Y105580D01* +X218005Y105546D01* +X218062Y105520D01* +X218123Y105504D01* +X218186Y105497D01* +X218247Y105500D01* +Y63300D01* +X217551D01* +X217500Y63304D01* +X217296Y63288D01* +X217097Y63240D01* +X216908Y63162D01* +X216734Y63055D01* +X216733Y63055D01* +X216578Y62922D01* +X216545Y62883D01* +X216501Y62839D01* +Y104300D01* +X216607D01* +X216821Y104321D01* +X217031Y104362D01* +X217237Y104424D01* +X217294Y104450D01* +X217347Y104484D01* +X217394Y104526D01* +X217434Y104576D01* +X217466Y104630D01* +X217488Y104689D01* +X217501Y104751D01* +X217505Y104814D01* +X217498Y104877D01* +X217482Y104938D01* +X217456Y104996D01* +X217421Y105049D01* +X217379Y105096D01* +X217330Y105136D01* +X217275Y105167D01* +X217216Y105190D01* +X217154Y105203D01* +X217091Y105206D01* +X217028Y105200D01* +X216968Y105182D01* +X216838Y105141D01* +X216704Y105115D01* +X216568Y105102D01* +X216501D01* +Y107898D01* +X216568D01* +X216704Y107885D01* +X216838Y107859D01* +X216968Y107819D01* +X217029Y107802D01* +X217091Y107795D01* +X217154Y107799D01* +X217216Y107812D01* +X217274Y107834D01* +X217329Y107866D01* +X217378Y107905D01* +X217420Y107952D01* +X217454Y108005D01* +X217480Y108062D01* +X217496Y108123D01* +X217503Y108186D01* +X217500Y108249D01* +X217487Y108310D01* +X217464Y108369D01* +X217433Y108423D01* +X217393Y108472D01* +X217346Y108515D01* +X217294Y108549D01* +X217236Y108573D01* +X217031Y108638D01* +X216821Y108679D01* +X216607Y108700D01* +X216501D01* +Y115800D01* +X216607D01* +X216821Y115821D01* +X217031Y115862D01* +X217237Y115924D01* +X217294Y115950D01* +X217347Y115984D01* +X217394Y116026D01* +X217434Y116076D01* +X217466Y116130D01* +X217488Y116189D01* +X217501Y116251D01* +X217505Y116314D01* +X217498Y116377D01* +X217482Y116438D01* +X217456Y116496D01* +X217421Y116549D01* +X217379Y116596D01* +X217330Y116636D01* +X217275Y116667D01* +X217216Y116690D01* +X217154Y116703D01* +X217091Y116706D01* +X217028Y116700D01* +X216968Y116682D01* +X216838Y116641D01* +X216704Y116615D01* +X216568Y116602D01* +X216501D01* +Y119398D01* +X216568D01* +X216704Y119385D01* +X216838Y119359D01* +X216968Y119319D01* +X217029Y119302D01* +X217091Y119295D01* +X217154Y119299D01* +X217216Y119312D01* +X217274Y119334D01* +X217329Y119366D01* +X217378Y119405D01* +X217420Y119452D01* +X217454Y119505D01* +X217480Y119562D01* +X217496Y119623D01* +X217503Y119686D01* +X217500Y119749D01* +X217487Y119810D01* +X217464Y119869D01* +X217433Y119923D01* +X217393Y119972D01* +X217346Y120015D01* +X217294Y120049D01* +X217236Y120073D01* +X217031Y120138D01* +X216821Y120179D01* +X216607Y120200D01* +X216501D01* +Y127800D01* +X216607D01* +X216821Y127821D01* +X217031Y127862D01* +X217237Y127924D01* +X217294Y127950D01* +X217347Y127984D01* +X217394Y128026D01* +X217434Y128076D01* +X217466Y128130D01* +X217488Y128189D01* +X217501Y128251D01* +X217505Y128314D01* +X217498Y128377D01* +X217482Y128438D01* +X217456Y128496D01* +X217421Y128549D01* +X217379Y128596D01* +X217330Y128636D01* +X217275Y128667D01* +X217216Y128690D01* +X217154Y128703D01* +X217091Y128706D01* +X217028Y128700D01* +X216968Y128682D01* +X216838Y128641D01* +X216704Y128615D01* +X216568Y128602D01* +X216501D01* +Y131398D01* +X216568D01* +X216704Y131385D01* +X216838Y131359D01* +X216968Y131319D01* +X217029Y131302D01* +X217091Y131295D01* +X217154Y131299D01* +X217216Y131312D01* +X217274Y131334D01* +X217329Y131366D01* +X217378Y131405D01* +X217420Y131452D01* +X217454Y131505D01* +X217480Y131562D01* +X217496Y131623D01* +X217503Y131686D01* +X217500Y131749D01* +X217487Y131810D01* +X217464Y131869D01* +X217433Y131923D01* +X217393Y131972D01* +X217346Y132015D01* +X217294Y132049D01* +X217236Y132073D01* +X217031Y132138D01* +X216821Y132179D01* +X216607Y132200D01* +X216501D01* +Y139819D01* +G37* +G36* +X228253Y2000D02*X216501D01* +Y20358D01* +X216682Y20401D01* +X217002Y20534D01* +X217297Y20715D01* +X217560Y20940D01* +X217785Y21203D01* +X217966Y21498D01* +X218099Y21818D01* +X218180Y22155D01* +X218200Y22500D01* +X218180Y22845D01* +X218099Y23182D01* +X217966Y23502D01* +X217785Y23797D01* +X217560Y24060D01* +X217300Y24283D01* +Y59962D01* +X218038Y60700D01* +X228253D01* +Y56800D01* +X227051D01* +X227000Y56804D01* +X226796Y56788D01* +X226597Y56740D01* +X226408Y56662D01* +X226234Y56555D01* +X226233Y56555D01* +X226078Y56422D01* +X226045Y56383D01* +X224617Y54955D01* +X224578Y54922D01* +X224445Y54766D01* +X224338Y54592D01* +X224260Y54403D01* +X224212Y54204D01* +X224212Y54204D01* +X224196Y54000D01* +X224200Y53949D01* +Y16783D01* +X223940Y16560D01* +X223715Y16297D01* +X223534Y16002D01* +X223401Y15682D01* +X223320Y15345D01* +X223293Y15000D01* +X223320Y14655D01* +X223401Y14318D01* +X223534Y13998D01* +X223715Y13703D01* +X223940Y13440D01* +X224203Y13215D01* +X224498Y13034D01* +X224818Y12901D01* +X225155Y12820D01* +X225500Y12793D01* +X225845Y12820D01* +X226182Y12901D01* +X226502Y13034D01* +X226797Y13215D01* +X227060Y13440D01* +X227285Y13703D01* +X227466Y13998D01* +X227599Y14318D01* +X227680Y14655D01* +X227700Y15000D01* +X227680Y15345D01* +X227599Y15682D01* +X227466Y16002D01* +X227285Y16297D01* +X227060Y16560D01* +X226800Y16783D01* +Y53462D01* +X227538Y54200D01* +X228253D01* +Y16000D01* +X228251Y16000D01* +X228190Y15987D01* +X228131Y15964D01* +X228077Y15933D01* +X228028Y15893D01* +X227985Y15846D01* +X227951Y15794D01* +X227927Y15736D01* +X227862Y15531D01* +X227821Y15321D01* +X227800Y15107D01* +Y14893D01* +X227821Y14679D01* +X227862Y14469D01* +X227924Y14263D01* +X227950Y14206D01* +X227984Y14153D01* +X228026Y14106D01* +X228076Y14066D01* +X228130Y14034D01* +X228189Y14012D01* +X228251Y13999D01* +X228253Y13999D01* +Y2000D01* +G37* +G36* +X216501Y147522D02*X216475Y147511D01* +X216173Y147326D01* +X215904Y147096D01* +X215674Y146827D01* +X215489Y146525D01* +X215354Y146197D01* +X215271Y145853D01* +X215250Y145500D01* +Y144932D01* +X213747Y143429D01* +Y190000D01* +X216501D01* +Y147522D01* +G37* +G36* +X214753Y123877D02*X215025Y123989D01* +X215327Y124174D01* +X215596Y124404D01* +X215826Y124673D01* +X216011Y124975D01* +X216146Y125303D01* +X216229Y125647D01* +X216257Y126000D01* +X216229Y126353D01* +X216146Y126697D01* +X216011Y127025D01* +X215826Y127327D01* +X215596Y127596D01* +X215327Y127826D01* +X215025Y128011D01* +X214753Y128123D01* +Y128999D01* +X214814Y128995D01* +X214877Y129002D01* +X214938Y129018D01* +X214996Y129044D01* +X215049Y129079D01* +X215096Y129121D01* +X215136Y129170D01* +X215167Y129225D01* +X215190Y129284D01* +X215203Y129346D01* +X215206Y129409D01* +X215200Y129472D01* +X215182Y129532D01* +X215141Y129662D01* +X215115Y129796D01* +X215102Y129932D01* +Y130068D01* +X215115Y130204D01* +X215141Y130338D01* +X215181Y130468D01* +X215198Y130529D01* +X215205Y130591D01* +X215201Y130654D01* +X215188Y130716D01* +X215166Y130774D01* +X215134Y130829D01* +X215095Y130878D01* +X215048Y130920D01* +X214995Y130954D01* +X214938Y130980D01* +X214877Y130996D01* +X214814Y131003D01* +X214753Y131000D01* +Y136338D01* +X214840Y136436D01* +X215128Y136906D01* +X215339Y137415D01* +X215468Y137951D01* +X215511Y138500D01* +X215487Y138805D01* +X216501Y139819D01* +Y132200D01* +X216393D01* +X216179Y132179D01* +X215969Y132138D01* +X215763Y132076D01* +X215706Y132050D01* +X215653Y132016D01* +X215606Y131974D01* +X215566Y131924D01* +X215534Y131870D01* +X215512Y131811D01* +X215499Y131749D01* +X215495Y131686D01* +X215502Y131623D01* +X215518Y131562D01* +X215544Y131504D01* +X215579Y131451D01* +X215621Y131404D01* +X215670Y131364D01* +X215725Y131333D01* +X215784Y131310D01* +X215846Y131297D01* +X215909Y131294D01* +X215972Y131300D01* +X216032Y131318D01* +X216162Y131359D01* +X216296Y131385D01* +X216432Y131398D01* +X216501D01* +Y128602D01* +X216432D01* +X216296Y128615D01* +X216162Y128641D01* +X216032Y128681D01* +X215971Y128698D01* +X215909Y128705D01* +X215846Y128701D01* +X215784Y128688D01* +X215726Y128666D01* +X215671Y128634D01* +X215622Y128595D01* +X215580Y128548D01* +X215546Y128495D01* +X215520Y128438D01* +X215504Y128377D01* +X215497Y128314D01* +X215500Y128251D01* +X215513Y128190D01* +X215536Y128131D01* +X215567Y128077D01* +X215607Y128028D01* +X215654Y127985D01* +X215706Y127951D01* +X215764Y127927D01* +X215969Y127862D01* +X216179Y127821D01* +X216393Y127800D01* +X216501D01* +Y120200D01* +X216393D01* +X216179Y120179D01* +X215969Y120138D01* +X215763Y120076D01* +X215706Y120050D01* +X215653Y120016D01* +X215606Y119974D01* +X215566Y119924D01* +X215534Y119870D01* +X215512Y119811D01* +X215499Y119749D01* +X215495Y119686D01* +X215502Y119623D01* +X215518Y119562D01* +X215544Y119504D01* +X215579Y119451D01* +X215621Y119404D01* +X215670Y119364D01* +X215725Y119333D01* +X215784Y119310D01* +X215846Y119297D01* +X215909Y119294D01* +X215972Y119300D01* +X216032Y119318D01* +X216162Y119359D01* +X216296Y119385D01* +X216432Y119398D01* +X216501D01* +Y116602D01* +X216432D01* +X216296Y116615D01* +X216162Y116641D01* +X216032Y116681D01* +X215971Y116698D01* +X215909Y116705D01* +X215846Y116701D01* +X215784Y116688D01* +X215726Y116666D01* +X215671Y116634D01* +X215622Y116595D01* +X215580Y116548D01* +X215546Y116495D01* +X215520Y116438D01* +X215504Y116377D01* +X215497Y116314D01* +X215500Y116251D01* +X215513Y116190D01* +X215536Y116131D01* +X215567Y116077D01* +X215607Y116028D01* +X215654Y115985D01* +X215706Y115951D01* +X215764Y115927D01* +X215969Y115862D01* +X216179Y115821D01* +X216393Y115800D01* +X216501D01* +Y108700D01* +X216393D01* +X216179Y108679D01* +X215969Y108638D01* +X215763Y108576D01* +X215706Y108550D01* +X215653Y108516D01* +X215606Y108474D01* +X215566Y108424D01* +X215534Y108370D01* +X215512Y108311D01* +X215499Y108249D01* +X215495Y108186D01* +X215502Y108123D01* +X215518Y108062D01* +X215544Y108004D01* +X215579Y107951D01* +X215621Y107904D01* +X215670Y107864D01* +X215725Y107833D01* +X215784Y107810D01* +X215846Y107797D01* +X215909Y107794D01* +X215972Y107800D01* +X216032Y107818D01* +X216162Y107859D01* +X216296Y107885D01* +X216432Y107898D01* +X216501D01* +Y105102D01* +X216432D01* +X216296Y105115D01* +X216162Y105141D01* +X216032Y105181D01* +X215971Y105198D01* +X215909Y105205D01* +X215846Y105201D01* +X215784Y105188D01* +X215726Y105166D01* +X215671Y105134D01* +X215622Y105095D01* +X215580Y105048D01* +X215546Y104995D01* +X215520Y104938D01* +X215504Y104877D01* +X215497Y104814D01* +X215500Y104751D01* +X215513Y104690D01* +X215536Y104631D01* +X215567Y104577D01* +X215607Y104528D01* +X215654Y104485D01* +X215706Y104451D01* +X215764Y104427D01* +X215969Y104362D01* +X216179Y104321D01* +X216393Y104300D01* +X216501D01* +Y62839D01* +X215117Y61455D01* +X215078Y61422D01* +X214945Y61266D01* +X214838Y61092D01* +X214760Y60903D01* +X214753Y60875D01* +Y105499D01* +X214814Y105495D01* +X214877Y105502D01* +X214938Y105518D01* +X214996Y105544D01* +X215049Y105579D01* +X215096Y105621D01* +X215136Y105670D01* +X215167Y105725D01* +X215190Y105784D01* +X215203Y105846D01* +X215206Y105909D01* +X215200Y105972D01* +X215182Y106032D01* +X215141Y106162D01* +X215115Y106296D01* +X215102Y106432D01* +Y106568D01* +X215115Y106704D01* +X215141Y106838D01* +X215181Y106968D01* +X215198Y107029D01* +X215205Y107091D01* +X215201Y107154D01* +X215188Y107216D01* +X215166Y107274D01* +X215134Y107329D01* +X215095Y107378D01* +X215048Y107420D01* +X214995Y107454D01* +X214938Y107480D01* +X214877Y107496D01* +X214814Y107503D01* +X214753Y107500D01* +Y116999D01* +X214814Y116995D01* +X214877Y117002D01* +X214938Y117018D01* +X214996Y117044D01* +X215049Y117079D01* +X215096Y117121D01* +X215136Y117170D01* +X215167Y117225D01* +X215190Y117284D01* +X215203Y117346D01* +X215206Y117409D01* +X215200Y117472D01* +X215182Y117532D01* +X215141Y117662D01* +X215115Y117796D01* +X215102Y117932D01* +Y118068D01* +X215115Y118204D01* +X215141Y118338D01* +X215181Y118468D01* +X215198Y118529D01* +X215205Y118591D01* +X215201Y118654D01* +X215188Y118716D01* +X215166Y118774D01* +X215134Y118829D01* +X215095Y118878D01* +X215048Y118920D01* +X214995Y118954D01* +X214938Y118980D01* +X214877Y118996D01* +X214814Y119003D01* +X214753Y119000D01* +Y123877D01* +G37* +G36* +X216501Y2000D02*X214753D01* +Y20684D01* +X214998Y20534D01* +X215318Y20401D01* +X215655Y20320D01* +X216000Y20293D01* +X216345Y20320D01* +X216501Y20358D01* +Y2000D01* +G37* +G36* +X214753Y128123D02*X214697Y128146D01* +X214353Y128229D01* +X214000Y128250D01* +X213747D01* +Y135297D01* +X214475Y136025D01* +X214753Y136338D01* +Y131000D01* +X214751Y131000D01* +X214690Y130987D01* +X214631Y130964D01* +X214577Y130933D01* +X214528Y130893D01* +X214485Y130846D01* +X214451Y130794D01* +X214427Y130736D01* +X214362Y130531D01* +X214321Y130321D01* +X214300Y130107D01* +Y129893D01* +X214321Y129679D01* +X214362Y129469D01* +X214424Y129263D01* +X214450Y129206D01* +X214484Y129153D01* +X214526Y129106D01* +X214576Y129066D01* +X214630Y129034D01* +X214689Y129012D01* +X214751Y128999D01* +X214753Y128999D01* +Y128123D01* +G37* +G36* +Y2000D02*X213747D01* +Y9500D01* +X213749Y9500D01* +X213810Y9513D01* +X213869Y9536D01* +X213923Y9567D01* +X213972Y9607D01* +X214015Y9654D01* +X214049Y9706D01* +X214073Y9764D01* +X214138Y9969D01* +X214179Y10179D01* +X214200Y10393D01* +Y10607D01* +X214179Y10821D01* +X214138Y11031D01* +X214076Y11237D01* +X214050Y11294D01* +X214016Y11347D01* +X213974Y11394D01* +X213924Y11434D01* +X213870Y11466D01* +X213811Y11488D01* +X213749Y11501D01* +X213747Y11501D01* +Y123750D01* +X214000D01* +X214353Y123771D01* +X214697Y123854D01* +X214753Y123877D01* +Y119000D01* +X214751Y119000D01* +X214690Y118987D01* +X214631Y118964D01* +X214577Y118933D01* +X214528Y118893D01* +X214485Y118846D01* +X214451Y118794D01* +X214427Y118736D01* +X214362Y118531D01* +X214321Y118321D01* +X214300Y118107D01* +Y117893D01* +X214321Y117679D01* +X214362Y117469D01* +X214424Y117263D01* +X214450Y117206D01* +X214484Y117153D01* +X214526Y117106D01* +X214576Y117066D01* +X214630Y117034D01* +X214689Y117012D01* +X214751Y116999D01* +X214753Y116999D01* +Y107500D01* +X214751Y107500D01* +X214690Y107487D01* +X214631Y107464D01* +X214577Y107433D01* +X214528Y107393D01* +X214485Y107346D01* +X214451Y107294D01* +X214427Y107236D01* +X214362Y107031D01* +X214321Y106821D01* +X214300Y106607D01* +Y106393D01* +X214321Y106179D01* +X214362Y105969D01* +X214424Y105763D01* +X214450Y105706D01* +X214484Y105653D01* +X214526Y105606D01* +X214576Y105566D01* +X214630Y105534D01* +X214689Y105512D01* +X214751Y105499D01* +X214753Y105499D01* +Y60875D01* +X214712Y60704D01* +X214712Y60704D01* +X214696Y60500D01* +X214700Y60449D01* +Y24283D01* +X214440Y24060D01* +X214215Y23797D01* +X214034Y23502D01* +X213901Y23182D01* +X213820Y22845D01* +X213793Y22500D01* +X213820Y22155D01* +X213901Y21818D01* +X214034Y21498D01* +X214215Y21203D01* +X214440Y20940D01* +X214703Y20715D01* +X214753Y20684D01* +Y2000D01* +G37* +G36* +X213747Y143429D02*X212305Y141987D01* +X212001Y142011D01* +Y190000D01* +X213747D01* +Y143429D01* +G37* +G36* +Y128250D02*X212932D01* +X212750Y128432D01* +Y133912D01* +X212757Y134000D01* +X212729Y134353D01* +X212708Y134442D01* +X213064Y134660D01* +X213483Y135017D01* +X213572Y135122D01* +X213747Y135297D01* +Y128250D01* +G37* +G36* +Y2000D02*X212001D01* +Y8300D01* +X212107D01* +X212321Y8321D01* +X212531Y8362D01* +X212737Y8424D01* +X212794Y8450D01* +X212847Y8484D01* +X212894Y8526D01* +X212934Y8576D01* +X212966Y8630D01* +X212988Y8689D01* +X213001Y8751D01* +X213005Y8814D01* +X212998Y8877D01* +X212982Y8938D01* +X212956Y8996D01* +X212921Y9049D01* +X212879Y9096D01* +X212830Y9136D01* +X212775Y9167D01* +X212716Y9190D01* +X212654Y9203D01* +X212591Y9206D01* +X212528Y9200D01* +X212468Y9182D01* +X212338Y9141D01* +X212204Y9115D01* +X212068Y9102D01* +X212001D01* +Y11898D01* +X212068D01* +X212204Y11885D01* +X212338Y11859D01* +X212468Y11819D01* +X212529Y11802D01* +X212591Y11795D01* +X212654Y11799D01* +X212716Y11812D01* +X212774Y11834D01* +X212829Y11866D01* +X212878Y11905D01* +X212920Y11952D01* +X212954Y12005D01* +X212980Y12062D01* +X212996Y12123D01* +X213003Y12186D01* +X213000Y12249D01* +X212987Y12310D01* +X212964Y12369D01* +X212933Y12423D01* +X212893Y12472D01* +X212846Y12515D01* +X212794Y12549D01* +X212736Y12573D01* +X212531Y12638D01* +X212321Y12679D01* +X212107Y12700D01* +X212001D01* +Y123743D01* +X212088Y123750D01* +X213747D01* +Y11501D01* +X213686Y11505D01* +X213623Y11498D01* +X213562Y11482D01* +X213504Y11456D01* +X213451Y11421D01* +X213404Y11379D01* +X213364Y11330D01* +X213333Y11275D01* +X213310Y11216D01* +X213297Y11154D01* +X213294Y11091D01* +X213300Y11028D01* +X213318Y10968D01* +X213359Y10838D01* +X213385Y10704D01* +X213398Y10568D01* +Y10432D01* +X213385Y10296D01* +X213359Y10162D01* +X213319Y10032D01* +X213302Y9971D01* +X213295Y9909D01* +X213299Y9846D01* +X213312Y9784D01* +X213334Y9726D01* +X213366Y9671D01* +X213405Y9622D01* +X213452Y9580D01* +X213505Y9546D01* +X213562Y9520D01* +X213623Y9504D01* +X213686Y9497D01* +X213747Y9500D01* +Y2000D01* +G37* +G36* +X212001Y142011D02*X212000Y142011D01* +X211451Y141968D01* +X210915Y141839D01* +X210406Y141628D01* +X209936Y141340D01* +X209553Y141000D01* +X205747D01* +Y143000D01* +X205749Y143000D01* +X205810Y143013D01* +X205869Y143036D01* +X205923Y143067D01* +X205972Y143107D01* +X206015Y143154D01* +X206049Y143206D01* +X206073Y143264D01* +X206138Y143469D01* +X206179Y143679D01* +X206200Y143893D01* +Y144107D01* +X206179Y144321D01* +X206138Y144531D01* +X206076Y144737D01* +X206050Y144794D01* +X206016Y144847D01* +X205974Y144894D01* +X205924Y144934D01* +X205870Y144966D01* +X205811Y144988D01* +X205749Y145001D01* +X205747Y145001D01* +Y190000D01* +X212001D01* +Y142011D01* +G37* +G36* +X205747Y141000D02*X204001D01* +Y141800D01* +X204107D01* +X204321Y141821D01* +X204531Y141862D01* +X204737Y141924D01* +X204794Y141950D01* +X204847Y141984D01* +X204894Y142026D01* +X204934Y142076D01* +X204966Y142130D01* +X204988Y142189D01* +X205001Y142251D01* +X205005Y142314D01* +X204998Y142377D01* +X204982Y142438D01* +X204956Y142496D01* +X204921Y142549D01* +X204879Y142596D01* +X204830Y142636D01* +X204775Y142667D01* +X204716Y142690D01* +X204654Y142703D01* +X204591Y142706D01* +X204528Y142700D01* +X204468Y142682D01* +X204338Y142641D01* +X204204Y142615D01* +X204068Y142602D01* +X204001D01* +Y145398D01* +X204068D01* +X204204Y145385D01* +X204338Y145359D01* +X204468Y145319D01* +X204529Y145302D01* +X204591Y145295D01* +X204654Y145299D01* +X204716Y145312D01* +X204774Y145334D01* +X204829Y145366D01* +X204878Y145405D01* +X204920Y145452D01* +X204954Y145505D01* +X204980Y145562D01* +X204996Y145623D01* +X205003Y145686D01* +X205000Y145749D01* +X204987Y145810D01* +X204964Y145869D01* +X204933Y145923D01* +X204893Y145972D01* +X204846Y146015D01* +X204794Y146049D01* +X204736Y146073D01* +X204531Y146138D01* +X204321Y146179D01* +X204107Y146200D01* +X204001D01* +Y190000D01* +X205747D01* +Y145001D01* +X205686Y145005D01* +X205623Y144998D01* +X205562Y144982D01* +X205504Y144956D01* +X205451Y144921D01* +X205404Y144879D01* +X205364Y144830D01* +X205333Y144775D01* +X205310Y144716D01* +X205297Y144654D01* +X205294Y144591D01* +X205300Y144528D01* +X205318Y144468D01* +X205359Y144338D01* +X205385Y144204D01* +X205398Y144068D01* +Y143932D01* +X205385Y143796D01* +X205359Y143662D01* +X205319Y143532D01* +X205302Y143471D01* +X205295Y143409D01* +X205299Y143346D01* +X205312Y143284D01* +X205334Y143226D01* +X205366Y143171D01* +X205405Y143122D01* +X205452Y143080D01* +X205505Y143046D01* +X205562Y143020D01* +X205623Y143004D01* +X205686Y142997D01* +X205747Y143000D01* +Y141000D01* +G37* +G36* +X204001D02*X202253D01* +Y142999D01* +X202314Y142995D01* +X202377Y143002D01* +X202438Y143018D01* +X202496Y143044D01* +X202549Y143079D01* +X202596Y143121D01* +X202636Y143170D01* +X202667Y143225D01* +X202690Y143284D01* +X202703Y143346D01* +X202706Y143409D01* +X202700Y143472D01* +X202682Y143532D01* +X202641Y143662D01* +X202615Y143796D01* +X202602Y143932D01* +Y144068D01* +X202615Y144204D01* +X202641Y144338D01* +X202681Y144468D01* +X202698Y144529D01* +X202705Y144591D01* +X202701Y144654D01* +X202688Y144716D01* +X202666Y144774D01* +X202634Y144829D01* +X202595Y144878D01* +X202548Y144920D01* +X202495Y144954D01* +X202438Y144980D01* +X202377Y144996D01* +X202314Y145003D01* +X202253Y145000D01* +Y190000D01* +X204001D01* +Y146200D01* +X203893D01* +X203679Y146179D01* +X203469Y146138D01* +X203263Y146076D01* +X203206Y146050D01* +X203153Y146016D01* +X203106Y145974D01* +X203066Y145924D01* +X203034Y145870D01* +X203012Y145811D01* +X202999Y145749D01* +X202995Y145686D01* +X203002Y145623D01* +X203018Y145562D01* +X203044Y145504D01* +X203079Y145451D01* +X203121Y145404D01* +X203170Y145364D01* +X203225Y145333D01* +X203284Y145310D01* +X203346Y145297D01* +X203409Y145294D01* +X203472Y145300D01* +X203532Y145318D01* +X203662Y145359D01* +X203796Y145385D01* +X203932Y145398D01* +X204001D01* +Y142602D01* +X203932D01* +X203796Y142615D01* +X203662Y142641D01* +X203532Y142681D01* +X203471Y142698D01* +X203409Y142705D01* +X203346Y142701D01* +X203284Y142688D01* +X203226Y142666D01* +X203171Y142634D01* +X203122Y142595D01* +X203080Y142548D01* +X203046Y142495D01* +X203020Y142438D01* +X203004Y142377D01* +X202997Y142314D01* +X203000Y142251D01* +X203013Y142190D01* +X203036Y142131D01* +X203067Y142077D01* +X203107Y142028D01* +X203154Y141985D01* +X203206Y141951D01* +X203264Y141927D01* +X203469Y141862D01* +X203679Y141821D01* +X203893Y141800D01* +X204001D01* +Y141000D01* +G37* +G36* +X202253D02*X198747D01* +Y190000D01* +X202253D01* +Y145000D01* +X202251Y145000D01* +X202190Y144987D01* +X202131Y144964D01* +X202077Y144933D01* +X202028Y144893D01* +X201985Y144846D01* +X201951Y144794D01* +X201927Y144736D01* +X201862Y144531D01* +X201821Y144321D01* +X201800Y144107D01* +Y143893D01* +X201821Y143679D01* +X201862Y143469D01* +X201924Y143263D01* +X201950Y143206D01* +X201984Y143153D01* +X202026Y143106D01* +X202076Y143066D01* +X202130Y143034D01* +X202189Y143012D01* +X202251Y142999D01* +X202253Y142999D01* +Y141000D01* +G37* +G36* +X212001Y2000D02*X210253D01* +Y9499D01* +X210314Y9495D01* +X210377Y9502D01* +X210438Y9518D01* +X210496Y9544D01* +X210549Y9579D01* +X210596Y9621D01* +X210636Y9670D01* +X210667Y9725D01* +X210690Y9784D01* +X210703Y9846D01* +X210706Y9909D01* +X210700Y9972D01* +X210682Y10032D01* +X210641Y10162D01* +X210615Y10296D01* +X210602Y10432D01* +Y10568D01* +X210615Y10704D01* +X210641Y10838D01* +X210681Y10968D01* +X210698Y11029D01* +X210705Y11091D01* +X210701Y11154D01* +X210688Y11216D01* +X210666Y11274D01* +X210634Y11329D01* +X210595Y11378D01* +X210548Y11420D01* +X210495Y11454D01* +X210438Y11480D01* +X210377Y11496D01* +X210314Y11503D01* +X210253Y11500D01* +Y124565D01* +X210347Y124471D01* +X210404Y124404D01* +X210673Y124174D01* +X210673Y124174D01* +X210975Y123989D01* +X211303Y123854D01* +X211647Y123771D01* +X212000Y123743D01* +X212001Y123743D01* +Y12700D01* +X211893D01* +X211679Y12679D01* +X211469Y12638D01* +X211263Y12576D01* +X211206Y12550D01* +X211153Y12516D01* +X211106Y12474D01* +X211066Y12424D01* +X211034Y12370D01* +X211012Y12311D01* +X210999Y12249D01* +X210995Y12186D01* +X211002Y12123D01* +X211018Y12062D01* +X211044Y12004D01* +X211079Y11951D01* +X211121Y11904D01* +X211170Y11864D01* +X211225Y11833D01* +X211284Y11810D01* +X211346Y11797D01* +X211409Y11794D01* +X211472Y11800D01* +X211532Y11818D01* +X211662Y11859D01* +X211796Y11885D01* +X211932Y11898D01* +X212001D01* +Y9102D01* +X211932D01* +X211796Y9115D01* +X211662Y9141D01* +X211532Y9181D01* +X211471Y9198D01* +X211409Y9205D01* +X211346Y9201D01* +X211284Y9188D01* +X211226Y9166D01* +X211171Y9134D01* +X211122Y9095D01* +X211080Y9048D01* +X211046Y8995D01* +X211020Y8938D01* +X211004Y8877D01* +X210997Y8814D01* +X211000Y8751D01* +X211013Y8690D01* +X211036Y8631D01* +X211067Y8577D01* +X211107Y8528D01* +X211154Y8485D01* +X211206Y8451D01* +X211264Y8427D01* +X211469Y8362D01* +X211679Y8321D01* +X211893Y8300D01* +X212001D01* +Y2000D01* +G37* +G36* +X210253D02*X198747D01* +Y66000D01* +X198749Y66000D01* +X198810Y66013D01* +X198869Y66036D01* +X198923Y66067D01* +X198972Y66107D01* +X199015Y66154D01* +X199049Y66206D01* +X199073Y66264D01* +X199138Y66469D01* +X199179Y66679D01* +X199200Y66893D01* +Y67107D01* +X199179Y67321D01* +X199138Y67531D01* +X199076Y67737D01* +X199050Y67794D01* +X199016Y67847D01* +X198974Y67894D01* +X198924Y67934D01* +X198870Y67966D01* +X198811Y67988D01* +X198749Y68001D01* +X198747Y68001D01* +Y134000D01* +X207318D01* +X208250Y133068D01* +Y127588D01* +X208243Y127500D01* +X208271Y127147D01* +X208354Y126803D01* +X208489Y126475D01* +X208674Y126173D01* +X208674Y126173D01* +X208904Y125904D01* +X208971Y125847D01* +X210253Y124565D01* +Y11500D01* +X210251Y11500D01* +X210190Y11487D01* +X210131Y11464D01* +X210077Y11433D01* +X210028Y11393D01* +X209985Y11346D01* +X209951Y11294D01* +X209927Y11236D01* +X209862Y11031D01* +X209821Y10821D01* +X209800Y10607D01* +Y10393D01* +X209821Y10179D01* +X209862Y9969D01* +X209924Y9763D01* +X209950Y9706D01* +X209984Y9653D01* +X210026Y9606D01* +X210076Y9566D01* +X210130Y9534D01* +X210189Y9512D01* +X210251Y9499D01* +X210253Y9499D01* +Y2000D01* +G37* +G36* +X198747Y141000D02*X197001D01* +Y190000D01* +X198747D01* +Y141000D01* +G37* +G36* +Y2000D02*X197001D01* +Y64800D01* +X197107D01* +X197321Y64821D01* +X197531Y64862D01* +X197737Y64924D01* +X197794Y64950D01* +X197847Y64984D01* +X197894Y65026D01* +X197934Y65076D01* +X197966Y65130D01* +X197988Y65189D01* +X198001Y65251D01* +X198005Y65314D01* +X197998Y65377D01* +X197982Y65438D01* +X197956Y65496D01* +X197921Y65549D01* +X197879Y65596D01* +X197830Y65636D01* +X197775Y65667D01* +X197716Y65690D01* +X197654Y65703D01* +X197591Y65706D01* +X197528Y65700D01* +X197468Y65682D01* +X197338Y65641D01* +X197204Y65615D01* +X197068Y65602D01* +X197001D01* +Y68398D01* +X197068D01* +X197204Y68385D01* +X197338Y68359D01* +X197468Y68319D01* +X197529Y68302D01* +X197591Y68295D01* +X197654Y68299D01* +X197716Y68312D01* +X197774Y68334D01* +X197829Y68366D01* +X197878Y68405D01* +X197920Y68452D01* +X197954Y68505D01* +X197980Y68562D01* +X197996Y68623D01* +X198003Y68686D01* +X198000Y68749D01* +X197987Y68810D01* +X197964Y68869D01* +X197933Y68923D01* +X197893Y68972D01* +X197846Y69015D01* +X197794Y69049D01* +X197736Y69073D01* +X197531Y69138D01* +X197321Y69179D01* +X197107Y69200D01* +X197001D01* +Y134000D01* +X198747D01* +Y68001D01* +X198686Y68005D01* +X198623Y67998D01* +X198562Y67982D01* +X198504Y67956D01* +X198451Y67921D01* +X198404Y67879D01* +X198364Y67830D01* +X198333Y67775D01* +X198310Y67716D01* +X198297Y67654D01* +X198294Y67591D01* +X198300Y67528D01* +X198318Y67468D01* +X198359Y67338D01* +X198385Y67204D01* +X198398Y67068D01* +Y66932D01* +X198385Y66796D01* +X198359Y66662D01* +X198319Y66532D01* +X198302Y66471D01* +X198295Y66409D01* +X198299Y66346D01* +X198312Y66284D01* +X198334Y66226D01* +X198366Y66171D01* +X198405Y66122D01* +X198452Y66080D01* +X198505Y66046D01* +X198562Y66020D01* +X198623Y66004D01* +X198686Y65997D01* +X198747Y66000D01* +Y2000D01* +G37* +G36* +X197001Y141000D02*X195247D01* +Y172500D01* +X195249Y172500D01* +X195310Y172513D01* +X195369Y172536D01* +X195423Y172567D01* +X195472Y172607D01* +X195515Y172654D01* +X195549Y172706D01* +X195573Y172764D01* +X195638Y172969D01* +X195679Y173179D01* +X195700Y173393D01* +Y173607D01* +X195679Y173821D01* +X195638Y174031D01* +X195576Y174237D01* +X195550Y174294D01* +X195516Y174347D01* +X195474Y174394D01* +X195424Y174434D01* +X195370Y174466D01* +X195311Y174488D01* +X195249Y174501D01* +X195247Y174501D01* +Y190000D01* +X197001D01* +Y141000D01* +G37* +G36* +X195247D02*X193501D01* +Y171300D01* +X193607D01* +X193821Y171321D01* +X194031Y171362D01* +X194237Y171424D01* +X194294Y171450D01* +X194347Y171484D01* +X194394Y171526D01* +X194434Y171576D01* +X194466Y171630D01* +X194488Y171689D01* +X194501Y171751D01* +X194505Y171814D01* +X194498Y171877D01* +X194482Y171938D01* +X194456Y171996D01* +X194421Y172049D01* +X194379Y172096D01* +X194330Y172136D01* +X194275Y172167D01* +X194216Y172190D01* +X194154Y172203D01* +X194091Y172206D01* +X194028Y172200D01* +X193968Y172182D01* +X193838Y172141D01* +X193704Y172115D01* +X193568Y172102D01* +X193501D01* +Y174898D01* +X193568D01* +X193704Y174885D01* +X193838Y174859D01* +X193968Y174819D01* +X194029Y174802D01* +X194091Y174795D01* +X194154Y174799D01* +X194216Y174812D01* +X194274Y174834D01* +X194329Y174866D01* +X194378Y174905D01* +X194420Y174952D01* +X194454Y175005D01* +X194480Y175062D01* +X194496Y175123D01* +X194503Y175186D01* +X194500Y175249D01* +X194487Y175310D01* +X194464Y175369D01* +X194433Y175423D01* +X194393Y175472D01* +X194346Y175515D01* +X194294Y175549D01* +X194236Y175573D01* +X194031Y175638D01* +X193821Y175679D01* +X193607Y175700D01* +X193501D01* +Y190000D01* +X195247D01* +Y174501D01* +X195186Y174505D01* +X195123Y174498D01* +X195062Y174482D01* +X195004Y174456D01* +X194951Y174421D01* +X194904Y174379D01* +X194864Y174330D01* +X194833Y174275D01* +X194810Y174216D01* +X194797Y174154D01* +X194794Y174091D01* +X194800Y174028D01* +X194818Y173968D01* +X194859Y173838D01* +X194885Y173704D01* +X194898Y173568D01* +Y173432D01* +X194885Y173296D01* +X194859Y173162D01* +X194819Y173032D01* +X194802Y172971D01* +X194795Y172909D01* +X194799Y172846D01* +X194812Y172784D01* +X194834Y172726D01* +X194866Y172671D01* +X194905Y172622D01* +X194952Y172580D01* +X195005Y172546D01* +X195062Y172520D01* +X195123Y172504D01* +X195186Y172497D01* +X195247Y172500D01* +Y141000D01* +G37* +G36* +X193501D02*X191753D01* +Y172499D01* +X191814Y172495D01* +X191877Y172502D01* +X191938Y172518D01* +X191996Y172544D01* +X192049Y172579D01* +X192096Y172621D01* +X192136Y172670D01* +X192167Y172725D01* +X192190Y172784D01* +X192203Y172846D01* +X192206Y172909D01* +X192200Y172972D01* +X192182Y173032D01* +X192141Y173162D01* +X192115Y173296D01* +X192102Y173432D01* +Y173568D01* +X192115Y173704D01* +X192141Y173838D01* +X192181Y173968D01* +X192198Y174029D01* +X192205Y174091D01* +X192201Y174154D01* +X192188Y174216D01* +X192166Y174274D01* +X192134Y174329D01* +X192095Y174378D01* +X192048Y174420D01* +X191995Y174454D01* +X191938Y174480D01* +X191877Y174496D01* +X191814Y174503D01* +X191753Y174500D01* +Y190000D01* +X193501D01* +Y175700D01* +X193393D01* +X193179Y175679D01* +X192969Y175638D01* +X192763Y175576D01* +X192706Y175550D01* +X192653Y175516D01* +X192606Y175474D01* +X192566Y175424D01* +X192534Y175370D01* +X192512Y175311D01* +X192499Y175249D01* +X192495Y175186D01* +X192502Y175123D01* +X192518Y175062D01* +X192544Y175004D01* +X192579Y174951D01* +X192621Y174904D01* +X192670Y174864D01* +X192725Y174833D01* +X192784Y174810D01* +X192846Y174797D01* +X192909Y174794D01* +X192972Y174800D01* +X193032Y174818D01* +X193162Y174859D01* +X193296Y174885D01* +X193432Y174898D01* +X193501D01* +Y172102D01* +X193432D01* +X193296Y172115D01* +X193162Y172141D01* +X193032Y172181D01* +X192971Y172198D01* +X192909Y172205D01* +X192846Y172201D01* +X192784Y172188D01* +X192726Y172166D01* +X192671Y172134D01* +X192622Y172095D01* +X192580Y172048D01* +X192546Y171995D01* +X192520Y171938D01* +X192504Y171877D01* +X192497Y171814D01* +X192500Y171751D01* +X192513Y171690D01* +X192536Y171631D01* +X192567Y171577D01* +X192607Y171528D01* +X192654Y171485D01* +X192706Y171451D01* +X192764Y171427D01* +X192969Y171362D01* +X193179Y171321D01* +X193393Y171300D01* +X193501D01* +Y141000D01* +G37* +G36* +X191753D02*X191750D01* +Y147000D01* +X191729Y147353D01* +X191646Y147697D01* +X191511Y148025D01* +X191326Y148327D01* +X191096Y148596D01* +X190827Y148826D01* +X190525Y149011D01* +X190197Y149146D01* +X189853Y149229D01* +X189500Y149257D01* +X189147Y149229D01* +X188803Y149146D01* +X188475Y149011D01* +X188173Y148826D01* +X187904Y148596D01* +X187674Y148327D01* +X187489Y148025D01* +X187354Y147697D01* +X187271Y147353D01* +X187250Y147000D01* +Y141000D01* +X177137D01* +X177000Y141011D01* +X176863Y141000D01* +X174247D01* +Y190000D01* +X191753D01* +Y174500D01* +X191751Y174500D01* +X191690Y174487D01* +X191631Y174464D01* +X191577Y174433D01* +X191528Y174393D01* +X191485Y174346D01* +X191451Y174294D01* +X191427Y174236D01* +X191362Y174031D01* +X191321Y173821D01* +X191300Y173607D01* +Y173393D01* +X191321Y173179D01* +X191362Y172969D01* +X191424Y172763D01* +X191450Y172706D01* +X191484Y172653D01* +X191526Y172606D01* +X191576Y172566D01* +X191630Y172534D01* +X191689Y172512D01* +X191751Y172499D01* +X191753Y172499D01* +Y141000D01* +G37* +G36* +X197001Y2000D02*X195253D01* +Y65999D01* +X195314Y65995D01* +X195377Y66002D01* +X195438Y66018D01* +X195496Y66044D01* +X195549Y66079D01* +X195596Y66121D01* +X195636Y66170D01* +X195667Y66225D01* +X195690Y66284D01* +X195703Y66346D01* +X195706Y66409D01* +X195700Y66472D01* +X195682Y66532D01* +X195641Y66662D01* +X195615Y66796D01* +X195602Y66932D01* +Y67068D01* +X195615Y67204D01* +X195641Y67338D01* +X195681Y67468D01* +X195698Y67529D01* +X195705Y67591D01* +X195701Y67654D01* +X195688Y67716D01* +X195666Y67774D01* +X195634Y67829D01* +X195595Y67878D01* +X195548Y67920D01* +X195495Y67954D01* +X195438Y67980D01* +X195377Y67996D01* +X195314Y68003D01* +X195253Y68000D01* +Y134000D01* +X197001D01* +Y69200D01* +X196893D01* +X196679Y69179D01* +X196469Y69138D01* +X196263Y69076D01* +X196206Y69050D01* +X196153Y69016D01* +X196106Y68974D01* +X196066Y68924D01* +X196034Y68870D01* +X196012Y68811D01* +X195999Y68749D01* +X195995Y68686D01* +X196002Y68623D01* +X196018Y68562D01* +X196044Y68504D01* +X196079Y68451D01* +X196121Y68404D01* +X196170Y68364D01* +X196225Y68333D01* +X196284Y68310D01* +X196346Y68297D01* +X196409Y68294D01* +X196472Y68300D01* +X196532Y68318D01* +X196662Y68359D01* +X196796Y68385D01* +X196932Y68398D01* +X197001D01* +Y65602D01* +X196932D01* +X196796Y65615D01* +X196662Y65641D01* +X196532Y65681D01* +X196471Y65698D01* +X196409Y65705D01* +X196346Y65701D01* +X196284Y65688D01* +X196226Y65666D01* +X196171Y65634D01* +X196122Y65595D01* +X196080Y65548D01* +X196046Y65495D01* +X196020Y65438D01* +X196004Y65377D01* +X195997Y65314D01* +X196000Y65251D01* +X196013Y65190D01* +X196036Y65131D01* +X196067Y65077D01* +X196107Y65028D01* +X196154Y64985D01* +X196206Y64951D01* +X196264Y64927D01* +X196469Y64862D01* +X196679Y64821D01* +X196893Y64800D01* +X197001D01* +Y2000D01* +G37* +G36* +X195253D02*X192747D01* +Y127000D01* +X192749Y127000D01* +X192810Y127013D01* +X192869Y127036D01* +X192923Y127067D01* +X192972Y127107D01* +X193015Y127154D01* +X193049Y127206D01* +X193073Y127264D01* +X193138Y127469D01* +X193179Y127679D01* +X193200Y127893D01* +Y128107D01* +X193179Y128321D01* +X193138Y128531D01* +X193076Y128737D01* +X193050Y128794D01* +X193016Y128847D01* +X192974Y128894D01* +X192924Y128934D01* +X192870Y128966D01* +X192811Y128988D01* +X192749Y129001D01* +X192747Y129001D01* +Y134000D01* +X195253D01* +Y68000D01* +X195251Y68000D01* +X195190Y67987D01* +X195131Y67964D01* +X195077Y67933D01* +X195028Y67893D01* +X194985Y67846D01* +X194951Y67794D01* +X194927Y67736D01* +X194862Y67531D01* +X194821Y67321D01* +X194800Y67107D01* +Y66893D01* +X194821Y66679D01* +X194862Y66469D01* +X194924Y66263D01* +X194950Y66206D01* +X194984Y66153D01* +X195026Y66106D01* +X195076Y66066D01* +X195130Y66034D01* +X195189Y66012D01* +X195251Y65999D01* +X195253Y65999D01* +Y2000D01* +G37* +G36* +X192747D02*X191001D01* +Y100548D01* +X191005Y100546D01* +X191062Y100520D01* +X191123Y100504D01* +X191186Y100497D01* +X191249Y100500D01* +X191310Y100513D01* +X191369Y100536D01* +X191423Y100567D01* +X191472Y100607D01* +X191515Y100654D01* +X191549Y100706D01* +X191573Y100764D01* +X191638Y100969D01* +X191679Y101179D01* +X191700Y101393D01* +Y101607D01* +X191679Y101821D01* +X191638Y102031D01* +X191576Y102237D01* +X191550Y102294D01* +X191516Y102347D01* +X191474Y102394D01* +X191424Y102434D01* +X191370Y102466D01* +X191311Y102488D01* +X191249Y102501D01* +X191186Y102505D01* +X191123Y102498D01* +X191062Y102482D01* +X191004Y102456D01* +X191001Y102454D01* +Y125800D01* +X191107D01* +X191321Y125821D01* +X191531Y125862D01* +X191737Y125924D01* +X191794Y125950D01* +X191847Y125984D01* +X191894Y126026D01* +X191934Y126076D01* +X191966Y126130D01* +X191988Y126189D01* +X192001Y126251D01* +X192005Y126314D01* +X191998Y126377D01* +X191982Y126438D01* +X191956Y126496D01* +X191921Y126549D01* +X191879Y126596D01* +X191830Y126636D01* +X191775Y126667D01* +X191716Y126690D01* +X191654Y126703D01* +X191591Y126706D01* +X191528Y126700D01* +X191468Y126682D01* +X191338Y126641D01* +X191204Y126615D01* +X191068Y126602D01* +X191001D01* +Y129398D01* +X191068D01* +X191204Y129385D01* +X191338Y129359D01* +X191468Y129319D01* +X191529Y129302D01* +X191591Y129295D01* +X191654Y129299D01* +X191716Y129312D01* +X191774Y129334D01* +X191829Y129366D01* +X191878Y129405D01* +X191920Y129452D01* +X191954Y129505D01* +X191980Y129562D01* +X191996Y129623D01* +X192003Y129686D01* +X192000Y129749D01* +X191987Y129810D01* +X191964Y129869D01* +X191933Y129923D01* +X191893Y129972D01* +X191846Y130015D01* +X191794Y130049D01* +X191736Y130073D01* +X191531Y130138D01* +X191321Y130179D01* +X191107Y130200D01* +X191001D01* +Y134000D01* +X192747D01* +Y129001D01* +X192686Y129005D01* +X192623Y128998D01* +X192562Y128982D01* +X192504Y128956D01* +X192451Y128921D01* +X192404Y128879D01* +X192364Y128830D01* +X192333Y128775D01* +X192310Y128716D01* +X192297Y128654D01* +X192294Y128591D01* +X192300Y128528D01* +X192318Y128468D01* +X192359Y128338D01* +X192385Y128204D01* +X192398Y128068D01* +Y127932D01* +X192385Y127796D01* +X192359Y127662D01* +X192319Y127532D01* +X192302Y127471D01* +X192295Y127409D01* +X192299Y127346D01* +X192312Y127284D01* +X192334Y127226D01* +X192366Y127171D01* +X192405Y127122D01* +X192452Y127080D01* +X192505Y127046D01* +X192562Y127020D01* +X192623Y127004D01* +X192686Y126997D01* +X192747Y127000D01* +Y2000D01* +G37* +G36* +X191001D02*X189253D01* +Y99314D01* +X189393Y99300D01* +X189607D01* +X189821Y99321D01* +X190031Y99362D01* +X190237Y99424D01* +X190294Y99450D01* +X190347Y99484D01* +X190394Y99526D01* +X190434Y99576D01* +X190466Y99630D01* +X190488Y99689D01* +X190501Y99751D01* +X190505Y99814D01* +X190498Y99877D01* +X190482Y99938D01* +X190456Y99996D01* +X190421Y100049D01* +X190379Y100096D01* +X190330Y100136D01* +X190275Y100167D01* +X190216Y100190D01* +X190154Y100203D01* +X190091Y100206D01* +X190028Y100200D01* +X189968Y100182D01* +X189838Y100141D01* +X189704Y100115D01* +X189568Y100102D01* +X189432D01* +X189296Y100115D01* +X189253Y100123D01* +Y102877D01* +X189296Y102885D01* +X189432Y102898D01* +X189568D01* +X189704Y102885D01* +X189838Y102859D01* +X189968Y102819D01* +X190029Y102802D01* +X190091Y102795D01* +X190154Y102799D01* +X190216Y102812D01* +X190274Y102834D01* +X190329Y102866D01* +X190378Y102905D01* +X190420Y102952D01* +X190454Y103005D01* +X190480Y103062D01* +X190496Y103123D01* +X190503Y103186D01* +X190500Y103249D01* +X190487Y103310D01* +X190464Y103369D01* +X190433Y103423D01* +X190393Y103472D01* +X190346Y103515D01* +X190294Y103549D01* +X190236Y103573D01* +X190031Y103638D01* +X189821Y103679D01* +X189607Y103700D01* +X189393D01* +X189253Y103686D01* +Y126999D01* +X189314Y126995D01* +X189377Y127002D01* +X189438Y127018D01* +X189496Y127044D01* +X189549Y127079D01* +X189596Y127121D01* +X189636Y127170D01* +X189667Y127225D01* +X189690Y127284D01* +X189703Y127346D01* +X189706Y127409D01* +X189700Y127472D01* +X189682Y127532D01* +X189641Y127662D01* +X189615Y127796D01* +X189602Y127932D01* +Y128068D01* +X189615Y128204D01* +X189641Y128338D01* +X189681Y128468D01* +X189698Y128529D01* +X189705Y128591D01* +X189701Y128654D01* +X189688Y128716D01* +X189666Y128774D01* +X189634Y128829D01* +X189595Y128878D01* +X189548Y128920D01* +X189495Y128954D01* +X189438Y128980D01* +X189377Y128996D01* +X189314Y129003D01* +X189253Y129000D01* +Y134000D01* +X191001D01* +Y130200D01* +X190893D01* +X190679Y130179D01* +X190469Y130138D01* +X190263Y130076D01* +X190206Y130050D01* +X190153Y130016D01* +X190106Y129974D01* +X190066Y129924D01* +X190034Y129870D01* +X190012Y129811D01* +X189999Y129749D01* +X189995Y129686D01* +X190002Y129623D01* +X190018Y129562D01* +X190044Y129504D01* +X190079Y129451D01* +X190121Y129404D01* +X190170Y129364D01* +X190225Y129333D01* +X190284Y129310D01* +X190346Y129297D01* +X190409Y129294D01* +X190472Y129300D01* +X190532Y129318D01* +X190662Y129359D01* +X190796Y129385D01* +X190932Y129398D01* +X191001D01* +Y126602D01* +X190932D01* +X190796Y126615D01* +X190662Y126641D01* +X190532Y126681D01* +X190471Y126698D01* +X190409Y126705D01* +X190346Y126701D01* +X190284Y126688D01* +X190226Y126666D01* +X190171Y126634D01* +X190122Y126595D01* +X190080Y126548D01* +X190046Y126495D01* +X190020Y126438D01* +X190004Y126377D01* +X189997Y126314D01* +X190000Y126251D01* +X190013Y126190D01* +X190036Y126131D01* +X190067Y126077D01* +X190107Y126028D01* +X190154Y125985D01* +X190206Y125951D01* +X190264Y125927D01* +X190469Y125862D01* +X190679Y125821D01* +X190893Y125800D01* +X191001D01* +Y102454D01* +X190951Y102421D01* +X190904Y102379D01* +X190864Y102330D01* +X190833Y102275D01* +X190810Y102216D01* +X190797Y102154D01* +X190794Y102091D01* +X190800Y102028D01* +X190818Y101968D01* +X190859Y101838D01* +X190885Y101704D01* +X190898Y101568D01* +Y101432D01* +X190885Y101296D01* +X190859Y101162D01* +X190819Y101032D01* +X190802Y100971D01* +X190795Y100909D01* +X190799Y100846D01* +X190812Y100784D01* +X190834Y100726D01* +X190866Y100671D01* +X190905Y100622D01* +X190952Y100580D01* +X191001Y100548D01* +Y2000D01* +G37* +G36* +X189253D02*X187753D01* +Y95129D01* +X187827Y95174D01* +X188096Y95404D01* +X188326Y95673D01* +X188511Y95975D01* +X188646Y96303D01* +X188729Y96647D01* +X188757Y97000D01* +X188729Y97353D01* +X188646Y97697D01* +X188511Y98025D01* +X188326Y98327D01* +X188091Y98591D01* +X187753Y98929D01* +Y100499D01* +X187814Y100495D01* +X187877Y100502D01* +X187938Y100518D01* +X187996Y100544D01* +X188049Y100579D01* +X188096Y100621D01* +X188136Y100670D01* +X188167Y100725D01* +X188190Y100784D01* +X188203Y100846D01* +X188206Y100909D01* +X188200Y100972D01* +X188182Y101032D01* +X188141Y101162D01* +X188115Y101296D01* +X188102Y101432D01* +Y101568D01* +X188115Y101704D01* +X188141Y101838D01* +X188181Y101968D01* +X188198Y102029D01* +X188205Y102091D01* +X188201Y102154D01* +X188188Y102216D01* +X188166Y102274D01* +X188134Y102329D01* +X188095Y102378D01* +X188048Y102420D01* +X187995Y102454D01* +X187938Y102480D01* +X187877Y102496D01* +X187814Y102503D01* +X187753Y102500D01* +Y134000D01* +X189253D01* +Y129000D01* +X189251Y129000D01* +X189190Y128987D01* +X189131Y128964D01* +X189077Y128933D01* +X189028Y128893D01* +X188985Y128846D01* +X188951Y128794D01* +X188927Y128736D01* +X188862Y128531D01* +X188821Y128321D01* +X188800Y128107D01* +Y127893D01* +X188821Y127679D01* +X188862Y127469D01* +X188924Y127263D01* +X188950Y127206D01* +X188984Y127153D01* +X189026Y127106D01* +X189076Y127066D01* +X189130Y127034D01* +X189189Y127012D01* +X189251Y126999D01* +X189253Y126999D01* +Y103686D01* +X189179Y103679D01* +X188969Y103638D01* +X188763Y103576D01* +X188706Y103550D01* +X188653Y103516D01* +X188606Y103474D01* +X188566Y103424D01* +X188534Y103370D01* +X188512Y103311D01* +X188499Y103249D01* +X188495Y103186D01* +X188502Y103123D01* +X188518Y103062D01* +X188544Y103004D01* +X188579Y102951D01* +X188621Y102904D01* +X188670Y102864D01* +X188725Y102833D01* +X188784Y102810D01* +X188846Y102797D01* +X188909Y102794D01* +X188972Y102800D01* +X189032Y102818D01* +X189162Y102859D01* +X189253Y102877D01* +Y100123D01* +X189162Y100141D01* +X189032Y100181D01* +X188971Y100198D01* +X188909Y100205D01* +X188846Y100201D01* +X188784Y100188D01* +X188726Y100166D01* +X188671Y100134D01* +X188622Y100095D01* +X188580Y100048D01* +X188546Y99995D01* +X188520Y99938D01* +X188504Y99877D01* +X188497Y99814D01* +X188500Y99751D01* +X188513Y99690D01* +X188536Y99631D01* +X188567Y99577D01* +X188607Y99528D01* +X188654Y99485D01* +X188706Y99451D01* +X188764Y99427D01* +X188969Y99362D01* +X189179Y99321D01* +X189253Y99314D01* +Y2000D01* +G37* +G36* +X187753Y98929D02*X182232Y104450D01* +X182250Y104750D01* +Y134000D01* +X187753D01* +Y102500D01* +X187751Y102500D01* +X187690Y102487D01* +X187631Y102464D01* +X187577Y102433D01* +X187528Y102393D01* +X187485Y102346D01* +X187451Y102294D01* +X187427Y102236D01* +X187362Y102031D01* +X187321Y101821D01* +X187300Y101607D01* +Y101393D01* +X187321Y101179D01* +X187362Y100969D01* +X187424Y100763D01* +X187450Y100706D01* +X187484Y100653D01* +X187526Y100606D01* +X187576Y100566D01* +X187630Y100534D01* +X187689Y100512D01* +X187751Y100499D01* +X187753Y100499D01* +Y98929D01* +G37* +G36* +Y2000D02*X179747D01* +Y23000D01* +X179749Y23000D01* +X179810Y23013D01* +X179869Y23036D01* +X179923Y23067D01* +X179972Y23107D01* +X180015Y23154D01* +X180049Y23206D01* +X180073Y23264D01* +X180138Y23469D01* +X180179Y23679D01* +X180200Y23893D01* +Y24107D01* +X180179Y24321D01* +X180138Y24531D01* +X180076Y24737D01* +X180050Y24794D01* +X180016Y24847D01* +X179974Y24894D01* +X179924Y24934D01* +X179870Y24966D01* +X179811Y24988D01* +X179749Y25001D01* +X179747Y25001D01* +Y100571D01* +X184909Y95409D01* +X185173Y95174D01* +X185475Y94989D01* +X185803Y94854D01* +X186147Y94771D01* +X186500Y94743D01* +X186853Y94771D01* +X187197Y94854D01* +X187525Y94989D01* +X187753Y95129D01* +Y2000D01* +G37* +G36* +X179747D02*X178001D01* +Y21800D01* +X178107D01* +X178321Y21821D01* +X178531Y21862D01* +X178737Y21924D01* +X178794Y21950D01* +X178847Y21984D01* +X178894Y22026D01* +X178934Y22076D01* +X178966Y22130D01* +X178988Y22189D01* +X179001Y22251D01* +X179005Y22314D01* +X178998Y22377D01* +X178982Y22438D01* +X178956Y22496D01* +X178921Y22549D01* +X178879Y22596D01* +X178830Y22636D01* +X178775Y22667D01* +X178716Y22690D01* +X178654Y22703D01* +X178591Y22706D01* +X178528Y22700D01* +X178468Y22682D01* +X178338Y22641D01* +X178204Y22615D01* +X178068Y22602D01* +X178001D01* +Y25398D01* +X178068D01* +X178204Y25385D01* +X178338Y25359D01* +X178468Y25319D01* +X178529Y25302D01* +X178591Y25295D01* +X178654Y25299D01* +X178716Y25312D01* +X178774Y25334D01* +X178829Y25366D01* +X178878Y25405D01* +X178920Y25452D01* +X178954Y25505D01* +X178980Y25562D01* +X178996Y25623D01* +X179003Y25686D01* +X179000Y25749D01* +X178987Y25810D01* +X178964Y25869D01* +X178933Y25923D01* +X178893Y25972D01* +X178846Y26015D01* +X178794Y26049D01* +X178736Y26073D01* +X178531Y26138D01* +X178321Y26179D01* +X178107Y26200D01* +X178001D01* +Y101069D01* +X178213Y101281D01* +X178750Y101239D01* +X179055Y101263D01* +X179747Y100571D01* +Y25001D01* +X179686Y25005D01* +X179623Y24998D01* +X179562Y24982D01* +X179504Y24956D01* +X179451Y24921D01* +X179404Y24879D01* +X179364Y24830D01* +X179333Y24775D01* +X179310Y24716D01* +X179297Y24654D01* +X179294Y24591D01* +X179300Y24528D01* +X179318Y24468D01* +X179359Y24338D01* +X179385Y24204D01* +X179398Y24068D01* +Y23932D01* +X179385Y23796D01* +X179359Y23662D01* +X179319Y23532D01* +X179302Y23471D01* +X179295Y23409D01* +X179299Y23346D01* +X179312Y23284D01* +X179334Y23226D01* +X179366Y23171D01* +X179405Y23122D01* +X179452Y23080D01* +X179505Y23046D01* +X179562Y23020D01* +X179623Y23004D01* +X179686Y22997D01* +X179747Y23000D01* +Y2000D01* +G37* +G36* +X178001D02*X176253D01* +Y22999D01* +X176314Y22995D01* +X176377Y23002D01* +X176438Y23018D01* +X176496Y23044D01* +X176549Y23079D01* +X176596Y23121D01* +X176636Y23170D01* +X176667Y23225D01* +X176690Y23284D01* +X176703Y23346D01* +X176706Y23409D01* +X176700Y23472D01* +X176682Y23532D01* +X176641Y23662D01* +X176615Y23796D01* +X176602Y23932D01* +Y24068D01* +X176615Y24204D01* +X176641Y24338D01* +X176681Y24468D01* +X176698Y24529D01* +X176705Y24591D01* +X176701Y24654D01* +X176688Y24716D01* +X176666Y24774D01* +X176634Y24829D01* +X176595Y24878D01* +X176548Y24920D01* +X176495Y24954D01* +X176438Y24980D01* +X176377Y24996D01* +X176314Y25003D01* +X176253Y25000D01* +Y99321D01* +X178001Y101069D01* +Y26200D01* +X177893D01* +X177679Y26179D01* +X177469Y26138D01* +X177263Y26076D01* +X177206Y26050D01* +X177153Y26016D01* +X177106Y25974D01* +X177066Y25924D01* +X177034Y25870D01* +X177012Y25811D01* +X176999Y25749D01* +X176995Y25686D01* +X177002Y25623D01* +X177018Y25562D01* +X177044Y25504D01* +X177079Y25451D01* +X177121Y25404D01* +X177170Y25364D01* +X177225Y25333D01* +X177284Y25310D01* +X177346Y25297D01* +X177409Y25294D01* +X177472Y25300D01* +X177532Y25318D01* +X177662Y25359D01* +X177796Y25385D01* +X177932Y25398D01* +X178001D01* +Y22602D01* +X177932D01* +X177796Y22615D01* +X177662Y22641D01* +X177532Y22681D01* +X177471Y22698D01* +X177409Y22705D01* +X177346Y22701D01* +X177284Y22688D01* +X177226Y22666D01* +X177171Y22634D01* +X177122Y22595D01* +X177080Y22548D01* +X177046Y22495D01* +X177020Y22438D01* +X177004Y22377D01* +X176997Y22314D01* +X177000Y22251D01* +X177013Y22190D01* +X177036Y22131D01* +X177067Y22077D01* +X177107Y22028D01* +X177154Y21985D01* +X177206Y21951D01* +X177264Y21927D01* +X177469Y21862D01* +X177679Y21821D01* +X177893Y21800D01* +X178001D01* +Y2000D01* +G37* +G36* +X176253D02*X174247D01* +Y80500D01* +X174249Y80500D01* +X174310Y80513D01* +X174369Y80536D01* +X174423Y80567D01* +X174472Y80607D01* +X174515Y80654D01* +X174549Y80706D01* +X174573Y80764D01* +X174638Y80969D01* +X174679Y81179D01* +X174700Y81393D01* +Y81607D01* +X174679Y81821D01* +X174638Y82031D01* +X174576Y82237D01* +X174550Y82294D01* +X174516Y82347D01* +X174474Y82394D01* +X174424Y82434D01* +X174370Y82466D01* +X174311Y82488D01* +X174249Y82501D01* +X174247Y82501D01* +Y97315D01* +X176253Y99321D01* +Y25000D01* +X176251Y25000D01* +X176190Y24987D01* +X176131Y24964D01* +X176077Y24933D01* +X176028Y24893D01* +X175985Y24846D01* +X175951Y24794D01* +X175927Y24736D01* +X175862Y24531D01* +X175821Y24321D01* +X175800Y24107D01* +Y23893D01* +X175821Y23679D01* +X175862Y23469D01* +X175924Y23263D01* +X175950Y23206D01* +X175984Y23153D01* +X176026Y23106D01* +X176076Y23066D01* +X176130Y23034D01* +X176189Y23012D01* +X176251Y22999D01* +X176253Y22999D01* +Y2000D01* +G37* +G36* +X174247Y141000D02*X172501D01* +Y190000D01* +X174247D01* +Y141000D01* +G37* +G36* +Y2000D02*X172501D01* +Y79300D01* +X172607D01* +X172821Y79321D01* +X173031Y79362D01* +X173237Y79424D01* +X173294Y79450D01* +X173347Y79484D01* +X173394Y79526D01* +X173434Y79576D01* +X173466Y79630D01* +X173488Y79689D01* +X173501Y79751D01* +X173505Y79814D01* +X173498Y79877D01* +X173482Y79938D01* +X173456Y79996D01* +X173421Y80049D01* +X173379Y80096D01* +X173330Y80136D01* +X173275Y80167D01* +X173216Y80190D01* +X173154Y80203D01* +X173091Y80206D01* +X173028Y80200D01* +X172968Y80182D01* +X172838Y80141D01* +X172704Y80115D01* +X172568Y80102D01* +X172501D01* +Y82898D01* +X172568D01* +X172704Y82885D01* +X172838Y82859D01* +X172968Y82819D01* +X173029Y82802D01* +X173091Y82795D01* +X173154Y82799D01* +X173216Y82812D01* +X173274Y82834D01* +X173329Y82866D01* +X173378Y82905D01* +X173420Y82952D01* +X173454Y83005D01* +X173480Y83062D01* +X173496Y83123D01* +X173503Y83186D01* +X173500Y83249D01* +X173487Y83310D01* +X173464Y83369D01* +X173433Y83423D01* +X173393Y83472D01* +X173346Y83515D01* +X173294Y83549D01* +X173236Y83573D01* +X173031Y83638D01* +X172821Y83679D01* +X172607Y83700D01* +X172501D01* +Y95628D01* +X172577Y95674D01* +X172846Y95904D01* +X172903Y95971D01* +X174247Y97315D01* +Y82501D01* +X174186Y82505D01* +X174123Y82498D01* +X174062Y82482D01* +X174004Y82456D01* +X173951Y82421D01* +X173904Y82379D01* +X173864Y82330D01* +X173833Y82275D01* +X173810Y82216D01* +X173797Y82154D01* +X173794Y82091D01* +X173800Y82028D01* +X173818Y81968D01* +X173859Y81838D01* +X173885Y81704D01* +X173898Y81568D01* +Y81432D01* +X173885Y81296D01* +X173859Y81162D01* +X173819Y81032D01* +X173802Y80971D01* +X173795Y80909D01* +X173799Y80846D01* +X173812Y80784D01* +X173834Y80726D01* +X173866Y80671D01* +X173905Y80622D01* +X173952Y80580D01* +X174005Y80546D01* +X174062Y80520D01* +X174123Y80504D01* +X174186Y80497D01* +X174247Y80500D01* +Y2000D01* +G37* +G36* +X172501Y141000D02*X168682D01* +X169529Y141847D01* +X169596Y141904D01* +X169826Y142173D01* +X169826Y142173D01* +X170011Y142475D01* +X170146Y142803D01* +X170229Y143147D01* +X170257Y143500D01* +X170250Y143588D01* +Y148000D01* +X170229Y148353D01* +X170146Y148697D01* +X170011Y149025D01* +X169826Y149327D01* +X169596Y149596D01* +X169327Y149826D01* +X169025Y150011D01* +X168697Y150146D01* +X168353Y150229D01* +X168000Y150257D01* +X167647Y150229D01* +X167303Y150146D01* +X166975Y150011D01* +X166673Y149826D01* +X166404Y149596D01* +X166174Y149327D01* +X165989Y149025D01* +X165854Y148697D01* +X165771Y148353D01* +X165750Y148000D01* +Y144432D01* +X164247Y142929D01* +Y190000D01* +X172501D01* +Y141000D01* +G37* +G36* +X170753Y134000D02*X172501D01* +Y101933D01* +X170753Y100185D01* +Y134000D01* +G37* +G36* +X172501Y2000D02*X170753D01* +Y80499D01* +X170814Y80495D01* +X170877Y80502D01* +X170938Y80518D01* +X170996Y80544D01* +X171049Y80579D01* +X171096Y80621D01* +X171136Y80670D01* +X171167Y80725D01* +X171190Y80784D01* +X171203Y80846D01* +X171206Y80909D01* +X171200Y80972D01* +X171182Y81032D01* +X171141Y81162D01* +X171115Y81296D01* +X171102Y81432D01* +Y81568D01* +X171115Y81704D01* +X171141Y81838D01* +X171181Y81968D01* +X171198Y82029D01* +X171205Y82091D01* +X171201Y82154D01* +X171188Y82216D01* +X171166Y82274D01* +X171134Y82329D01* +X171095Y82378D01* +X171048Y82420D01* +X170995Y82454D01* +X170938Y82480D01* +X170877Y82496D01* +X170814Y82503D01* +X170753Y82500D01* +Y95113D01* +X170877Y95122D01* +X171244Y95210D01* +X171340Y95250D01* +X171603Y95271D01* +X171603Y95271D01* +X171947Y95354D01* +X172275Y95489D01* +X172501Y95628D01* +Y83700D01* +X172393D01* +X172179Y83679D01* +X171969Y83638D01* +X171763Y83576D01* +X171706Y83550D01* +X171653Y83516D01* +X171606Y83474D01* +X171566Y83424D01* +X171534Y83370D01* +X171512Y83311D01* +X171499Y83249D01* +X171495Y83186D01* +X171502Y83123D01* +X171518Y83062D01* +X171544Y83004D01* +X171579Y82951D01* +X171621Y82904D01* +X171670Y82864D01* +X171725Y82833D01* +X171784Y82810D01* +X171846Y82797D01* +X171909Y82794D01* +X171972Y82800D01* +X172032Y82818D01* +X172162Y82859D01* +X172296Y82885D01* +X172432Y82898D01* +X172501D01* +Y80102D01* +X172432D01* +X172296Y80115D01* +X172162Y80141D01* +X172032Y80181D01* +X171971Y80198D01* +X171909Y80205D01* +X171846Y80201D01* +X171784Y80188D01* +X171726Y80166D01* +X171671Y80134D01* +X171622Y80095D01* +X171580Y80048D01* +X171546Y79995D01* +X171520Y79938D01* +X171504Y79877D01* +X171497Y79814D01* +X171500Y79751D01* +X171513Y79690D01* +X171536Y79631D01* +X171567Y79577D01* +X171607Y79528D01* +X171654Y79485D01* +X171706Y79451D01* +X171764Y79427D01* +X171969Y79362D01* +X172179Y79321D01* +X172393Y79300D01* +X172501D01* +Y2000D01* +G37* +G36* +X169747Y134000D02*X170753D01* +Y100185D01* +X170473Y99905D01* +X170123Y99878D01* +X169756Y99790D01* +X169747Y99786D01* +Y121000D01* +X169749Y121000D01* +X169810Y121013D01* +X169869Y121036D01* +X169923Y121067D01* +X169972Y121107D01* +X170015Y121154D01* +X170049Y121206D01* +X170073Y121264D01* +X170138Y121469D01* +X170179Y121679D01* +X170200Y121893D01* +Y122107D01* +X170179Y122321D01* +X170138Y122531D01* +X170076Y122737D01* +X170050Y122794D01* +X170016Y122847D01* +X169974Y122894D01* +X169924Y122934D01* +X169870Y122966D01* +X169811Y122988D01* +X169749Y123001D01* +X169747Y123001D01* +Y127000D01* +X169749Y127000D01* +X169810Y127013D01* +X169869Y127036D01* +X169923Y127067D01* +X169972Y127107D01* +X170015Y127154D01* +X170049Y127206D01* +X170073Y127264D01* +X170138Y127469D01* +X170179Y127679D01* +X170200Y127893D01* +Y128107D01* +X170179Y128321D01* +X170138Y128531D01* +X170076Y128737D01* +X170050Y128794D01* +X170016Y128847D01* +X169974Y128894D01* +X169924Y128934D01* +X169870Y128966D01* +X169811Y128988D01* +X169749Y129001D01* +X169747Y129001D01* +Y134000D01* +G37* +G36* +X170753Y2000D02*X169747D01* +Y95214D01* +X169756Y95210D01* +X170123Y95122D01* +X170500Y95093D01* +X170753Y95113D01* +Y82500D01* +X170751Y82500D01* +X170690Y82487D01* +X170631Y82464D01* +X170577Y82433D01* +X170528Y82393D01* +X170485Y82346D01* +X170451Y82294D01* +X170427Y82236D01* +X170362Y82031D01* +X170321Y81821D01* +X170300Y81607D01* +Y81393D01* +X170321Y81179D01* +X170362Y80969D01* +X170424Y80763D01* +X170450Y80706D01* +X170484Y80653D01* +X170526Y80606D01* +X170576Y80566D01* +X170630Y80534D01* +X170689Y80512D01* +X170751Y80499D01* +X170753Y80499D01* +Y2000D01* +G37* +G36* +X169747D02*X168001D01* +Y119800D01* +X168107D01* +X168321Y119821D01* +X168531Y119862D01* +X168737Y119924D01* +X168794Y119950D01* +X168847Y119984D01* +X168894Y120026D01* +X168934Y120076D01* +X168966Y120130D01* +X168988Y120189D01* +X169001Y120251D01* +X169005Y120314D01* +X168998Y120377D01* +X168982Y120438D01* +X168956Y120496D01* +X168921Y120549D01* +X168879Y120596D01* +X168830Y120636D01* +X168775Y120667D01* +X168716Y120690D01* +X168654Y120703D01* +X168591Y120706D01* +X168528Y120700D01* +X168468Y120682D01* +X168338Y120641D01* +X168204Y120615D01* +X168068Y120602D01* +X168001D01* +Y123398D01* +X168068D01* +X168204Y123385D01* +X168338Y123359D01* +X168468Y123319D01* +X168529Y123302D01* +X168591Y123295D01* +X168654Y123299D01* +X168716Y123312D01* +X168774Y123334D01* +X168829Y123366D01* +X168878Y123405D01* +X168920Y123452D01* +X168954Y123505D01* +X168980Y123562D01* +X168996Y123623D01* +X169003Y123686D01* +X169000Y123749D01* +X168987Y123810D01* +X168964Y123869D01* +X168933Y123923D01* +X168893Y123972D01* +X168846Y124015D01* +X168794Y124049D01* +X168736Y124073D01* +X168531Y124138D01* +X168321Y124179D01* +X168107Y124200D01* +X168001D01* +Y125800D01* +X168107D01* +X168321Y125821D01* +X168531Y125862D01* +X168737Y125924D01* +X168794Y125950D01* +X168847Y125984D01* +X168894Y126026D01* +X168934Y126076D01* +X168966Y126130D01* +X168988Y126189D01* +X169001Y126251D01* +X169005Y126314D01* +X168998Y126377D01* +X168982Y126438D01* +X168956Y126496D01* +X168921Y126549D01* +X168879Y126596D01* +X168830Y126636D01* +X168775Y126667D01* +X168716Y126690D01* +X168654Y126703D01* +X168591Y126706D01* +X168528Y126700D01* +X168468Y126682D01* +X168338Y126641D01* +X168204Y126615D01* +X168068Y126602D01* +X168001D01* +Y129398D01* +X168068D01* +X168204Y129385D01* +X168338Y129359D01* +X168468Y129319D01* +X168529Y129302D01* +X168591Y129295D01* +X168654Y129299D01* +X168716Y129312D01* +X168774Y129334D01* +X168829Y129366D01* +X168878Y129405D01* +X168920Y129452D01* +X168954Y129505D01* +X168980Y129562D01* +X168996Y129623D01* +X169003Y129686D01* +X169000Y129749D01* +X168987Y129810D01* +X168964Y129869D01* +X168933Y129923D01* +X168893Y129972D01* +X168846Y130015D01* +X168794Y130049D01* +X168736Y130073D01* +X168531Y130138D01* +X168321Y130179D01* +X168107Y130200D01* +X168001D01* +Y134000D01* +X169747D01* +Y129001D01* +X169686Y129005D01* +X169623Y128998D01* +X169562Y128982D01* +X169504Y128956D01* +X169451Y128921D01* +X169404Y128879D01* +X169364Y128830D01* +X169333Y128775D01* +X169310Y128716D01* +X169297Y128654D01* +X169294Y128591D01* +X169300Y128528D01* +X169318Y128468D01* +X169359Y128338D01* +X169385Y128204D01* +X169398Y128068D01* +Y127932D01* +X169385Y127796D01* +X169359Y127662D01* +X169319Y127532D01* +X169302Y127471D01* +X169295Y127409D01* +X169299Y127346D01* +X169312Y127284D01* +X169334Y127226D01* +X169366Y127171D01* +X169405Y127122D01* +X169452Y127080D01* +X169505Y127046D01* +X169562Y127020D01* +X169623Y127004D01* +X169686Y126997D01* +X169747Y127000D01* +Y123001D01* +X169686Y123005D01* +X169623Y122998D01* +X169562Y122982D01* +X169504Y122956D01* +X169451Y122921D01* +X169404Y122879D01* +X169364Y122830D01* +X169333Y122775D01* +X169310Y122716D01* +X169297Y122654D01* +X169294Y122591D01* +X169300Y122528D01* +X169318Y122468D01* +X169359Y122338D01* +X169385Y122204D01* +X169398Y122068D01* +Y121932D01* +X169385Y121796D01* +X169359Y121662D01* +X169319Y121532D01* +X169302Y121471D01* +X169295Y121409D01* +X169299Y121346D01* +X169312Y121284D01* +X169334Y121226D01* +X169366Y121171D01* +X169405Y121122D01* +X169452Y121080D01* +X169505Y121046D01* +X169562Y121020D01* +X169623Y121004D01* +X169686Y120997D01* +X169747Y121000D01* +Y99786D01* +X169407Y99645D01* +X169085Y99448D01* +X168798Y99202D01* +X168552Y98915D01* +X168355Y98593D01* +X168210Y98244D01* +X168122Y97877D01* +X168093Y97500D01* +X168122Y97123D01* +X168210Y96756D01* +X168355Y96407D01* +X168552Y96085D01* +X168798Y95798D01* +X169085Y95552D01* +X169407Y95355D01* +X169747Y95214D01* +Y2000D01* +G37* +G36* +X168001D02*X166253D01* +Y120999D01* +X166314Y120995D01* +X166377Y121002D01* +X166438Y121018D01* +X166496Y121044D01* +X166549Y121079D01* +X166596Y121121D01* +X166636Y121170D01* +X166667Y121225D01* +X166690Y121284D01* +X166703Y121346D01* +X166706Y121409D01* +X166700Y121472D01* +X166682Y121532D01* +X166641Y121662D01* +X166615Y121796D01* +X166602Y121932D01* +Y122068D01* +X166615Y122204D01* +X166641Y122338D01* +X166681Y122468D01* +X166698Y122529D01* +X166705Y122591D01* +X166701Y122654D01* +X166688Y122716D01* +X166666Y122774D01* +X166634Y122829D01* +X166595Y122878D01* +X166548Y122920D01* +X166495Y122954D01* +X166438Y122980D01* +X166377Y122996D01* +X166314Y123003D01* +X166253Y123000D01* +Y126999D01* +X166314Y126995D01* +X166377Y127002D01* +X166438Y127018D01* +X166496Y127044D01* +X166549Y127079D01* +X166596Y127121D01* +X166636Y127170D01* +X166667Y127225D01* +X166690Y127284D01* +X166703Y127346D01* +X166706Y127409D01* +X166700Y127472D01* +X166682Y127532D01* +X166641Y127662D01* +X166615Y127796D01* +X166602Y127932D01* +Y128068D01* +X166615Y128204D01* +X166641Y128338D01* +X166681Y128468D01* +X166698Y128529D01* +X166705Y128591D01* +X166701Y128654D01* +X166688Y128716D01* +X166666Y128774D01* +X166634Y128829D01* +X166595Y128878D01* +X166548Y128920D01* +X166495Y128954D01* +X166438Y128980D01* +X166377Y128996D01* +X166314Y129003D01* +X166253Y129000D01* +Y134000D01* +X168001D01* +Y130200D01* +X167893D01* +X167679Y130179D01* +X167469Y130138D01* +X167263Y130076D01* +X167206Y130050D01* +X167153Y130016D01* +X167106Y129974D01* +X167066Y129924D01* +X167034Y129870D01* +X167012Y129811D01* +X166999Y129749D01* +X166995Y129686D01* +X167002Y129623D01* +X167018Y129562D01* +X167044Y129504D01* +X167079Y129451D01* +X167121Y129404D01* +X167170Y129364D01* +X167225Y129333D01* +X167284Y129310D01* +X167346Y129297D01* +X167409Y129294D01* +X167472Y129300D01* +X167532Y129318D01* +X167662Y129359D01* +X167796Y129385D01* +X167932Y129398D01* +X168001D01* +Y126602D01* +X167932D01* +X167796Y126615D01* +X167662Y126641D01* +X167532Y126681D01* +X167471Y126698D01* +X167409Y126705D01* +X167346Y126701D01* +X167284Y126688D01* +X167226Y126666D01* +X167171Y126634D01* +X167122Y126595D01* +X167080Y126548D01* +X167046Y126495D01* +X167020Y126438D01* +X167004Y126377D01* +X166997Y126314D01* +X167000Y126251D01* +X167013Y126190D01* +X167036Y126131D01* +X167067Y126077D01* +X167107Y126028D01* +X167154Y125985D01* +X167206Y125951D01* +X167264Y125927D01* +X167469Y125862D01* +X167679Y125821D01* +X167893Y125800D01* +X168001D01* +Y124200D01* +X167893D01* +X167679Y124179D01* +X167469Y124138D01* +X167263Y124076D01* +X167206Y124050D01* +X167153Y124016D01* +X167106Y123974D01* +X167066Y123924D01* +X167034Y123870D01* +X167012Y123811D01* +X166999Y123749D01* +X166995Y123686D01* +X167002Y123623D01* +X167018Y123562D01* +X167044Y123504D01* +X167079Y123451D01* +X167121Y123404D01* +X167170Y123364D01* +X167225Y123333D01* +X167284Y123310D01* +X167346Y123297D01* +X167409Y123294D01* +X167472Y123300D01* +X167532Y123318D01* +X167662Y123359D01* +X167796Y123385D01* +X167932Y123398D01* +X168001D01* +Y120602D01* +X167932D01* +X167796Y120615D01* +X167662Y120641D01* +X167532Y120681D01* +X167471Y120698D01* +X167409Y120705D01* +X167346Y120701D01* +X167284Y120688D01* +X167226Y120666D01* +X167171Y120634D01* +X167122Y120595D01* +X167080Y120548D01* +X167046Y120495D01* +X167020Y120438D01* +X167004Y120377D01* +X166997Y120314D01* +X167000Y120251D01* +X167013Y120190D01* +X167036Y120131D01* +X167067Y120077D01* +X167107Y120028D01* +X167154Y119985D01* +X167206Y119951D01* +X167264Y119927D01* +X167469Y119862D01* +X167679Y119821D01* +X167893Y119800D01* +X168001D01* +Y2000D01* +G37* +G36* +X166253D02*X164247D01* +Y134000D01* +X166253D01* +Y129000D01* +X166251Y129000D01* +X166190Y128987D01* +X166131Y128964D01* +X166077Y128933D01* +X166028Y128893D01* +X165985Y128846D01* +X165951Y128794D01* +X165927Y128736D01* +X165862Y128531D01* +X165821Y128321D01* +X165800Y128107D01* +Y127893D01* +X165821Y127679D01* +X165862Y127469D01* +X165924Y127263D01* +X165950Y127206D01* +X165984Y127153D01* +X166026Y127106D01* +X166076Y127066D01* +X166130Y127034D01* +X166189Y127012D01* +X166251Y126999D01* +X166253Y126999D01* +Y123000D01* +X166251Y123000D01* +X166190Y122987D01* +X166131Y122964D01* +X166077Y122933D01* +X166028Y122893D01* +X165985Y122846D01* +X165951Y122794D01* +X165927Y122736D01* +X165862Y122531D01* +X165821Y122321D01* +X165800Y122107D01* +Y121893D01* +X165821Y121679D01* +X165862Y121469D01* +X165924Y121263D01* +X165950Y121206D01* +X165984Y121153D01* +X166026Y121106D01* +X166076Y121066D01* +X166130Y121034D01* +X166189Y121012D01* +X166251Y120999D01* +X166253Y120999D01* +Y2000D01* +G37* +G36* +X164247Y142929D02*X162884Y141566D01* +X152816Y151634D01* +X155591Y154409D01* +X155826Y154673D01* +X156011Y154975D01* +X156146Y155303D01* +X156229Y155647D01* +X156257Y156000D01* +X156229Y156353D01* +X156146Y156697D01* +X156011Y157025D01* +X155826Y157327D01* +X155596Y157596D01* +X155327Y157826D01* +X155025Y158011D01* +X154697Y158146D01* +X154353Y158229D01* +X154000Y158257D01* +X153647Y158229D01* +X153303Y158146D01* +X152975Y158011D01* +X152673Y157826D01* +X152409Y157591D01* +X149634Y154816D01* +X144072Y160378D01* +X143983Y160483D01* +X143564Y160840D01* +X143094Y161128D01* +X142585Y161339D01* +X142049Y161468D01* +X142049Y161468D01* +X141500Y161511D01* +X141363Y161500D01* +X116892D01* +X116869Y161538D01* +X116243Y162243D01* +X88485Y190000D01* +X164247D01* +Y142929D01* +G37* +G36* +X124997Y337510D02*X125087Y337446D01* +X125192Y337393D01* +X125305Y337357D01* +X125421Y337340D01* +X125539Y337341D01* +X125656Y337360D01* +X125700Y337375D01* +Y324038D01* +X125462Y323800D01* +X124997D01* +Y337510D01* +G37* +G36* +Y339564D02*X125000Y339500D01* +X124997Y339436D01* +Y339564D01* +G37* +G36* +X161747Y242880D02*X161808Y242808D01* +X162347Y242348D01* +X162951Y241978D01* +X163605Y241707D01* +X164247Y241553D01* +Y197000D01* +X161747D01* +Y242880D01* +G37* +G36* +Y254700D02*X164247D01* +Y250447D01* +X163605Y250293D01* +X162951Y250022D01* +X162347Y249652D01* +X161808Y249192D01* +X161747Y249120D01* +Y251000D01* +X161749Y251000D01* +X161810Y251013D01* +X161869Y251036D01* +X161923Y251067D01* +X161972Y251107D01* +X162015Y251154D01* +X162049Y251206D01* +X162073Y251264D01* +X162138Y251469D01* +X162179Y251679D01* +X162200Y251893D01* +Y252107D01* +X162179Y252321D01* +X162138Y252531D01* +X162076Y252737D01* +X162050Y252794D01* +X162016Y252847D01* +X161974Y252894D01* +X161924Y252934D01* +X161870Y252966D01* +X161811Y252988D01* +X161749Y253001D01* +X161747Y253001D01* +Y254700D01* +G37* +G36* +X160001D02*X161747D01* +Y253001D01* +X161686Y253005D01* +X161623Y252998D01* +X161562Y252982D01* +X161504Y252956D01* +X161451Y252921D01* +X161404Y252879D01* +X161364Y252830D01* +X161333Y252775D01* +X161310Y252716D01* +X161297Y252654D01* +X161294Y252591D01* +X161300Y252528D01* +X161318Y252468D01* +X161359Y252338D01* +X161385Y252204D01* +X161398Y252068D01* +Y251932D01* +X161385Y251796D01* +X161359Y251662D01* +X161319Y251532D01* +X161302Y251471D01* +X161295Y251409D01* +X161299Y251346D01* +X161312Y251284D01* +X161334Y251226D01* +X161366Y251171D01* +X161405Y251122D01* +X161452Y251080D01* +X161505Y251046D01* +X161562Y251020D01* +X161623Y251004D01* +X161686Y250997D01* +X161747Y251000D01* +Y249120D01* +X161348Y248653D01* +X160978Y248049D01* +X160707Y247395D01* +X160542Y246706D01* +X160486Y246000D01* +X160542Y245294D01* +X160707Y244605D01* +X160978Y243951D01* +X161348Y243347D01* +X161747Y242880D01* +Y197000D01* +X160001D01* +Y249800D01* +X160107D01* +X160321Y249821D01* +X160531Y249862D01* +X160737Y249924D01* +X160794Y249950D01* +X160847Y249984D01* +X160894Y250026D01* +X160934Y250076D01* +X160966Y250130D01* +X160988Y250189D01* +X161001Y250251D01* +X161005Y250314D01* +X160998Y250377D01* +X160982Y250438D01* +X160956Y250496D01* +X160921Y250549D01* +X160879Y250596D01* +X160830Y250636D01* +X160775Y250667D01* +X160716Y250690D01* +X160654Y250703D01* +X160591Y250706D01* +X160528Y250700D01* +X160468Y250682D01* +X160338Y250641D01* +X160204Y250615D01* +X160068Y250602D01* +X160001D01* +Y253398D01* +X160068D01* +X160204Y253385D01* +X160338Y253359D01* +X160468Y253319D01* +X160529Y253302D01* +X160591Y253295D01* +X160654Y253299D01* +X160716Y253312D01* +X160774Y253334D01* +X160829Y253366D01* +X160878Y253405D01* +X160920Y253452D01* +X160954Y253505D01* +X160980Y253562D01* +X160996Y253623D01* +X161003Y253686D01* +X161000Y253749D01* +X160987Y253810D01* +X160964Y253869D01* +X160933Y253923D01* +X160893Y253972D01* +X160846Y254015D01* +X160794Y254049D01* +X160736Y254073D01* +X160531Y254138D01* +X160321Y254179D01* +X160107Y254200D01* +X160001D01* +Y254700D01* +G37* +G36* +X158253D02*X160001D01* +Y254200D01* +X159893D01* +X159679Y254179D01* +X159469Y254138D01* +X159263Y254076D01* +X159206Y254050D01* +X159153Y254016D01* +X159106Y253974D01* +X159066Y253924D01* +X159034Y253870D01* +X159012Y253811D01* +X158999Y253749D01* +X158995Y253686D01* +X159002Y253623D01* +X159018Y253562D01* +X159044Y253504D01* +X159079Y253451D01* +X159121Y253404D01* +X159170Y253364D01* +X159225Y253333D01* +X159284Y253310D01* +X159346Y253297D01* +X159409Y253294D01* +X159472Y253300D01* +X159532Y253318D01* +X159662Y253359D01* +X159796Y253385D01* +X159932Y253398D01* +X160001D01* +Y250602D01* +X159932D01* +X159796Y250615D01* +X159662Y250641D01* +X159532Y250681D01* +X159471Y250698D01* +X159409Y250705D01* +X159346Y250701D01* +X159284Y250688D01* +X159226Y250666D01* +X159171Y250634D01* +X159122Y250595D01* +X159080Y250548D01* +X159046Y250495D01* +X159020Y250438D01* +X159004Y250377D01* +X158997Y250314D01* +X159000Y250251D01* +X159013Y250190D01* +X159036Y250131D01* +X159067Y250077D01* +X159107Y250028D01* +X159154Y249985D01* +X159206Y249951D01* +X159264Y249927D01* +X159469Y249862D01* +X159679Y249821D01* +X159893Y249800D01* +X160001D01* +Y197000D01* +X158253D01* +Y242880D01* +X158652Y243347D01* +X159022Y243951D01* +X159293Y244605D01* +X159458Y245294D01* +X159500Y246000D01* +X159458Y246706D01* +X159293Y247395D01* +X159022Y248049D01* +X158652Y248653D01* +X158253Y249120D01* +Y250999D01* +X158314Y250995D01* +X158377Y251002D01* +X158438Y251018D01* +X158496Y251044D01* +X158549Y251079D01* +X158596Y251121D01* +X158636Y251170D01* +X158667Y251225D01* +X158690Y251284D01* +X158703Y251346D01* +X158706Y251409D01* +X158700Y251472D01* +X158682Y251532D01* +X158641Y251662D01* +X158615Y251796D01* +X158602Y251932D01* +Y252068D01* +X158615Y252204D01* +X158641Y252338D01* +X158681Y252468D01* +X158698Y252529D01* +X158705Y252591D01* +X158701Y252654D01* +X158688Y252716D01* +X158666Y252774D01* +X158634Y252829D01* +X158595Y252878D01* +X158548Y252920D01* +X158495Y252954D01* +X158438Y252980D01* +X158377Y252996D01* +X158314Y253003D01* +X158253Y253000D01* +Y254700D01* +G37* +G36* +Y197000D02*X155250D01* +Y199000D01* +X155229Y199353D01* +X155146Y199697D01* +X155011Y200025D01* +X154993Y200054D01* +Y214053D01* +X155005Y214046D01* +X155062Y214020D01* +X155123Y214004D01* +X155186Y213997D01* +X155249Y214000D01* +X155310Y214013D01* +X155369Y214036D01* +X155423Y214067D01* +X155472Y214107D01* +X155515Y214154D01* +X155549Y214206D01* +X155573Y214264D01* +X155638Y214469D01* +X155679Y214679D01* +X155700Y214893D01* +Y215107D01* +X155679Y215321D01* +X155638Y215531D01* +X155576Y215737D01* +X155550Y215794D01* +X155516Y215847D01* +X155474Y215894D01* +X155424Y215934D01* +X155370Y215966D01* +X155311Y215988D01* +X155249Y216001D01* +X155186Y216005D01* +X155123Y215998D01* +X155062Y215982D01* +X155004Y215956D01* +X154993Y215949D01* +Y241487D01* +X155000Y241486D01* +X155706Y241542D01* +X156395Y241707D01* +X157049Y241978D01* +X157653Y242348D01* +X158192Y242808D01* +X158253Y242880D01* +Y197000D01* +G37* +G36* +X154993Y254700D02*X158253D01* +Y253000D01* +X158251Y253000D01* +X158190Y252987D01* +X158131Y252964D01* +X158077Y252933D01* +X158028Y252893D01* +X157985Y252846D01* +X157951Y252794D01* +X157927Y252736D01* +X157862Y252531D01* +X157821Y252321D01* +X157800Y252107D01* +Y251893D01* +X157821Y251679D01* +X157862Y251469D01* +X157924Y251263D01* +X157950Y251206D01* +X157984Y251153D01* +X158026Y251106D01* +X158076Y251066D01* +X158130Y251034D01* +X158189Y251012D01* +X158251Y250999D01* +X158253Y250999D01* +Y249120D01* +X158192Y249192D01* +X157653Y249652D01* +X157049Y250022D01* +X156395Y250293D01* +X155706Y250458D01* +X155000Y250514D01* +X154993Y250513D01* +Y254700D01* +G37* +G36* +X153501Y241750D02*X153605Y241707D01* +X154294Y241542D01* +X154993Y241487D01* +Y215949D01* +X154951Y215921D01* +X154904Y215879D01* +X154864Y215830D01* +X154833Y215775D01* +X154810Y215716D01* +X154797Y215654D01* +X154794Y215591D01* +X154800Y215528D01* +X154818Y215468D01* +X154859Y215338D01* +X154885Y215204D01* +X154898Y215068D01* +Y214932D01* +X154885Y214796D01* +X154859Y214662D01* +X154819Y214532D01* +X154802Y214471D01* +X154795Y214409D01* +X154799Y214346D01* +X154812Y214284D01* +X154834Y214226D01* +X154866Y214171D01* +X154905Y214122D01* +X154952Y214080D01* +X154993Y214053D01* +Y200054D01* +X154826Y200327D01* +X154596Y200596D01* +X154327Y200826D01* +X154025Y201011D01* +X153697Y201146D01* +X153501Y201194D01* +Y212800D01* +X153607D01* +X153821Y212821D01* +X154031Y212862D01* +X154237Y212924D01* +X154294Y212950D01* +X154347Y212984D01* +X154394Y213026D01* +X154434Y213076D01* +X154466Y213130D01* +X154488Y213189D01* +X154501Y213251D01* +X154505Y213314D01* +X154498Y213377D01* +X154482Y213438D01* +X154456Y213496D01* +X154421Y213549D01* +X154379Y213596D01* +X154330Y213636D01* +X154275Y213667D01* +X154216Y213690D01* +X154154Y213703D01* +X154091Y213706D01* +X154028Y213700D01* +X153968Y213682D01* +X153838Y213641D01* +X153704Y213615D01* +X153568Y213602D01* +X153501D01* +Y216398D01* +X153568D01* +X153704Y216385D01* +X153838Y216359D01* +X153968Y216319D01* +X154029Y216302D01* +X154091Y216295D01* +X154154Y216299D01* +X154216Y216312D01* +X154274Y216334D01* +X154329Y216366D01* +X154378Y216405D01* +X154420Y216452D01* +X154454Y216505D01* +X154480Y216562D01* +X154496Y216623D01* +X154503Y216686D01* +X154500Y216749D01* +X154487Y216810D01* +X154464Y216869D01* +X154433Y216923D01* +X154393Y216972D01* +X154346Y217015D01* +X154294Y217049D01* +X154236Y217073D01* +X154031Y217138D01* +X153821Y217179D01* +X153607Y217200D01* +X153501D01* +Y241750D01* +G37* +G36* +Y254700D02*X154993D01* +Y250513D01* +X154294Y250458D01* +X153605Y250293D01* +X153501Y250250D01* +Y254700D01* +G37* +G36* +X151753Y242873D02*X151808Y242808D01* +X152347Y242348D01* +X152951Y241978D01* +X153501Y241750D01* +Y217200D01* +X153393D01* +X153179Y217179D01* +X152969Y217138D01* +X152763Y217076D01* +X152706Y217050D01* +X152653Y217016D01* +X152606Y216974D01* +X152566Y216924D01* +X152534Y216870D01* +X152512Y216811D01* +X152499Y216749D01* +X152495Y216686D01* +X152502Y216623D01* +X152518Y216562D01* +X152544Y216504D01* +X152579Y216451D01* +X152621Y216404D01* +X152670Y216364D01* +X152725Y216333D01* +X152784Y216310D01* +X152846Y216297D01* +X152909Y216294D01* +X152972Y216300D01* +X153032Y216318D01* +X153162Y216359D01* +X153296Y216385D01* +X153432Y216398D01* +X153501D01* +Y213602D01* +X153432D01* +X153296Y213615D01* +X153162Y213641D01* +X153032Y213681D01* +X152971Y213698D01* +X152909Y213705D01* +X152846Y213701D01* +X152784Y213688D01* +X152726Y213666D01* +X152671Y213634D01* +X152622Y213595D01* +X152580Y213548D01* +X152546Y213495D01* +X152520Y213438D01* +X152504Y213377D01* +X152497Y213314D01* +X152500Y213251D01* +X152513Y213190D01* +X152536Y213131D01* +X152567Y213077D01* +X152607Y213028D01* +X152654Y212985D01* +X152706Y212951D01* +X152764Y212927D01* +X152969Y212862D01* +X153179Y212821D01* +X153393Y212800D01* +X153501D01* +Y201194D01* +X153353Y201229D01* +X153000Y201257D01* +X152647Y201229D01* +X152303Y201146D01* +X151975Y201011D01* +X151753Y200875D01* +Y213999D01* +X151814Y213995D01* +X151877Y214002D01* +X151938Y214018D01* +X151996Y214044D01* +X152049Y214079D01* +X152096Y214121D01* +X152136Y214170D01* +X152167Y214225D01* +X152190Y214284D01* +X152203Y214346D01* +X152206Y214409D01* +X152200Y214472D01* +X152182Y214532D01* +X152141Y214662D01* +X152115Y214796D01* +X152102Y214932D01* +Y215068D01* +X152115Y215204D01* +X152141Y215338D01* +X152181Y215468D01* +X152198Y215529D01* +X152205Y215591D01* +X152201Y215654D01* +X152188Y215716D01* +X152166Y215774D01* +X152134Y215829D01* +X152095Y215878D01* +X152048Y215920D01* +X151995Y215954D01* +X151938Y215980D01* +X151877Y215996D01* +X151814Y216003D01* +X151753Y216000D01* +Y242873D01* +G37* +G36* +Y254700D02*X153501D01* +Y250250D01* +X152951Y250022D01* +X152347Y249652D01* +X151808Y249192D01* +X151753Y249127D01* +Y251501D01* +X151810Y251513D01* +X151869Y251536D01* +X151923Y251567D01* +X151972Y251607D01* +X152015Y251654D01* +X152049Y251706D01* +X152073Y251764D01* +X152138Y251969D01* +X152179Y252179D01* +X152200Y252393D01* +Y252607D01* +X152179Y252821D01* +X152138Y253031D01* +X152076Y253237D01* +X152050Y253294D01* +X152016Y253347D01* +X151974Y253394D01* +X151924Y253434D01* +X151870Y253466D01* +X151811Y253488D01* +X151753Y253500D01* +Y254700D01* +G37* +G36* +X150001D02*X151753D01* +Y253500D01* +X151749Y253501D01* +X151686Y253505D01* +X151623Y253498D01* +X151562Y253482D01* +X151504Y253456D01* +X151451Y253421D01* +X151404Y253379D01* +X151364Y253330D01* +X151333Y253275D01* +X151310Y253216D01* +X151297Y253154D01* +X151294Y253091D01* +X151300Y253028D01* +X151318Y252968D01* +X151359Y252838D01* +X151385Y252704D01* +X151398Y252568D01* +Y252432D01* +X151385Y252296D01* +X151359Y252162D01* +X151319Y252032D01* +X151302Y251971D01* +X151295Y251909D01* +X151299Y251846D01* +X151312Y251784D01* +X151334Y251726D01* +X151366Y251671D01* +X151405Y251622D01* +X151452Y251580D01* +X151505Y251546D01* +X151562Y251520D01* +X151623Y251504D01* +X151686Y251497D01* +X151749Y251500D01* +X151753Y251501D01* +Y249127D01* +X151348Y248653D01* +X150978Y248049D01* +X150707Y247395D01* +X150542Y246706D01* +X150486Y246000D01* +X150542Y245294D01* +X150707Y244605D01* +X150978Y243951D01* +X151348Y243347D01* +X151753Y242873D01* +Y216000D01* +X151751Y216000D01* +X151690Y215987D01* +X151631Y215964D01* +X151577Y215933D01* +X151528Y215893D01* +X151485Y215846D01* +X151451Y215794D01* +X151427Y215736D01* +X151362Y215531D01* +X151321Y215321D01* +X151300Y215107D01* +Y214893D01* +X151321Y214679D01* +X151362Y214469D01* +X151424Y214263D01* +X151450Y214206D01* +X151484Y214153D01* +X151526Y214106D01* +X151576Y214066D01* +X151630Y214034D01* +X151689Y214012D01* +X151751Y213999D01* +X151753Y213999D01* +Y200875D01* +X151673Y200826D01* +X151404Y200596D01* +X151174Y200327D01* +X150989Y200025D01* +X150854Y199697D01* +X150771Y199353D01* +X150750Y199000D01* +Y197000D01* +X150001D01* +Y250300D01* +X150107D01* +X150321Y250321D01* +X150531Y250362D01* +X150737Y250424D01* +X150794Y250450D01* +X150847Y250484D01* +X150894Y250526D01* +X150934Y250576D01* +X150966Y250630D01* +X150988Y250689D01* +X151001Y250751D01* +X151005Y250814D01* +X150998Y250877D01* +X150982Y250938D01* +X150956Y250996D01* +X150921Y251049D01* +X150879Y251096D01* +X150830Y251136D01* +X150775Y251167D01* +X150716Y251190D01* +X150654Y251203D01* +X150591Y251206D01* +X150528Y251200D01* +X150468Y251182D01* +X150338Y251141D01* +X150204Y251115D01* +X150068Y251102D01* +X150001D01* +Y253898D01* +X150068D01* +X150204Y253885D01* +X150338Y253859D01* +X150468Y253819D01* +X150529Y253802D01* +X150591Y253795D01* +X150654Y253799D01* +X150716Y253812D01* +X150774Y253834D01* +X150829Y253866D01* +X150878Y253905D01* +X150920Y253952D01* +X150954Y254005D01* +X150980Y254062D01* +X150996Y254123D01* +X151003Y254186D01* +X151000Y254249D01* +X150987Y254310D01* +X150964Y254369D01* +X150933Y254423D01* +X150893Y254472D01* +X150846Y254515D01* +X150794Y254549D01* +X150736Y254573D01* +X150531Y254638D01* +X150321Y254679D01* +X150107Y254700D01* +X150001D01* +Y254700D01* +G37* +G36* +X148253D02*X150001D01* +Y254700D01* +X149893D01* +X149679Y254679D01* +X149469Y254638D01* +X149263Y254576D01* +X149206Y254550D01* +X149153Y254516D01* +X149106Y254474D01* +X149066Y254424D01* +X149034Y254370D01* +X149012Y254311D01* +X148999Y254249D01* +X148995Y254186D01* +X149002Y254123D01* +X149018Y254062D01* +X149044Y254004D01* +X149079Y253951D01* +X149121Y253904D01* +X149170Y253864D01* +X149225Y253833D01* +X149284Y253810D01* +X149346Y253797D01* +X149409Y253794D01* +X149472Y253800D01* +X149532Y253818D01* +X149662Y253859D01* +X149796Y253885D01* +X149932Y253898D01* +X150001D01* +Y251102D01* +X149932D01* +X149796Y251115D01* +X149662Y251141D01* +X149532Y251181D01* +X149471Y251198D01* +X149409Y251205D01* +X149346Y251201D01* +X149284Y251188D01* +X149226Y251166D01* +X149171Y251134D01* +X149122Y251095D01* +X149080Y251048D01* +X149046Y250995D01* +X149020Y250938D01* +X149004Y250877D01* +X148997Y250814D01* +X149000Y250751D01* +X149013Y250690D01* +X149036Y250631D01* +X149067Y250577D01* +X149107Y250528D01* +X149154Y250485D01* +X149206Y250451D01* +X149264Y250427D01* +X149469Y250362D01* +X149679Y250321D01* +X149893Y250300D01* +X150001D01* +Y197000D01* +X148253D01* +Y242880D01* +X148652Y243347D01* +X149022Y243951D01* +X149293Y244605D01* +X149458Y245294D01* +X149500Y246000D01* +X149458Y246706D01* +X149293Y247395D01* +X149022Y248049D01* +X148652Y248653D01* +X148253Y249120D01* +Y251499D01* +X148314Y251495D01* +X148377Y251502D01* +X148438Y251518D01* +X148496Y251544D01* +X148549Y251579D01* +X148596Y251621D01* +X148636Y251670D01* +X148667Y251725D01* +X148690Y251784D01* +X148703Y251846D01* +X148706Y251909D01* +X148700Y251972D01* +X148682Y252032D01* +X148641Y252162D01* +X148615Y252296D01* +X148602Y252432D01* +Y252568D01* +X148615Y252704D01* +X148641Y252838D01* +X148681Y252968D01* +X148698Y253029D01* +X148705Y253091D01* +X148701Y253154D01* +X148688Y253216D01* +X148666Y253274D01* +X148634Y253329D01* +X148595Y253378D01* +X148548Y253420D01* +X148495Y253454D01* +X148438Y253480D01* +X148377Y253496D01* +X148314Y253503D01* +X148253Y253500D01* +Y254700D01* +G37* +G36* +Y197000D02*X144993D01* +Y241487D01* +X145000Y241486D01* +X145706Y241542D01* +X146395Y241707D01* +X147049Y241978D01* +X147653Y242348D01* +X148192Y242808D01* +X148253Y242880D01* +Y197000D01* +G37* +G36* +X144993Y254700D02*X148253D01* +Y253500D01* +X148251Y253500D01* +X148190Y253487D01* +X148131Y253464D01* +X148077Y253433D01* +X148028Y253393D01* +X147985Y253346D01* +X147951Y253294D01* +X147927Y253236D01* +X147862Y253031D01* +X147821Y252821D01* +X147800Y252607D01* +Y252393D01* +X147821Y252179D01* +X147862Y251969D01* +X147924Y251763D01* +X147950Y251706D01* +X147984Y251653D01* +X148026Y251606D01* +X148076Y251566D01* +X148130Y251534D01* +X148189Y251512D01* +X148251Y251499D01* +X148253Y251499D01* +Y249120D01* +X148192Y249192D01* +X147653Y249652D01* +X147049Y250022D01* +X146395Y250293D01* +X145706Y250458D01* +X145000Y250514D01* +X144993Y250513D01* +Y254700D01* +G37* +G36* +X141747Y242880D02*X141808Y242808D01* +X142347Y242348D01* +X142951Y241978D01* +X143605Y241707D01* +X144294Y241542D01* +X144993Y241487D01* +Y197000D01* +X141747D01* +Y242880D01* +G37* +G36* +Y254700D02*X144993D01* +Y250513D01* +X144294Y250458D01* +X143605Y250293D01* +X142951Y250022D01* +X142347Y249652D01* +X141808Y249192D01* +X141747Y249120D01* +Y251500D01* +X141749Y251500D01* +X141810Y251513D01* +X141869Y251536D01* +X141923Y251567D01* +X141972Y251607D01* +X142015Y251654D01* +X142049Y251706D01* +X142073Y251764D01* +X142138Y251969D01* +X142179Y252179D01* +X142200Y252393D01* +Y252607D01* +X142179Y252821D01* +X142138Y253031D01* +X142076Y253237D01* +X142050Y253294D01* +X142016Y253347D01* +X141974Y253394D01* +X141924Y253434D01* +X141870Y253466D01* +X141811Y253488D01* +X141749Y253501D01* +X141747Y253501D01* +Y254700D01* +G37* +G36* +X140001D02*X141747D01* +Y253501D01* +X141686Y253505D01* +X141623Y253498D01* +X141562Y253482D01* +X141504Y253456D01* +X141451Y253421D01* +X141404Y253379D01* +X141364Y253330D01* +X141333Y253275D01* +X141310Y253216D01* +X141297Y253154D01* +X141294Y253091D01* +X141300Y253028D01* +X141318Y252968D01* +X141359Y252838D01* +X141385Y252704D01* +X141398Y252568D01* +Y252432D01* +X141385Y252296D01* +X141359Y252162D01* +X141319Y252032D01* +X141302Y251971D01* +X141295Y251909D01* +X141299Y251846D01* +X141312Y251784D01* +X141334Y251726D01* +X141366Y251671D01* +X141405Y251622D01* +X141452Y251580D01* +X141505Y251546D01* +X141562Y251520D01* +X141623Y251504D01* +X141686Y251497D01* +X141747Y251500D01* +Y249120D01* +X141348Y248653D01* +X140978Y248049D01* +X140707Y247395D01* +X140542Y246706D01* +X140486Y246000D01* +X140542Y245294D01* +X140707Y244605D01* +X140978Y243951D01* +X141348Y243347D01* +X141747Y242880D01* +Y197000D01* +X140001D01* +Y250300D01* +X140107D01* +X140321Y250321D01* +X140531Y250362D01* +X140737Y250424D01* +X140794Y250450D01* +X140847Y250484D01* +X140894Y250526D01* +X140934Y250576D01* +X140966Y250630D01* +X140988Y250689D01* +X141001Y250751D01* +X141005Y250814D01* +X140998Y250877D01* +X140982Y250938D01* +X140956Y250996D01* +X140921Y251049D01* +X140879Y251096D01* +X140830Y251136D01* +X140775Y251167D01* +X140716Y251190D01* +X140654Y251203D01* +X140591Y251206D01* +X140528Y251200D01* +X140468Y251182D01* +X140338Y251141D01* +X140204Y251115D01* +X140068Y251102D01* +X140001D01* +Y253898D01* +X140068D01* +X140204Y253885D01* +X140338Y253859D01* +X140468Y253819D01* +X140529Y253802D01* +X140591Y253795D01* +X140654Y253799D01* +X140716Y253812D01* +X140774Y253834D01* +X140829Y253866D01* +X140878Y253905D01* +X140920Y253952D01* +X140954Y254005D01* +X140980Y254062D01* +X140996Y254123D01* +X141003Y254186D01* +X141000Y254249D01* +X140987Y254310D01* +X140964Y254369D01* +X140933Y254423D01* +X140893Y254472D01* +X140846Y254515D01* +X140794Y254549D01* +X140736Y254573D01* +X140531Y254638D01* +X140321Y254679D01* +X140107Y254700D01* +X140001D01* +Y254700D01* +G37* +G36* +X138253D02*X140001D01* +Y254700D01* +X139893D01* +X139679Y254679D01* +X139469Y254638D01* +X139263Y254576D01* +X139206Y254550D01* +X139153Y254516D01* +X139106Y254474D01* +X139066Y254424D01* +X139034Y254370D01* +X139012Y254311D01* +X138999Y254249D01* +X138995Y254186D01* +X139002Y254123D01* +X139018Y254062D01* +X139044Y254004D01* +X139079Y253951D01* +X139121Y253904D01* +X139170Y253864D01* +X139225Y253833D01* +X139284Y253810D01* +X139346Y253797D01* +X139409Y253794D01* +X139472Y253800D01* +X139532Y253818D01* +X139662Y253859D01* +X139796Y253885D01* +X139932Y253898D01* +X140001D01* +Y251102D01* +X139932D01* +X139796Y251115D01* +X139662Y251141D01* +X139532Y251181D01* +X139471Y251198D01* +X139409Y251205D01* +X139346Y251201D01* +X139284Y251188D01* +X139226Y251166D01* +X139171Y251134D01* +X139122Y251095D01* +X139080Y251048D01* +X139046Y250995D01* +X139020Y250938D01* +X139004Y250877D01* +X138997Y250814D01* +X139000Y250751D01* +X139013Y250690D01* +X139036Y250631D01* +X139067Y250577D01* +X139107Y250528D01* +X139154Y250485D01* +X139206Y250451D01* +X139264Y250427D01* +X139469Y250362D01* +X139679Y250321D01* +X139893Y250300D01* +X140001D01* +Y197000D01* +X138253D01* +Y242880D01* +X138652Y243347D01* +X139022Y243951D01* +X139293Y244605D01* +X139458Y245294D01* +X139500Y246000D01* +X139458Y246706D01* +X139293Y247395D01* +X139022Y248049D01* +X138652Y248653D01* +X138253Y249120D01* +Y251499D01* +X138314Y251495D01* +X138377Y251502D01* +X138438Y251518D01* +X138496Y251544D01* +X138549Y251579D01* +X138596Y251621D01* +X138636Y251670D01* +X138667Y251725D01* +X138690Y251784D01* +X138703Y251846D01* +X138706Y251909D01* +X138700Y251972D01* +X138682Y252032D01* +X138641Y252162D01* +X138615Y252296D01* +X138602Y252432D01* +Y252568D01* +X138615Y252704D01* +X138641Y252838D01* +X138681Y252968D01* +X138698Y253029D01* +X138705Y253091D01* +X138701Y253154D01* +X138688Y253216D01* +X138666Y253274D01* +X138634Y253329D01* +X138595Y253378D01* +X138548Y253420D01* +X138495Y253454D01* +X138438Y253480D01* +X138377Y253496D01* +X138314Y253503D01* +X138253Y253500D01* +Y254700D01* +G37* +G36* +Y197000D02*X134993D01* +Y241487D01* +X135000Y241486D01* +X135706Y241542D01* +X136395Y241707D01* +X137049Y241978D01* +X137653Y242348D01* +X138192Y242808D01* +X138253Y242880D01* +Y197000D01* +G37* +G36* +X134993Y254700D02*X138253D01* +Y253500D01* +X138251Y253500D01* +X138190Y253487D01* +X138131Y253464D01* +X138077Y253433D01* +X138028Y253393D01* +X137985Y253346D01* +X137951Y253294D01* +X137927Y253236D01* +X137862Y253031D01* +X137821Y252821D01* +X137800Y252607D01* +Y252393D01* +X137821Y252179D01* +X137862Y251969D01* +X137924Y251763D01* +X137950Y251706D01* +X137984Y251653D01* +X138026Y251606D01* +X138076Y251566D01* +X138130Y251534D01* +X138189Y251512D01* +X138251Y251499D01* +X138253Y251499D01* +Y249120D01* +X138192Y249192D01* +X137653Y249652D01* +X137049Y250022D01* +X136395Y250293D01* +X135706Y250458D01* +X135000Y250514D01* +X134993Y250513D01* +Y254700D01* +G37* +G36* +X131747Y242880D02*X131808Y242808D01* +X132347Y242348D01* +X132951Y241978D01* +X133605Y241707D01* +X134294Y241542D01* +X134993Y241487D01* +Y197000D01* +X131747D01* +Y242880D01* +G37* +G36* +Y254700D02*X134993D01* +Y250513D01* +X134294Y250458D01* +X133605Y250293D01* +X132951Y250022D01* +X132347Y249652D01* +X131808Y249192D01* +X131747Y249120D01* +Y251500D01* +X131749Y251500D01* +X131810Y251513D01* +X131869Y251536D01* +X131923Y251567D01* +X131972Y251607D01* +X132015Y251654D01* +X132049Y251706D01* +X132073Y251764D01* +X132138Y251969D01* +X132179Y252179D01* +X132200Y252393D01* +Y252607D01* +X132179Y252821D01* +X132138Y253031D01* +X132076Y253237D01* +X132050Y253294D01* +X132016Y253347D01* +X131974Y253394D01* +X131924Y253434D01* +X131870Y253466D01* +X131811Y253488D01* +X131749Y253501D01* +X131747Y253501D01* +Y254700D01* +G37* +G36* +X130001D02*X131747D01* +Y253501D01* +X131686Y253505D01* +X131623Y253498D01* +X131562Y253482D01* +X131504Y253456D01* +X131451Y253421D01* +X131404Y253379D01* +X131364Y253330D01* +X131333Y253275D01* +X131310Y253216D01* +X131297Y253154D01* +X131294Y253091D01* +X131300Y253028D01* +X131318Y252968D01* +X131359Y252838D01* +X131385Y252704D01* +X131398Y252568D01* +Y252432D01* +X131385Y252296D01* +X131359Y252162D01* +X131319Y252032D01* +X131302Y251971D01* +X131295Y251909D01* +X131299Y251846D01* +X131312Y251784D01* +X131334Y251726D01* +X131366Y251671D01* +X131405Y251622D01* +X131452Y251580D01* +X131505Y251546D01* +X131562Y251520D01* +X131623Y251504D01* +X131686Y251497D01* +X131747Y251500D01* +Y249120D01* +X131348Y248653D01* +X130978Y248049D01* +X130707Y247395D01* +X130542Y246706D01* +X130486Y246000D01* +X130542Y245294D01* +X130707Y244605D01* +X130978Y243951D01* +X131348Y243347D01* +X131747Y242880D01* +Y197000D01* +X130001D01* +Y250300D01* +X130107D01* +X130321Y250321D01* +X130531Y250362D01* +X130737Y250424D01* +X130794Y250450D01* +X130847Y250484D01* +X130894Y250526D01* +X130934Y250576D01* +X130966Y250630D01* +X130988Y250689D01* +X131001Y250751D01* +X131005Y250814D01* +X130998Y250877D01* +X130982Y250938D01* +X130956Y250996D01* +X130921Y251049D01* +X130879Y251096D01* +X130830Y251136D01* +X130775Y251167D01* +X130716Y251190D01* +X130654Y251203D01* +X130591Y251206D01* +X130528Y251200D01* +X130468Y251182D01* +X130338Y251141D01* +X130204Y251115D01* +X130068Y251102D01* +X130001D01* +Y253898D01* +X130068D01* +X130204Y253885D01* +X130338Y253859D01* +X130468Y253819D01* +X130529Y253802D01* +X130591Y253795D01* +X130654Y253799D01* +X130716Y253812D01* +X130774Y253834D01* +X130829Y253866D01* +X130878Y253905D01* +X130920Y253952D01* +X130954Y254005D01* +X130980Y254062D01* +X130996Y254123D01* +X131003Y254186D01* +X131000Y254249D01* +X130987Y254310D01* +X130964Y254369D01* +X130933Y254423D01* +X130893Y254472D01* +X130846Y254515D01* +X130794Y254549D01* +X130736Y254573D01* +X130531Y254638D01* +X130321Y254679D01* +X130107Y254700D01* +X130001D01* +Y254700D01* +G37* +G36* +X128253D02*X130001D01* +Y254700D01* +X129893D01* +X129679Y254679D01* +X129469Y254638D01* +X129263Y254576D01* +X129206Y254550D01* +X129153Y254516D01* +X129106Y254474D01* +X129066Y254424D01* +X129034Y254370D01* +X129012Y254311D01* +X128999Y254249D01* +X128995Y254186D01* +X129002Y254123D01* +X129018Y254062D01* +X129044Y254004D01* +X129079Y253951D01* +X129121Y253904D01* +X129170Y253864D01* +X129225Y253833D01* +X129284Y253810D01* +X129346Y253797D01* +X129409Y253794D01* +X129472Y253800D01* +X129532Y253818D01* +X129662Y253859D01* +X129796Y253885D01* +X129932Y253898D01* +X130001D01* +Y251102D01* +X129932D01* +X129796Y251115D01* +X129662Y251141D01* +X129532Y251181D01* +X129471Y251198D01* +X129409Y251205D01* +X129346Y251201D01* +X129284Y251188D01* +X129226Y251166D01* +X129171Y251134D01* +X129122Y251095D01* +X129080Y251048D01* +X129046Y250995D01* +X129020Y250938D01* +X129004Y250877D01* +X128997Y250814D01* +X129000Y250751D01* +X129013Y250690D01* +X129036Y250631D01* +X129067Y250577D01* +X129107Y250528D01* +X129154Y250485D01* +X129206Y250451D01* +X129264Y250427D01* +X129469Y250362D01* +X129679Y250321D01* +X129893Y250300D01* +X130001D01* +Y197000D01* +X128253D01* +Y242880D01* +X128652Y243347D01* +X129022Y243951D01* +X129293Y244605D01* +X129458Y245294D01* +X129500Y246000D01* +X129458Y246706D01* +X129293Y247395D01* +X129022Y248049D01* +X128652Y248653D01* +X128253Y249120D01* +Y251499D01* +X128314Y251495D01* +X128377Y251502D01* +X128438Y251518D01* +X128496Y251544D01* +X128549Y251579D01* +X128596Y251621D01* +X128636Y251670D01* +X128667Y251725D01* +X128690Y251784D01* +X128703Y251846D01* +X128706Y251909D01* +X128700Y251972D01* +X128682Y252032D01* +X128641Y252162D01* +X128615Y252296D01* +X128602Y252432D01* +Y252568D01* +X128615Y252704D01* +X128641Y252838D01* +X128681Y252968D01* +X128698Y253029D01* +X128705Y253091D01* +X128701Y253154D01* +X128688Y253216D01* +X128666Y253274D01* +X128634Y253329D01* +X128595Y253378D01* +X128548Y253420D01* +X128495Y253454D01* +X128438Y253480D01* +X128377Y253496D01* +X128314Y253503D01* +X128253Y253500D01* +Y254700D01* +G37* +G36* +Y197000D02*X124997D01* +Y241486D01* +X125000Y241486D01* +X125706Y241542D01* +X126395Y241707D01* +X127049Y241978D01* +X127653Y242348D01* +X128192Y242808D01* +X128253Y242880D01* +Y197000D01* +G37* +G36* +X124997Y254700D02*X128253D01* +Y253500D01* +X128251Y253500D01* +X128190Y253487D01* +X128131Y253464D01* +X128077Y253433D01* +X128028Y253393D01* +X127985Y253346D01* +X127951Y253294D01* +X127927Y253236D01* +X127862Y253031D01* +X127821Y252821D01* +X127800Y252607D01* +Y252393D01* +X127821Y252179D01* +X127862Y251969D01* +X127924Y251763D01* +X127950Y251706D01* +X127984Y251653D01* +X128026Y251606D01* +X128076Y251566D01* +X128130Y251534D01* +X128189Y251512D01* +X128251Y251499D01* +X128253Y251499D01* +Y249120D01* +X128192Y249192D01* +X127653Y249652D01* +X127049Y250022D01* +X126395Y250293D01* +X125706Y250458D01* +X125000Y250514D01* +X124997Y250514D01* +Y254700D01* +G37* +G36* +Y258700D02*X164247D01* +Y257300D01* +X124997D01* +Y258700D01* +G37* +G36* +Y262700D02*X164247D01* +Y261300D01* +X124997D01* +Y262700D01* +G37* +G36* +Y266700D02*X164247D01* +Y265300D01* +X124997D01* +Y266700D01* +G37* +G36* +Y270700D02*X164247D01* +Y269300D01* +X124997D01* +Y270700D01* +G37* +G36* +Y274700D02*X164247D01* +Y273300D01* +X124997D01* +Y274700D01* +G37* +G36* +Y278700D02*X164247D01* +Y277300D01* +X124997D01* +Y278700D01* +G37* +G36* +Y282700D02*X164247D01* +Y281300D01* +X124997D01* +Y282700D01* +G37* +G36* +Y286700D02*X164247D01* +Y285300D01* +X124997D01* +Y286700D01* +G37* +G36* +Y293200D02*X164247D01* +Y289300D01* +X124997D01* +Y293200D01* +G37* +G36* +Y297200D02*X164247D01* +Y295800D01* +X124997D01* +Y297200D01* +G37* +G36* +Y301200D02*X164247D01* +Y299800D01* +X124997D01* +Y301200D01* +G37* +G36* +Y305200D02*X164247D01* +Y303800D01* +X124997D01* +Y305200D01* +G37* +G36* +X162002Y344986D02*X162706Y345042D01* +X163395Y345207D01* +X164049Y345478D01* +X164247Y345599D01* +Y307800D01* +X162002D01* +Y334995D01* +X162433Y335016D01* +X162863Y335078D01* +X163284Y335182D01* +X163694Y335325D01* +X163800Y335378D01* +X163896Y335447D01* +X163981Y335530D01* +X164051Y335625D01* +X164106Y335731D01* +X164143Y335843D01* +X164163Y335960D01* +X164164Y336079D01* +X164146Y336196D01* +X164110Y336309D01* +X164057Y336415D01* +X163988Y336512D01* +X163905Y336596D01* +X163809Y336667D01* +X163704Y336721D01* +X163592Y336759D01* +X163475Y336778D01* +X163356Y336779D01* +X163239Y336761D01* +X163126Y336723D01* +X162855Y336624D01* +X162575Y336556D01* +X162289Y336514D01* +X162002Y336500D01* +Y342500D01* +X162289Y342486D01* +X162575Y342444D01* +X162855Y342376D01* +X163128Y342280D01* +X163239Y342242D01* +X163356Y342225D01* +X163474Y342225D01* +X163591Y342245D01* +X163703Y342282D01* +X163807Y342336D01* +X163902Y342406D01* +X163985Y342491D01* +X164054Y342587D01* +X164107Y342692D01* +X164143Y342805D01* +X164160Y342921D01* +X164159Y343039D01* +X164140Y343156D01* +X164103Y343268D01* +X164048Y343373D01* +X163978Y343468D01* +X163894Y343551D01* +X163798Y343619D01* +X163692Y343670D01* +X163284Y343818D01* +X162863Y343922D01* +X162433Y343984D01* +X162002Y344005D01* +Y344986D01* +G37* +G36* +X124997Y309200D02*X155949D01* +X156000Y309196D01* +X156204Y309212D01* +X156204Y309212D01* +X156403Y309260D01* +X156592Y309338D01* +X156766Y309445D01* +X156922Y309578D01* +X156955Y309617D01* +X157883Y310545D01* +X157922Y310578D01* +X158055Y310733D01* +X158055Y310734D01* +X158162Y310908D01* +X158240Y311097D01* +X158288Y311296D01* +X158304Y311500D01* +X158300Y311551D01* +Y337371D01* +X158343Y337357D01* +X158460Y337337D01* +X158579Y337336D01* +X158696Y337354D01* +X158809Y337390D01* +X158915Y337443D01* +X159012Y337512D01* +X159096Y337595D01* +X159167Y337691D01* +X159221Y337796D01* +X159259Y337908D01* +X159278Y338025D01* +X159279Y338144D01* +X159261Y338261D01* +X159223Y338374D01* +X159124Y338645D01* +X159056Y338925D01* +X159014Y339211D01* +X159000Y339500D01* +X159014Y339789D01* +X159056Y340075D01* +X159124Y340355D01* +X159220Y340628D01* +X159258Y340739D01* +X159275Y340856D01* +X159275Y340974D01* +X159255Y341091D01* +X159218Y341203D01* +X159164Y341307D01* +X159094Y341402D01* +X159009Y341485D01* +X158913Y341554D01* +X158808Y341607D01* +X158695Y341643D01* +X158579Y341660D01* +X158461Y341659D01* +X158344Y341640D01* +X158300Y341625D01* +Y343962D01* +X159868Y345529D01* +X159951Y345478D01* +X160605Y345207D01* +X161294Y345042D01* +X162000Y344986D01* +X162002Y344986D01* +Y344005D01* +X162000Y344005D01* +X161567Y343984D01* +X161137Y343922D01* +X160716Y343818D01* +X160306Y343675D01* +X160200Y343622D01* +X160104Y343553D01* +X160019Y343470D01* +X159949Y343375D01* +X159894Y343269D01* +X159857Y343157D01* +X159837Y343040D01* +X159836Y342921D01* +X159854Y342804D01* +X159890Y342691D01* +X159943Y342585D01* +X160012Y342488D01* +X160095Y342404D01* +X160191Y342333D01* +X160296Y342279D01* +X160408Y342241D01* +X160525Y342222D01* +X160644Y342221D01* +X160761Y342239D01* +X160874Y342277D01* +X161145Y342376D01* +X161425Y342444D01* +X161711Y342486D01* +X162000Y342500D01* +X162002Y342500D01* +Y336500D01* +X162000Y336500D01* +X161711Y336514D01* +X161425Y336556D01* +X161145Y336624D01* +X160872Y336720D01* +X160761Y336758D01* +X160644Y336775D01* +X160526Y336775D01* +X160409Y336755D01* +X160297Y336718D01* +X160193Y336664D01* +X160098Y336594D01* +X160015Y336509D01* +X159946Y336413D01* +X159893Y336308D01* +X159857Y336195D01* +X159840Y336079D01* +X159841Y335961D01* +X159860Y335844D01* +X159897Y335732D01* +X159952Y335627D01* +X160022Y335532D01* +X160106Y335449D01* +X160202Y335381D01* +X160308Y335330D01* +X160716Y335182D01* +X161137Y335078D01* +X161567Y335016D01* +X162000Y334995D01* +X162002Y334995D01* +Y307800D01* +X124997D01* +Y309200D01* +G37* +G36* +X152002Y391500D02*X164247D01* +Y353401D01* +X164049Y353522D01* +X163395Y353793D01* +X162706Y353958D01* +X162000Y354014D01* +X161294Y353958D01* +X160605Y353793D01* +X159951Y353522D01* +X159347Y353152D01* +X158808Y352692D01* +X158348Y352153D01* +X157978Y351549D01* +X157707Y350895D01* +X157542Y350206D01* +X157486Y349500D01* +X157542Y348794D01* +X157707Y348105D01* +X157978Y347451D01* +X158029Y347368D01* +X156117Y345455D01* +X156078Y345422D01* +X155945Y345266D01* +X155838Y345092D01* +X155760Y344903D01* +X155712Y344704D01* +X155712Y344704D01* +X155696Y344500D01* +X155700Y344449D01* +Y341629D01* +X155657Y341643D01* +X155540Y341663D01* +X155421Y341664D01* +X155304Y341646D01* +X155191Y341610D01* +X155085Y341557D01* +X154988Y341488D01* +X154904Y341405D01* +X154833Y341309D01* +X154779Y341204D01* +X154741Y341092D01* +X154722Y340975D01* +X154721Y340856D01* +X154739Y340739D01* +X154777Y340626D01* +X154876Y340355D01* +X154944Y340075D01* +X154986Y339789D01* +X155000Y339500D01* +X154986Y339211D01* +X154944Y338925D01* +X154876Y338645D01* +X154780Y338372D01* +X154742Y338261D01* +X154725Y338144D01* +X154725Y338026D01* +X154745Y337909D01* +X154782Y337797D01* +X154836Y337693D01* +X154906Y337598D01* +X154991Y337515D01* +X155087Y337446D01* +X155192Y337393D01* +X155305Y337357D01* +X155421Y337340D01* +X155539Y337341D01* +X155656Y337360D01* +X155700Y337375D01* +Y312038D01* +X155462Y311800D01* +X152002D01* +Y334995D01* +X152433Y335016D01* +X152863Y335078D01* +X153284Y335182D01* +X153694Y335325D01* +X153800Y335378D01* +X153896Y335447D01* +X153981Y335530D01* +X154051Y335625D01* +X154106Y335731D01* +X154143Y335843D01* +X154163Y335960D01* +X154164Y336079D01* +X154146Y336196D01* +X154110Y336309D01* +X154057Y336415D01* +X153988Y336512D01* +X153905Y336596D01* +X153809Y336667D01* +X153704Y336721D01* +X153592Y336759D01* +X153475Y336778D01* +X153356Y336779D01* +X153239Y336761D01* +X153126Y336723D01* +X152855Y336624D01* +X152575Y336556D01* +X152289Y336514D01* +X152002Y336500D01* +Y342500D01* +X152289Y342486D01* +X152575Y342444D01* +X152855Y342376D01* +X153128Y342280D01* +X153239Y342242D01* +X153356Y342225D01* +X153474Y342225D01* +X153591Y342245D01* +X153703Y342282D01* +X153807Y342336D01* +X153902Y342406D01* +X153985Y342491D01* +X154054Y342587D01* +X154107Y342692D01* +X154143Y342805D01* +X154160Y342921D01* +X154159Y343039D01* +X154140Y343156D01* +X154103Y343268D01* +X154048Y343373D01* +X153978Y343468D01* +X153894Y343551D01* +X153798Y343619D01* +X153692Y343670D01* +X153284Y343818D01* +X152863Y343922D01* +X152433Y343984D01* +X152002Y344005D01* +Y344986D01* +X152706Y345042D01* +X153395Y345207D01* +X154049Y345478D01* +X154653Y345848D01* +X155192Y346308D01* +X155652Y346847D01* +X156022Y347451D01* +X156293Y348105D01* +X156458Y348794D01* +X156500Y349500D01* +X156458Y350206D01* +X156293Y350895D01* +X156022Y351549D01* +X155652Y352153D01* +X155192Y352692D01* +X154653Y353152D01* +X154049Y353522D01* +X153395Y353793D01* +X152706Y353958D01* +X152002Y354014D01* +Y391500D01* +G37* +G36* +Y311800D02*X142002D01* +Y313200D01* +X145949D01* +X146000Y313196D01* +X146204Y313212D01* +X146204Y313212D01* +X146403Y313260D01* +X146592Y313338D01* +X146766Y313445D01* +X146922Y313578D01* +X146955Y313617D01* +X147883Y314545D01* +X147922Y314578D01* +X148055Y314733D01* +X148055Y314734D01* +X148162Y314908D01* +X148240Y315097D01* +X148288Y315296D01* +X148304Y315500D01* +X148300Y315551D01* +Y337371D01* +X148343Y337357D01* +X148460Y337337D01* +X148579Y337336D01* +X148696Y337354D01* +X148809Y337390D01* +X148915Y337443D01* +X149012Y337512D01* +X149096Y337595D01* +X149167Y337691D01* +X149221Y337796D01* +X149259Y337908D01* +X149278Y338025D01* +X149279Y338144D01* +X149261Y338261D01* +X149223Y338374D01* +X149124Y338645D01* +X149056Y338925D01* +X149014Y339211D01* +X149000Y339500D01* +X149014Y339789D01* +X149056Y340075D01* +X149124Y340355D01* +X149220Y340628D01* +X149258Y340739D01* +X149275Y340856D01* +X149275Y340974D01* +X149255Y341091D01* +X149218Y341203D01* +X149164Y341307D01* +X149094Y341402D01* +X149009Y341485D01* +X148913Y341554D01* +X148808Y341607D01* +X148695Y341643D01* +X148579Y341660D01* +X148461Y341659D01* +X148344Y341640D01* +X148300Y341625D01* +Y343962D01* +X149868Y345529D01* +X149951Y345478D01* +X150605Y345207D01* +X151294Y345042D01* +X152000Y344986D01* +X152002Y344986D01* +Y344005D01* +X152000Y344005D01* +X151567Y343984D01* +X151137Y343922D01* +X150716Y343818D01* +X150306Y343675D01* +X150200Y343622D01* +X150104Y343553D01* +X150019Y343470D01* +X149949Y343375D01* +X149894Y343269D01* +X149857Y343157D01* +X149837Y343040D01* +X149836Y342921D01* +X149854Y342804D01* +X149890Y342691D01* +X149943Y342585D01* +X150012Y342488D01* +X150095Y342404D01* +X150191Y342333D01* +X150296Y342279D01* +X150408Y342241D01* +X150525Y342222D01* +X150644Y342221D01* +X150761Y342239D01* +X150874Y342277D01* +X151145Y342376D01* +X151425Y342444D01* +X151711Y342486D01* +X152000Y342500D01* +X152002Y342500D01* +Y336500D01* +X152000Y336500D01* +X151711Y336514D01* +X151425Y336556D01* +X151145Y336624D01* +X150872Y336720D01* +X150761Y336758D01* +X150644Y336775D01* +X150526Y336775D01* +X150409Y336755D01* +X150297Y336718D01* +X150193Y336664D01* +X150098Y336594D01* +X150015Y336509D01* +X149946Y336413D01* +X149893Y336308D01* +X149857Y336195D01* +X149840Y336079D01* +X149841Y335961D01* +X149860Y335844D01* +X149897Y335732D01* +X149952Y335627D01* +X150022Y335532D01* +X150106Y335449D01* +X150202Y335381D01* +X150308Y335330D01* +X150716Y335182D01* +X151137Y335078D01* +X151567Y335016D01* +X152000Y334995D01* +X152002Y334995D01* +Y311800D01* +G37* +G36* +X142002Y391500D02*X152002D01* +Y354014D01* +X152000Y354014D01* +X151294Y353958D01* +X150605Y353793D01* +X149951Y353522D01* +X149347Y353152D01* +X148808Y352692D01* +X148348Y352153D01* +X147978Y351549D01* +X147707Y350895D01* +X147542Y350206D01* +X147486Y349500D01* +X147542Y348794D01* +X147707Y348105D01* +X147978Y347451D01* +X148029Y347368D01* +X146117Y345455D01* +X146078Y345422D01* +X145945Y345266D01* +X145838Y345092D01* +X145760Y344903D01* +X145712Y344704D01* +X145712Y344704D01* +X145696Y344500D01* +X145700Y344449D01* +Y341629D01* +X145657Y341643D01* +X145540Y341663D01* +X145421Y341664D01* +X145304Y341646D01* +X145191Y341610D01* +X145085Y341557D01* +X144988Y341488D01* +X144904Y341405D01* +X144833Y341309D01* +X144779Y341204D01* +X144741Y341092D01* +X144722Y340975D01* +X144721Y340856D01* +X144739Y340739D01* +X144777Y340626D01* +X144876Y340355D01* +X144944Y340075D01* +X144986Y339789D01* +X145000Y339500D01* +X144986Y339211D01* +X144944Y338925D01* +X144876Y338645D01* +X144780Y338372D01* +X144742Y338261D01* +X144725Y338144D01* +X144725Y338026D01* +X144745Y337909D01* +X144782Y337797D01* +X144836Y337693D01* +X144906Y337598D01* +X144991Y337515D01* +X145087Y337446D01* +X145192Y337393D01* +X145305Y337357D01* +X145421Y337340D01* +X145539Y337341D01* +X145656Y337360D01* +X145700Y337375D01* +Y316038D01* +X145462Y315800D01* +X142002D01* +Y334995D01* +X142433Y335016D01* +X142863Y335078D01* +X143284Y335182D01* +X143694Y335325D01* +X143800Y335378D01* +X143896Y335447D01* +X143981Y335530D01* +X144051Y335625D01* +X144106Y335731D01* +X144143Y335843D01* +X144163Y335960D01* +X144164Y336079D01* +X144146Y336196D01* +X144110Y336309D01* +X144057Y336415D01* +X143988Y336512D01* +X143905Y336596D01* +X143809Y336667D01* +X143704Y336721D01* +X143592Y336759D01* +X143475Y336778D01* +X143356Y336779D01* +X143239Y336761D01* +X143126Y336723D01* +X142855Y336624D01* +X142575Y336556D01* +X142289Y336514D01* +X142002Y336500D01* +Y342500D01* +X142289Y342486D01* +X142575Y342444D01* +X142855Y342376D01* +X143128Y342280D01* +X143239Y342242D01* +X143356Y342225D01* +X143474Y342225D01* +X143591Y342245D01* +X143703Y342282D01* +X143807Y342336D01* +X143902Y342406D01* +X143985Y342491D01* +X144054Y342587D01* +X144107Y342692D01* +X144143Y342805D01* +X144160Y342921D01* +X144159Y343039D01* +X144140Y343156D01* +X144103Y343268D01* +X144048Y343373D01* +X143978Y343468D01* +X143894Y343551D01* +X143798Y343619D01* +X143692Y343670D01* +X143284Y343818D01* +X142863Y343922D01* +X142433Y343984D01* +X142002Y344005D01* +Y344986D01* +X142706Y345042D01* +X143395Y345207D01* +X144049Y345478D01* +X144653Y345848D01* +X145192Y346308D01* +X145652Y346847D01* +X146022Y347451D01* +X146293Y348105D01* +X146458Y348794D01* +X146500Y349500D01* +X146458Y350206D01* +X146293Y350895D01* +X146022Y351549D01* +X145652Y352153D01* +X145192Y352692D01* +X144653Y353152D01* +X144049Y353522D01* +X143395Y353793D01* +X142706Y353958D01* +X142002Y354014D01* +Y391500D01* +G37* +G36* +Y315800D02*X132002D01* +Y317200D01* +X135949D01* +X136000Y317196D01* +X136204Y317212D01* +X136204Y317212D01* +X136403Y317260D01* +X136592Y317338D01* +X136766Y317445D01* +X136922Y317578D01* +X136955Y317617D01* +X137883Y318545D01* +X137922Y318578D01* +X138055Y318733D01* +X138055Y318734D01* +X138162Y318908D01* +X138240Y319097D01* +X138288Y319296D01* +X138304Y319500D01* +X138300Y319551D01* +Y337371D01* +X138343Y337357D01* +X138460Y337337D01* +X138579Y337336D01* +X138696Y337354D01* +X138809Y337390D01* +X138915Y337443D01* +X139012Y337512D01* +X139096Y337595D01* +X139167Y337691D01* +X139221Y337796D01* +X139259Y337908D01* +X139278Y338025D01* +X139279Y338144D01* +X139261Y338261D01* +X139223Y338374D01* +X139124Y338645D01* +X139056Y338925D01* +X139014Y339211D01* +X139000Y339500D01* +X139014Y339789D01* +X139056Y340075D01* +X139124Y340355D01* +X139220Y340628D01* +X139258Y340739D01* +X139275Y340856D01* +X139275Y340974D01* +X139255Y341091D01* +X139218Y341203D01* +X139164Y341307D01* +X139094Y341402D01* +X139009Y341485D01* +X138913Y341554D01* +X138808Y341607D01* +X138695Y341643D01* +X138579Y341660D01* +X138461Y341659D01* +X138344Y341640D01* +X138300Y341625D01* +Y343962D01* +X139868Y345529D01* +X139951Y345478D01* +X140605Y345207D01* +X141294Y345042D01* +X142000Y344986D01* +X142002Y344986D01* +Y344005D01* +X142000Y344005D01* +X141567Y343984D01* +X141137Y343922D01* +X140716Y343818D01* +X140306Y343675D01* +X140200Y343622D01* +X140104Y343553D01* +X140019Y343470D01* +X139949Y343375D01* +X139894Y343269D01* +X139857Y343157D01* +X139837Y343040D01* +X139836Y342921D01* +X139854Y342804D01* +X139890Y342691D01* +X139943Y342585D01* +X140012Y342488D01* +X140095Y342404D01* +X140191Y342333D01* +X140296Y342279D01* +X140408Y342241D01* +X140525Y342222D01* +X140644Y342221D01* +X140761Y342239D01* +X140874Y342277D01* +X141145Y342376D01* +X141425Y342444D01* +X141711Y342486D01* +X142000Y342500D01* +X142002Y342500D01* +Y336500D01* +X142000Y336500D01* +X141711Y336514D01* +X141425Y336556D01* +X141145Y336624D01* +X140872Y336720D01* +X140761Y336758D01* +X140644Y336775D01* +X140526Y336775D01* +X140409Y336755D01* +X140297Y336718D01* +X140193Y336664D01* +X140098Y336594D01* +X140015Y336509D01* +X139946Y336413D01* +X139893Y336308D01* +X139857Y336195D01* +X139840Y336079D01* +X139841Y335961D01* +X139860Y335844D01* +X139897Y335732D01* +X139952Y335627D01* +X140022Y335532D01* +X140106Y335449D01* +X140202Y335381D01* +X140308Y335330D01* +X140716Y335182D01* +X141137Y335078D01* +X141567Y335016D01* +X142000Y334995D01* +X142002Y334995D01* +Y315800D01* +G37* +G36* +Y311800D02*X132002D01* +Y313200D01* +X142002D01* +Y311800D01* +G37* +G36* +X132002Y391500D02*X142002D01* +Y354014D01* +X142000Y354014D01* +X141294Y353958D01* +X140605Y353793D01* +X139951Y353522D01* +X139347Y353152D01* +X138808Y352692D01* +X138348Y352153D01* +X137978Y351549D01* +X137707Y350895D01* +X137542Y350206D01* +X137486Y349500D01* +X137542Y348794D01* +X137707Y348105D01* +X137978Y347451D01* +X138029Y347368D01* +X136117Y345455D01* +X136078Y345422D01* +X135945Y345266D01* +X135838Y345092D01* +X135760Y344903D01* +X135712Y344704D01* +X135712Y344704D01* +X135696Y344500D01* +X135700Y344449D01* +Y341629D01* +X135657Y341643D01* +X135540Y341663D01* +X135421Y341664D01* +X135304Y341646D01* +X135191Y341610D01* +X135085Y341557D01* +X134988Y341488D01* +X134904Y341405D01* +X134833Y341309D01* +X134779Y341204D01* +X134741Y341092D01* +X134722Y340975D01* +X134721Y340856D01* +X134739Y340739D01* +X134777Y340626D01* +X134876Y340355D01* +X134944Y340075D01* +X134986Y339789D01* +X135000Y339500D01* +X134986Y339211D01* +X134944Y338925D01* +X134876Y338645D01* +X134780Y338372D01* +X134742Y338261D01* +X134725Y338144D01* +X134725Y338026D01* +X134745Y337909D01* +X134782Y337797D01* +X134836Y337693D01* +X134906Y337598D01* +X134991Y337515D01* +X135087Y337446D01* +X135192Y337393D01* +X135305Y337357D01* +X135421Y337340D01* +X135539Y337341D01* +X135656Y337360D01* +X135700Y337375D01* +Y320038D01* +X135462Y319800D01* +X132002D01* +Y334995D01* +X132433Y335016D01* +X132863Y335078D01* +X133284Y335182D01* +X133694Y335325D01* +X133800Y335378D01* +X133896Y335447D01* +X133981Y335530D01* +X134051Y335625D01* +X134106Y335731D01* +X134143Y335843D01* +X134163Y335960D01* +X134164Y336079D01* +X134146Y336196D01* +X134110Y336309D01* +X134057Y336415D01* +X133988Y336512D01* +X133905Y336596D01* +X133809Y336667D01* +X133704Y336721D01* +X133592Y336759D01* +X133475Y336778D01* +X133356Y336779D01* +X133239Y336761D01* +X133126Y336723D01* +X132855Y336624D01* +X132575Y336556D01* +X132289Y336514D01* +X132002Y336500D01* +Y342500D01* +X132289Y342486D01* +X132575Y342444D01* +X132855Y342376D01* +X133128Y342280D01* +X133239Y342242D01* +X133356Y342225D01* +X133474Y342225D01* +X133591Y342245D01* +X133703Y342282D01* +X133807Y342336D01* +X133902Y342406D01* +X133985Y342491D01* +X134054Y342587D01* +X134107Y342692D01* +X134143Y342805D01* +X134160Y342921D01* +X134159Y343039D01* +X134140Y343156D01* +X134103Y343268D01* +X134048Y343373D01* +X133978Y343468D01* +X133894Y343551D01* +X133798Y343619D01* +X133692Y343670D01* +X133284Y343818D01* +X132863Y343922D01* +X132433Y343984D01* +X132002Y344005D01* +Y344986D01* +X132706Y345042D01* +X133395Y345207D01* +X134049Y345478D01* +X134653Y345848D01* +X135192Y346308D01* +X135652Y346847D01* +X136022Y347451D01* +X136293Y348105D01* +X136458Y348794D01* +X136500Y349500D01* +X136458Y350206D01* +X136293Y350895D01* +X136022Y351549D01* +X135652Y352153D01* +X135192Y352692D01* +X134653Y353152D01* +X134049Y353522D01* +X133395Y353793D01* +X132706Y353958D01* +X132002Y354014D01* +Y391500D01* +G37* +G36* +Y319800D02*X124997D01* +Y321200D01* +X125949D01* +X126000Y321196D01* +X126204Y321212D01* +X126204Y321212D01* +X126403Y321260D01* +X126592Y321338D01* +X126766Y321445D01* +X126922Y321578D01* +X126955Y321617D01* +X127883Y322545D01* +X127922Y322578D01* +X128055Y322733D01* +X128055Y322734D01* +X128162Y322908D01* +X128240Y323097D01* +X128288Y323296D01* +X128304Y323500D01* +X128300Y323551D01* +Y337371D01* +X128343Y337357D01* +X128460Y337337D01* +X128579Y337336D01* +X128696Y337354D01* +X128809Y337390D01* +X128915Y337443D01* +X129012Y337512D01* +X129096Y337595D01* +X129167Y337691D01* +X129221Y337796D01* +X129259Y337908D01* +X129278Y338025D01* +X129279Y338144D01* +X129261Y338261D01* +X129223Y338374D01* +X129124Y338645D01* +X129056Y338925D01* +X129014Y339211D01* +X129000Y339500D01* +X129014Y339789D01* +X129056Y340075D01* +X129124Y340355D01* +X129220Y340628D01* +X129258Y340739D01* +X129275Y340856D01* +X129275Y340974D01* +X129255Y341091D01* +X129218Y341203D01* +X129164Y341307D01* +X129094Y341402D01* +X129009Y341485D01* +X128913Y341554D01* +X128808Y341607D01* +X128695Y341643D01* +X128579Y341660D01* +X128461Y341659D01* +X128344Y341640D01* +X128300Y341625D01* +Y343962D01* +X129868Y345529D01* +X129951Y345478D01* +X130605Y345207D01* +X131294Y345042D01* +X132000Y344986D01* +X132002Y344986D01* +Y344005D01* +X132000Y344005D01* +X131567Y343984D01* +X131137Y343922D01* +X130716Y343818D01* +X130306Y343675D01* +X130200Y343622D01* +X130104Y343553D01* +X130019Y343470D01* +X129949Y343375D01* +X129894Y343269D01* +X129857Y343157D01* +X129837Y343040D01* +X129836Y342921D01* +X129854Y342804D01* +X129890Y342691D01* +X129943Y342585D01* +X130012Y342488D01* +X130095Y342404D01* +X130191Y342333D01* +X130296Y342279D01* +X130408Y342241D01* +X130525Y342222D01* +X130644Y342221D01* +X130761Y342239D01* +X130874Y342277D01* +X131145Y342376D01* +X131425Y342444D01* +X131711Y342486D01* +X132000Y342500D01* +X132002Y342500D01* +Y336500D01* +X132000Y336500D01* +X131711Y336514D01* +X131425Y336556D01* +X131145Y336624D01* +X130872Y336720D01* +X130761Y336758D01* +X130644Y336775D01* +X130526Y336775D01* +X130409Y336755D01* +X130297Y336718D01* +X130193Y336664D01* +X130098Y336594D01* +X130015Y336509D01* +X129946Y336413D01* +X129893Y336308D01* +X129857Y336195D01* +X129840Y336079D01* +X129841Y335961D01* +X129860Y335844D01* +X129897Y335732D01* +X129952Y335627D01* +X130022Y335532D01* +X130106Y335449D01* +X130202Y335381D01* +X130308Y335330D01* +X130716Y335182D01* +X131137Y335078D01* +X131567Y335016D01* +X132000Y334995D01* +X132002Y334995D01* +Y319800D01* +G37* +G36* +Y315800D02*X124997D01* +Y317200D01* +X132002D01* +Y315800D01* +G37* +G36* +Y311800D02*X124997D01* +Y313200D01* +X132002D01* +Y311800D01* +G37* +G36* +X124997Y391500D02*X132002D01* +Y354014D01* +X132000Y354014D01* +X131294Y353958D01* +X130605Y353793D01* +X129951Y353522D01* +X129347Y353152D01* +X128808Y352692D01* +X128348Y352153D01* +X127978Y351549D01* +X127707Y350895D01* +X127542Y350206D01* +X127486Y349500D01* +X127542Y348794D01* +X127707Y348105D01* +X127978Y347451D01* +X128029Y347368D01* +X126117Y345455D01* +X126078Y345422D01* +X125945Y345266D01* +X125838Y345092D01* +X125760Y344903D01* +X125712Y344704D01* +X125712Y344704D01* +X125696Y344500D01* +X125700Y344449D01* +Y341629D01* +X125657Y341643D01* +X125540Y341663D01* +X125421Y341664D01* +X125304Y341646D01* +X125191Y341610D01* +X125085Y341557D01* +X124997Y341494D01* +Y346142D01* +X125192Y346308D01* +X125652Y346847D01* +X126022Y347451D01* +X126293Y348105D01* +X126458Y348794D01* +X126500Y349500D01* +X126458Y350206D01* +X126293Y350895D01* +X126022Y351549D01* +X125652Y352153D01* +X125192Y352692D01* +X124997Y352858D01* +Y391500D01* +G37* +G36* +X164247Y2000D02*X152747D01* +Y121000D01* +X152749Y121000D01* +X152810Y121013D01* +X152869Y121036D01* +X152923Y121067D01* +X152972Y121107D01* +X153015Y121154D01* +X153049Y121206D01* +X153073Y121264D01* +X153138Y121469D01* +X153179Y121679D01* +X153200Y121893D01* +Y122107D01* +X153179Y122321D01* +X153138Y122531D01* +X153076Y122737D01* +X153050Y122794D01* +X153016Y122847D01* +X152974Y122894D01* +X152924Y122934D01* +X152870Y122966D01* +X152811Y122988D01* +X152749Y123001D01* +X152747Y123001D01* +Y141803D01* +X159428Y135122D01* +X159517Y135017D01* +X159936Y134660D01* +X160406Y134372D01* +X160915Y134161D01* +X161451Y134032D01* +X162000Y133989D01* +X162137Y134000D01* +X162500D01* +X162750Y134015D01* +X163000Y134000D01* +X164247D01* +Y2000D01* +G37* +G36* +X152747D02*X151001D01* +Y119800D01* +X151107D01* +X151321Y119821D01* +X151531Y119862D01* +X151737Y119924D01* +X151794Y119950D01* +X151847Y119984D01* +X151894Y120026D01* +X151934Y120076D01* +X151966Y120130D01* +X151988Y120189D01* +X152001Y120251D01* +X152005Y120314D01* +X151998Y120377D01* +X151982Y120438D01* +X151956Y120496D01* +X151921Y120549D01* +X151879Y120596D01* +X151830Y120636D01* +X151775Y120667D01* +X151716Y120690D01* +X151654Y120703D01* +X151591Y120706D01* +X151528Y120700D01* +X151468Y120682D01* +X151338Y120641D01* +X151204Y120615D01* +X151068Y120602D01* +X151001D01* +Y123398D01* +X151068D01* +X151204Y123385D01* +X151338Y123359D01* +X151468Y123319D01* +X151529Y123302D01* +X151591Y123295D01* +X151654Y123299D01* +X151716Y123312D01* +X151774Y123334D01* +X151829Y123366D01* +X151878Y123405D01* +X151920Y123452D01* +X151954Y123505D01* +X151980Y123562D01* +X151996Y123623D01* +X152003Y123686D01* +X152000Y123749D01* +X151987Y123810D01* +X151964Y123869D01* +X151933Y123923D01* +X151893Y123972D01* +X151846Y124015D01* +X151794Y124049D01* +X151736Y124073D01* +X151531Y124138D01* +X151321Y124179D01* +X151107Y124200D01* +X151001D01* +Y143549D01* +X152747Y141803D01* +Y123001D01* +X152686Y123005D01* +X152623Y122998D01* +X152562Y122982D01* +X152504Y122956D01* +X152451Y122921D01* +X152404Y122879D01* +X152364Y122830D01* +X152333Y122775D01* +X152310Y122716D01* +X152297Y122654D01* +X152294Y122591D01* +X152300Y122528D01* +X152318Y122468D01* +X152359Y122338D01* +X152385Y122204D01* +X152398Y122068D01* +Y121932D01* +X152385Y121796D01* +X152359Y121662D01* +X152319Y121532D01* +X152302Y121471D01* +X152295Y121409D01* +X152299Y121346D01* +X152312Y121284D01* +X152334Y121226D01* +X152366Y121171D01* +X152405Y121122D01* +X152452Y121080D01* +X152505Y121046D01* +X152562Y121020D01* +X152623Y121004D01* +X152686Y120997D01* +X152747Y121000D01* +Y2000D01* +G37* +G36* +X151001D02*X149253D01* +Y120999D01* +X149314Y120995D01* +X149377Y121002D01* +X149438Y121018D01* +X149496Y121044D01* +X149549Y121079D01* +X149596Y121121D01* +X149636Y121170D01* +X149667Y121225D01* +X149690Y121284D01* +X149703Y121346D01* +X149706Y121409D01* +X149700Y121472D01* +X149682Y121532D01* +X149641Y121662D01* +X149615Y121796D01* +X149602Y121932D01* +Y122068D01* +X149615Y122204D01* +X149641Y122338D01* +X149681Y122468D01* +X149698Y122529D01* +X149705Y122591D01* +X149701Y122654D01* +X149688Y122716D01* +X149666Y122774D01* +X149634Y122829D01* +X149595Y122878D01* +X149548Y122920D01* +X149495Y122954D01* +X149438Y122980D01* +X149377Y122996D01* +X149314Y123003D01* +X149253Y123000D01* +Y145297D01* +X151001Y143549D01* +Y124200D01* +X150893D01* +X150679Y124179D01* +X150469Y124138D01* +X150263Y124076D01* +X150206Y124050D01* +X150153Y124016D01* +X150106Y123974D01* +X150066Y123924D01* +X150034Y123870D01* +X150012Y123811D01* +X149999Y123749D01* +X149995Y123686D01* +X150002Y123623D01* +X150018Y123562D01* +X150044Y123504D01* +X150079Y123451D01* +X150121Y123404D01* +X150170Y123364D01* +X150225Y123333D01* +X150284Y123310D01* +X150346Y123297D01* +X150409Y123294D01* +X150472Y123300D01* +X150532Y123318D01* +X150662Y123359D01* +X150796Y123385D01* +X150932Y123398D01* +X151001D01* +Y120602D01* +X150932D01* +X150796Y120615D01* +X150662Y120641D01* +X150532Y120681D01* +X150471Y120698D01* +X150409Y120705D01* +X150346Y120701D01* +X150284Y120688D01* +X150226Y120666D01* +X150171Y120634D01* +X150122Y120595D01* +X150080Y120548D01* +X150046Y120495D01* +X150020Y120438D01* +X150004Y120377D01* +X149997Y120314D01* +X150000Y120251D01* +X150013Y120190D01* +X150036Y120131D01* +X150067Y120077D01* +X150107Y120028D01* +X150154Y119985D01* +X150206Y119951D01* +X150264Y119927D01* +X150469Y119862D01* +X150679Y119821D01* +X150893Y119800D01* +X151001D01* +Y2000D01* +G37* +G36* +X149253D02*X128247D01* +Y151500D01* +X128249Y151500D01* +X128310Y151513D01* +X128369Y151536D01* +X128423Y151567D01* +X128472Y151607D01* +X128515Y151654D01* +X128549Y151706D01* +X128573Y151764D01* +X128638Y151969D01* +X128679Y152179D01* +X128700Y152393D01* +Y152607D01* +X128679Y152821D01* +X128638Y153031D01* +X128576Y153237D01* +X128550Y153294D01* +X128516Y153347D01* +X128474Y153394D01* +X128424Y153434D01* +X128370Y153466D01* +X128311Y153488D01* +X128249Y153501D01* +X128247Y153501D01* +Y154500D01* +X140050D01* +X149253Y145297D01* +Y123000D01* +X149251Y123000D01* +X149190Y122987D01* +X149131Y122964D01* +X149077Y122933D01* +X149028Y122893D01* +X148985Y122846D01* +X148951Y122794D01* +X148927Y122736D01* +X148862Y122531D01* +X148821Y122321D01* +X148800Y122107D01* +Y121893D01* +X148821Y121679D01* +X148862Y121469D01* +X148924Y121263D01* +X148950Y121206D01* +X148984Y121153D01* +X149026Y121106D01* +X149076Y121066D01* +X149130Y121034D01* +X149189Y121012D01* +X149251Y120999D01* +X149253Y120999D01* +Y2000D01* +G37* +G36* +X128247D02*X126501D01* +Y150300D01* +X126607D01* +X126821Y150321D01* +X127031Y150362D01* +X127237Y150424D01* +X127294Y150450D01* +X127347Y150484D01* +X127394Y150526D01* +X127434Y150576D01* +X127466Y150630D01* +X127488Y150689D01* +X127501Y150751D01* +X127505Y150814D01* +X127498Y150877D01* +X127482Y150938D01* +X127456Y150996D01* +X127421Y151049D01* +X127379Y151096D01* +X127330Y151136D01* +X127275Y151167D01* +X127216Y151190D01* +X127154Y151203D01* +X127091Y151206D01* +X127028Y151200D01* +X126968Y151182D01* +X126838Y151141D01* +X126704Y151115D01* +X126568Y151102D01* +X126501D01* +Y153898D01* +X126568D01* +X126704Y153885D01* +X126838Y153859D01* +X126968Y153819D01* +X127029Y153802D01* +X127091Y153795D01* +X127154Y153799D01* +X127216Y153812D01* +X127274Y153834D01* +X127329Y153866D01* +X127378Y153905D01* +X127420Y153952D01* +X127454Y154005D01* +X127480Y154062D01* +X127496Y154123D01* +X127503Y154186D01* +X127500Y154249D01* +X127487Y154310D01* +X127464Y154369D01* +X127433Y154423D01* +X127393Y154472D01* +X127362Y154500D01* +X128247D01* +Y153501D01* +X128186Y153505D01* +X128123Y153498D01* +X128062Y153482D01* +X128004Y153456D01* +X127951Y153421D01* +X127904Y153379D01* +X127864Y153330D01* +X127833Y153275D01* +X127810Y153216D01* +X127797Y153154D01* +X127794Y153091D01* +X127800Y153028D01* +X127818Y152968D01* +X127859Y152838D01* +X127885Y152704D01* +X127898Y152568D01* +Y152432D01* +X127885Y152296D01* +X127859Y152162D01* +X127819Y152032D01* +X127802Y151971D01* +X127795Y151909D01* +X127799Y151846D01* +X127812Y151784D01* +X127834Y151726D01* +X127866Y151671D01* +X127905Y151622D01* +X127952Y151580D01* +X128005Y151546D01* +X128062Y151520D01* +X128123Y151504D01* +X128186Y151497D01* +X128247Y151500D01* +Y2000D01* +G37* +G36* +X126501D02*X124997D01* +Y151545D01* +X125049Y151579D01* +X125096Y151621D01* +X125136Y151670D01* +X125167Y151725D01* +X125190Y151784D01* +X125203Y151846D01* +X125206Y151909D01* +X125200Y151972D01* +X125182Y152032D01* +X125141Y152162D01* +X125115Y152296D01* +X125102Y152432D01* +Y152568D01* +X125115Y152704D01* +X125141Y152838D01* +X125181Y152968D01* +X125198Y153029D01* +X125205Y153091D01* +X125201Y153154D01* +X125188Y153216D01* +X125166Y153274D01* +X125134Y153329D01* +X125095Y153378D01* +X125048Y153420D01* +X124997Y153453D01* +Y154500D01* +X125635D01* +X125606Y154474D01* +X125566Y154424D01* +X125534Y154370D01* +X125512Y154311D01* +X125499Y154249D01* +X125495Y154186D01* +X125502Y154123D01* +X125518Y154062D01* +X125544Y154004D01* +X125579Y153951D01* +X125621Y153904D01* +X125670Y153864D01* +X125725Y153833D01* +X125784Y153810D01* +X125846Y153797D01* +X125909Y153794D01* +X125972Y153800D01* +X126032Y153818D01* +X126162Y153859D01* +X126296Y153885D01* +X126432Y153898D01* +X126501D01* +Y151102D01* +X126432D01* +X126296Y151115D01* +X126162Y151141D01* +X126032Y151181D01* +X125971Y151198D01* +X125909Y151205D01* +X125846Y151201D01* +X125784Y151188D01* +X125726Y151166D01* +X125671Y151134D01* +X125622Y151095D01* +X125580Y151048D01* +X125546Y150995D01* +X125520Y150938D01* +X125504Y150877D01* +X125497Y150814D01* +X125500Y150751D01* +X125513Y150690D01* +X125536Y150631D01* +X125567Y150577D01* +X125607Y150528D01* +X125654Y150485D01* +X125706Y150451D01* +X125764Y150427D01* +X125969Y150362D01* +X126179Y150321D01* +X126393Y150300D01* +X126501D01* +Y2000D01* +G37* +G36* +X121747Y242880D02*X121808Y242808D01* +X122347Y242348D01* +X122951Y241978D01* +X123605Y241707D01* +X124294Y241542D01* +X124997Y241486D01* +Y197000D01* +X121747D01* +Y242880D01* +G37* +G36* +Y254700D02*X124997D01* +Y250514D01* +X124294Y250458D01* +X123605Y250293D01* +X122951Y250022D01* +X122347Y249652D01* +X121808Y249192D01* +X121747Y249120D01* +Y251500D01* +X121749Y251500D01* +X121810Y251513D01* +X121869Y251536D01* +X121923Y251567D01* +X121972Y251607D01* +X122015Y251654D01* +X122049Y251706D01* +X122073Y251764D01* +X122138Y251969D01* +X122179Y252179D01* +X122200Y252393D01* +Y252607D01* +X122179Y252821D01* +X122138Y253031D01* +X122076Y253237D01* +X122050Y253294D01* +X122016Y253347D01* +X121974Y253394D01* +X121924Y253434D01* +X121870Y253466D01* +X121811Y253488D01* +X121749Y253501D01* +X121747Y253501D01* +Y254700D01* +G37* +G36* +X120001D02*X121747D01* +Y253501D01* +X121686Y253505D01* +X121623Y253498D01* +X121562Y253482D01* +X121504Y253456D01* +X121451Y253421D01* +X121404Y253379D01* +X121364Y253330D01* +X121333Y253275D01* +X121310Y253216D01* +X121297Y253154D01* +X121294Y253091D01* +X121300Y253028D01* +X121318Y252968D01* +X121359Y252838D01* +X121385Y252704D01* +X121398Y252568D01* +Y252432D01* +X121385Y252296D01* +X121359Y252162D01* +X121319Y252032D01* +X121302Y251971D01* +X121295Y251909D01* +X121299Y251846D01* +X121312Y251784D01* +X121334Y251726D01* +X121366Y251671D01* +X121405Y251622D01* +X121452Y251580D01* +X121505Y251546D01* +X121562Y251520D01* +X121623Y251504D01* +X121686Y251497D01* +X121747Y251500D01* +Y249120D01* +X121348Y248653D01* +X120978Y248049D01* +X120707Y247395D01* +X120542Y246706D01* +X120486Y246000D01* +X120542Y245294D01* +X120707Y244605D01* +X120978Y243951D01* +X121348Y243347D01* +X121747Y242880D01* +Y197000D01* +X120001D01* +Y250300D01* +X120107D01* +X120321Y250321D01* +X120531Y250362D01* +X120737Y250424D01* +X120794Y250450D01* +X120847Y250484D01* +X120894Y250526D01* +X120934Y250576D01* +X120966Y250630D01* +X120988Y250689D01* +X121001Y250751D01* +X121005Y250814D01* +X120998Y250877D01* +X120982Y250938D01* +X120956Y250996D01* +X120921Y251049D01* +X120879Y251096D01* +X120830Y251136D01* +X120775Y251167D01* +X120716Y251190D01* +X120654Y251203D01* +X120591Y251206D01* +X120528Y251200D01* +X120468Y251182D01* +X120338Y251141D01* +X120204Y251115D01* +X120068Y251102D01* +X120001D01* +Y253898D01* +X120068D01* +X120204Y253885D01* +X120338Y253859D01* +X120468Y253819D01* +X120529Y253802D01* +X120591Y253795D01* +X120654Y253799D01* +X120716Y253812D01* +X120774Y253834D01* +X120829Y253866D01* +X120878Y253905D01* +X120920Y253952D01* +X120954Y254005D01* +X120980Y254062D01* +X120996Y254123D01* +X121003Y254186D01* +X121000Y254249D01* +X120987Y254310D01* +X120964Y254369D01* +X120933Y254423D01* +X120893Y254472D01* +X120846Y254515D01* +X120794Y254549D01* +X120736Y254573D01* +X120531Y254638D01* +X120321Y254679D01* +X120107Y254700D01* +X120001D01* +Y254700D01* +G37* +G36* +X118253D02*X120001D01* +Y254700D01* +X119893D01* +X119679Y254679D01* +X119469Y254638D01* +X119263Y254576D01* +X119206Y254550D01* +X119153Y254516D01* +X119106Y254474D01* +X119066Y254424D01* +X119034Y254370D01* +X119012Y254311D01* +X118999Y254249D01* +X118995Y254186D01* +X119002Y254123D01* +X119018Y254062D01* +X119044Y254004D01* +X119079Y253951D01* +X119121Y253904D01* +X119170Y253864D01* +X119225Y253833D01* +X119284Y253810D01* +X119346Y253797D01* +X119409Y253794D01* +X119472Y253800D01* +X119532Y253818D01* +X119662Y253859D01* +X119796Y253885D01* +X119932Y253898D01* +X120001D01* +Y251102D01* +X119932D01* +X119796Y251115D01* +X119662Y251141D01* +X119532Y251181D01* +X119471Y251198D01* +X119409Y251205D01* +X119346Y251201D01* +X119284Y251188D01* +X119226Y251166D01* +X119171Y251134D01* +X119122Y251095D01* +X119080Y251048D01* +X119046Y250995D01* +X119020Y250938D01* +X119004Y250877D01* +X118997Y250814D01* +X119000Y250751D01* +X119013Y250690D01* +X119036Y250631D01* +X119067Y250577D01* +X119107Y250528D01* +X119154Y250485D01* +X119206Y250451D01* +X119264Y250427D01* +X119469Y250362D01* +X119679Y250321D01* +X119893Y250300D01* +X120001D01* +Y197000D01* +X118253D01* +Y242880D01* +X118652Y243347D01* +X119022Y243951D01* +X119293Y244605D01* +X119458Y245294D01* +X119500Y246000D01* +X119458Y246706D01* +X119293Y247395D01* +X119022Y248049D01* +X118652Y248653D01* +X118253Y249120D01* +Y251499D01* +X118314Y251495D01* +X118377Y251502D01* +X118438Y251518D01* +X118496Y251544D01* +X118549Y251579D01* +X118596Y251621D01* +X118636Y251670D01* +X118667Y251725D01* +X118690Y251784D01* +X118703Y251846D01* +X118706Y251909D01* +X118700Y251972D01* +X118682Y252032D01* +X118641Y252162D01* +X118615Y252296D01* +X118602Y252432D01* +Y252568D01* +X118615Y252704D01* +X118641Y252838D01* +X118681Y252968D01* +X118698Y253029D01* +X118705Y253091D01* +X118701Y253154D01* +X118688Y253216D01* +X118666Y253274D01* +X118634Y253329D01* +X118595Y253378D01* +X118548Y253420D01* +X118495Y253454D01* +X118438Y253480D01* +X118377Y253496D01* +X118314Y253503D01* +X118253Y253500D01* +Y254700D01* +G37* +G36* +Y197000D02*X114993D01* +Y241487D01* +X115000Y241486D01* +X115706Y241542D01* +X116395Y241707D01* +X117049Y241978D01* +X117653Y242348D01* +X118192Y242808D01* +X118253Y242880D01* +Y197000D01* +G37* +G36* +X114993Y254700D02*X118253D01* +Y253500D01* +X118251Y253500D01* +X118190Y253487D01* +X118131Y253464D01* +X118077Y253433D01* +X118028Y253393D01* +X117985Y253346D01* +X117951Y253294D01* +X117927Y253236D01* +X117862Y253031D01* +X117821Y252821D01* +X117800Y252607D01* +Y252393D01* +X117821Y252179D01* +X117862Y251969D01* +X117924Y251763D01* +X117950Y251706D01* +X117984Y251653D01* +X118026Y251606D01* +X118076Y251566D01* +X118130Y251534D01* +X118189Y251512D01* +X118251Y251499D01* +X118253Y251499D01* +Y249120D01* +X118192Y249192D01* +X117653Y249652D01* +X117049Y250022D01* +X116395Y250293D01* +X115706Y250458D01* +X115000Y250514D01* +X114993Y250513D01* +Y254700D01* +G37* +G36* +Y258700D02*X124997D01* +Y257300D01* +X114993D01* +Y258700D01* +G37* +G36* +Y262700D02*X124997D01* +Y261300D01* +X114993D01* +Y262700D01* +G37* +G36* +Y266700D02*X124997D01* +Y265300D01* +X114993D01* +Y266700D01* +G37* +G36* +Y270700D02*X124997D01* +Y269300D01* +X114993D01* +Y270700D01* +G37* +G36* +Y274700D02*X124997D01* +Y273300D01* +X114993D01* +Y274700D01* +G37* +G36* +Y278700D02*X124997D01* +Y277300D01* +X114993D01* +Y278700D01* +G37* +G36* +Y282700D02*X124997D01* +Y281300D01* +X114993D01* +Y282700D01* +G37* +G36* +Y286700D02*X124997D01* +Y285300D01* +X114993D01* +Y286700D01* +G37* +G36* +Y293200D02*X124997D01* +Y289300D01* +X114993D01* +Y293200D01* +G37* +G36* +Y297200D02*X124997D01* +Y295800D01* +X114993D01* +Y297200D01* +G37* +G36* +Y301200D02*X124997D01* +Y299800D01* +X114993D01* +Y301200D01* +G37* +G36* +Y305200D02*X124997D01* +Y303800D01* +X114993D01* +Y305200D01* +G37* +G36* +Y309200D02*X124997D01* +Y307800D01* +X114993D01* +Y309200D01* +G37* +G36* +Y313200D02*X124997D01* +Y311800D01* +X114993D01* +Y313200D01* +G37* +G36* +Y317200D02*X124997D01* +Y315800D01* +X114993D01* +Y317200D01* +G37* +G36* +Y321200D02*X124997D01* +Y319800D01* +X114993D01* +Y321200D01* +G37* +G36* +X122002Y344986D02*X122706Y345042D01* +X123395Y345207D01* +X124049Y345478D01* +X124653Y345848D01* +X124997Y346142D01* +Y341494D01* +X124988Y341488D01* +X124904Y341405D01* +X124833Y341309D01* +X124779Y341204D01* +X124741Y341092D01* +X124722Y340975D01* +X124721Y340856D01* +X124739Y340739D01* +X124777Y340626D01* +X124876Y340355D01* +X124944Y340075D01* +X124986Y339789D01* +X124997Y339564D01* +Y339436D01* +X124986Y339211D01* +X124944Y338925D01* +X124876Y338645D01* +X124780Y338372D01* +X124742Y338261D01* +X124725Y338144D01* +X124725Y338026D01* +X124745Y337909D01* +X124782Y337797D01* +X124836Y337693D01* +X124906Y337598D01* +X124991Y337515D01* +X124997Y337510D01* +Y323800D01* +X122002D01* +Y334995D01* +X122433Y335016D01* +X122863Y335078D01* +X123284Y335182D01* +X123694Y335325D01* +X123800Y335378D01* +X123896Y335447D01* +X123981Y335530D01* +X124051Y335625D01* +X124106Y335731D01* +X124143Y335843D01* +X124163Y335960D01* +X124164Y336079D01* +X124146Y336196D01* +X124110Y336309D01* +X124057Y336415D01* +X123988Y336512D01* +X123905Y336596D01* +X123809Y336667D01* +X123704Y336721D01* +X123592Y336759D01* +X123475Y336778D01* +X123356Y336779D01* +X123239Y336761D01* +X123126Y336723D01* +X122855Y336624D01* +X122575Y336556D01* +X122289Y336514D01* +X122002Y336500D01* +Y342500D01* +X122289Y342486D01* +X122575Y342444D01* +X122855Y342376D01* +X123128Y342280D01* +X123239Y342242D01* +X123356Y342225D01* +X123474Y342225D01* +X123591Y342245D01* +X123703Y342282D01* +X123807Y342336D01* +X123902Y342406D01* +X123985Y342491D01* +X124054Y342587D01* +X124107Y342692D01* +X124143Y342805D01* +X124160Y342921D01* +X124159Y343039D01* +X124140Y343156D01* +X124103Y343268D01* +X124048Y343373D01* +X123978Y343468D01* +X123894Y343551D01* +X123798Y343619D01* +X123692Y343670D01* +X123284Y343818D01* +X122863Y343922D01* +X122433Y343984D01* +X122002Y344005D01* +Y344986D01* +G37* +G36* +X118387Y344048D02*X119868Y345529D01* +X119951Y345478D01* +X120605Y345207D01* +X121294Y345042D01* +X122000Y344986D01* +X122002Y344986D01* +Y344005D01* +X122000Y344005D01* +X121567Y343984D01* +X121137Y343922D01* +X120716Y343818D01* +X120306Y343675D01* +X120200Y343622D01* +X120104Y343553D01* +X120019Y343470D01* +X119949Y343375D01* +X119894Y343269D01* +X119857Y343157D01* +X119837Y343040D01* +X119836Y342921D01* +X119854Y342804D01* +X119890Y342691D01* +X119943Y342585D01* +X120012Y342488D01* +X120095Y342404D01* +X120191Y342333D01* +X120296Y342279D01* +X120408Y342241D01* +X120525Y342222D01* +X120644Y342221D01* +X120761Y342239D01* +X120874Y342277D01* +X121145Y342376D01* +X121425Y342444D01* +X121711Y342486D01* +X122000Y342500D01* +X122002Y342500D01* +Y336500D01* +X122000Y336500D01* +X121711Y336514D01* +X121425Y336556D01* +X121145Y336624D01* +X120872Y336720D01* +X120761Y336758D01* +X120644Y336775D01* +X120526Y336775D01* +X120409Y336755D01* +X120297Y336718D01* +X120193Y336664D01* +X120098Y336594D01* +X120015Y336509D01* +X119946Y336413D01* +X119893Y336308D01* +X119857Y336195D01* +X119840Y336079D01* +X119841Y335961D01* +X119860Y335844D01* +X119897Y335732D01* +X119952Y335627D01* +X120022Y335532D01* +X120106Y335449D01* +X120202Y335381D01* +X120308Y335330D01* +X120716Y335182D01* +X121137Y335078D01* +X121567Y335016D01* +X122000Y334995D01* +X122002Y334995D01* +Y323800D01* +X118387D01* +Y337349D01* +X118460Y337337D01* +X118579Y337336D01* +X118696Y337354D01* +X118809Y337390D01* +X118915Y337443D01* +X119012Y337512D01* +X119096Y337595D01* +X119167Y337691D01* +X119221Y337796D01* +X119259Y337908D01* +X119278Y338025D01* +X119279Y338144D01* +X119261Y338261D01* +X119223Y338374D01* +X119124Y338645D01* +X119056Y338925D01* +X119014Y339211D01* +X119000Y339500D01* +X119014Y339789D01* +X119056Y340075D01* +X119124Y340355D01* +X119220Y340628D01* +X119258Y340739D01* +X119275Y340856D01* +X119275Y340974D01* +X119255Y341091D01* +X119218Y341203D01* +X119164Y341307D01* +X119094Y341402D01* +X119009Y341485D01* +X118913Y341554D01* +X118808Y341607D01* +X118695Y341643D01* +X118579Y341660D01* +X118461Y341659D01* +X118387Y341647D01* +Y344048D01* +G37* +G36* +X114993Y325297D02*X115092Y325338D01* +X115266Y325445D01* +X115422Y325578D01* +X115455Y325617D01* +X116383Y326545D01* +X116422Y326578D01* +X116555Y326733D01* +X116555Y326734D01* +X116662Y326908D01* +X116740Y327097D01* +X116788Y327296D01* +X116804Y327500D01* +X116800Y327551D01* +Y342462D01* +X118387Y344048D01* +Y341647D01* +X118344Y341640D01* +X118232Y341603D01* +X118127Y341548D01* +X118032Y341478D01* +X117949Y341394D01* +X117881Y341298D01* +X117830Y341192D01* +X117682Y340784D01* +X117578Y340363D01* +X117516Y339933D01* +X117495Y339500D01* +X117516Y339067D01* +X117578Y338637D01* +X117682Y338216D01* +X117825Y337806D01* +X117878Y337700D01* +X117947Y337604D01* +X118030Y337519D01* +X118125Y337449D01* +X118231Y337394D01* +X118343Y337357D01* +X118387Y337349D01* +Y323800D01* +X114993D01* +Y325297D01* +G37* +G36* +Y391500D02*X124997D01* +Y352858D01* +X124653Y353152D01* +X124049Y353522D01* +X123395Y353793D01* +X122706Y353958D01* +X122000Y354014D01* +X121294Y353958D01* +X120605Y353793D01* +X119951Y353522D01* +X119347Y353152D01* +X118808Y352692D01* +X118348Y352153D01* +X117978Y351549D01* +X117707Y350895D01* +X117542Y350206D01* +X117486Y349500D01* +X117542Y348794D01* +X117707Y348105D01* +X117978Y347451D01* +X118029Y347368D01* +X114993Y344332D01* +Y391500D01* +G37* +G36* +X124997Y2000D02*X114993D01* +Y53545D01* +X115075Y53678D01* +X115316Y54260D01* +X115463Y54872D01* +X115500Y55500D01* +X115463Y56128D01* +X115316Y56740D01* +X115075Y57322D01* +X114993Y57455D01* +Y60104D01* +X115038Y60131D01* +X115756Y60744D01* +X116369Y61462D01* +X116863Y62268D01* +X117224Y63140D01* +X117444Y64058D01* +X117500Y65000D01* +Y154500D01* +X124997D01* +Y153453D01* +X124995Y153454D01* +X124938Y153480D01* +X124877Y153496D01* +X124814Y153503D01* +X124751Y153500D01* +X124690Y153487D01* +X124631Y153464D01* +X124577Y153433D01* +X124528Y153393D01* +X124485Y153346D01* +X124451Y153294D01* +X124427Y153236D01* +X124362Y153031D01* +X124321Y152821D01* +X124300Y152607D01* +Y152393D01* +X124321Y152179D01* +X124362Y151969D01* +X124424Y151763D01* +X124450Y151706D01* +X124484Y151653D01* +X124526Y151606D01* +X124576Y151566D01* +X124630Y151534D01* +X124689Y151512D01* +X124751Y151499D01* +X124814Y151495D01* +X124877Y151502D01* +X124938Y151518D01* +X124996Y151544D01* +X124997Y151545D01* +Y2000D01* +G37* +G36* +X114993Y57455D02*X114746Y57858D01* +X114337Y58337D01* +X113858Y58746D01* +X113750Y58812D01* +Y59438D01* +X114232Y59637D01* +X114993Y60104D01* +Y57455D01* +G37* +G36* +X114247Y241553D02*X114294Y241542D01* +X114993Y241487D01* +Y197000D01* +X114247D01* +Y223500D01* +X114249Y223500D01* +X114310Y223513D01* +X114369Y223536D01* +X114423Y223567D01* +X114472Y223607D01* +X114515Y223654D01* +X114549Y223706D01* +X114573Y223764D01* +X114638Y223969D01* +X114679Y224179D01* +X114700Y224393D01* +Y224607D01* +X114679Y224821D01* +X114638Y225031D01* +X114576Y225237D01* +X114550Y225294D01* +X114516Y225347D01* +X114474Y225394D01* +X114424Y225434D01* +X114370Y225466D01* +X114311Y225488D01* +X114249Y225501D01* +X114247Y225501D01* +Y241553D01* +G37* +G36* +X112501Y242254D02*X112951Y241978D01* +X113605Y241707D01* +X114247Y241553D01* +Y225501D01* +X114186Y225505D01* +X114123Y225498D01* +X114062Y225482D01* +X114004Y225456D01* +X113951Y225421D01* +X113904Y225379D01* +X113864Y225330D01* +X113833Y225275D01* +X113810Y225216D01* +X113797Y225154D01* +X113794Y225091D01* +X113800Y225028D01* +X113818Y224968D01* +X113859Y224838D01* +X113885Y224704D01* +X113898Y224568D01* +Y224432D01* +X113885Y224296D01* +X113859Y224162D01* +X113819Y224032D01* +X113802Y223971D01* +X113795Y223909D01* +X113799Y223846D01* +X113812Y223784D01* +X113834Y223726D01* +X113866Y223671D01* +X113905Y223622D01* +X113952Y223580D01* +X114005Y223546D01* +X114062Y223520D01* +X114123Y223504D01* +X114186Y223497D01* +X114247Y223500D01* +Y197000D01* +X112501D01* +Y222300D01* +X112607D01* +X112821Y222321D01* +X113031Y222362D01* +X113237Y222424D01* +X113294Y222450D01* +X113347Y222484D01* +X113394Y222526D01* +X113434Y222576D01* +X113466Y222630D01* +X113488Y222689D01* +X113501Y222751D01* +X113505Y222814D01* +X113498Y222877D01* +X113482Y222938D01* +X113456Y222996D01* +X113421Y223049D01* +X113379Y223096D01* +X113330Y223136D01* +X113275Y223167D01* +X113216Y223190D01* +X113154Y223203D01* +X113091Y223206D01* +X113028Y223200D01* +X112968Y223182D01* +X112838Y223141D01* +X112704Y223115D01* +X112568Y223102D01* +X112501D01* +Y225898D01* +X112568D01* +X112704Y225885D01* +X112838Y225859D01* +X112968Y225819D01* +X113029Y225802D01* +X113091Y225795D01* +X113154Y225799D01* +X113216Y225812D01* +X113274Y225834D01* +X113329Y225866D01* +X113378Y225905D01* +X113420Y225952D01* +X113454Y226005D01* +X113480Y226062D01* +X113496Y226123D01* +X113503Y226186D01* +X113500Y226249D01* +X113487Y226310D01* +X113464Y226369D01* +X113433Y226423D01* +X113393Y226472D01* +X113346Y226515D01* +X113294Y226549D01* +X113236Y226573D01* +X113031Y226638D01* +X112821Y226679D01* +X112607Y226700D01* +X112501D01* +Y242254D01* +G37* +G36* +X113247Y254700D02*X114993D01* +Y250513D01* +X114294Y250458D01* +X113605Y250293D01* +X113247Y250145D01* +Y251500D01* +X113249Y251500D01* +X113310Y251513D01* +X113369Y251536D01* +X113423Y251567D01* +X113472Y251607D01* +X113515Y251654D01* +X113549Y251706D01* +X113573Y251764D01* +X113638Y251969D01* +X113679Y252179D01* +X113700Y252393D01* +Y252607D01* +X113679Y252821D01* +X113638Y253031D01* +X113576Y253237D01* +X113550Y253294D01* +X113516Y253347D01* +X113474Y253394D01* +X113424Y253434D01* +X113370Y253466D01* +X113311Y253488D01* +X113249Y253501D01* +X113247Y253501D01* +Y254700D01* +G37* +G36* +X112501D02*X113247D01* +Y253501D01* +X113186Y253505D01* +X113123Y253498D01* +X113062Y253482D01* +X113004Y253456D01* +X112951Y253421D01* +X112904Y253379D01* +X112864Y253330D01* +X112833Y253275D01* +X112810Y253216D01* +X112797Y253154D01* +X112794Y253091D01* +X112800Y253028D01* +X112818Y252968D01* +X112859Y252838D01* +X112885Y252704D01* +X112898Y252568D01* +Y252432D01* +X112885Y252296D01* +X112859Y252162D01* +X112819Y252032D01* +X112802Y251971D01* +X112795Y251909D01* +X112799Y251846D01* +X112812Y251784D01* +X112834Y251726D01* +X112866Y251671D01* +X112905Y251622D01* +X112952Y251580D01* +X113005Y251546D01* +X113062Y251520D01* +X113123Y251504D01* +X113186Y251497D01* +X113247Y251500D01* +Y250145D01* +X112951Y250022D01* +X112501Y249746D01* +Y250749D01* +X112501Y250751D01* +X112505Y250814D01* +X112501Y250850D01* +Y254165D01* +X112503Y254186D01* +X112501Y254227D01* +Y254700D01* +G37* +G36* +X110753Y244494D02*X110978Y243951D01* +X111348Y243347D01* +X111808Y242808D01* +X112347Y242348D01* +X112501Y242254D01* +Y226700D01* +X112393D01* +X112179Y226679D01* +X111969Y226638D01* +X111763Y226576D01* +X111706Y226550D01* +X111653Y226516D01* +X111606Y226474D01* +X111566Y226424D01* +X111534Y226370D01* +X111512Y226311D01* +X111499Y226249D01* +X111495Y226186D01* +X111502Y226123D01* +X111518Y226062D01* +X111544Y226004D01* +X111579Y225951D01* +X111621Y225904D01* +X111670Y225864D01* +X111725Y225833D01* +X111784Y225810D01* +X111846Y225797D01* +X111909Y225794D01* +X111972Y225800D01* +X112032Y225818D01* +X112162Y225859D01* +X112296Y225885D01* +X112432Y225898D01* +X112501D01* +Y223102D01* +X112432D01* +X112296Y223115D01* +X112162Y223141D01* +X112032Y223181D01* +X111971Y223198D01* +X111909Y223205D01* +X111846Y223201D01* +X111784Y223188D01* +X111726Y223166D01* +X111671Y223134D01* +X111622Y223095D01* +X111580Y223048D01* +X111546Y222995D01* +X111520Y222938D01* +X111504Y222877D01* +X111497Y222814D01* +X111500Y222751D01* +X111513Y222690D01* +X111536Y222631D01* +X111567Y222577D01* +X111607Y222528D01* +X111654Y222485D01* +X111706Y222451D01* +X111764Y222427D01* +X111969Y222362D01* +X112179Y222321D01* +X112393Y222300D01* +X112501D01* +Y197000D01* +X110753D01* +Y223499D01* +X110814Y223495D01* +X110877Y223502D01* +X110938Y223518D01* +X110996Y223544D01* +X111049Y223579D01* +X111096Y223621D01* +X111136Y223670D01* +X111167Y223725D01* +X111190Y223784D01* +X111203Y223846D01* +X111206Y223909D01* +X111200Y223972D01* +X111182Y224032D01* +X111141Y224162D01* +X111115Y224296D01* +X111102Y224432D01* +Y224568D01* +X111115Y224704D01* +X111141Y224838D01* +X111181Y224968D01* +X111198Y225029D01* +X111205Y225091D01* +X111201Y225154D01* +X111188Y225216D01* +X111166Y225274D01* +X111134Y225329D01* +X111095Y225378D01* +X111048Y225420D01* +X110995Y225454D01* +X110938Y225480D01* +X110877Y225496D01* +X110814Y225503D01* +X110753Y225500D01* +Y244494D01* +G37* +G36* +Y250431D02*X110764Y250427D01* +X110969Y250362D01* +X111179Y250321D01* +X111393Y250300D01* +X111607D01* +X111821Y250321D01* +X112031Y250362D01* +X112237Y250424D01* +X112294Y250450D01* +X112347Y250484D01* +X112394Y250526D01* +X112434Y250576D01* +X112466Y250630D01* +X112488Y250689D01* +X112501Y250749D01* +Y249746D01* +X112347Y249652D01* +X111808Y249192D01* +X111348Y248653D01* +X110978Y248049D01* +X110753Y247506D01* +Y250431D01* +G37* +G36* +Y253822D02*X110784Y253810D01* +X110846Y253797D01* +X110909Y253794D01* +X110972Y253800D01* +X111032Y253818D01* +X111162Y253859D01* +X111296Y253885D01* +X111432Y253898D01* +X111568D01* +X111704Y253885D01* +X111838Y253859D01* +X111968Y253819D01* +X112029Y253802D01* +X112091Y253795D01* +X112154Y253799D01* +X112216Y253812D01* +X112274Y253834D01* +X112329Y253866D01* +X112378Y253905D01* +X112420Y253952D01* +X112454Y254005D01* +X112480Y254062D01* +X112496Y254123D01* +X112501Y254165D01* +Y250850D01* +X112498Y250877D01* +X112482Y250938D01* +X112456Y250996D01* +X112421Y251049D01* +X112379Y251096D01* +X112330Y251136D01* +X112275Y251167D01* +X112216Y251190D01* +X112154Y251203D01* +X112091Y251206D01* +X112028Y251200D01* +X111968Y251182D01* +X111838Y251141D01* +X111704Y251115D01* +X111568Y251102D01* +X111432D01* +X111296Y251115D01* +X111162Y251141D01* +X111032Y251181D01* +X110971Y251198D01* +X110909Y251205D01* +X110846Y251201D01* +X110784Y251188D01* +X110753Y251176D01* +Y253822D01* +G37* +G36* +Y254700D02*X112501D01* +Y254227D01* +X112500Y254249D01* +X112487Y254310D01* +X112464Y254369D01* +X112433Y254423D01* +X112393Y254472D01* +X112346Y254515D01* +X112294Y254549D01* +X112236Y254573D01* +X112031Y254638D01* +X111821Y254679D01* +X111607Y254700D01* +X111393D01* +X111179Y254679D01* +X110969Y254638D01* +X110763Y254576D01* +X110753Y254571D01* +Y254700D01* +G37* +G36* +X109753D02*X110753D01* +Y254571D01* +X110706Y254550D01* +X110653Y254516D01* +X110606Y254474D01* +X110566Y254424D01* +X110534Y254370D01* +X110512Y254311D01* +X110499Y254249D01* +X110495Y254186D01* +X110502Y254123D01* +X110518Y254062D01* +X110544Y254004D01* +X110579Y253951D01* +X110621Y253904D01* +X110670Y253864D01* +X110725Y253833D01* +X110753Y253822D01* +Y251176D01* +X110726Y251166D01* +X110671Y251134D01* +X110622Y251095D01* +X110580Y251048D01* +X110546Y250995D01* +X110520Y250938D01* +X110504Y250877D01* +X110497Y250814D01* +X110500Y250751D01* +X110513Y250690D01* +X110536Y250631D01* +X110567Y250577D01* +X110607Y250528D01* +X110654Y250485D01* +X110706Y250451D01* +X110753Y250431D01* +Y247506D01* +X110707Y247395D01* +X110542Y246706D01* +X110486Y246000D01* +X110542Y245294D01* +X110707Y244605D01* +X110753Y244494D01* +Y225500D01* +X110751Y225500D01* +X110690Y225487D01* +X110631Y225464D01* +X110577Y225433D01* +X110528Y225393D01* +X110485Y225346D01* +X110451Y225294D01* +X110427Y225236D01* +X110362Y225031D01* +X110321Y224821D01* +X110300Y224607D01* +Y224393D01* +X110321Y224179D01* +X110362Y223969D01* +X110424Y223763D01* +X110450Y223706D01* +X110484Y223653D01* +X110526Y223606D01* +X110576Y223566D01* +X110630Y223534D01* +X110689Y223512D01* +X110751Y223499D01* +X110753Y223499D01* +Y197000D01* +X109753D01* +Y251499D01* +X109814Y251495D01* +X109877Y251502D01* +X109938Y251518D01* +X109996Y251544D01* +X110049Y251579D01* +X110096Y251621D01* +X110136Y251670D01* +X110167Y251725D01* +X110190Y251784D01* +X110203Y251846D01* +X110206Y251909D01* +X110200Y251972D01* +X110182Y252032D01* +X110141Y252162D01* +X110115Y252296D01* +X110102Y252432D01* +Y252568D01* +X110115Y252704D01* +X110141Y252838D01* +X110181Y252968D01* +X110198Y253029D01* +X110205Y253091D01* +X110201Y253154D01* +X110188Y253216D01* +X110166Y253274D01* +X110134Y253329D01* +X110095Y253378D01* +X110048Y253420D01* +X109995Y253454D01* +X109938Y253480D01* +X109877Y253496D01* +X109814Y253503D01* +X109753Y253500D01* +Y254700D01* +G37* +G36* +X77784D02*X109753D01* +Y253500D01* +X109751Y253500D01* +X109690Y253487D01* +X109631Y253464D01* +X109577Y253433D01* +X109528Y253393D01* +X109485Y253346D01* +X109451Y253294D01* +X109427Y253236D01* +X109362Y253031D01* +X109321Y252821D01* +X109300Y252607D01* +Y252393D01* +X109321Y252179D01* +X109362Y251969D01* +X109424Y251763D01* +X109450Y251706D01* +X109484Y251653D01* +X109526Y251606D01* +X109576Y251566D01* +X109630Y251534D01* +X109689Y251512D01* +X109751Y251499D01* +X109753Y251499D01* +Y197000D01* +X81485D01* +X77784Y200701D01* +Y211683D01* +X77816Y211760D01* +X77963Y212372D01* +X78000Y213000D01* +X77963Y213628D01* +X77816Y214240D01* +X77784Y214317D01* +Y236869D01* +X77787Y236870D01* +X77855Y236909D01* +X77916Y236958D01* +X77969Y237017D01* +X78011Y237083D01* +X78174Y237408D01* +X78303Y237748D01* +X78401Y238098D01* +X78467Y238456D01* +X78500Y238818D01* +Y239182D01* +X78467Y239544D01* +X78401Y239902D01* +X78303Y240252D01* +X78174Y240592D01* +X78015Y240919D01* +X77972Y240985D01* +X77919Y241044D01* +X77857Y241094D01* +X77788Y241133D01* +X77784Y241135D01* +Y254700D01* +G37* +G36* +Y200701D02*X77500Y200985D01* +Y211056D01* +X77575Y211178D01* +X77784Y211683D01* +Y200701D01* +G37* +G36* +X74502Y254700D02*X77784D01* +Y241135D01* +X77714Y241161D01* +X77637Y241177D01* +X77558Y241181D01* +X77479Y241173D01* +X77403Y241152D01* +X77330Y241120D01* +X77264Y241077D01* +X77205Y241024D01* +X77156Y240962D01* +X77116Y240893D01* +X77088Y240819D01* +X77072Y240742D01* +X77068Y240663D01* +X77076Y240584D01* +X77097Y240507D01* +X77130Y240436D01* +X77253Y240193D01* +X77350Y239938D01* +X77423Y239676D01* +X77472Y239408D01* +X77497Y239136D01* +Y238864D01* +X77472Y238592D01* +X77423Y238324D01* +X77350Y238062D01* +X77253Y237807D01* +X77133Y237563D01* +X77100Y237491D01* +X77079Y237416D01* +X77071Y237337D01* +X77075Y237259D01* +X77091Y237182D01* +X77119Y237108D01* +X77158Y237040D01* +X77207Y236979D01* +X77266Y236926D01* +X77332Y236883D01* +X77404Y236851D01* +X77480Y236830D01* +X77558Y236822D01* +X77636Y236826D01* +X77713Y236842D01* +X77784Y236869D01* +Y214317D01* +X77575Y214822D01* +X77246Y215358D01* +X76837Y215837D01* +X76358Y216246D01* +X75822Y216575D01* +X75240Y216816D01* +X74628Y216963D01* +X74502Y216973D01* +Y235000D01* +X74682D01* +X75044Y235033D01* +X75402Y235099D01* +X75752Y235197D01* +X76092Y235326D01* +X76419Y235485D01* +X76485Y235528D01* +X76544Y235581D01* +X76594Y235643D01* +X76633Y235712D01* +X76661Y235786D01* +X76677Y235863D01* +X76681Y235942D01* +X76673Y236021D01* +X76652Y236097D01* +X76620Y236170D01* +X76577Y236236D01* +X76524Y236295D01* +X76462Y236344D01* +X76393Y236384D01* +X76319Y236412D01* +X76242Y236428D01* +X76163Y236432D01* +X76084Y236424D01* +X76007Y236403D01* +X75936Y236370D01* +X75693Y236247D01* +X75438Y236150D01* +X75176Y236077D01* +X74908Y236028D01* +X74636Y236003D01* +X74502D01* +Y241997D01* +X74636D01* +X74908Y241972D01* +X75176Y241923D01* +X75438Y241850D01* +X75693Y241753D01* +X75937Y241633D01* +X76009Y241600D01* +X76084Y241579D01* +X76163Y241571D01* +X76241Y241575D01* +X76318Y241591D01* +X76392Y241619D01* +X76460Y241658D01* +X76521Y241707D01* +X76574Y241766D01* +X76617Y241832D01* +X76649Y241904D01* +X76670Y241980D01* +X76678Y242058D01* +X76674Y242136D01* +X76658Y242213D01* +X76630Y242287D01* +X76591Y242355D01* +X76542Y242416D01* +X76483Y242469D01* +X76417Y242511D01* +X76092Y242674D01* +X75752Y242803D01* +X75402Y242901D01* +X75044Y242967D01* +X74682Y243000D01* +X74502D01* +Y254700D01* +G37* +G36* +X71216D02*X74502D01* +Y243000D01* +X74318D01* +X73956Y242967D01* +X73598Y242901D01* +X73248Y242803D01* +X72908Y242674D01* +X72581Y242515D01* +X72515Y242472D01* +X72456Y242419D01* +X72406Y242357D01* +X72367Y242288D01* +X72339Y242214D01* +X72323Y242137D01* +X72319Y242058D01* +X72327Y241979D01* +X72348Y241903D01* +X72380Y241830D01* +X72423Y241764D01* +X72476Y241705D01* +X72538Y241656D01* +X72607Y241616D01* +X72681Y241588D01* +X72758Y241572D01* +X72837Y241568D01* +X72916Y241576D01* +X72993Y241597D01* +X73064Y241630D01* +X73307Y241753D01* +X73562Y241850D01* +X73824Y241923D01* +X74092Y241972D01* +X74364Y241997D01* +X74502D01* +Y236003D01* +X74364D01* +X74092Y236028D01* +X73824Y236077D01* +X73562Y236150D01* +X73307Y236247D01* +X73063Y236367D01* +X72991Y236400D01* +X72915Y236421D01* +X72837Y236429D01* +X72759Y236425D01* +X72682Y236409D01* +X72608Y236381D01* +X72540Y236342D01* +X72479Y236293D01* +X72426Y236234D01* +X72383Y236168D01* +X72351Y236096D01* +X72330Y236020D01* +X72322Y235942D01* +X72326Y235864D01* +X72342Y235787D01* +X72370Y235713D01* +X72409Y235645D01* +X72458Y235584D01* +X72517Y235531D01* +X72583Y235489D01* +X72908Y235326D01* +X73248Y235197D01* +X73598Y235099D01* +X73956Y235033D01* +X74318Y235000D01* +X74502D01* +Y216973D01* +X74000Y217012D01* +X73372Y216963D01* +X72760Y216816D01* +X72178Y216575D01* +X71642Y216246D01* +X71216Y215883D01* +Y236865D01* +X71286Y236839D01* +X71363Y236823D01* +X71442Y236819D01* +X71521Y236827D01* +X71597Y236848D01* +X71670Y236880D01* +X71736Y236923D01* +X71795Y236976D01* +X71844Y237038D01* +X71884Y237107D01* +X71912Y237181D01* +X71928Y237258D01* +X71932Y237337D01* +X71924Y237416D01* +X71903Y237493D01* +X71870Y237564D01* +X71747Y237807D01* +X71650Y238062D01* +X71577Y238324D01* +X71528Y238592D01* +X71503Y238864D01* +Y239136D01* +X71528Y239408D01* +X71577Y239676D01* +X71650Y239938D01* +X71747Y240193D01* +X71867Y240437D01* +X71900Y240509D01* +X71921Y240585D01* +X71929Y240663D01* +X71925Y240741D01* +X71909Y240818D01* +X71881Y240892D01* +X71842Y240960D01* +X71793Y241021D01* +X71734Y241074D01* +X71668Y241117D01* +X71596Y241149D01* +X71520Y241170D01* +X71442Y241178D01* +X71364Y241174D01* +X71287Y241158D01* +X71216Y241131D01* +Y254700D01* +G37* +G36* +X61002D02*X71216D01* +Y241131D01* +X71213Y241130D01* +X71145Y241091D01* +X71084Y241042D01* +X71031Y240983D01* +X70989Y240917D01* +X70826Y240592D01* +X70697Y240252D01* +X70599Y239902D01* +X70533Y239544D01* +X70500Y239182D01* +Y238818D01* +X70533Y238456D01* +X70599Y238098D01* +X70697Y237748D01* +X70826Y237408D01* +X70985Y237081D01* +X71028Y237015D01* +X71081Y236956D01* +X71143Y236906D01* +X71212Y236867D01* +X71216Y236865D01* +Y215883D01* +X71163Y215837D01* +X70754Y215358D01* +X70425Y214822D01* +X70184Y214240D01* +X70037Y213628D01* +X69988Y213000D01* +X70037Y212372D01* +X70184Y211760D01* +X70425Y211178D01* +X70500Y211056D01* +Y207985D01* +X61002Y217483D01* +Y254700D01* +G37* +G36* +Y258700D02*X114993D01* +Y257300D01* +X61002D01* +Y258700D01* +G37* +G36* +Y262700D02*X114993D01* +Y261300D01* +X61002D01* +Y262700D01* +G37* +G36* +Y266700D02*X114993D01* +Y265300D01* +X61002D01* +Y266700D01* +G37* +G36* +Y270700D02*X114993D01* +Y269300D01* +X61002D01* +Y270700D01* +G37* +G36* +Y274700D02*X114993D01* +Y273300D01* +X61002D01* +Y274700D01* +G37* +G36* +Y278700D02*X114993D01* +Y277300D01* +X61002D01* +Y278700D01* +G37* +G36* +Y282700D02*X114993D01* +Y281300D01* +X61002D01* +Y282700D01* +G37* +G36* +Y286700D02*X114993D01* +Y285300D01* +X61002D01* +Y286700D01* +G37* +G36* +X90989Y320561D02*X91120Y320592D01* +X91411Y320712D01* +X91679Y320877D01* +X91919Y321081D01* +X92020Y321200D01* +X114993D01* +Y319800D01* +X94520D01* +X94419Y319919D01* +X94179Y320123D01* +X93911Y320288D01* +X93620Y320408D01* +X93314Y320481D01* +X93000Y320506D01* +X92686Y320481D01* +X92380Y320408D01* +X92089Y320288D01* +X91821Y320123D01* +X91581Y319919D01* +X91377Y319679D01* +X91212Y319411D01* +X91092Y319120D01* +X91019Y318814D01* +X90994Y318500D01* +X91019Y318186D01* +X91092Y317880D01* +X91212Y317589D01* +X91377Y317321D01* +X91581Y317081D01* +X91821Y316877D01* +X92089Y316712D01* +X92380Y316592D01* +X92686Y316519D01* +X93000Y316494D01* +X93314Y316519D01* +X93620Y316592D01* +X93911Y316712D01* +X94179Y316877D01* +X94419Y317081D01* +X94520Y317200D01* +X114993D01* +Y315800D01* +X97020D01* +X96919Y315919D01* +X96679Y316123D01* +X96411Y316288D01* +X96120Y316408D01* +X95814Y316481D01* +X95500Y316506D01* +X95186Y316481D01* +X94880Y316408D01* +X94589Y316288D01* +X94321Y316123D01* +X94081Y315919D01* +X93877Y315679D01* +X93712Y315411D01* +X93592Y315120D01* +X93519Y314814D01* +X93494Y314500D01* +X93519Y314186D01* +X93592Y313880D01* +X93712Y313589D01* +X93877Y313321D01* +X94081Y313081D01* +X94321Y312877D01* +X94589Y312712D01* +X94880Y312592D01* +X95186Y312519D01* +X95500Y312494D01* +X95814Y312519D01* +X96120Y312592D01* +X96411Y312712D01* +X96679Y312877D01* +X96919Y313081D01* +X97020Y313200D01* +X114993D01* +Y311800D01* +X99520D01* +X99419Y311919D01* +X99179Y312123D01* +X98911Y312288D01* +X98620Y312408D01* +X98314Y312481D01* +X98000Y312506D01* +X97686Y312481D01* +X97380Y312408D01* +X97089Y312288D01* +X96821Y312123D01* +X96581Y311919D01* +X96377Y311679D01* +X96212Y311411D01* +X96092Y311120D01* +X96019Y310814D01* +X95994Y310500D01* +X96019Y310186D01* +X96092Y309880D01* +X96212Y309589D01* +X96377Y309321D01* +X96581Y309081D01* +X96821Y308877D01* +X97089Y308712D01* +X97380Y308592D01* +X97686Y308519D01* +X98000Y308494D01* +X98314Y308519D01* +X98620Y308592D01* +X98911Y308712D01* +X99179Y308877D01* +X99419Y309081D01* +X99520Y309200D01* +X114993D01* +Y307800D01* +X102020D01* +X101919Y307919D01* +X101679Y308123D01* +X101411Y308288D01* +X101120Y308408D01* +X100814Y308481D01* +X100500Y308506D01* +X100186Y308481D01* +X99880Y308408D01* +X99589Y308288D01* +X99321Y308123D01* +X99081Y307919D01* +X98877Y307679D01* +X98712Y307411D01* +X98592Y307120D01* +X98519Y306814D01* +X98494Y306500D01* +X98519Y306186D01* +X98592Y305880D01* +X98712Y305589D01* +X98877Y305321D01* +X99081Y305081D01* +X99321Y304877D01* +X99589Y304712D01* +X99880Y304592D01* +X100186Y304519D01* +X100500Y304494D01* +X100814Y304519D01* +X101120Y304592D01* +X101411Y304712D01* +X101679Y304877D01* +X101919Y305081D01* +X102020Y305200D01* +X114993D01* +Y303800D01* +X104520D01* +X104419Y303919D01* +X104179Y304123D01* +X103911Y304288D01* +X103620Y304408D01* +X103314Y304481D01* +X103000Y304506D01* +X102686Y304481D01* +X102380Y304408D01* +X102089Y304288D01* +X101821Y304123D01* +X101581Y303919D01* +X101377Y303679D01* +X101212Y303411D01* +X101092Y303120D01* +X101019Y302814D01* +X100994Y302500D01* +X101019Y302186D01* +X101092Y301880D01* +X101212Y301589D01* +X101377Y301321D01* +X101581Y301081D01* +X101821Y300877D01* +X102089Y300712D01* +X102380Y300592D01* +X102686Y300519D01* +X103000Y300494D01* +X103314Y300519D01* +X103620Y300592D01* +X103911Y300712D01* +X104179Y300877D01* +X104419Y301081D01* +X104520Y301200D01* +X114993D01* +Y299800D01* +X107020D01* +X106919Y299919D01* +X106679Y300123D01* +X106411Y300288D01* +X106120Y300408D01* +X105814Y300481D01* +X105500Y300506D01* +X105186Y300481D01* +X104880Y300408D01* +X104589Y300288D01* +X104321Y300123D01* +X104081Y299919D01* +X103877Y299679D01* +X103712Y299411D01* +X103592Y299120D01* +X103519Y298814D01* +X103494Y298500D01* +X103519Y298186D01* +X103592Y297880D01* +X103712Y297589D01* +X103877Y297321D01* +X104081Y297081D01* +X104321Y296877D01* +X104589Y296712D01* +X104880Y296592D01* +X105186Y296519D01* +X105500Y296494D01* +X105814Y296519D01* +X106120Y296592D01* +X106411Y296712D01* +X106679Y296877D01* +X106919Y297081D01* +X107020Y297200D01* +X114993D01* +Y295800D01* +X109520D01* +X109419Y295919D01* +X109179Y296123D01* +X108911Y296288D01* +X108620Y296408D01* +X108314Y296481D01* +X108000Y296506D01* +X107686Y296481D01* +X107380Y296408D01* +X107089Y296288D01* +X106821Y296123D01* +X106581Y295919D01* +X106377Y295679D01* +X106212Y295411D01* +X106092Y295120D01* +X106019Y294814D01* +X105994Y294500D01* +X106019Y294186D01* +X106092Y293880D01* +X106212Y293589D01* +X106377Y293321D01* +X106581Y293081D01* +X106821Y292877D01* +X107089Y292712D01* +X107380Y292592D01* +X107686Y292519D01* +X108000Y292494D01* +X108314Y292519D01* +X108620Y292592D01* +X108911Y292712D01* +X109179Y292877D01* +X109419Y293081D01* +X109520Y293200D01* +X114993D01* +Y289300D01* +X90989D01* +Y320561D01* +G37* +G36* +Y325200D02*X114449D01* +X114500Y325196D01* +X114704Y325212D01* +X114704Y325212D01* +X114903Y325260D01* +X114993Y325297D01* +Y323800D01* +X92020D01* +X91919Y323919D01* +X91679Y324123D01* +X91411Y324288D01* +X91120Y324408D01* +X90989Y324439D01* +Y325200D01* +G37* +G36* +Y391500D02*X114993D01* +Y344332D01* +X114617Y343955D01* +X114578Y343922D01* +X114445Y343766D01* +X114338Y343592D01* +X114260Y343403D01* +X114212Y343204D01* +X114212Y343204D01* +X114196Y343000D01* +X114200Y342949D01* +Y328038D01* +X113962Y327800D01* +X90989D01* +Y342479D01* +X91000Y342478D01* +X92098Y342565D01* +X93170Y342822D01* +X94188Y343244D01* +X95127Y343819D01* +X95965Y344535D01* +X96681Y345373D01* +X97256Y346312D01* +X97678Y347330D01* +X97935Y348402D01* +X98000Y349500D01* +X97935Y350598D01* +X97678Y351670D01* +X97256Y352688D01* +X96681Y353627D01* +X95965Y354465D01* +X95127Y355181D01* +X94188Y355756D01* +X93170Y356178D01* +X92098Y356435D01* +X91000Y356522D01* +X90989Y356521D01* +Y391500D01* +G37* +G36* +X80990D02*X90989D01* +Y356521D01* +X89902Y356435D01* +X88830Y356178D01* +X87812Y355756D01* +X86873Y355181D01* +X86035Y354465D01* +X85319Y353627D01* +X84744Y352688D01* +X84322Y351670D01* +X84065Y350598D01* +X83978Y349500D01* +X84065Y348402D01* +X84322Y347330D01* +X84744Y346312D01* +X85319Y345373D01* +X86035Y344535D01* +X86873Y343819D01* +X87812Y343244D01* +X88830Y342822D01* +X89902Y342565D01* +X90989Y342479D01* +Y327800D01* +X89520D01* +X89419Y327919D01* +X89179Y328123D01* +X88911Y328288D01* +X88620Y328408D01* +X88314Y328481D01* +X88000Y328506D01* +X87686Y328481D01* +X87380Y328408D01* +X87089Y328288D01* +X86821Y328123D01* +X86581Y327919D01* +X86377Y327679D01* +X86212Y327411D01* +X86092Y327120D01* +X86019Y326814D01* +X85994Y326500D01* +X86019Y326186D01* +X86092Y325880D01* +X86212Y325589D01* +X86377Y325321D01* +X86581Y325081D01* +X86821Y324877D01* +X87089Y324712D01* +X87380Y324592D01* +X87686Y324519D01* +X88000Y324494D01* +X88314Y324519D01* +X88620Y324592D01* +X88911Y324712D01* +X89179Y324877D01* +X89419Y325081D01* +X89520Y325200D01* +X90989D01* +Y324439D01* +X90814Y324481D01* +X90500Y324506D01* +X90186Y324481D01* +X89880Y324408D01* +X89589Y324288D01* +X89321Y324123D01* +X89081Y323919D01* +X88877Y323679D01* +X88712Y323411D01* +X88592Y323120D01* +X88519Y322814D01* +X88494Y322500D01* +X88519Y322186D01* +X88592Y321880D01* +X88712Y321589D01* +X88877Y321321D01* +X89081Y321081D01* +X89321Y320877D01* +X89589Y320712D01* +X89880Y320592D01* +X90186Y320519D01* +X90500Y320494D01* +X90814Y320519D01* +X90989Y320561D01* +Y289300D01* +X80990D01* +Y332981D01* +X81000Y332980D01* +X82020Y333060D01* +X83015Y333299D01* +X83960Y333691D01* +X84832Y334225D01* +X85610Y334890D01* +X86275Y335668D01* +X86809Y336540D01* +X87201Y337485D01* +X87440Y338480D01* +X87500Y339500D01* +X87440Y340520D01* +X87201Y341515D01* +X86809Y342460D01* +X86275Y343332D01* +X85610Y344110D01* +X84832Y344775D01* +X83960Y345309D01* +X83015Y345701D01* +X82020Y345940D01* +X81000Y346020D01* +X80990Y346019D01* +Y391500D01* +G37* +G36* +X66364D02*X80990D01* +Y346019D01* +X79980Y345940D01* +X78985Y345701D01* +X78040Y345309D01* +X77168Y344775D01* +X76390Y344110D01* +X75725Y343332D01* +X75191Y342460D01* +X74799Y341515D01* +X74560Y340520D01* +X74480Y339500D01* +X74560Y338480D01* +X74799Y337485D01* +X75191Y336540D01* +X75725Y335668D01* +X76390Y334890D01* +X77168Y334225D01* +X78040Y333691D01* +X78985Y333299D01* +X79980Y333060D01* +X80990Y332981D01* +Y289300D01* +X66364D01* +Y335977D01* +X66386Y335989D01* +X66480Y336061D01* +X66561Y336146D01* +X66626Y336245D01* +X66894Y336746D01* +X67112Y337271D01* +X67284Y337813D01* +X67407Y338368D01* +X67481Y338932D01* +X67506Y339500D01* +X67481Y340068D01* +X67407Y340632D01* +X67284Y341187D01* +X67112Y341729D01* +X66894Y342254D01* +X66632Y342758D01* +X66565Y342857D01* +X66483Y342943D01* +X66389Y343015D01* +X66364Y343029D01* +Y371199D01* +X66674Y371009D01* +X68055Y370437D01* +X69509Y370088D01* +X71000Y369971D01* +X72491Y370088D01* +X73945Y370437D01* +X75326Y371009D01* +X76601Y371791D01* +X77738Y372762D01* +X78709Y373899D01* +X79491Y375174D01* +X80063Y376555D01* +X80412Y378009D01* +X80500Y379500D01* +X80412Y380991D01* +X80063Y382445D01* +X79491Y383826D01* +X78709Y385101D01* +X77738Y386238D01* +X76601Y387209D01* +X75326Y387991D01* +X73945Y388563D01* +X72491Y388912D01* +X71000Y389029D01* +X69509Y388912D01* +X68055Y388563D01* +X66674Y387991D01* +X66364Y387801D01* +Y391500D01* +G37* +G36* +X61002D02*X66364D01* +Y387801D01* +X65399Y387209D01* +X64262Y386238D01* +X63291Y385101D01* +X62509Y383826D01* +X61937Y382445D01* +X61588Y380991D01* +X61471Y379500D01* +X61588Y378009D01* +X61937Y376555D01* +X62509Y375174D01* +X63291Y373899D01* +X64262Y372762D01* +X65399Y371791D01* +X66364Y371199D01* +Y343029D01* +X66284Y343072D01* +X66172Y343111D01* +X66055Y343133D01* +X65937Y343136D01* +X65819Y343120D01* +X65705Y343086D01* +X65598Y343035D01* +X65500Y342968D01* +X65414Y342886D01* +X65342Y342791D01* +X65285Y342687D01* +X65245Y342575D01* +X65224Y342458D01* +X65221Y342339D01* +X65237Y342222D01* +X65270Y342108D01* +X65324Y342002D01* +X65530Y341617D01* +X65697Y341213D01* +X65829Y340796D01* +X65924Y340370D01* +X65981Y339937D01* +X66000Y339500D01* +X65981Y339063D01* +X65924Y338630D01* +X65829Y338204D01* +X65697Y337787D01* +X65530Y337383D01* +X65328Y336996D01* +X65275Y336891D01* +X65241Y336777D01* +X65226Y336660D01* +X65229Y336542D01* +X65250Y336426D01* +X65289Y336315D01* +X65346Y336211D01* +X65417Y336118D01* +X65503Y336036D01* +X65600Y335969D01* +X65707Y335918D01* +X65820Y335885D01* +X65937Y335869D01* +X66055Y335872D01* +X66171Y335894D01* +X66282Y335933D01* +X66364Y335977D01* +Y289300D01* +X61002D01* +Y332994D01* +X61568Y333019D01* +X62132Y333093D01* +X62687Y333216D01* +X63229Y333388D01* +X63754Y333606D01* +X64258Y333868D01* +X64357Y333935D01* +X64443Y334017D01* +X64515Y334111D01* +X64572Y334216D01* +X64611Y334328D01* +X64633Y334445D01* +X64636Y334563D01* +X64620Y334681D01* +X64586Y334795D01* +X64535Y334902D01* +X64468Y335000D01* +X64386Y335086D01* +X64291Y335158D01* +X64187Y335215D01* +X64075Y335255D01* +X63958Y335276D01* +X63839Y335279D01* +X63722Y335263D01* +X63608Y335230D01* +X63502Y335176D01* +X63117Y334970D01* +X62713Y334803D01* +X62296Y334671D01* +X61870Y334576D01* +X61437Y334519D01* +X61002Y334500D01* +Y344500D01* +X61437Y344481D01* +X61870Y344424D01* +X62296Y344329D01* +X62713Y344197D01* +X63117Y344030D01* +X63504Y343828D01* +X63609Y343775D01* +X63723Y343741D01* +X63840Y343726D01* +X63958Y343729D01* +X64074Y343750D01* +X64185Y343789D01* +X64289Y343846D01* +X64382Y343917D01* +X64464Y344003D01* +X64531Y344100D01* +X64582Y344207D01* +X64615Y344320D01* +X64631Y344437D01* +X64628Y344555D01* +X64606Y344671D01* +X64567Y344782D01* +X64511Y344886D01* +X64439Y344980D01* +X64354Y345061D01* +X64255Y345126D01* +X63754Y345394D01* +X63229Y345612D01* +X62687Y345784D01* +X62132Y345907D01* +X61568Y345981D01* +X61002Y346006D01* +Y391500D01* +G37* +G36* +X114993Y2000D02*X61002D01* +Y200512D01* +X105500Y156015D01* +Y107250D01* +X103314D01* +X103285Y107297D01* +X103060Y107560D01* +X102797Y107785D01* +X102502Y107966D01* +X102182Y108099D01* +X101845Y108180D01* +X101500Y108207D01* +X101155Y108180D01* +X100818Y108099D01* +X100498Y107966D01* +X100203Y107785D01* +X99940Y107560D01* +X99715Y107297D01* +X99534Y107002D01* +X99401Y106682D01* +X99320Y106345D01* +X99293Y106000D01* +X99320Y105655D01* +X99401Y105318D01* +X99534Y104998D01* +X99715Y104703D01* +X99940Y104440D01* +X100203Y104215D01* +X100498Y104034D01* +X100818Y103901D01* +X101155Y103820D01* +X101500Y103793D01* +X101845Y103820D01* +X102182Y103901D01* +X102502Y104034D01* +X102797Y104215D01* +X103060Y104440D01* +X103240Y104650D01* +X105500D01* +Y65000D01* +X105556Y64058D01* +X105776Y63140D01* +X106137Y62268D01* +X106631Y61462D01* +X107244Y60744D01* +X107962Y60131D01* +X108768Y59637D01* +X109250Y59438D01* +Y58812D01* +X109142Y58746D01* +X108663Y58337D01* +X108254Y57858D01* +X107925Y57322D01* +X107684Y56740D01* +X107537Y56128D01* +X107488Y55500D01* +X107537Y54872D01* +X107684Y54260D01* +X107925Y53678D01* +X108254Y53142D01* +X108663Y52663D01* +X109142Y52254D01* +X109678Y51925D01* +X110260Y51684D01* +X110872Y51537D01* +X111500Y51488D01* +X112128Y51537D01* +X112740Y51684D01* +X113322Y51925D01* +X113858Y52254D01* +X114337Y52663D01* +X114746Y53142D01* +X114993Y53545D01* +Y2000D01* +G37* +G36* +X55636Y254193D02*X55880Y254092D01* +X56186Y254019D01* +X56500Y253994D01* +X56814Y254019D01* +X57120Y254092D01* +X57411Y254212D01* +X57679Y254377D01* +X57919Y254581D01* +X58020Y254700D01* +X61002D01* +Y217483D01* +X55636Y222849D01* +Y254193D01* +G37* +G36* +Y258700D02*X61002D01* +Y257300D01* +X58020D01* +X57919Y257419D01* +X57679Y257623D01* +X57411Y257788D01* +X57120Y257908D01* +X56814Y257981D01* +X56500Y258006D01* +X56186Y257981D01* +X55880Y257908D01* +X55636Y257807D01* +Y258700D01* +G37* +G36* +Y262700D02*X61002D01* +Y261300D01* +X55636D01* +Y262700D01* +G37* +G36* +Y266700D02*X61002D01* +Y265300D01* +X55636D01* +Y266700D01* +G37* +G36* +Y270700D02*X61002D01* +Y269300D01* +X55636D01* +Y270700D01* +G37* +G36* +Y274700D02*X61002D01* +Y273300D01* +X55636D01* +Y274700D01* +G37* +G36* +Y278700D02*X61002D01* +Y277300D01* +X55636D01* +Y278700D01* +G37* +G36* +Y282700D02*X61002D01* +Y281300D01* +X55636D01* +Y282700D01* +G37* +G36* +Y286700D02*X61002D01* +Y285300D01* +X55636D01* +Y286700D01* +G37* +G36* +Y391500D02*X61002D01* +Y346006D01* +X61000Y346006D01* +X60432Y345981D01* +X59868Y345907D01* +X59313Y345784D01* +X58771Y345612D01* +X58246Y345394D01* +X57742Y345132D01* +X57643Y345065D01* +X57557Y344983D01* +X57485Y344889D01* +X57428Y344784D01* +X57389Y344672D01* +X57367Y344555D01* +X57364Y344437D01* +X57380Y344319D01* +X57414Y344205D01* +X57465Y344098D01* +X57532Y344000D01* +X57614Y343914D01* +X57709Y343842D01* +X57813Y343785D01* +X57925Y343745D01* +X58042Y343724D01* +X58161Y343721D01* +X58278Y343737D01* +X58392Y343770D01* +X58498Y343824D01* +X58883Y344030D01* +X59287Y344197D01* +X59704Y344329D01* +X60130Y344424D01* +X60563Y344481D01* +X61000Y344500D01* +X61002Y344500D01* +Y334500D01* +X61000Y334500D01* +X60563Y334519D01* +X60130Y334576D01* +X59704Y334671D01* +X59287Y334803D01* +X58883Y334970D01* +X58496Y335172D01* +X58391Y335225D01* +X58277Y335259D01* +X58160Y335274D01* +X58042Y335271D01* +X57926Y335250D01* +X57815Y335211D01* +X57711Y335154D01* +X57617Y335083D01* +X57536Y334997D01* +X57469Y334900D01* +X57418Y334793D01* +X57385Y334680D01* +X57369Y334563D01* +X57372Y334445D01* +X57394Y334329D01* +X57433Y334218D01* +X57489Y334114D01* +X57561Y334020D01* +X57646Y333939D01* +X57745Y333874D01* +X58246Y333606D01* +X58771Y333388D01* +X59313Y333216D01* +X59868Y333093D01* +X60432Y333019D01* +X61000Y332994D01* +X61002Y332994D01* +Y289300D01* +X55636D01* +Y335971D01* +X55716Y335928D01* +X55828Y335889D01* +X55945Y335867D01* +X56063Y335864D01* +X56181Y335880D01* +X56295Y335914D01* +X56402Y335965D01* +X56500Y336032D01* +X56586Y336114D01* +X56658Y336209D01* +X56715Y336313D01* +X56755Y336425D01* +X56776Y336542D01* +X56779Y336661D01* +X56763Y336778D01* +X56730Y336892D01* +X56676Y336998D01* +X56470Y337383D01* +X56303Y337787D01* +X56171Y338204D01* +X56076Y338630D01* +X56019Y339063D01* +X56000Y339500D01* +X56019Y339937D01* +X56076Y340370D01* +X56171Y340796D01* +X56303Y341213D01* +X56470Y341617D01* +X56672Y342004D01* +X56725Y342109D01* +X56759Y342223D01* +X56774Y342340D01* +X56771Y342458D01* +X56750Y342574D01* +X56711Y342685D01* +X56654Y342789D01* +X56583Y342882D01* +X56497Y342964D01* +X56400Y343031D01* +X56293Y343082D01* +X56180Y343115D01* +X56063Y343131D01* +X55945Y343128D01* +X55829Y343106D01* +X55718Y343067D01* +X55636Y343023D01* +Y391500D01* +G37* +G36* +X61002Y2000D02*X55636D01* +Y205878D01* +X61002Y200512D01* +Y2000D01* +G37* +G36* +X41002Y278063D02*X41186Y278019D01* +X41500Y277994D01* +X41814Y278019D01* +X42120Y278092D01* +X42411Y278212D01* +X42679Y278377D01* +X42919Y278581D01* +X43020Y278700D01* +X55636D01* +Y277300D01* +X45520D01* +X45419Y277419D01* +X45179Y277623D01* +X44911Y277788D01* +X44620Y277908D01* +X44314Y277981D01* +X44000Y278006D01* +X43686Y277981D01* +X43380Y277908D01* +X43089Y277788D01* +X42821Y277623D01* +X42581Y277419D01* +X42377Y277179D01* +X42212Y276911D01* +X42092Y276620D01* +X42019Y276314D01* +X41994Y276000D01* +X42019Y275686D01* +X42092Y275380D01* +X42212Y275089D01* +X42377Y274821D01* +X42581Y274581D01* +X42821Y274377D01* +X43089Y274212D01* +X43380Y274092D01* +X43686Y274019D01* +X44000Y273994D01* +X44314Y274019D01* +X44620Y274092D01* +X44911Y274212D01* +X45179Y274377D01* +X45419Y274581D01* +X45520Y274700D01* +X55636D01* +Y273300D01* +X48020D01* +X47919Y273419D01* +X47679Y273623D01* +X47411Y273788D01* +X47120Y273908D01* +X46814Y273981D01* +X46500Y274006D01* +X46186Y273981D01* +X45880Y273908D01* +X45589Y273788D01* +X45321Y273623D01* +X45081Y273419D01* +X44877Y273179D01* +X44712Y272911D01* +X44592Y272620D01* +X44519Y272314D01* +X44494Y272000D01* +X44519Y271686D01* +X44592Y271380D01* +X44712Y271089D01* +X44877Y270821D01* +X45081Y270581D01* +X45321Y270377D01* +X45589Y270212D01* +X45880Y270092D01* +X46186Y270019D01* +X46500Y269994D01* +X46814Y270019D01* +X47120Y270092D01* +X47411Y270212D01* +X47679Y270377D01* +X47919Y270581D01* +X48020Y270700D01* +X55636D01* +Y269300D01* +X50520D01* +X50419Y269419D01* +X50179Y269623D01* +X49911Y269788D01* +X49620Y269908D01* +X49314Y269981D01* +X49000Y270006D01* +X48686Y269981D01* +X48380Y269908D01* +X48089Y269788D01* +X47821Y269623D01* +X47581Y269419D01* +X47377Y269179D01* +X47212Y268911D01* +X47092Y268620D01* +X47019Y268314D01* +X46994Y268000D01* +X47019Y267686D01* +X47092Y267380D01* +X47212Y267089D01* +X47377Y266821D01* +X47581Y266581D01* +X47821Y266377D01* +X48089Y266212D01* +X48380Y266092D01* +X48686Y266019D01* +X49000Y265994D01* +X49314Y266019D01* +X49620Y266092D01* +X49911Y266212D01* +X50179Y266377D01* +X50419Y266581D01* +X50520Y266700D01* +X55636D01* +Y265300D01* +X53020D01* +X52919Y265419D01* +X52679Y265623D01* +X52411Y265788D01* +X52120Y265908D01* +X51814Y265981D01* +X51500Y266006D01* +X51186Y265981D01* +X50880Y265908D01* +X50589Y265788D01* +X50321Y265623D01* +X50081Y265419D01* +X49877Y265179D01* +X49712Y264911D01* +X49592Y264620D01* +X49519Y264314D01* +X49494Y264000D01* +X49519Y263686D01* +X49592Y263380D01* +X49712Y263089D01* +X49877Y262821D01* +X50081Y262581D01* +X50321Y262377D01* +X50589Y262212D01* +X50880Y262092D01* +X51186Y262019D01* +X51500Y261994D01* +X51814Y262019D01* +X52120Y262092D01* +X52411Y262212D01* +X52679Y262377D01* +X52919Y262581D01* +X53020Y262700D01* +X55636D01* +Y261300D01* +X55520D01* +X55419Y261419D01* +X55179Y261623D01* +X54911Y261788D01* +X54620Y261908D01* +X54314Y261981D01* +X54000Y262006D01* +X53686Y261981D01* +X53380Y261908D01* +X53089Y261788D01* +X52821Y261623D01* +X52581Y261419D01* +X52377Y261179D01* +X52212Y260911D01* +X52092Y260620D01* +X52019Y260314D01* +X51994Y260000D01* +X52019Y259686D01* +X52092Y259380D01* +X52212Y259089D01* +X52377Y258821D01* +X52581Y258581D01* +X52821Y258377D01* +X53089Y258212D01* +X53380Y258092D01* +X53686Y258019D01* +X54000Y257994D01* +X54314Y258019D01* +X54620Y258092D01* +X54911Y258212D01* +X55179Y258377D01* +X55419Y258581D01* +X55520Y258700D01* +X55636D01* +Y257807D01* +X55589Y257788D01* +X55321Y257623D01* +X55081Y257419D01* +X54877Y257179D01* +X54712Y256911D01* +X54592Y256620D01* +X54519Y256314D01* +X54494Y256000D01* +X54519Y255686D01* +X54592Y255380D01* +X54712Y255089D01* +X54877Y254821D01* +X55081Y254581D01* +X55321Y254377D01* +X55589Y254212D01* +X55636Y254193D01* +Y222849D01* +X54743Y223743D01* +X54038Y224369D01* +X53232Y224863D01* +X52360Y225224D01* +X51442Y225444D01* +X50500Y225519D01* +X49558Y225444D01* +X48640Y225224D01* +X47768Y224863D01* +X47584Y224750D01* +X41002D01* +Y278063D01* +G37* +G36* +Y282700D02*X55636D01* +Y281300D01* +X43020D01* +X42919Y281419D01* +X42679Y281623D01* +X42411Y281788D01* +X42120Y281908D01* +X41814Y281981D01* +X41500Y282006D01* +X41186Y281981D01* +X41002Y281937D01* +Y282700D01* +G37* +G36* +Y286700D02*X55636D01* +Y285300D01* +X41002D01* +Y286700D01* +G37* +G36* +X46364Y391500D02*X55636D01* +Y343023D01* +X55614Y343011D01* +X55520Y342939D01* +X55439Y342854D01* +X55374Y342755D01* +X55106Y342254D01* +X54888Y341729D01* +X54716Y341187D01* +X54593Y340632D01* +X54519Y340068D01* +X54494Y339500D01* +X54519Y338932D01* +X54593Y338368D01* +X54716Y337813D01* +X54888Y337271D01* +X55106Y336746D01* +X55368Y336242D01* +X55435Y336143D01* +X55517Y336057D01* +X55611Y335985D01* +X55636Y335971D01* +Y289300D01* +X46364D01* +Y335977D01* +X46386Y335989D01* +X46480Y336061D01* +X46561Y336146D01* +X46626Y336245D01* +X46894Y336746D01* +X47112Y337271D01* +X47284Y337813D01* +X47407Y338368D01* +X47481Y338932D01* +X47506Y339500D01* +X47481Y340068D01* +X47407Y340632D01* +X47284Y341187D01* +X47112Y341729D01* +X46894Y342254D01* +X46632Y342758D01* +X46565Y342857D01* +X46483Y342943D01* +X46389Y343015D01* +X46364Y343029D01* +Y391500D01* +G37* +G36* +X41002D02*X46364D01* +Y343029D01* +X46284Y343072D01* +X46172Y343111D01* +X46055Y343133D01* +X45937Y343136D01* +X45819Y343120D01* +X45705Y343086D01* +X45598Y343035D01* +X45500Y342968D01* +X45414Y342886D01* +X45342Y342791D01* +X45285Y342687D01* +X45245Y342575D01* +X45224Y342458D01* +X45221Y342339D01* +X45237Y342222D01* +X45270Y342108D01* +X45324Y342002D01* +X45530Y341617D01* +X45697Y341213D01* +X45829Y340796D01* +X45924Y340370D01* +X45981Y339937D01* +X46000Y339500D01* +X45981Y339063D01* +X45924Y338630D01* +X45829Y338204D01* +X45697Y337787D01* +X45530Y337383D01* +X45328Y336996D01* +X45275Y336891D01* +X45241Y336777D01* +X45226Y336660D01* +X45229Y336542D01* +X45250Y336426D01* +X45289Y336315D01* +X45346Y336211D01* +X45417Y336118D01* +X45503Y336036D01* +X45600Y335969D01* +X45707Y335918D01* +X45820Y335885D01* +X45937Y335869D01* +X46055Y335872D01* +X46171Y335894D01* +X46282Y335933D01* +X46364Y335977D01* +Y289300D01* +X41002D01* +Y298384D01* +X41800Y298447D01* +X42581Y298635D01* +X43322Y298942D01* +X44007Y299361D01* +X44617Y299883D01* +X45139Y300493D01* +X45558Y301178D01* +X45865Y301919D01* +X46053Y302700D01* +X46100Y303500D01* +X46053Y304300D01* +X45865Y305081D01* +X45558Y305822D01* +X45139Y306507D01* +X44617Y307117D01* +X44007Y307639D01* +X43322Y308058D01* +X42702Y308315D01* +X44345Y308320D01* +X44682Y308401D01* +X45002Y308534D01* +X45297Y308715D01* +X45560Y308940D01* +X45785Y309203D01* +X45966Y309498D01* +X46099Y309818D01* +X46180Y310155D01* +X46200Y310500D01* +X46180Y316845D01* +X46099Y317182D01* +X45966Y317502D01* +X45785Y317797D01* +X45560Y318060D01* +X45297Y318285D01* +X45002Y318466D01* +X44682Y318599D01* +X44345Y318680D01* +X44000Y318700D01* +X41002Y318690D01* +Y332994D01* +X41568Y333019D01* +X42132Y333093D01* +X42687Y333216D01* +X43229Y333388D01* +X43754Y333606D01* +X44258Y333868D01* +X44357Y333935D01* +X44443Y334017D01* +X44515Y334111D01* +X44572Y334216D01* +X44611Y334328D01* +X44633Y334445D01* +X44636Y334563D01* +X44620Y334681D01* +X44586Y334795D01* +X44535Y334902D01* +X44468Y335000D01* +X44386Y335086D01* +X44291Y335158D01* +X44187Y335215D01* +X44075Y335255D01* +X43958Y335276D01* +X43839Y335279D01* +X43722Y335263D01* +X43608Y335230D01* +X43502Y335176D01* +X43117Y334970D01* +X42713Y334803D01* +X42296Y334671D01* +X41870Y334576D01* +X41437Y334519D01* +X41002Y334500D01* +Y344500D01* +X41437Y344481D01* +X41870Y344424D01* +X42296Y344329D01* +X42713Y344197D01* +X43117Y344030D01* +X43504Y343828D01* +X43609Y343775D01* +X43723Y343741D01* +X43840Y343726D01* +X43958Y343729D01* +X44074Y343750D01* +X44185Y343789D01* +X44289Y343846D01* +X44382Y343917D01* +X44464Y344003D01* +X44531Y344100D01* +X44582Y344207D01* +X44615Y344320D01* +X44631Y344437D01* +X44628Y344555D01* +X44606Y344671D01* +X44567Y344782D01* +X44511Y344886D01* +X44439Y344980D01* +X44354Y345061D01* +X44255Y345126D01* +X43754Y345394D01* +X43229Y345612D01* +X42687Y345784D01* +X42132Y345907D01* +X41568Y345981D01* +X41002Y346006D01* +Y391500D01* +G37* +G36* +X35636Y286193D02*X35880Y286092D01* +X36186Y286019D01* +X36500Y285994D01* +X36814Y286019D01* +X37120Y286092D01* +X37411Y286212D01* +X37679Y286377D01* +X37919Y286581D01* +X38020Y286700D01* +X41002D01* +Y285300D01* +X40520D01* +X40419Y285419D01* +X40179Y285623D01* +X39911Y285788D01* +X39620Y285908D01* +X39314Y285981D01* +X39000Y286006D01* +X38686Y285981D01* +X38380Y285908D01* +X38089Y285788D01* +X37821Y285623D01* +X37581Y285419D01* +X37377Y285179D01* +X37212Y284911D01* +X37092Y284620D01* +X37019Y284314D01* +X36994Y284000D01* +X37019Y283686D01* +X37092Y283380D01* +X37212Y283089D01* +X37377Y282821D01* +X37581Y282581D01* +X37821Y282377D01* +X38089Y282212D01* +X38380Y282092D01* +X38686Y282019D01* +X39000Y281994D01* +X39314Y282019D01* +X39620Y282092D01* +X39911Y282212D01* +X40179Y282377D01* +X40419Y282581D01* +X40520Y282700D01* +X41002D01* +Y281937D01* +X40880Y281908D01* +X40589Y281788D01* +X40321Y281623D01* +X40081Y281419D01* +X39877Y281179D01* +X39712Y280911D01* +X39592Y280620D01* +X39519Y280314D01* +X39494Y280000D01* +X39519Y279686D01* +X39592Y279380D01* +X39712Y279089D01* +X39877Y278821D01* +X40081Y278581D01* +X40321Y278377D01* +X40589Y278212D01* +X40880Y278092D01* +X41002Y278063D01* +Y224750D01* +X35636D01* +Y286193D01* +G37* +G36* +Y391500D02*X41002D01* +Y346006D01* +X41000Y346006D01* +X40432Y345981D01* +X39868Y345907D01* +X39313Y345784D01* +X38771Y345612D01* +X38246Y345394D01* +X37742Y345132D01* +X37643Y345065D01* +X37557Y344983D01* +X37485Y344889D01* +X37428Y344784D01* +X37389Y344672D01* +X37367Y344555D01* +X37364Y344437D01* +X37380Y344319D01* +X37414Y344205D01* +X37465Y344098D01* +X37532Y344000D01* +X37614Y343914D01* +X37709Y343842D01* +X37813Y343785D01* +X37925Y343745D01* +X38042Y343724D01* +X38161Y343721D01* +X38278Y343737D01* +X38392Y343770D01* +X38498Y343824D01* +X38883Y344030D01* +X39287Y344197D01* +X39704Y344329D01* +X40130Y344424D01* +X40563Y344481D01* +X41000Y344500D01* +X41002Y344500D01* +Y334500D01* +X41000Y334500D01* +X40563Y334519D01* +X40130Y334576D01* +X39704Y334671D01* +X39287Y334803D01* +X38883Y334970D01* +X38496Y335172D01* +X38391Y335225D01* +X38277Y335259D01* +X38160Y335274D01* +X38042Y335271D01* +X37926Y335250D01* +X37815Y335211D01* +X37711Y335154D01* +X37618Y335083D01* +X37536Y334997D01* +X37469Y334900D01* +X37418Y334793D01* +X37385Y334680D01* +X37369Y334563D01* +X37372Y334445D01* +X37394Y334329D01* +X37433Y334218D01* +X37489Y334114D01* +X37561Y334020D01* +X37646Y333939D01* +X37745Y333874D01* +X38246Y333606D01* +X38771Y333388D01* +X39313Y333216D01* +X39868Y333093D01* +X40432Y333019D01* +X41000Y332994D01* +X41002Y332994D01* +Y318690D01* +X37655Y318680D01* +X37318Y318599D01* +X36998Y318466D01* +X36703Y318285D01* +X36440Y318060D01* +X36215Y317797D01* +X36034Y317502D01* +X35901Y317182D01* +X35820Y316845D01* +X35800Y316500D01* +X35820Y310155D01* +X35901Y309818D01* +X36034Y309498D01* +X36215Y309203D01* +X36440Y308940D01* +X36703Y308715D01* +X36998Y308534D01* +X37318Y308401D01* +X37655Y308320D01* +X38000Y308300D01* +X39271Y308304D01* +X38678Y308058D01* +X37993Y307639D01* +X37383Y307117D01* +X36861Y306507D01* +X36442Y305822D01* +X36135Y305081D01* +X35947Y304300D01* +X35884Y303500D01* +X35947Y302700D01* +X36135Y301919D01* +X36442Y301178D01* +X36861Y300493D01* +X37383Y299883D01* +X37993Y299361D01* +X38678Y298942D01* +X39419Y298635D01* +X40200Y298447D01* +X41000Y298384D01* +X41002Y298384D01* +Y289300D01* +X38020D01* +X37919Y289419D01* +X37679Y289623D01* +X37411Y289788D01* +X37120Y289908D01* +X36814Y289981D01* +X36500Y290006D01* +X36186Y289981D01* +X35880Y289908D01* +X35636Y289807D01* +Y335971D01* +X35716Y335928D01* +X35828Y335889D01* +X35945Y335867D01* +X36063Y335864D01* +X36181Y335880D01* +X36295Y335914D01* +X36402Y335965D01* +X36500Y336032D01* +X36586Y336114D01* +X36658Y336209D01* +X36715Y336313D01* +X36755Y336425D01* +X36776Y336542D01* +X36779Y336661D01* +X36763Y336778D01* +X36730Y336892D01* +X36676Y336998D01* +X36470Y337383D01* +X36303Y337787D01* +X36171Y338204D01* +X36076Y338630D01* +X36019Y339063D01* +X36000Y339500D01* +X36019Y339937D01* +X36076Y340370D01* +X36171Y340796D01* +X36303Y341213D01* +X36470Y341617D01* +X36672Y342004D01* +X36725Y342109D01* +X36759Y342223D01* +X36774Y342340D01* +X36771Y342458D01* +X36750Y342574D01* +X36711Y342685D01* +X36654Y342789D01* +X36583Y342882D01* +X36497Y342964D01* +X36400Y343031D01* +X36293Y343082D01* +X36180Y343115D01* +X36063Y343131D01* +X35945Y343128D01* +X35829Y343106D01* +X35718Y343067D01* +X35636Y343023D01* +Y371199D01* +X36601Y371791D01* +X37738Y372762D01* +X38709Y373899D01* +X39491Y375174D01* +X40063Y376555D01* +X40412Y378009D01* +X40500Y379500D01* +X40412Y380991D01* +X40063Y382445D01* +X39491Y383826D01* +X38709Y385101D01* +X37738Y386238D01* +X36601Y387209D01* +X35636Y387801D01* +Y391500D01* +G37* +G36* +Y289807D02*X35589Y289788D01* +X35321Y289623D01* +X35081Y289419D01* +X34877Y289179D01* +X34712Y288911D01* +X34592Y288620D01* +X34519Y288314D01* +X34494Y288000D01* +X34519Y287686D01* +X34592Y287380D01* +X34712Y287089D01* +X34877Y286821D01* +X35081Y286581D01* +X35321Y286377D01* +X35589Y286212D01* +X35636Y286193D01* +Y224750D01* +X30985D01* +Y369972D01* +X31000Y369971D01* +X32491Y370088D01* +X33945Y370437D01* +X35326Y371009D01* +X35636Y371199D01* +Y343023D01* +X35614Y343011D01* +X35520Y342939D01* +X35439Y342854D01* +X35374Y342755D01* +X35106Y342254D01* +X34888Y341729D01* +X34716Y341187D01* +X34593Y340632D01* +X34519Y340068D01* +X34494Y339500D01* +X34519Y338932D01* +X34593Y338368D01* +X34716Y337813D01* +X34888Y337271D01* +X35106Y336746D01* +X35368Y336242D01* +X35435Y336143D01* +X35517Y336057D01* +X35611Y335985D01* +X35636Y335971D01* +Y289807D01* +G37* +G36* +X30985Y391500D02*X35636D01* +Y387801D01* +X35326Y387991D01* +X33945Y388563D01* +X32491Y388912D01* +X31000Y389029D01* +X30985Y389028D01* +Y391500D01* +G37* +G36* +X55636Y2000D02*X30985D01* +Y220250D01* +X44541D01* +X44481Y219500D01* +X44556Y218558D01* +X44776Y217640D01* +X45137Y216768D01* +X45631Y215962D01* +X46257Y215257D01* +X55636Y205878D01* +Y2000D01* +G37* +G36* +X30985D02*X20990D01* +Y20450D01* +X21960Y21045D01* +X23575Y22425D01* +X24955Y24040D01* +X26066Y25852D01* +X26879Y27815D01* +X27375Y29882D01* +X27500Y32000D01* +X27375Y34118D01* +X26879Y36185D01* +X26066Y38148D01* +X24955Y39960D01* +X23575Y41575D01* +X21960Y42955D01* +X20990Y43550D01* +Y195450D01* +X21960Y196045D01* +X23575Y197425D01* +X24955Y199040D01* +X26066Y200852D01* +X26879Y202815D01* +X27375Y204882D01* +X27500Y207000D01* +X27375Y209118D01* +X26879Y211185D01* +X26066Y213148D01* +X24955Y214960D01* +X23575Y216575D01* +X21960Y217955D01* +X20990Y218550D01* +Y332981D01* +X21000Y332980D01* +X22020Y333060D01* +X23015Y333299D01* +X23960Y333691D01* +X24832Y334225D01* +X25610Y334890D01* +X26275Y335668D01* +X26809Y336540D01* +X27201Y337485D01* +X27440Y338480D01* +X27500Y339500D01* +X27440Y340520D01* +X27201Y341515D01* +X26809Y342460D01* +X26275Y343332D01* +X25610Y344110D01* +X24832Y344775D01* +X23960Y345309D01* +X23015Y345701D01* +X22020Y345940D01* +X21000Y346020D01* +X20990Y346019D01* +Y391500D01* +X30985D01* +Y389028D01* +X29509Y388912D01* +X28055Y388563D01* +X26674Y387991D01* +X25399Y387209D01* +X24262Y386238D01* +X23291Y385101D01* +X22509Y383826D01* +X21937Y382445D01* +X21588Y380991D01* +X21471Y379500D01* +X21588Y378009D01* +X21937Y376555D01* +X22509Y375174D01* +X23291Y373899D01* +X24262Y372762D01* +X25399Y371791D01* +X26674Y371009D01* +X28055Y370437D01* +X29509Y370088D01* +X30985Y369972D01* +Y224750D01* +X30312D01* +X30246Y224858D01* +X29837Y225337D01* +X29358Y225746D01* +X28822Y226075D01* +X28240Y226316D01* +X27628Y226463D01* +X27000Y226512D01* +X26372Y226463D01* +X25760Y226316D01* +X25178Y226075D01* +X24642Y225746D01* +X24163Y225337D01* +X23754Y224858D01* +X23425Y224322D01* +X23184Y223740D01* +X23037Y223128D01* +X22988Y222500D01* +X23037Y221872D01* +X23184Y221260D01* +X23425Y220678D01* +X23754Y220142D01* +X24163Y219663D01* +X24642Y219254D01* +X25178Y218925D01* +X25760Y218684D01* +X26372Y218537D01* +X27000Y218488D01* +X27628Y218537D01* +X28240Y218684D01* +X28822Y218925D01* +X29358Y219254D01* +X29837Y219663D01* +X30246Y220142D01* +X30312Y220250D01* +X30985D01* +Y2000D01* +G37* +G36* +X20990Y218550D02*X20148Y219066D01* +X18185Y219879D01* +X16118Y220375D01* +X14000Y220542D01* +X11882Y220375D01* +X10989Y220161D01* +Y261500D01* +X18000D01* +Y300000D01* +X10989D01* +Y342479D01* +X11000Y342478D01* +X12098Y342565D01* +X13170Y342822D01* +X14188Y343244D01* +X15127Y343819D01* +X15965Y344535D01* +X16681Y345373D01* +X17256Y346312D01* +X17678Y347330D01* +X17935Y348402D01* +X18000Y349500D01* +X17935Y350598D01* +X17678Y351670D01* +X17256Y352688D01* +X16681Y353627D01* +X15965Y354465D01* +X15127Y355181D01* +X14188Y355756D01* +X13170Y356178D01* +X12098Y356435D01* +X11000Y356522D01* +X10989Y356521D01* +Y391500D01* +X20990D01* +Y346019D01* +X19980Y345940D01* +X18985Y345701D01* +X18040Y345309D01* +X17168Y344775D01* +X16390Y344110D01* +X15725Y343332D01* +X15191Y342460D01* +X14799Y341515D01* +X14560Y340520D01* +X14480Y339500D01* +X14560Y338480D01* +X14799Y337485D01* +X15191Y336540D01* +X15725Y335668D01* +X16390Y334890D01* +X17168Y334225D01* +X18040Y333691D01* +X18985Y333299D01* +X19980Y333060D01* +X20990Y332981D01* +Y218550D01* +G37* +G36* +X10989Y300000D02*X2000D01* +Y391500D01* +X10989D01* +Y356521D01* +X9902Y356435D01* +X8830Y356178D01* +X7812Y355756D01* +X6873Y355181D01* +X6035Y354465D01* +X5319Y353627D01* +X4744Y352688D01* +X4322Y351670D01* +X4065Y350598D01* +X3978Y349500D01* +X4065Y348402D01* +X4322Y347330D01* +X4744Y346312D01* +X5319Y345373D01* +X6035Y344535D01* +X6873Y343819D01* +X7812Y343244D01* +X8830Y342822D01* +X9902Y342565D01* +X10989Y342479D01* +Y300000D01* +G37* +G36* +Y220161D02*X9815Y219879D01* +X7852Y219066D01* +X6040Y217955D01* +X4425Y216575D01* +X3045Y214960D01* +X2000Y213255D01* +Y261500D01* +X10989D01* +Y220161D01* +G37* +G36* +X20990Y43550D02*X20148Y44066D01* +X18185Y44879D01* +X16118Y45375D01* +X14000Y45542D01* +X11882Y45375D01* +X9815Y44879D01* +X7852Y44066D01* +X6040Y42955D01* +X4425Y41575D01* +X3045Y39960D01* +X2000Y38255D01* +Y200745D01* +X3045Y199040D01* +X4425Y197425D01* +X6040Y196045D01* +X7852Y194934D01* +X9815Y194121D01* +X11882Y193625D01* +X14000Y193458D01* +X16118Y193625D01* +X18185Y194121D01* +X20148Y194934D01* +X20990Y195450D01* +Y43550D01* +G37* +G36* +Y2000D02*X2000D01* +Y25745D01* +X3045Y24040D01* +X4425Y22425D01* +X6040Y21045D01* +X7852Y19934D01* +X9815Y19121D01* +X11882Y18625D01* +X14000Y18458D01* +X16118Y18625D01* +X18185Y19121D01* +X20148Y19934D01* +X20990Y20450D01* +Y2000D01* +G37* +G54D41*X194437Y19102D02*Y14063D01* +X194500Y14000D01* +G54D42*X193906Y180906D02*X194000Y181000D01* +X202500Y194000D02*X203000Y193500D01* +G54D43*X249000D02*X256000D01* +X254000D02*X294500D01* +G54D42*X153000Y199000D02*Y193500D01* +X231000Y199000D02*Y193500D01* +X291500Y199000D02*Y193500D01* +X214000Y126000D02*X212000D01* +G54D43*X347000Y93500D02*Y141000D01* +X294500Y193500D02*X347000Y141000D01* +G54D41*X248000Y97000D02*X246622Y98378D01* +G54D42*X212000Y126000D02*X210500Y127500D01* +Y134000D01* +X207000Y137500D01* +X217500Y145500D02*Y144000D01* +X212750Y139250D01* +G54D41*X252000Y15000D02*Y29500D01* +X248000Y77500D02*Y97000D01* +X252000Y29500D02*X253500Y31000D01* +X277500D01* +X247500Y15000D02*Y36000D01* +X243000Y41500D02*X244500Y43000D01* +X234500Y15000D02*Y48000D01* +X243000Y41500D02*Y15000D01* +X247500Y36000D02*X248500Y37000D01* +X277500D01* +X244500Y43000D02*X277500D01* +X236000Y49500D02*X277500D01* +X227000Y55500D02*X277500D01* +X217500Y62000D02*X277500D01* +X234500Y48000D02*X236000Y49500D01* +X225500Y15000D02*Y54000D01* +X227000Y55500D01* +X216000Y60500D02*X217500Y62000D01* +X216000Y60500D02*Y22500D01* +G54D43*X163000Y137500D02*X171000D01* +X169500D02*X211000D01* +X212000Y138500D01* +G54D42*X189500Y138000D02*X189000Y137500D01* +G54D43*X162500D02*X162000D01* +G54D42*X168000Y143500D02*X162500Y138000D01* +G54D43*X162000Y137500D02*X141500Y158000D01* +G54D42*X154000Y156000D02*X148750Y150750D01* +X168000Y143500D02*Y148000D01* +G54D43*X178750Y135750D02*X177000Y137500D01* +G54D42*X189500Y147000D02*Y138000D01* +X186500Y97000D02*X178750Y104750D01* +G54D43*Y135750D01* +G54D42*X170500Y97500D02*X171250D01* +X178750Y105000D01* +G54D43*X141500Y158000D02*X110000D01* +G54D42*X116000D02*Y156500D01* +G54D41*X101550Y105950D02*X111800D01* +X112250Y105500D01* +G54D44*X111500Y65000D02*Y158000D01* +G54D42*Y55500D02*Y63500D01* +G54D41*X202500Y284000D02*X39000D01* +X212500Y280000D02*X41500D01* +X222500Y276000D02*X44000D01* +X232500Y272000D02*X46500D01* +X193000Y288000D02*X36500D01* +X242500Y268000D02*X49000D01* +X51500Y264000D02*X235500D01* +X225500Y260000D02*X54000D01* +X56500Y256000D02*X216000D01* +G54D43*X249500Y193500D02*X77000D01* +G54D41*X132000Y349500D02*X127000Y344500D01* +X122000Y349500D02*X115500Y343000D01* +X127000Y344500D02*Y323500D01* +X115500Y343000D02*Y327500D01* +X114500Y326500D02*X88000D01* +X127000Y323500D02*X126000Y322500D01* +X90500D01* +X115500Y327500D02*X114500Y326500D01* +X136000Y318500D02*X93000D01* +X146000Y314500D02*X95500D01* +X156000Y310500D02*X98000D01* +X165500Y306500D02*X100500D01* +X175500Y302500D02*X103000D01* +X185500Y298500D02*X105500D01* +X196000Y294500D02*X108000D01* +X142000Y349500D02*X137000Y344500D01* +X152000Y349500D02*X147000Y344500D01* +X162000Y349500D02*X157000Y344500D01* +X172000Y349500D02*X167000Y344500D01* +X137000D02*Y319500D01* +X136000Y318500D01* +X147000Y344500D02*Y315500D01* +X146000Y314500D01* +X157000Y344500D02*Y311500D01* +X156000Y310500D01* +X167000Y344500D02*Y308000D01* +X182000Y349500D02*X177000Y344500D01* +X192000Y349500D02*X187000Y344500D01* +X202000Y349500D02*X197000Y344500D01* +X167000Y308000D02*X165500Y306500D01* +X177000Y344500D02*Y304000D01* +X175500Y302500D01* +X187000Y344500D02*Y300000D01* +X185500Y298500D01* +X197000Y344500D02*Y295500D01* +X196000Y294500D01* +G54D42*X205000Y246000D02*Y242000D01* +X209000Y238000D01* +G54D43*X74000Y213000D02*Y198000D01* +X73250Y197250D01* +X77000Y193500D02*X75750Y194750D01* +G54D42*X27000Y222500D02*X48000D01* +X49250Y221250D01* +G54D44*X112000Y158000D02*X50500Y219500D01* +G54D41*X272000Y349500D02*X271500D01* +X292000D02*X291500D01* +X302000D02*X301500D01* +X312000D02*X311500D01* +X266000Y288000D02*X188500D01* +X270000Y284000D02*X200500D01* +X274500Y280000D02*X211000D01* +G54D42*X209000Y238000D02*X307000D01* +Y246000D01* +G54D41*X217000Y255000D02*X216000Y256000D01* +X217000Y246000D02*Y255000D01* +X227000Y246000D02*Y258500D01* +X225500Y260000D01* +X237000Y246000D02*Y262500D01* +X235500Y264000D01* +X247000Y246000D02*Y266500D01* +X245500Y268000D01* +X242000D01* +X257000Y246000D02*Y270500D01* +X255500Y272000D01* +X267000Y246000D02*Y274500D01* +X265500Y276000D01* +X231500Y272000D02*X255500D01* +X221500Y276000D02*X265500D01* +X277000Y246000D02*Y279000D01* +X276000Y280000D01* +X273000D01* +X287000Y246000D02*Y282500D01* +X297000Y246000D02*Y287000D01* +X296000Y288000D01* +X287000Y282500D02*X285500Y284000D01* +X269000D01* +X296000Y288000D02*X263500D01* +G54D43*X362000Y302500D02*Y251000D01* +X369500Y306500D02*X366000D01* +X362000Y302500D01* +X348000Y203000D02*Y237000D01* +X362000Y251000D01* +G54D45*X132000Y349500D03* +X142000D03* +X152000D03* +X162000D03* +X172000D03* +X182000D03* +X162000Y339500D03* +X172000D03* +X182000D03* +X192000Y349500D03* +X202000D03* +X212000D03* +X192000Y339500D03* +X202000D03* +X212000D03* +X222000D03* +Y349500D03* +X232000D03* +X242000D03* +X232000Y339500D03* +X242000D03* +X252000D03* +X262000D03* +X272000D03* +X282000D03* +X252000Y349500D03* +X262000D03* +X272000D03* +X282000D03* +X292000Y339500D03* +X302000D03* +X312000D03* +X292000Y349500D03* +X302000D03* +X312000D03* +X322000D03* +X332000D03* +X342000D03* +X322000Y339500D03* +X332000D03* +X342000D03* +X352000D03* +Y349500D03* +G54D40*G36* +X359000Y352500D02*Y346500D01* +X365000D01* +Y352500D01* +X359000D01* +G37* +G54D45*X362000Y339500D03* +G54D40*G36* +X304000Y249000D02*Y243000D01* +X310000D01* +Y249000D01* +X304000D01* +G37* +G54D45*X297000Y246000D03* +X287000D03* +X277000D03* +X267000D03* +X257000D03* +X247000D03* +X237000D03* +X227000D03* +X217000D03* +G54D40*G36* +X202000Y249000D02*Y243000D01* +X208000D01* +Y249000D01* +X202000D01* +G37* +G54D45*X195000Y246000D03* +X185000D03* +X175000D03* +X165000D03* +X155000D03* +X145000D03* +X135000D03* +X125000D03* +X115000D03* +X122000Y339500D03* +Y349500D03* +X132000Y339500D03* +X142000D03* +X152000D03* +G54D44*X61000D03* +X81000D03* +G54D46*X91000Y349500D03* +G54D47*X31000Y379500D03* +X71000D03* +G54D44*X21000Y339500D03* +X41000D03* +G54D46*X11000Y349500D03* +G54D40*G36* +X38000Y316500D02*Y310500D01* +X44000D01* +Y316500D01* +X38000D01* +G37* +G54D45*X41000Y303500D03* +G54D48*X172500Y81500D03* +X197000Y67000D03* +X178000Y24000D03* +G54D49*X14000Y32000D03* +G54D45*X111500Y55500D03* +G54D48*X277500Y31000D03* +G54D49*X370000Y32000D03* +G54D48*X277500Y37000D03* +Y43000D03* +Y49500D03* +Y55500D03* +Y62000D03* +X276500Y6500D03* +G54D45*X347000Y93500D03* +G54D48*X362500Y92000D03* +G54D45*X329000Y93500D03* +G54D48*X252000Y15000D03* +X225500D03* +X234500D03* +X243000D03* +X247500D03* +X230000D03* +X212000Y10500D03* +X216000Y22500D03* +X248000Y77500D03* +X255000Y78000D03* +X242000Y90500D03* +X291500Y199000D03* +X250000Y224500D03* +X254500Y166500D03* +X246622Y98378D03* +X216500Y118000D03* +X231000Y111000D03* +X214000Y126000D03* +X216500Y106500D03* +X240500Y125500D03* +X231000Y199000D03* +X347000Y194500D03* +X293000Y214000D03* +G54D49*X370000Y207000D03* +G54D45*X329500Y203000D03* +X348000D03* +G54D48*X231500Y215500D03* +G54D50*X44000Y276000D03* +X46500Y272000D03* +X49000Y268000D03* +X51500Y264000D03* +X54000Y260000D03* +X56500Y256000D03* +X36500Y288000D03* +X39000Y284000D03* +X41500Y280000D03* +G54D45*X74000Y213000D03* +X74500Y239000D03* +G54D49*X14000Y207000D03* +G54D45*X27000Y222500D03* +G54D48*X217500Y145500D03* +X204000Y144000D03* +X216500Y130000D03* +X168000Y148000D03* +X193500Y173500D03* +X153500Y215000D03* +X154000Y156000D03* +X189500Y224500D03* +X151000Y122000D03* +X168000Y128000D03* +Y122000D03* +X101500Y106000D03* +X191000Y128000D03* +X186500Y97000D03* +X189500Y101500D03* +X170500Y97500D03* +X189500Y147000D03* +X126500Y152500D03* +X153000Y199000D03* +X112500Y224500D03* +G54D50*X93000Y318500D03* +X90500Y322500D03* +X88000Y326500D03* +X95500Y314500D03* +X98000Y310500D03* +X100500Y306500D03* +X103000Y302500D03* +X105500Y298500D03* +X108000Y294500D03* +G54D45*X369500Y306500D03* +G54D48*X111500Y252500D03* +X120000D03* +X140000D03* +X130000D03* +X150000D03* +X170000D03* +X160000Y252000D03* +X180000Y252500D03* +X190000D03* +X199000D03* +X211000D03* +X222000D03* +X232000D03* +X242000D03* +X252000D03* +X262000D03* +X272000D03* +X282000D03* +X292000D03* +X306000Y274000D03* +G54D51*G54D52*G54D53*G54D51*G54D52*G54D51*G54D53*G54D51*G54D53*G54D51*G54D52*G54D53*G54D51*G54D53*G54D52*G54D53*G54D51*G54D53*G54D51*G54D54*G54D48*G54D54*G54D45*G54D44*G54D55*G54D45*G54D44*G54D54*M02* diff --git a/hardware/gerber/scsi2sd.GBO b/hardware/gerber/scsi2sd.GBO new file mode 100644 index 0000000..a5f8e2e --- /dev/null +++ b/hardware/gerber/scsi2sd.GBO @@ -0,0 +1,13 @@ +G04 start of page 14 for group -4078 idx -4078 * +G04 Title: (unknown), bottomsilk * +G04 Creator: pcb 20110918 * +G04 CreationDate: Wed 02 Oct 2013 12:06:16 GMT UTC * +G04 For: michael * +G04 Format: Gerber/RS-274X * +G04 PCB-Dimensions: 384000 393500 * +G04 PCB-Coordinate-Origin: lower left * +%MOIN*% +%FSLAX25Y25*% +%LNBOTTOMSILK*% +%ADD11C,0.0100*% +M02* diff --git a/hardware/gerber/scsi2sd.GBS b/hardware/gerber/scsi2sd.GBS new file mode 100644 index 0000000..7492611 --- /dev/null +++ b/hardware/gerber/scsi2sd.GBS @@ -0,0 +1,116 @@ +G04 start of page 8 for group -4062 idx -4062 * +G04 Title: (unknown), soldermask * +G04 Creator: pcb 20110918 * +G04 CreationDate: Wed 02 Oct 2013 12:02:10 GMT UTC * +G04 For: michael * +G04 Format: Gerber/RS-274X * +G04 PCB-Dimensions: 384000 393500 * +G04 PCB-Coordinate-Origin: lower left * +%MOIN*% +%FSLAX25Y25*% +%LNBOTTOMMASK*% +%ADD98C,0.1600*% +%ADD97C,0.1100*% +%ADD96C,0.0001*% +%ADD95C,0.0660*% +G54D95*X132000Y349500D03* +X142000D03* +X152000D03* +X162000D03* +X172000D03* +X182000D03* +X162000Y339500D03* +X172000D03* +X182000D03* +X192000Y349500D03* +X202000D03* +X212000D03* +X192000Y339500D03* +X202000D03* +X212000D03* +X222000D03* +Y349500D03* +X232000D03* +X242000D03* +X232000Y339500D03* +X242000D03* +X252000D03* +X262000D03* +X272000D03* +X282000D03* +X252000Y349500D03* +X262000D03* +X272000D03* +X282000D03* +X292000Y339500D03* +X302000D03* +X312000D03* +X292000Y349500D03* +X302000D03* +X312000D03* +X322000D03* +X332000D03* +X342000D03* +X322000Y339500D03* +X332000D03* +X342000D03* +X352000D03* +Y349500D03* +G54D96*G36* +X358700Y352800D02*Y346200D01* +X365300D01* +Y352800D01* +X358700D01* +G37* +G54D95*X362000Y339500D03* +G54D96*G36* +X303700Y249300D02*Y242700D01* +X310300D01* +Y249300D01* +X303700D01* +G37* +G54D95*X297000Y246000D03* +X287000D03* +X277000D03* +X267000D03* +X257000D03* +X247000D03* +X237000D03* +X227000D03* +X217000D03* +G54D96*G36* +X201700Y249300D02*Y242700D01* +X208300D01* +Y249300D01* +X201700D01* +G37* +G54D95*X195000Y246000D03* +X185000D03* +X175000D03* +X165000D03* +X155000D03* +X145000D03* +X135000D03* +X125000D03* +X115000D03* +X122000Y339500D03* +Y349500D03* +X132000Y339500D03* +X142000D03* +X152000D03* +G54D97*X61000D03* +X81000D03* +X91000Y349500D03* +G54D98*X31000Y379500D03* +X71000D03* +G54D97*X21000Y339500D03* +X41000D03* +X11000Y349500D03* +G54D96*G36* +X37700Y316800D02*Y310200D01* +X44300D01* +Y316800D01* +X37700D01* +G37* +G54D95*X41000Y303500D03* +M02* diff --git a/hardware/gerber/scsi2sd.GTL b/hardware/gerber/scsi2sd.GTL new file mode 100644 index 0000000..c156d1d --- /dev/null +++ b/hardware/gerber/scsi2sd.GTL @@ -0,0 +1,45027 @@ +G04 start of page 2 for group 0 idx 0 * +G04 Title: (unknown), component * +G04 Creator: pcb 20110918 * +G04 CreationDate: Wed 02 Oct 2013 12:02:09 GMT UTC * +G04 For: michael * +G04 Format: Gerber/RS-274X * +G04 PCB-Dimensions: 384000 393500 * +G04 PCB-Coordinate-Origin: lower left * +%MOIN*% +%FSLAX25Y25*% +%LNTOP*% +%ADD39C,0.1570*% +%ADD38C,0.0380*% +%ADD37C,0.0350*% +%ADD36C,0.1250*% +%ADD35C,0.0120*% +%ADD34C,0.0240*% +%ADD33C,0.2500*% +%ADD32C,0.0280*% +%ADD31C,0.0290*% +%ADD30R,0.0315X0.0315*% +%ADD29R,0.0906X0.0906*% +%ADD28R,0.0600X0.0600*% +%ADD27R,0.0709X0.0709*% +%ADD26R,0.0630X0.0630*% +%ADD25R,0.0158X0.0158*% +%ADD24R,0.0650X0.0650*% +%ADD23R,0.0200X0.0200*% +%ADD22R,0.0551X0.0551*% +%ADD21R,0.0110X0.0110*% +%ADD20R,0.0450X0.0450*% +%ADD19C,0.1600*% +%ADD18C,0.1100*% +%ADD17C,0.1000*% +%ADD16C,0.0600*% +%ADD15C,0.0080*% +%ADD14C,0.0250*% +%ADD13C,0.0500*% +%ADD12C,0.0100*% +%ADD11C,0.0001*% +G54D11*G36* +X226000Y160500D02*X216422D01* +Y178084D01* +X216538Y178200D01* +X226000D01* +Y160500D01* +G37* +G36* +X182326Y159052D02*X182209Y159059D01* +X180872Y159045D01* +X180673Y158998D01* +X180475Y159045D01* +X180240Y159059D01* +X179021Y159046D01* +Y166228D01* +X179025Y166279D01* +X179009Y166483D01* +X179009Y166483D01* +X178973Y166634D01* +X178961Y166682D01* +X178929Y166760D01* +X178883Y166871D01* +X178841Y166939D01* +X178776Y167045D01* +X178776Y167046D01* +X178643Y167201D01* +X178604Y167234D01* +X178000Y167838D01* +Y168200D01* +X182326D01* +Y159052D01* +G37* +G36* +X195247Y176200D02*X195462D01* +X196106Y175556D01* +Y159052D01* +X195988Y159059D01* +X195247Y159051D01* +Y172500D01* +X195249Y172500D01* +X195310Y172513D01* +X195369Y172536D01* +X195423Y172567D01* +X195472Y172607D01* +X195515Y172654D01* +X195549Y172706D01* +X195573Y172764D01* +X195638Y172969D01* +X195679Y173179D01* +X195700Y173393D01* +Y173607D01* +X195679Y173821D01* +X195638Y174031D01* +X195576Y174237D01* +X195550Y174294D01* +X195516Y174347D01* +X195474Y174394D01* +X195424Y174434D01* +X195370Y174466D01* +X195311Y174488D01* +X195249Y174501D01* +X195247Y174501D01* +Y176200D01* +G37* +G36* +X193501Y159421D02*X193579Y159421D01* +X193670Y159443D01* +X193758Y159479D01* +X193838Y159529D01* +X193910Y159590D01* +X193971Y159662D01* +X194021Y159742D01* +X194057Y159830D01* +X194079Y159921D01* +X194084Y160016D01* +X194079Y162079D01* +X194057Y162170D01* +X194021Y162258D01* +X193971Y162338D01* +X193910Y162410D01* +X193838Y162471D01* +X193758Y162521D01* +X193670Y162557D01* +X193579Y162579D01* +X193501Y162584D01* +Y163358D01* +X193579Y163358D01* +X193670Y163380D01* +X193758Y163416D01* +X193838Y163466D01* +X193910Y163527D01* +X193971Y163599D01* +X194021Y163679D01* +X194057Y163767D01* +X194079Y163858D01* +X194084Y163952D01* +X194079Y166016D01* +X194057Y166107D01* +X194021Y166195D01* +X193971Y166275D01* +X193910Y166347D01* +X193838Y166408D01* +X193758Y166458D01* +X193670Y166494D01* +X193579Y166516D01* +X193501Y166521D01* +Y171300D01* +X193607D01* +X193821Y171321D01* +X194031Y171362D01* +X194237Y171424D01* +X194294Y171450D01* +X194347Y171484D01* +X194394Y171526D01* +X194434Y171576D01* +X194466Y171630D01* +X194488Y171689D01* +X194501Y171751D01* +X194505Y171814D01* +X194498Y171877D01* +X194482Y171938D01* +X194456Y171996D01* +X194421Y172049D01* +X194379Y172096D01* +X194330Y172136D01* +X194275Y172167D01* +X194216Y172190D01* +X194154Y172203D01* +X194091Y172206D01* +X194028Y172200D01* +X193968Y172182D01* +X193838Y172141D01* +X193704Y172115D01* +X193568Y172102D01* +X193501D01* +Y174898D01* +X193568D01* +X193704Y174885D01* +X193838Y174859D01* +X193968Y174819D01* +X194029Y174802D01* +X194091Y174795D01* +X194154Y174799D01* +X194216Y174812D01* +X194274Y174834D01* +X194329Y174866D01* +X194378Y174905D01* +X194420Y174952D01* +X194454Y175005D01* +X194480Y175062D01* +X194496Y175123D01* +X194503Y175186D01* +X194500Y175249D01* +X194487Y175310D01* +X194464Y175369D01* +X194433Y175423D01* +X194393Y175472D01* +X194346Y175515D01* +X194294Y175549D01* +X194236Y175573D01* +X194031Y175638D01* +X193821Y175679D01* +X193607Y175700D01* +X193501D01* +Y176200D01* +X195247D01* +Y174501D01* +X195186Y174505D01* +X195123Y174498D01* +X195062Y174482D01* +X195004Y174456D01* +X194951Y174421D01* +X194904Y174379D01* +X194864Y174330D01* +X194833Y174275D01* +X194810Y174216D01* +X194797Y174154D01* +X194794Y174091D01* +X194800Y174028D01* +X194818Y173968D01* +X194859Y173838D01* +X194885Y173704D01* +X194898Y173568D01* +Y173432D01* +X194885Y173296D01* +X194859Y173162D01* +X194819Y173032D01* +X194802Y172971D01* +X194795Y172909D01* +X194799Y172846D01* +X194812Y172784D01* +X194834Y172726D01* +X194866Y172671D01* +X194905Y172622D01* +X194952Y172580D01* +X195005Y172546D01* +X195062Y172520D01* +X195123Y172504D01* +X195186Y172497D01* +X195247Y172500D01* +Y159051D01* +X194651Y159045D01* +X194453Y158998D01* +X194255Y159045D01* +X194020Y159059D01* +X193501Y159054D01* +Y159421D01* +G37* +G36* +X191753Y176200D02*X193501D01* +Y175700D01* +X193393D01* +X193179Y175679D01* +X192969Y175638D01* +X192763Y175576D01* +X192706Y175550D01* +X192653Y175516D01* +X192606Y175474D01* +X192566Y175424D01* +X192534Y175370D01* +X192512Y175311D01* +X192499Y175249D01* +X192495Y175186D01* +X192502Y175123D01* +X192518Y175062D01* +X192544Y175004D01* +X192579Y174951D01* +X192621Y174904D01* +X192670Y174864D01* +X192725Y174833D01* +X192784Y174810D01* +X192846Y174797D01* +X192909Y174794D01* +X192972Y174800D01* +X193032Y174818D01* +X193162Y174859D01* +X193296Y174885D01* +X193432Y174898D01* +X193501D01* +Y172102D01* +X193432D01* +X193296Y172115D01* +X193162Y172141D01* +X193032Y172181D01* +X192971Y172198D01* +X192909Y172205D01* +X192846Y172201D01* +X192784Y172188D01* +X192726Y172166D01* +X192671Y172134D01* +X192622Y172095D01* +X192580Y172048D01* +X192546Y171995D01* +X192520Y171938D01* +X192504Y171877D01* +X192497Y171814D01* +X192500Y171751D01* +X192513Y171690D01* +X192536Y171631D01* +X192567Y171577D01* +X192607Y171528D01* +X192654Y171485D01* +X192706Y171451D01* +X192764Y171427D01* +X192969Y171362D01* +X193179Y171321D01* +X193393Y171300D01* +X193501D01* +Y166521D01* +X193484Y166522D01* +X191753Y166517D01* +Y172499D01* +X191814Y172495D01* +X191877Y172502D01* +X191938Y172518D01* +X191996Y172544D01* +X192049Y172579D01* +X192096Y172621D01* +X192136Y172670D01* +X192167Y172725D01* +X192190Y172784D01* +X192203Y172846D01* +X192206Y172909D01* +X192200Y172972D01* +X192182Y173032D01* +X192141Y173162D01* +X192115Y173296D01* +X192102Y173432D01* +Y173568D01* +X192115Y173704D01* +X192141Y173838D01* +X192181Y173968D01* +X192198Y174029D01* +X192205Y174091D01* +X192201Y174154D01* +X192188Y174216D01* +X192166Y174274D01* +X192134Y174329D01* +X192095Y174378D01* +X192048Y174420D01* +X191995Y174454D01* +X191938Y174480D01* +X191877Y174496D01* +X191814Y174503D01* +X191753Y174500D01* +Y176200D01* +G37* +G36* +X193501Y162584D02*X193484Y162584D01* +X191753Y162580D01* +Y163353D01* +X193501Y163358D01* +Y162584D01* +G37* +G36* +X191753Y162580D02*X191421Y162579D01* +X191330Y162557D01* +X191242Y162521D01* +X191162Y162471D01* +X191090Y162410D01* +X191029Y162338D01* +X190979Y162258D01* +X190943Y162170D01* +X190921Y162079D01* +X190916Y161984D01* +X190917Y161256D01* +X190832Y161170D01* +Y173917D01* +X190836Y173968D01* +X190820Y174172D01* +X190820Y174172D01* +X190772Y174371D01* +X190694Y174560D01* +X190587Y174734D01* +X190454Y174890D01* +X190415Y174923D01* +X190000Y175338D01* +Y176200D01* +X191753D01* +Y174500D01* +X191751Y174500D01* +X191690Y174487D01* +X191631Y174464D01* +X191577Y174433D01* +X191528Y174393D01* +X191485Y174346D01* +X191451Y174294D01* +X191427Y174236D01* +X191362Y174031D01* +X191321Y173821D01* +X191300Y173607D01* +Y173393D01* +X191321Y173179D01* +X191362Y172969D01* +X191424Y172763D01* +X191450Y172706D01* +X191484Y172653D01* +X191526Y172606D01* +X191576Y172566D01* +X191630Y172534D01* +X191689Y172512D01* +X191751Y172499D01* +X191753Y172499D01* +Y166517D01* +X191421Y166516D01* +X191330Y166494D01* +X191242Y166458D01* +X191162Y166408D01* +X191090Y166347D01* +X191029Y166275D01* +X190979Y166195D01* +X190943Y166107D01* +X190921Y166016D01* +X190916Y165922D01* +X190921Y163858D01* +X190943Y163767D01* +X190979Y163679D01* +X191029Y163599D01* +X191090Y163527D01* +X191162Y163466D01* +X191242Y163416D01* +X191330Y163380D01* +X191421Y163358D01* +X191516Y163352D01* +X191753Y163353D01* +Y162580D01* +G37* +G36* +X192800Y159419D02*X193501Y159421D01* +Y159054D01* +X192800Y159046D01* +Y159419D01* +G37* +G36* +X214338Y190000D02*X219700D01* +Y188538D01* +X219462Y188300D01* +X212500D01* +X212592Y188338D01* +X212766Y188445D01* +X212922Y188578D01* +X212955Y188617D01* +X214338Y190000D01* +G37* +G36* +X242500Y178200D02*Y173338D01* +X237617Y168455D01* +X237578Y168422D01* +X237445Y168266D01* +X237338Y168092D01* +X237260Y167903D01* +X237212Y167704D01* +X237212Y167704D01* +X237196Y167500D01* +X237200Y167449D01* +Y145038D01* +X237115Y144953D01* +X228552D01* +X228559Y145071D01* +X228545Y146408D01* +X228490Y146638D01* +X228400Y146856D01* +X228276Y147057D01* +X228123Y147237D01* +X227943Y147390D01* +X227742Y147514D01* +X227524Y147604D01* +X227294Y147659D01* +X227059Y147673D01* +X223300Y147665D01* +Y151005D01* +X223338Y151029D01* +X223410Y151090D01* +X223471Y151162D01* +X223521Y151242D01* +X223557Y151330D01* +X223579Y151421D01* +X223584Y151516D01* +X223579Y153579D01* +X223557Y153670D01* +X223521Y153758D01* +X223471Y153838D01* +X223410Y153910D01* +X223338Y153971D01* +X223258Y154021D01* +X223170Y154057D01* +X223079Y154079D01* +X223000Y154084D01* +Y154858D01* +X223079Y154858D01* +X223170Y154880D01* +X223258Y154916D01* +X223338Y154966D01* +X223410Y155027D01* +X223471Y155099D01* +X223521Y155179D01* +X223557Y155267D01* +X223579Y155358D01* +X223584Y155452D01* +X223579Y157516D01* +X223557Y157607D01* +X223521Y157695D01* +X223471Y157775D01* +X223410Y157847D01* +X223338Y157908D01* +X223258Y157958D01* +X223170Y157994D01* +X223079Y158016D01* +X223000Y158021D01* +Y178200D01* +X242500D01* +G37* +G36* +X245338Y138000D02*X245700D01* +Y133142D01* +X234000D01* +Y136448D01* +X243197D01* +X243248Y136444D01* +X243452Y136460D01* +X243452Y136460D01* +X243651Y136508D01* +X243840Y136586D01* +X244014Y136693D01* +X244170Y136826D01* +X244203Y136865D01* +X245338Y138000D01* +G37* +G36* +X243747Y309700D02*X259500D01* +Y307800D01* +X248551D01* +X248500Y307804D01* +X248296Y307788D01* +X248097Y307740D01* +X247908Y307662D01* +X247734Y307555D01* +X247733Y307555D01* +X247578Y307422D01* +X247545Y307383D01* +X246117Y305955D01* +X246078Y305922D01* +X245945Y305766D01* +X245838Y305592D01* +X245760Y305403D01* +X245712Y305204D01* +X245712Y305204D01* +X245696Y305000D01* +X245700Y304949D01* +Y250316D01* +X245605Y250293D01* +X244951Y250022D01* +X244347Y249652D01* +X243808Y249192D01* +X243747Y249120D01* +Y251500D01* +X243749Y251500D01* +X243810Y251513D01* +X243869Y251536D01* +X243923Y251567D01* +X243972Y251607D01* +X244015Y251654D01* +X244049Y251706D01* +X244073Y251764D01* +X244138Y251969D01* +X244179Y252179D01* +X244200Y252393D01* +Y252607D01* +X244179Y252821D01* +X244138Y253031D01* +X244076Y253237D01* +X244050Y253294D01* +X244016Y253347D01* +X243974Y253394D01* +X243924Y253434D01* +X243870Y253466D01* +X243811Y253488D01* +X243749Y253501D01* +X243747Y253501D01* +Y309700D01* +G37* +G36* +X245696Y234000D02*X243747D01* +Y242880D01* +X243808Y242808D01* +X244347Y242348D01* +X244951Y241978D01* +X245605Y241707D01* +X245700Y241684D01* +Y234051D01* +X245696Y234000D01* +Y234000D01* +G37* +G36* +X243747D02*X242001D01* +Y250300D01* +X242107D01* +X242321Y250321D01* +X242531Y250362D01* +X242737Y250424D01* +X242794Y250450D01* +X242847Y250484D01* +X242894Y250526D01* +X242934Y250576D01* +X242966Y250630D01* +X242988Y250689D01* +X243001Y250751D01* +X243005Y250814D01* +X242998Y250877D01* +X242982Y250938D01* +X242956Y250996D01* +X242921Y251049D01* +X242879Y251096D01* +X242830Y251136D01* +X242775Y251167D01* +X242716Y251190D01* +X242654Y251203D01* +X242591Y251206D01* +X242528Y251200D01* +X242468Y251182D01* +X242338Y251141D01* +X242204Y251115D01* +X242068Y251102D01* +X242001D01* +Y253898D01* +X242068D01* +X242204Y253885D01* +X242338Y253859D01* +X242468Y253819D01* +X242529Y253802D01* +X242591Y253795D01* +X242654Y253799D01* +X242716Y253812D01* +X242774Y253834D01* +X242829Y253866D01* +X242878Y253905D01* +X242920Y253952D01* +X242954Y254005D01* +X242980Y254062D01* +X242996Y254123D01* +X243003Y254186D01* +X243000Y254249D01* +X242987Y254310D01* +X242964Y254369D01* +X242933Y254423D01* +X242893Y254472D01* +X242846Y254515D01* +X242794Y254549D01* +X242736Y254573D01* +X242531Y254638D01* +X242321Y254679D01* +X242107Y254700D01* +X242001D01* +Y309700D01* +X243747D01* +Y253501D01* +X243686Y253505D01* +X243623Y253498D01* +X243562Y253482D01* +X243504Y253456D01* +X243451Y253421D01* +X243404Y253379D01* +X243364Y253330D01* +X243333Y253275D01* +X243310Y253216D01* +X243297Y253154D01* +X243294Y253091D01* +X243300Y253028D01* +X243318Y252968D01* +X243359Y252838D01* +X243385Y252704D01* +X243398Y252568D01* +Y252432D01* +X243385Y252296D01* +X243359Y252162D01* +X243319Y252032D01* +X243302Y251971D01* +X243295Y251909D01* +X243299Y251846D01* +X243312Y251784D01* +X243334Y251726D01* +X243366Y251671D01* +X243405Y251622D01* +X243452Y251580D01* +X243505Y251546D01* +X243562Y251520D01* +X243623Y251504D01* +X243686Y251497D01* +X243747Y251500D01* +Y249120D01* +X243348Y248653D01* +X242978Y248049D01* +X242707Y247395D01* +X242542Y246706D01* +X242486Y246000D01* +X242542Y245294D01* +X242707Y244605D01* +X242978Y243951D01* +X243348Y243347D01* +X243747Y242880D01* +Y234000D01* +G37* +G36* +X242001D02*X240253D01* +Y242880D01* +X240652Y243347D01* +X241022Y243951D01* +X241293Y244605D01* +X241458Y245294D01* +X241500Y246000D01* +X241458Y246706D01* +X241293Y247395D01* +X241022Y248049D01* +X240652Y248653D01* +X240253Y249120D01* +Y251499D01* +X240314Y251495D01* +X240377Y251502D01* +X240438Y251518D01* +X240496Y251544D01* +X240549Y251579D01* +X240596Y251621D01* +X240636Y251670D01* +X240667Y251725D01* +X240690Y251784D01* +X240703Y251846D01* +X240706Y251909D01* +X240700Y251972D01* +X240682Y252032D01* +X240641Y252162D01* +X240615Y252296D01* +X240602Y252432D01* +Y252568D01* +X240615Y252704D01* +X240641Y252838D01* +X240681Y252968D01* +X240698Y253029D01* +X240705Y253091D01* +X240701Y253154D01* +X240688Y253216D01* +X240666Y253274D01* +X240634Y253329D01* +X240595Y253378D01* +X240548Y253420D01* +X240495Y253454D01* +X240438Y253480D01* +X240377Y253496D01* +X240314Y253503D01* +X240253Y253500D01* +Y309700D01* +X242001D01* +Y254700D01* +X241893D01* +X241679Y254679D01* +X241469Y254638D01* +X241263Y254576D01* +X241206Y254550D01* +X241153Y254516D01* +X241106Y254474D01* +X241066Y254424D01* +X241034Y254370D01* +X241012Y254311D01* +X240999Y254249D01* +X240995Y254186D01* +X241002Y254123D01* +X241018Y254062D01* +X241044Y254004D01* +X241079Y253951D01* +X241121Y253904D01* +X241170Y253864D01* +X241225Y253833D01* +X241284Y253810D01* +X241346Y253797D01* +X241409Y253794D01* +X241472Y253800D01* +X241532Y253818D01* +X241662Y253859D01* +X241796Y253885D01* +X241932Y253898D01* +X242001D01* +Y251102D01* +X241932D01* +X241796Y251115D01* +X241662Y251141D01* +X241532Y251181D01* +X241471Y251198D01* +X241409Y251205D01* +X241346Y251201D01* +X241284Y251188D01* +X241226Y251166D01* +X241171Y251134D01* +X241122Y251095D01* +X241080Y251048D01* +X241046Y250995D01* +X241020Y250938D01* +X241004Y250877D01* +X240997Y250814D01* +X241000Y250751D01* +X241013Y250690D01* +X241036Y250631D01* +X241067Y250577D01* +X241107Y250528D01* +X241154Y250485D01* +X241206Y250451D01* +X241264Y250427D01* +X241469Y250362D01* +X241679Y250321D01* +X241893Y250300D01* +X242001D01* +Y234000D01* +G37* +G36* +X240253Y249120D02*X240192Y249192D01* +X239653Y249652D01* +X239049Y250022D01* +X238395Y250293D01* +X238300Y250316D01* +Y308962D01* +X239038Y309700D01* +X240253D01* +Y253500D01* +X240251Y253500D01* +X240190Y253487D01* +X240131Y253464D01* +X240077Y253433D01* +X240028Y253393D01* +X239985Y253346D01* +X239951Y253294D01* +X239927Y253236D01* +X239862Y253031D01* +X239821Y252821D01* +X239800Y252607D01* +Y252393D01* +X239821Y252179D01* +X239862Y251969D01* +X239924Y251763D01* +X239950Y251706D01* +X239984Y251653D01* +X240026Y251606D01* +X240076Y251566D01* +X240130Y251534D01* +X240189Y251512D01* +X240251Y251499D01* +X240253Y251499D01* +Y249120D01* +G37* +G36* +Y234000D02*X226838D01* +X234868Y242029D01* +X234951Y241978D01* +X235605Y241707D01* +X236294Y241542D01* +X237000Y241486D01* +X237706Y241542D01* +X238395Y241707D01* +X239049Y241978D01* +X239653Y242348D01* +X240192Y242808D01* +X240253Y242880D01* +Y234000D01* +G37* +G36* +X253747Y242880D02*X253808Y242808D01* +X254347Y242348D01* +X254951Y241978D01* +X255605Y241707D01* +X255700Y241684D01* +Y235551D01* +X255696Y235500D01* +X255712Y235296D01* +X255712Y235296D01* +X255760Y235097D01* +X255838Y234908D01* +X255879Y234842D01* +X255945Y234734D01* +X255945Y234733D01* +X256078Y234578D01* +X256117Y234545D01* +X257000Y233662D01* +Y233000D01* +X253747D01* +Y242880D01* +G37* +G36* +Y304000D02*X257000D01* +Y303838D01* +X256117Y302955D01* +X256078Y302922D01* +X255945Y302766D01* +X255838Y302592D01* +X255760Y302403D01* +X255712Y302204D01* +X255712Y302204D01* +X255696Y302000D01* +X255700Y301949D01* +Y250316D01* +X255605Y250293D01* +X254951Y250022D01* +X254347Y249652D01* +X253808Y249192D01* +X253747Y249120D01* +Y251500D01* +X253749Y251500D01* +X253810Y251513D01* +X253869Y251536D01* +X253923Y251567D01* +X253972Y251607D01* +X254015Y251654D01* +X254049Y251706D01* +X254073Y251764D01* +X254138Y251969D01* +X254179Y252179D01* +X254200Y252393D01* +Y252607D01* +X254179Y252821D01* +X254138Y253031D01* +X254076Y253237D01* +X254050Y253294D01* +X254016Y253347D01* +X253974Y253394D01* +X253924Y253434D01* +X253870Y253466D01* +X253811Y253488D01* +X253749Y253501D01* +X253747Y253501D01* +Y304000D01* +G37* +G36* +X252001D02*X253747D01* +Y253501D01* +X253686Y253505D01* +X253623Y253498D01* +X253562Y253482D01* +X253504Y253456D01* +X253451Y253421D01* +X253404Y253379D01* +X253364Y253330D01* +X253333Y253275D01* +X253310Y253216D01* +X253297Y253154D01* +X253294Y253091D01* +X253300Y253028D01* +X253318Y252968D01* +X253359Y252838D01* +X253385Y252704D01* +X253398Y252568D01* +Y252432D01* +X253385Y252296D01* +X253359Y252162D01* +X253319Y252032D01* +X253302Y251971D01* +X253295Y251909D01* +X253299Y251846D01* +X253312Y251784D01* +X253334Y251726D01* +X253366Y251671D01* +X253405Y251622D01* +X253452Y251580D01* +X253505Y251546D01* +X253562Y251520D01* +X253623Y251504D01* +X253686Y251497D01* +X253747Y251500D01* +Y249120D01* +X253348Y248653D01* +X252978Y248049D01* +X252707Y247395D01* +X252542Y246706D01* +X252486Y246000D01* +X252542Y245294D01* +X252707Y244605D01* +X252978Y243951D01* +X253348Y243347D01* +X253747Y242880D01* +Y233000D01* +X252001D01* +Y250300D01* +X252107D01* +X252321Y250321D01* +X252531Y250362D01* +X252737Y250424D01* +X252794Y250450D01* +X252847Y250484D01* +X252894Y250526D01* +X252934Y250576D01* +X252966Y250630D01* +X252988Y250689D01* +X253001Y250751D01* +X253005Y250814D01* +X252998Y250877D01* +X252982Y250938D01* +X252956Y250996D01* +X252921Y251049D01* +X252879Y251096D01* +X252830Y251136D01* +X252775Y251167D01* +X252716Y251190D01* +X252654Y251203D01* +X252591Y251206D01* +X252528Y251200D01* +X252468Y251182D01* +X252338Y251141D01* +X252204Y251115D01* +X252068Y251102D01* +X252001D01* +Y253898D01* +X252068D01* +X252204Y253885D01* +X252338Y253859D01* +X252468Y253819D01* +X252529Y253802D01* +X252591Y253795D01* +X252654Y253799D01* +X252716Y253812D01* +X252774Y253834D01* +X252829Y253866D01* +X252878Y253905D01* +X252920Y253952D01* +X252954Y254005D01* +X252980Y254062D01* +X252996Y254123D01* +X253003Y254186D01* +X253000Y254249D01* +X252987Y254310D01* +X252964Y254369D01* +X252933Y254423D01* +X252893Y254472D01* +X252846Y254515D01* +X252794Y254549D01* +X252736Y254573D01* +X252531Y254638D01* +X252321Y254679D01* +X252107Y254700D01* +X252001D01* +Y304000D01* +G37* +G36* +X250253D02*X252001D01* +Y254700D01* +X251893D01* +X251679Y254679D01* +X251469Y254638D01* +X251263Y254576D01* +X251206Y254550D01* +X251153Y254516D01* +X251106Y254474D01* +X251066Y254424D01* +X251034Y254370D01* +X251012Y254311D01* +X250999Y254249D01* +X250995Y254186D01* +X251002Y254123D01* +X251018Y254062D01* +X251044Y254004D01* +X251079Y253951D01* +X251121Y253904D01* +X251170Y253864D01* +X251225Y253833D01* +X251284Y253810D01* +X251346Y253797D01* +X251409Y253794D01* +X251472Y253800D01* +X251532Y253818D01* +X251662Y253859D01* +X251796Y253885D01* +X251932Y253898D01* +X252001D01* +Y251102D01* +X251932D01* +X251796Y251115D01* +X251662Y251141D01* +X251532Y251181D01* +X251471Y251198D01* +X251409Y251205D01* +X251346Y251201D01* +X251284Y251188D01* +X251226Y251166D01* +X251171Y251134D01* +X251122Y251095D01* +X251080Y251048D01* +X251046Y250995D01* +X251020Y250938D01* +X251004Y250877D01* +X250997Y250814D01* +X251000Y250751D01* +X251013Y250690D01* +X251036Y250631D01* +X251067Y250577D01* +X251107Y250528D01* +X251154Y250485D01* +X251206Y250451D01* +X251264Y250427D01* +X251469Y250362D01* +X251679Y250321D01* +X251893Y250300D01* +X252001D01* +Y233000D01* +X250253D01* +Y242880D01* +X250652Y243347D01* +X251022Y243951D01* +X251293Y244605D01* +X251458Y245294D01* +X251500Y246000D01* +X251458Y246706D01* +X251293Y247395D01* +X251022Y248049D01* +X250652Y248653D01* +X250253Y249120D01* +Y251499D01* +X250314Y251495D01* +X250377Y251502D01* +X250438Y251518D01* +X250496Y251544D01* +X250549Y251579D01* +X250596Y251621D01* +X250636Y251670D01* +X250667Y251725D01* +X250690Y251784D01* +X250703Y251846D01* +X250706Y251909D01* +X250700Y251972D01* +X250682Y252032D01* +X250641Y252162D01* +X250615Y252296D01* +X250602Y252432D01* +Y252568D01* +X250615Y252704D01* +X250641Y252838D01* +X250681Y252968D01* +X250698Y253029D01* +X250705Y253091D01* +X250701Y253154D01* +X250688Y253216D01* +X250666Y253274D01* +X250634Y253329D01* +X250595Y253378D01* +X250548Y253420D01* +X250495Y253454D01* +X250438Y253480D01* +X250377Y253496D01* +X250314Y253503D01* +X250253Y253500D01* +Y304000D01* +G37* +G36* +Y233000D02*X249838D01* +X248300Y234538D01* +Y241684D01* +X248395Y241707D01* +X249049Y241978D01* +X249653Y242348D01* +X250192Y242808D01* +X250253Y242880D01* +Y233000D01* +G37* +G36* +X248300Y304000D02*X250253D01* +Y253500D01* +X250251Y253500D01* +X250190Y253487D01* +X250131Y253464D01* +X250077Y253433D01* +X250028Y253393D01* +X249985Y253346D01* +X249951Y253294D01* +X249927Y253236D01* +X249862Y253031D01* +X249821Y252821D01* +X249800Y252607D01* +Y252393D01* +X249821Y252179D01* +X249862Y251969D01* +X249924Y251763D01* +X249950Y251706D01* +X249984Y251653D01* +X250026Y251606D01* +X250076Y251566D01* +X250130Y251534D01* +X250189Y251512D01* +X250251Y251499D01* +X250253Y251499D01* +Y249120D01* +X250192Y249192D01* +X249653Y249652D01* +X249049Y250022D01* +X248395Y250293D01* +X248300Y250316D01* +Y304000D01* +G37* +G36* +X256338Y320500D02*X273162D01* +X269462Y316800D01* +X228051D01* +X228000Y316804D01* +X227796Y316788D01* +X227597Y316740D01* +X227408Y316662D01* +X227234Y316555D01* +X227233Y316555D01* +X227078Y316422D01* +X227045Y316383D01* +X226117Y315455D01* +X226078Y315422D01* +X225945Y315266D01* +X225838Y315092D01* +X225760Y314903D01* +X225712Y314704D01* +X225712Y314704D01* +X225696Y314500D01* +X225700Y314449D01* +Y302000D01* +X218800D01* +Y316962D01* +X220038Y318200D01* +X253449D01* +X253500Y318196D01* +X253704Y318212D01* +X253704Y318212D01* +X253903Y318260D01* +X254092Y318338D01* +X254266Y318445D01* +X254422Y318578D01* +X254455Y318617D01* +X256338Y320500D01* +G37* +G36* +X272338Y316000D02*X275500D01* +Y313838D01* +X273962Y312300D01* +X238551D01* +X238500Y312304D01* +X238296Y312288D01* +X238097Y312240D01* +X237908Y312162D01* +X237734Y312055D01* +X237733Y312055D01* +X237578Y311922D01* +X237545Y311883D01* +X236662Y311000D01* +X235000D01* +Y314200D01* +X269949D01* +X270000Y314196D01* +X270204Y314212D01* +X270204Y314212D01* +X270403Y314260D01* +X270592Y314338D01* +X270766Y314445D01* +X270922Y314578D01* +X270955Y314617D01* +X272338Y316000D01* +G37* +G36* +X289838Y317500D02*X297162D01* +X283962Y304300D01* +X278000D01* +X278000Y304300D01* +X258051D01* +X258000Y304304D01* +X257796Y304288D01* +X257597Y304240D01* +X257408Y304162D01* +X257234Y304055D01* +X257233Y304055D01* +X257078Y303922D01* +X257045Y303883D01* +X256117Y302955D01* +X256078Y302922D01* +X255945Y302766D01* +X255838Y302592D01* +X255800Y302500D01* +X248300D01* +Y304462D01* +X249038Y305200D01* +X276949D01* +X277000Y305196D01* +X277204Y305212D01* +X277204Y305212D01* +X277403Y305260D01* +X277592Y305338D01* +X277766Y305445D01* +X277922Y305578D01* +X277955Y305617D01* +X289838Y317500D01* +G37* +G36* +X275000Y315500D02*X277162D01* +X275162Y313500D01* +X275000D01* +Y315500D01* +G37* +G36* +X223747Y318200D02*X243500D01* +Y316800D01* +X228051D01* +X228000Y316804D01* +X227796Y316788D01* +X227597Y316740D01* +X227408Y316662D01* +X227234Y316555D01* +X227233Y316555D01* +X227078Y316422D01* +X227045Y316383D01* +X226117Y315455D01* +X226078Y315422D01* +X225945Y315266D01* +X225838Y315092D01* +X225760Y314903D01* +X225712Y314704D01* +X225712Y314704D01* +X225696Y314500D01* +X225700Y314449D01* +Y250316D01* +X225605Y250293D01* +X224951Y250022D01* +X224347Y249652D01* +X223808Y249192D01* +X223747Y249120D01* +Y251500D01* +X223749Y251500D01* +X223810Y251513D01* +X223869Y251536D01* +X223923Y251567D01* +X223972Y251607D01* +X224015Y251654D01* +X224049Y251706D01* +X224073Y251764D01* +X224138Y251969D01* +X224179Y252179D01* +X224200Y252393D01* +Y252607D01* +X224179Y252821D01* +X224138Y253031D01* +X224076Y253237D01* +X224050Y253294D01* +X224016Y253347D01* +X223974Y253394D01* +X223924Y253434D01* +X223870Y253466D01* +X223811Y253488D01* +X223749Y253501D01* +X223747Y253501D01* +Y318200D01* +G37* +G36* +X222001D02*X223747D01* +Y253501D01* +X223686Y253505D01* +X223623Y253498D01* +X223562Y253482D01* +X223504Y253456D01* +X223451Y253421D01* +X223404Y253379D01* +X223364Y253330D01* +X223333Y253275D01* +X223310Y253216D01* +X223297Y253154D01* +X223294Y253091D01* +X223300Y253028D01* +X223318Y252968D01* +X223359Y252838D01* +X223385Y252704D01* +X223398Y252568D01* +Y252432D01* +X223385Y252296D01* +X223359Y252162D01* +X223319Y252032D01* +X223302Y251971D01* +X223295Y251909D01* +X223299Y251846D01* +X223312Y251784D01* +X223334Y251726D01* +X223366Y251671D01* +X223405Y251622D01* +X223452Y251580D01* +X223505Y251546D01* +X223562Y251520D01* +X223623Y251504D01* +X223686Y251497D01* +X223747Y251500D01* +Y249120D01* +X223348Y248653D01* +X222978Y248049D01* +X222707Y247395D01* +X222542Y246706D01* +X222486Y246000D01* +X222542Y245294D01* +X222707Y244605D01* +X222978Y243951D01* +X223029Y243868D01* +X222001Y242839D01* +Y250300D01* +X222107D01* +X222321Y250321D01* +X222531Y250362D01* +X222737Y250424D01* +X222794Y250450D01* +X222847Y250484D01* +X222894Y250526D01* +X222934Y250576D01* +X222966Y250630D01* +X222988Y250689D01* +X223001Y250751D01* +X223005Y250814D01* +X222998Y250877D01* +X222982Y250938D01* +X222956Y250996D01* +X222921Y251049D01* +X222879Y251096D01* +X222830Y251136D01* +X222775Y251167D01* +X222716Y251190D01* +X222654Y251203D01* +X222591Y251206D01* +X222528Y251200D01* +X222468Y251182D01* +X222338Y251141D01* +X222204Y251115D01* +X222068Y251102D01* +X222001D01* +Y253898D01* +X222068D01* +X222204Y253885D01* +X222338Y253859D01* +X222468Y253819D01* +X222529Y253802D01* +X222591Y253795D01* +X222654Y253799D01* +X222716Y253812D01* +X222774Y253834D01* +X222829Y253866D01* +X222878Y253905D01* +X222920Y253952D01* +X222954Y254005D01* +X222980Y254062D01* +X222996Y254123D01* +X223003Y254186D01* +X223000Y254249D01* +X222987Y254310D01* +X222964Y254369D01* +X222933Y254423D01* +X222893Y254472D01* +X222846Y254515D01* +X222794Y254549D01* +X222736Y254573D01* +X222531Y254638D01* +X222321Y254679D01* +X222107Y254700D01* +X222001D01* +Y318200D01* +G37* +G36* +X220253Y242880D02*X220652Y243347D01* +X221022Y243951D01* +X221293Y244605D01* +X221458Y245294D01* +X221500Y246000D01* +X221458Y246706D01* +X221293Y247395D01* +X221022Y248049D01* +X220652Y248653D01* +X220253Y249120D01* +Y251499D01* +X220314Y251495D01* +X220377Y251502D01* +X220438Y251518D01* +X220496Y251544D01* +X220549Y251579D01* +X220596Y251621D01* +X220636Y251670D01* +X220667Y251725D01* +X220690Y251784D01* +X220703Y251846D01* +X220706Y251909D01* +X220700Y251972D01* +X220682Y252032D01* +X220641Y252162D01* +X220615Y252296D01* +X220602Y252432D01* +Y252568D01* +X220615Y252704D01* +X220641Y252838D01* +X220681Y252968D01* +X220698Y253029D01* +X220705Y253091D01* +X220701Y253154D01* +X220688Y253216D01* +X220666Y253274D01* +X220634Y253329D01* +X220595Y253378D01* +X220548Y253420D01* +X220495Y253454D01* +X220438Y253480D01* +X220377Y253496D01* +X220314Y253503D01* +X220253Y253500D01* +Y318200D01* +X222001D01* +Y254700D01* +X221893D01* +X221679Y254679D01* +X221469Y254638D01* +X221263Y254576D01* +X221206Y254550D01* +X221153Y254516D01* +X221106Y254474D01* +X221066Y254424D01* +X221034Y254370D01* +X221012Y254311D01* +X220999Y254249D01* +X220995Y254186D01* +X221002Y254123D01* +X221018Y254062D01* +X221044Y254004D01* +X221079Y253951D01* +X221121Y253904D01* +X221170Y253864D01* +X221225Y253833D01* +X221284Y253810D01* +X221346Y253797D01* +X221409Y253794D01* +X221472Y253800D01* +X221532Y253818D01* +X221662Y253859D01* +X221796Y253885D01* +X221932Y253898D01* +X222001D01* +Y251102D01* +X221932D01* +X221796Y251115D01* +X221662Y251141D01* +X221532Y251181D01* +X221471Y251198D01* +X221409Y251205D01* +X221346Y251201D01* +X221284Y251188D01* +X221226Y251166D01* +X221171Y251134D01* +X221122Y251095D01* +X221080Y251048D01* +X221046Y250995D01* +X221020Y250938D01* +X221004Y250877D01* +X220997Y250814D01* +X221000Y250751D01* +X221013Y250690D01* +X221036Y250631D01* +X221067Y250577D01* +X221107Y250528D01* +X221154Y250485D01* +X221206Y250451D01* +X221264Y250427D01* +X221469Y250362D01* +X221679Y250321D01* +X221893Y250300D01* +X222001D01* +Y242839D01* +X220253Y241092D01* +Y242880D01* +G37* +G36* +Y249120D02*X220192Y249192D01* +X219653Y249652D01* +X219049Y250022D01* +X218800Y250125D01* +Y316962D01* +X220038Y318200D01* +X220253D01* +Y253500D01* +X220251Y253500D01* +X220190Y253487D01* +X220131Y253464D01* +X220077Y253433D01* +X220028Y253393D01* +X219985Y253346D01* +X219951Y253294D01* +X219927Y253236D01* +X219862Y253031D01* +X219821Y252821D01* +X219800Y252607D01* +Y252393D01* +X219821Y252179D01* +X219862Y251969D01* +X219924Y251763D01* +X219950Y251706D01* +X219984Y251653D01* +X220026Y251606D01* +X220076Y251566D01* +X220130Y251534D01* +X220189Y251512D01* +X220251Y251499D01* +X220253Y251499D01* +Y249120D01* +G37* +G36* +X212500Y228000D02*Y239662D01* +X214868Y242029D01* +X214951Y241978D01* +X215605Y241707D01* +X216294Y241542D01* +X217000Y241486D01* +X217706Y241542D01* +X218395Y241707D01* +X219049Y241978D01* +X219653Y242348D01* +X220192Y242808D01* +X220253Y242880D01* +Y241092D01* +X217617Y238455D01* +X217578Y238422D01* +X217445Y238266D01* +X217338Y238092D01* +X217260Y237903D01* +X217212Y237704D01* +X217212Y237704D01* +X217196Y237500D01* +X217200Y237449D01* +Y228000D01* +X212500D01* +G37* +G36* +X232838Y240000D02*X239500D01* +Y231000D01* +X223838D01* +X232838Y240000D01* +G37* +G36* +X228500D02*Y239338D01* +X220117Y230955D01* +X220078Y230922D01* +X219945Y230766D01* +X219838Y230592D01* +X219800Y230500D01* +Y236962D01* +X222838Y240000D01* +X228500D01* +G37* +G36* +X233747Y314200D02*X237000D01* +Y311338D01* +X236117Y310455D01* +X236078Y310422D01* +X235945Y310266D01* +X235838Y310092D01* +X235760Y309903D01* +X235712Y309704D01* +X235712Y309704D01* +X235696Y309500D01* +X235700Y309449D01* +Y250316D01* +X235605Y250293D01* +X234951Y250022D01* +X234347Y249652D01* +X233808Y249192D01* +X233747Y249120D01* +Y251500D01* +X233749Y251500D01* +X233810Y251513D01* +X233869Y251536D01* +X233923Y251567D01* +X233972Y251607D01* +X234015Y251654D01* +X234049Y251706D01* +X234073Y251764D01* +X234138Y251969D01* +X234179Y252179D01* +X234200Y252393D01* +Y252607D01* +X234179Y252821D01* +X234138Y253031D01* +X234076Y253237D01* +X234050Y253294D01* +X234016Y253347D01* +X233974Y253394D01* +X233924Y253434D01* +X233870Y253466D01* +X233811Y253488D01* +X233749Y253501D01* +X233747Y253501D01* +Y314200D01* +G37* +G36* +X232001D02*X233747D01* +Y253501D01* +X233686Y253505D01* +X233623Y253498D01* +X233562Y253482D01* +X233504Y253456D01* +X233451Y253421D01* +X233404Y253379D01* +X233364Y253330D01* +X233333Y253275D01* +X233310Y253216D01* +X233297Y253154D01* +X233294Y253091D01* +X233300Y253028D01* +X233318Y252968D01* +X233359Y252838D01* +X233385Y252704D01* +X233398Y252568D01* +Y252432D01* +X233385Y252296D01* +X233359Y252162D01* +X233319Y252032D01* +X233302Y251971D01* +X233295Y251909D01* +X233299Y251846D01* +X233312Y251784D01* +X233334Y251726D01* +X233366Y251671D01* +X233405Y251622D01* +X233452Y251580D01* +X233505Y251546D01* +X233562Y251520D01* +X233623Y251504D01* +X233686Y251497D01* +X233747Y251500D01* +Y249120D01* +X233348Y248653D01* +X232978Y248049D01* +X232707Y247395D01* +X232542Y246706D01* +X232486Y246000D01* +X232542Y245294D01* +X232707Y244605D01* +X232978Y243951D01* +X233029Y243868D01* +X232001Y242839D01* +Y250300D01* +X232107D01* +X232321Y250321D01* +X232531Y250362D01* +X232737Y250424D01* +X232794Y250450D01* +X232847Y250484D01* +X232894Y250526D01* +X232934Y250576D01* +X232966Y250630D01* +X232988Y250689D01* +X233001Y250751D01* +X233005Y250814D01* +X232998Y250877D01* +X232982Y250938D01* +X232956Y250996D01* +X232921Y251049D01* +X232879Y251096D01* +X232830Y251136D01* +X232775Y251167D01* +X232716Y251190D01* +X232654Y251203D01* +X232591Y251206D01* +X232528Y251200D01* +X232468Y251182D01* +X232338Y251141D01* +X232204Y251115D01* +X232068Y251102D01* +X232001D01* +Y253898D01* +X232068D01* +X232204Y253885D01* +X232338Y253859D01* +X232468Y253819D01* +X232529Y253802D01* +X232591Y253795D01* +X232654Y253799D01* +X232716Y253812D01* +X232774Y253834D01* +X232829Y253866D01* +X232878Y253905D01* +X232920Y253952D01* +X232954Y254005D01* +X232980Y254062D01* +X232996Y254123D01* +X233003Y254186D01* +X233000Y254249D01* +X232987Y254310D01* +X232964Y254369D01* +X232933Y254423D01* +X232893Y254472D01* +X232846Y254515D01* +X232794Y254549D01* +X232736Y254573D01* +X232531Y254638D01* +X232321Y254679D01* +X232107Y254700D01* +X232001D01* +Y314200D01* +G37* +G36* +X230253Y242880D02*X230652Y243347D01* +X231022Y243951D01* +X231293Y244605D01* +X231458Y245294D01* +X231500Y246000D01* +X231458Y246706D01* +X231293Y247395D01* +X231022Y248049D01* +X230652Y248653D01* +X230253Y249120D01* +Y251499D01* +X230314Y251495D01* +X230377Y251502D01* +X230438Y251518D01* +X230496Y251544D01* +X230549Y251579D01* +X230596Y251621D01* +X230636Y251670D01* +X230667Y251725D01* +X230690Y251784D01* +X230703Y251846D01* +X230706Y251909D01* +X230700Y251972D01* +X230682Y252032D01* +X230641Y252162D01* +X230615Y252296D01* +X230602Y252432D01* +Y252568D01* +X230615Y252704D01* +X230641Y252838D01* +X230681Y252968D01* +X230698Y253029D01* +X230705Y253091D01* +X230701Y253154D01* +X230688Y253216D01* +X230666Y253274D01* +X230634Y253329D01* +X230595Y253378D01* +X230548Y253420D01* +X230495Y253454D01* +X230438Y253480D01* +X230377Y253496D01* +X230314Y253503D01* +X230253Y253500D01* +Y314200D01* +X232001D01* +Y254700D01* +X231893D01* +X231679Y254679D01* +X231469Y254638D01* +X231263Y254576D01* +X231206Y254550D01* +X231153Y254516D01* +X231106Y254474D01* +X231066Y254424D01* +X231034Y254370D01* +X231012Y254311D01* +X230999Y254249D01* +X230995Y254186D01* +X231002Y254123D01* +X231018Y254062D01* +X231044Y254004D01* +X231079Y253951D01* +X231121Y253904D01* +X231170Y253864D01* +X231225Y253833D01* +X231284Y253810D01* +X231346Y253797D01* +X231409Y253794D01* +X231472Y253800D01* +X231532Y253818D01* +X231662Y253859D01* +X231796Y253885D01* +X231932Y253898D01* +X232001D01* +Y251102D01* +X231932D01* +X231796Y251115D01* +X231662Y251141D01* +X231532Y251181D01* +X231471Y251198D01* +X231409Y251205D01* +X231346Y251201D01* +X231284Y251188D01* +X231226Y251166D01* +X231171Y251134D01* +X231122Y251095D01* +X231080Y251048D01* +X231046Y250995D01* +X231020Y250938D01* +X231004Y250877D01* +X230997Y250814D01* +X231000Y250751D01* +X231013Y250690D01* +X231036Y250631D01* +X231067Y250577D01* +X231107Y250528D01* +X231154Y250485D01* +X231206Y250451D01* +X231264Y250427D01* +X231469Y250362D01* +X231679Y250321D01* +X231893Y250300D01* +X232001D01* +Y242839D01* +X230253Y241092D01* +Y242880D01* +G37* +G36* +Y249120D02*X230192Y249192D01* +X229653Y249652D01* +X229049Y250022D01* +X228395Y250293D01* +X228300Y250316D01* +Y313962D01* +X228538Y314200D01* +X230253D01* +Y253500D01* +X230251Y253500D01* +X230190Y253487D01* +X230131Y253464D01* +X230077Y253433D01* +X230028Y253393D01* +X229985Y253346D01* +X229951Y253294D01* +X229927Y253236D01* +X229862Y253031D01* +X229821Y252821D01* +X229800Y252607D01* +Y252393D01* +X229821Y252179D01* +X229862Y251969D01* +X229924Y251763D01* +X229950Y251706D01* +X229984Y251653D01* +X230026Y251606D01* +X230076Y251566D01* +X230130Y251534D01* +X230189Y251512D01* +X230251Y251499D01* +X230253Y251499D01* +Y249120D01* +G37* +G36* +X227662Y238500D02*X225500D01* +Y241751D01* +X225605Y241707D01* +X226294Y241542D01* +X227000Y241486D01* +X227706Y241542D01* +X228395Y241707D01* +X229049Y241978D01* +X229653Y242348D01* +X230192Y242808D01* +X230253Y242880D01* +Y241092D01* +X227662Y238500D01* +G37* +G36* +X274000Y296000D02*X277169D01* +X277078Y295922D01* +X277045Y295883D01* +X276117Y294955D01* +X276078Y294922D01* +X275945Y294766D01* +X275838Y294592D01* +X275760Y294403D01* +X275712Y294204D01* +X275712Y294204D01* +X275696Y294000D01* +X275700Y293949D01* +Y250316D01* +X275605Y250293D01* +X274951Y250022D01* +X274347Y249652D01* +X274169Y249500D01* +X274000D01* +Y251638D01* +X274015Y251654D01* +X274049Y251706D01* +X274073Y251764D01* +X274138Y251969D01* +X274179Y252179D01* +X274200Y252393D01* +Y252607D01* +X274179Y252821D01* +X274138Y253031D01* +X274076Y253237D01* +X274050Y253294D01* +X274016Y253347D01* +X274000Y253365D01* +Y296000D01* +G37* +G36* +X209253Y262000D02*X210000D01* +Y254255D01* +X209999Y254249D01* +X209995Y254186D01* +X210000Y254142D01* +Y250843D01* +X209997Y250814D01* +X210000Y250757D01* +Y240831D01* +X209945Y240766D01* +X209838Y240592D01* +X209760Y240403D01* +X209712Y240204D01* +X209712Y240204D01* +X209696Y240000D01* +X209700Y239949D01* +Y238000D01* +X209662Y238092D01* +X209620Y238160D01* +X209555Y238266D01* +X209555Y238267D01* +X209422Y238422D01* +X209383Y238455D01* +X209253Y238585D01* +Y242174D01* +X209341Y242317D01* +X209431Y242535D01* +X209486Y242765D01* +X209500Y243000D01* +X209486Y249235D01* +X209431Y249465D01* +X209341Y249683D01* +X209253Y249826D01* +Y251499D01* +X209314Y251495D01* +X209377Y251502D01* +X209438Y251518D01* +X209496Y251544D01* +X209549Y251579D01* +X209596Y251621D01* +X209636Y251670D01* +X209667Y251725D01* +X209690Y251784D01* +X209703Y251846D01* +X209706Y251909D01* +X209700Y251972D01* +X209682Y252032D01* +X209641Y252162D01* +X209615Y252296D01* +X209602Y252432D01* +Y252568D01* +X209615Y252704D01* +X209641Y252838D01* +X209681Y252968D01* +X209698Y253029D01* +X209705Y253091D01* +X209701Y253154D01* +X209688Y253216D01* +X209666Y253274D01* +X209634Y253329D01* +X209595Y253378D01* +X209548Y253420D01* +X209495Y253454D01* +X209438Y253480D01* +X209377Y253496D01* +X209314Y253503D01* +X209253Y253500D01* +Y254483D01* +X209334Y254533D01* +X209514Y254686D01* +X209667Y254866D01* +X209791Y255067D01* +X209881Y255285D01* +X209936Y255515D01* +X209950Y255750D01* +X209936Y260485D01* +X209881Y260715D01* +X209791Y260933D01* +X209667Y261134D01* +X209514Y261314D01* +X209334Y261467D01* +X209253Y261517D01* +Y262000D01* +G37* +G36* +Y249826D02*X209217Y249884D01* +X209064Y250064D01* +X208884Y250217D01* +X208683Y250341D01* +X208465Y250431D01* +X208235Y250486D01* +X208000Y250500D01* +X207250Y250498D01* +Y254261D01* +X208685Y254264D01* +X208915Y254319D01* +X209133Y254409D01* +X209253Y254483D01* +Y253500D01* +X209251Y253500D01* +X209190Y253487D01* +X209131Y253464D01* +X209077Y253433D01* +X209028Y253393D01* +X208985Y253346D01* +X208951Y253294D01* +X208927Y253236D01* +X208862Y253031D01* +X208821Y252821D01* +X208800Y252607D01* +Y252393D01* +X208821Y252179D01* +X208862Y251969D01* +X208924Y251763D01* +X208950Y251706D01* +X208984Y251653D01* +X209026Y251606D01* +X209076Y251566D01* +X209130Y251534D01* +X209189Y251512D01* +X209251Y251499D01* +X209253Y251499D01* +Y249826D01* +G37* +G36* +Y238585D02*X207955Y239883D01* +X207922Y239922D01* +X207766Y240055D01* +X207592Y240162D01* +X207403Y240240D01* +X207204Y240288D01* +X207204Y240288D01* +X207000Y240304D01* +X206949Y240300D01* +X205225D01* +Y241507D01* +X208235Y241514D01* +X208465Y241569D01* +X208683Y241659D01* +X208884Y241783D01* +X209064Y241936D01* +X209217Y242116D01* +X209253Y242174D01* +Y238585D01* +G37* +G36* +X205225Y262000D02*X209253D01* +Y261517D01* +X209133Y261591D01* +X208915Y261681D01* +X208685Y261736D01* +X208450Y261750D01* +X205225Y261743D01* +Y262000D01* +G37* +G36* +X200747Y242174D02*X200783Y242116D01* +X200936Y241936D01* +X201116Y241783D01* +X201317Y241659D01* +X201535Y241569D01* +X201765Y241514D01* +X202000Y241500D01* +X205225Y241507D01* +Y240300D01* +X200747D01* +Y242174D01* +G37* +G36* +Y262000D02*X205225D01* +Y261743D01* +X202315Y261736D01* +X202085Y261681D01* +X201867Y261591D01* +X201666Y261467D01* +X201486Y261314D01* +X201333Y261134D01* +X201209Y260933D01* +X201119Y260715D01* +X201064Y260485D01* +X201050Y260250D01* +X201064Y255515D01* +X201119Y255285D01* +X201209Y255067D01* +X201333Y254866D01* +X201486Y254686D01* +X201666Y254533D01* +X201867Y254409D01* +X202085Y254319D01* +X202315Y254264D01* +X202550Y254250D01* +X202750Y254250D01* +Y250488D01* +X201765Y250486D01* +X201535Y250431D01* +X201317Y250341D01* +X201116Y250217D01* +X200936Y250064D01* +X200783Y249884D01* +X200747Y249826D01* +Y251500D01* +X200749Y251500D01* +X200810Y251513D01* +X200869Y251536D01* +X200923Y251567D01* +X200972Y251607D01* +X201015Y251654D01* +X201049Y251706D01* +X201073Y251764D01* +X201138Y251969D01* +X201179Y252179D01* +X201200Y252393D01* +Y252607D01* +X201179Y252821D01* +X201138Y253031D01* +X201076Y253237D01* +X201050Y253294D01* +X201016Y253347D01* +X200974Y253394D01* +X200924Y253434D01* +X200870Y253466D01* +X200811Y253488D01* +X200749Y253501D01* +X200747Y253501D01* +Y262000D01* +G37* +G36* +X199001D02*X200747D01* +Y253501D01* +X200686Y253505D01* +X200623Y253498D01* +X200562Y253482D01* +X200504Y253456D01* +X200451Y253421D01* +X200404Y253379D01* +X200364Y253330D01* +X200333Y253275D01* +X200310Y253216D01* +X200297Y253154D01* +X200294Y253091D01* +X200300Y253028D01* +X200318Y252968D01* +X200359Y252838D01* +X200385Y252704D01* +X200398Y252568D01* +Y252432D01* +X200385Y252296D01* +X200359Y252162D01* +X200319Y252032D01* +X200302Y251971D01* +X200295Y251909D01* +X200299Y251846D01* +X200312Y251784D01* +X200334Y251726D01* +X200366Y251671D01* +X200405Y251622D01* +X200452Y251580D01* +X200505Y251546D01* +X200562Y251520D01* +X200623Y251504D01* +X200686Y251497D01* +X200747Y251500D01* +Y249826D01* +X200659Y249683D01* +X200569Y249465D01* +X200514Y249235D01* +X200500Y249000D01* +X200514Y242765D01* +X200569Y242535D01* +X200659Y242317D01* +X200747Y242174D01* +Y240300D01* +X199001D01* +Y243916D01* +X199022Y243951D01* +X199293Y244605D01* +X199458Y245294D01* +X199500Y246000D01* +X199458Y246706D01* +X199293Y247395D01* +X199022Y248049D01* +X199001Y248084D01* +Y250300D01* +X199107D01* +X199321Y250321D01* +X199531Y250362D01* +X199737Y250424D01* +X199794Y250450D01* +X199847Y250484D01* +X199894Y250526D01* +X199934Y250576D01* +X199966Y250630D01* +X199988Y250689D01* +X200001Y250751D01* +X200005Y250814D01* +X199998Y250877D01* +X199982Y250938D01* +X199956Y250996D01* +X199921Y251049D01* +X199879Y251096D01* +X199830Y251136D01* +X199775Y251167D01* +X199716Y251190D01* +X199654Y251203D01* +X199591Y251206D01* +X199528Y251200D01* +X199468Y251182D01* +X199338Y251141D01* +X199204Y251115D01* +X199068Y251102D01* +X199001D01* +Y253898D01* +X199068D01* +X199204Y253885D01* +X199338Y253859D01* +X199468Y253819D01* +X199529Y253802D01* +X199591Y253795D01* +X199654Y253799D01* +X199716Y253812D01* +X199774Y253834D01* +X199829Y253866D01* +X199878Y253905D01* +X199920Y253952D01* +X199954Y254005D01* +X199980Y254062D01* +X199996Y254123D01* +X200003Y254186D01* +X200000Y254249D01* +X199987Y254310D01* +X199964Y254369D01* +X199933Y254423D01* +X199893Y254472D01* +X199846Y254515D01* +X199794Y254549D01* +X199736Y254573D01* +X199531Y254638D01* +X199321Y254679D01* +X199107Y254700D01* +X199001D01* +Y262000D01* +G37* +G36* +Y240300D02*X196538D01* +X196300Y240538D01* +Y241684D01* +X196395Y241707D01* +X197049Y241978D01* +X197653Y242348D01* +X198192Y242808D01* +X198652Y243347D01* +X199001Y243916D01* +Y240300D01* +G37* +G36* +X197253Y262000D02*X199001D01* +Y254700D01* +X198893D01* +X198679Y254679D01* +X198469Y254638D01* +X198263Y254576D01* +X198206Y254550D01* +X198153Y254516D01* +X198106Y254474D01* +X198066Y254424D01* +X198034Y254370D01* +X198012Y254311D01* +X197999Y254249D01* +X197995Y254186D01* +X198002Y254123D01* +X198018Y254062D01* +X198044Y254004D01* +X198079Y253951D01* +X198121Y253904D01* +X198170Y253864D01* +X198225Y253833D01* +X198284Y253810D01* +X198346Y253797D01* +X198409Y253794D01* +X198472Y253800D01* +X198532Y253818D01* +X198662Y253859D01* +X198796Y253885D01* +X198932Y253898D01* +X199001D01* +Y251102D01* +X198932D01* +X198796Y251115D01* +X198662Y251141D01* +X198532Y251181D01* +X198471Y251198D01* +X198409Y251205D01* +X198346Y251201D01* +X198284Y251188D01* +X198226Y251166D01* +X198171Y251134D01* +X198122Y251095D01* +X198080Y251048D01* +X198046Y250995D01* +X198020Y250938D01* +X198004Y250877D01* +X197997Y250814D01* +X198000Y250751D01* +X198013Y250690D01* +X198036Y250631D01* +X198067Y250577D01* +X198107Y250528D01* +X198154Y250485D01* +X198206Y250451D01* +X198264Y250427D01* +X198469Y250362D01* +X198679Y250321D01* +X198893Y250300D01* +X199001D01* +Y248084D01* +X198652Y248653D01* +X198192Y249192D01* +X197653Y249652D01* +X197253Y249897D01* +Y251499D01* +X197314Y251495D01* +X197377Y251502D01* +X197438Y251518D01* +X197496Y251544D01* +X197549Y251579D01* +X197596Y251621D01* +X197636Y251670D01* +X197667Y251725D01* +X197690Y251784D01* +X197703Y251846D01* +X197706Y251909D01* +X197700Y251972D01* +X197682Y252032D01* +X197641Y252162D01* +X197615Y252296D01* +X197602Y252432D01* +Y252568D01* +X197615Y252704D01* +X197641Y252838D01* +X197681Y252968D01* +X197698Y253029D01* +X197705Y253091D01* +X197701Y253154D01* +X197688Y253216D01* +X197666Y253274D01* +X197634Y253329D01* +X197595Y253378D01* +X197548Y253420D01* +X197495Y253454D01* +X197438Y253480D01* +X197377Y253496D01* +X197314Y253503D01* +X197253Y253500D01* +Y262000D01* +G37* +G36* +X196300D02*X197253D01* +Y253500D01* +X197251Y253500D01* +X197190Y253487D01* +X197131Y253464D01* +X197077Y253433D01* +X197028Y253393D01* +X196985Y253346D01* +X196951Y253294D01* +X196927Y253236D01* +X196862Y253031D01* +X196821Y252821D01* +X196800Y252607D01* +Y252393D01* +X196821Y252179D01* +X196862Y251969D01* +X196924Y251763D01* +X196950Y251706D01* +X196984Y251653D01* +X197026Y251606D01* +X197076Y251566D01* +X197130Y251534D01* +X197189Y251512D01* +X197251Y251499D01* +X197253Y251499D01* +Y249897D01* +X197049Y250022D01* +X196395Y250293D01* +X196300Y250316D01* +Y262000D01* +G37* +G36* +X212747Y244509D02*X212978Y243951D01* +X213029Y243868D01* +X212747Y243585D01* +Y244509D01* +G37* +G36* +Y262500D02*X216200D01* +Y250436D01* +X215605Y250293D01* +X214951Y250022D01* +X214347Y249652D01* +X213808Y249192D01* +X213348Y248653D01* +X212978Y248049D01* +X212747Y247491D01* +Y251500D01* +X212749Y251500D01* +X212810Y251513D01* +X212869Y251536D01* +X212923Y251567D01* +X212972Y251607D01* +X213015Y251654D01* +X213049Y251706D01* +X213073Y251764D01* +X213138Y251969D01* +X213179Y252179D01* +X213200Y252393D01* +Y252607D01* +X213179Y252821D01* +X213138Y253031D01* +X213076Y253237D01* +X213050Y253294D01* +X213016Y253347D01* +X212974Y253394D01* +X212924Y253434D01* +X212870Y253466D01* +X212811Y253488D01* +X212749Y253501D01* +X212747Y253501D01* +Y262500D01* +G37* +G36* +X211001D02*X212747D01* +Y253501D01* +X212686Y253505D01* +X212623Y253498D01* +X212562Y253482D01* +X212504Y253456D01* +X212451Y253421D01* +X212404Y253379D01* +X212364Y253330D01* +X212333Y253275D01* +X212310Y253216D01* +X212297Y253154D01* +X212294Y253091D01* +X212300Y253028D01* +X212318Y252968D01* +X212359Y252838D01* +X212385Y252704D01* +X212398Y252568D01* +Y252432D01* +X212385Y252296D01* +X212359Y252162D01* +X212319Y252032D01* +X212302Y251971D01* +X212295Y251909D01* +X212299Y251846D01* +X212312Y251784D01* +X212334Y251726D01* +X212366Y251671D01* +X212405Y251622D01* +X212452Y251580D01* +X212505Y251546D01* +X212562Y251520D01* +X212623Y251504D01* +X212686Y251497D01* +X212747Y251500D01* +Y247491D01* +X212707Y247395D01* +X212542Y246706D01* +X212486Y246000D01* +X212542Y245294D01* +X212707Y244605D01* +X212747Y244509D01* +Y243585D01* +X211001Y241839D01* +Y250300D01* +X211107D01* +X211321Y250321D01* +X211531Y250362D01* +X211737Y250424D01* +X211794Y250450D01* +X211847Y250484D01* +X211894Y250526D01* +X211934Y250576D01* +X211966Y250630D01* +X211988Y250689D01* +X212001Y250751D01* +X212005Y250814D01* +X211998Y250877D01* +X211982Y250938D01* +X211956Y250996D01* +X211921Y251049D01* +X211879Y251096D01* +X211830Y251136D01* +X211775Y251167D01* +X211716Y251190D01* +X211654Y251203D01* +X211591Y251206D01* +X211528Y251200D01* +X211468Y251182D01* +X211338Y251141D01* +X211204Y251115D01* +X211068Y251102D01* +X211001D01* +Y253898D01* +X211068D01* +X211204Y253885D01* +X211338Y253859D01* +X211468Y253819D01* +X211529Y253802D01* +X211591Y253795D01* +X211654Y253799D01* +X211716Y253812D01* +X211774Y253834D01* +X211829Y253866D01* +X211878Y253905D01* +X211920Y253952D01* +X211954Y254005D01* +X211980Y254062D01* +X211996Y254123D01* +X212003Y254186D01* +X212000Y254249D01* +X211987Y254310D01* +X211964Y254369D01* +X211933Y254423D01* +X211893Y254472D01* +X211846Y254515D01* +X211794Y254549D01* +X211736Y254573D01* +X211531Y254638D01* +X211321Y254679D01* +X211107Y254700D01* +X211001D01* +Y262500D01* +G37* +G36* +X209281D02*X211001D01* +Y254700D01* +X210893D01* +X210679Y254679D01* +X210469Y254638D01* +X210263Y254576D01* +X210206Y254550D01* +X210153Y254516D01* +X210106Y254474D01* +X210066Y254424D01* +X210034Y254370D01* +X210012Y254311D01* +X209999Y254249D01* +X209995Y254186D01* +X210002Y254123D01* +X210018Y254062D01* +X210044Y254004D01* +X210079Y253951D01* +X210121Y253904D01* +X210170Y253864D01* +X210225Y253833D01* +X210284Y253810D01* +X210346Y253797D01* +X210409Y253794D01* +X210472Y253800D01* +X210532Y253818D01* +X210662Y253859D01* +X210796Y253885D01* +X210932Y253898D01* +X211001D01* +Y251102D01* +X210932D01* +X210796Y251115D01* +X210662Y251141D01* +X210532Y251181D01* +X210471Y251198D01* +X210409Y251205D01* +X210346Y251201D01* +X210284Y251188D01* +X210226Y251166D01* +X210171Y251134D01* +X210122Y251095D01* +X210080Y251048D01* +X210046Y250995D01* +X210020Y250938D01* +X210004Y250877D01* +X209997Y250814D01* +X210000Y250751D01* +X210013Y250690D01* +X210036Y250631D01* +X210067Y250577D01* +X210107Y250528D01* +X210154Y250485D01* +X210206Y250451D01* +X210264Y250427D01* +X210469Y250362D01* +X210679Y250321D01* +X210893Y250300D01* +X211001D01* +Y241839D01* +X210117Y240955D01* +X210078Y240922D01* +X209945Y240766D01* +X209838Y240592D01* +X209760Y240403D01* +X209712Y240204D01* +X209712Y240204D01* +X209696Y240000D01* +X209700Y239949D01* +Y238000D01* +X209662Y238092D01* +X209620Y238160D01* +X209555Y238266D01* +X209555Y238267D01* +X209422Y238422D01* +X209383Y238455D01* +X209000Y238838D01* +Y241881D01* +X209064Y241936D01* +X209217Y242116D01* +X209341Y242317D01* +X209431Y242535D01* +X209486Y242765D01* +X209500Y243000D01* +X209486Y249235D01* +X209431Y249465D01* +X209341Y249683D01* +X209217Y249884D01* +X209064Y250064D01* +X209000Y250119D01* +Y251635D01* +X209026Y251606D01* +X209076Y251566D01* +X209130Y251534D01* +X209189Y251512D01* +X209251Y251499D01* +X209314Y251495D01* +X209377Y251502D01* +X209438Y251518D01* +X209496Y251544D01* +X209549Y251579D01* +X209596Y251621D01* +X209636Y251670D01* +X209667Y251725D01* +X209690Y251784D01* +X209703Y251846D01* +X209706Y251909D01* +X209700Y251972D01* +X209682Y252032D01* +X209641Y252162D01* +X209615Y252296D01* +X209602Y252432D01* +Y252568D01* +X209615Y252704D01* +X209641Y252838D01* +X209681Y252968D01* +X209698Y253029D01* +X209705Y253091D01* +X209701Y253154D01* +X209688Y253216D01* +X209666Y253274D01* +X209634Y253329D01* +X209595Y253378D01* +X209548Y253420D01* +X209495Y253454D01* +X209438Y253480D01* +X209377Y253496D01* +X209314Y253503D01* +X209251Y253500D01* +X209190Y253487D01* +X209131Y253464D01* +X209077Y253433D01* +X209028Y253393D01* +X209000Y253362D01* +Y254354D01* +X209133Y254409D01* +X209334Y254533D01* +X209514Y254686D01* +X209667Y254866D01* +X209791Y255067D01* +X209881Y255285D01* +X209936Y255515D01* +X209950Y255750D01* +X209936Y260485D01* +X209881Y260715D01* +X209791Y260933D01* +X209667Y261134D01* +X209514Y261314D01* +X209334Y261467D01* +X209133Y261591D01* +X209000Y261646D01* +Y262354D01* +X209133Y262409D01* +X209281Y262500D01* +G37* +G36* +X209800Y217622D02*X209859Y217608D01* +X210000Y217600D01* +X212141Y217608D01* +X212279Y217641D01* +X212410Y217696D01* +X212531Y217770D01* +X212638Y217862D01* +X212730Y217969D01* +X212804Y218090D01* +X212859Y218221D01* +X212892Y218359D01* +X212900Y218500D01* +X212892Y227141D01* +X212859Y227279D01* +X212804Y227410D01* +X212730Y227531D01* +X212638Y227638D01* +X212531Y227730D01* +X212410Y227804D01* +X212300Y227850D01* +Y231000D01* +X216500D01* +Y227898D01* +X214859Y227892D01* +X214721Y227859D01* +X214590Y227804D01* +X214469Y227730D01* +X214362Y227638D01* +X214270Y227531D01* +X214196Y227410D01* +X214141Y227279D01* +X214108Y227141D01* +X214100Y227000D01* +X214108Y218447D01* +X212617Y216955D01* +X212578Y216922D01* +X212445Y216766D01* +X212338Y216592D01* +X212260Y216403D01* +X212212Y216204D01* +X212212Y216204D01* +X212196Y216000D01* +X212200Y215949D01* +Y207378D01* +X212141Y207392D01* +X212000Y207400D01* +X209859Y207392D01* +X209721Y207359D01* +X209590Y207304D01* +X209469Y207230D01* +X209362Y207138D01* +X209270Y207031D01* +X209196Y206910D01* +X209141Y206779D01* +X209108Y206641D01* +X209100Y206500D01* +X209108Y197859D01* +X209141Y197721D01* +X209196Y197590D01* +X209270Y197469D01* +X209362Y197362D01* +X209469Y197270D01* +X209590Y197196D01* +X209700Y197150D01* +Y193538D01* +X209000Y192838D01* +Y215662D01* +X209383Y216045D01* +X209422Y216078D01* +X209555Y216233D01* +X209555Y216234D01* +X209662Y216408D01* +X209740Y216597D01* +X209788Y216796D01* +X209804Y217000D01* +X209800Y217051D01* +Y217622D01* +G37* +G36* +X204800Y197122D02*X204859Y197108D01* +X205000Y197100D01* +X207141Y197108D01* +X207279Y197141D01* +X207410Y197196D01* +X207531Y197270D01* +X207638Y197362D01* +X207730Y197469D01* +X207804Y197590D01* +X207859Y197721D01* +X207892Y197859D01* +X207900Y198000D01* +X207892Y206641D01* +X207859Y206779D01* +X207804Y206910D01* +X207730Y207031D01* +X207638Y207138D01* +X207531Y207230D01* +X207410Y207304D01* +X207300Y207350D01* +Y213962D01* +X209383Y216045D01* +X209422Y216078D01* +X209555Y216233D01* +X209555Y216234D01* +X209662Y216408D01* +X209740Y216597D01* +X209788Y216796D01* +X209804Y217000D01* +X209800Y217051D01* +Y217622D01* +X209859Y217608D01* +X210000Y217600D01* +X212141Y217608D01* +X212279Y217641D01* +X212410Y217696D01* +X212531Y217770D01* +X212638Y217862D01* +X212730Y217969D01* +X212749Y218000D01* +X213000D01* +Y217338D01* +X212617Y216955D01* +X212578Y216922D01* +X212445Y216766D01* +X212338Y216592D01* +X212260Y216403D01* +X212212Y216204D01* +X212212Y216204D01* +X212196Y216000D01* +X212200Y215949D01* +Y207378D01* +X212141Y207392D01* +X212000Y207400D01* +X209859Y207392D01* +X209721Y207359D01* +X209590Y207304D01* +X209469Y207230D01* +X209362Y207138D01* +X209270Y207031D01* +X209196Y206910D01* +X209141Y206779D01* +X209108Y206641D01* +X209100Y206500D01* +X209108Y197859D01* +X209141Y197721D01* +X209196Y197590D01* +X209270Y197469D01* +X209362Y197362D01* +X209469Y197270D01* +X209590Y197196D01* +X209700Y197150D01* +Y193538D01* +X208962Y192800D01* +X206551D01* +X206500Y192804D01* +X206296Y192788D01* +X206097Y192740D01* +X205908Y192662D01* +X205734Y192555D01* +X205733Y192555D01* +X205578Y192422D01* +X205545Y192383D01* +X204662Y191500D01* +X204611D01* +Y191825D01* +X204662Y191908D01* +X204740Y192097D01* +X204788Y192296D01* +X204804Y192500D01* +X204800Y192551D01* +Y197122D01* +G37* +G36* +X186300Y268500D02*X193700D01* +Y262500D01* +X186300D01* +Y268500D01* +G37* +G36* +X196300Y269500D02*X201719D01* +X201666Y269467D01* +X201486Y269314D01* +X201333Y269134D01* +X201209Y268933D01* +X201119Y268715D01* +X201064Y268485D01* +X201050Y268250D01* +X201064Y263515D01* +X201119Y263285D01* +X201209Y263067D01* +X201333Y262866D01* +X201486Y262686D01* +X201666Y262533D01* +X201867Y262409D01* +X202085Y262319D01* +X202315Y262264D01* +X202550Y262250D01* +X208685Y262264D01* +X208915Y262319D01* +X209133Y262409D01* +X209334Y262533D01* +X209514Y262686D01* +X209667Y262866D01* +X209791Y263067D01* +X209881Y263285D01* +X209936Y263515D01* +X209950Y263750D01* +X209936Y268485D01* +X209881Y268715D01* +X209791Y268933D01* +X209667Y269134D01* +X209514Y269314D01* +X209334Y269467D01* +X209281Y269500D01* +X216200D01* +Y261000D01* +X209750D01* +X209667Y261134D01* +X209514Y261314D01* +X209334Y261467D01* +X209133Y261591D01* +X208915Y261681D01* +X208685Y261736D01* +X208450Y261750D01* +X202315Y261736D01* +X202085Y261681D01* +X201867Y261591D01* +X201666Y261467D01* +X201486Y261314D01* +X201333Y261134D01* +X201250Y261000D01* +X196300D01* +Y269500D01* +G37* +G36* +X262500Y207650D02*X260359Y207642D01* +X260221Y207609D01* +X260090Y207554D01* +X259969Y207480D01* +X259862Y207388D01* +X259770Y207281D01* +X259696Y207160D01* +X259641Y207029D01* +X259608Y206891D01* +X259600Y206750D01* +X259608Y198109D01* +X259641Y197971D01* +X259696Y197840D01* +X259770Y197719D01* +X259862Y197612D01* +X259969Y197520D01* +X260090Y197446D01* +X260200Y197400D01* +Y191538D01* +X257462Y188800D01* +X251747D01* +Y223500D01* +X251749Y223500D01* +X251810Y223513D01* +X251869Y223536D01* +X251923Y223567D01* +X251972Y223607D01* +X252015Y223654D01* +X252049Y223706D01* +X252073Y223764D01* +X252138Y223969D01* +X252179Y224179D01* +X252200Y224393D01* +Y224607D01* +X252179Y224821D01* +X252138Y225031D01* +X252076Y225237D01* +X252050Y225294D01* +X252016Y225347D01* +X251974Y225394D01* +X251924Y225434D01* +X251870Y225466D01* +X251811Y225488D01* +X251749Y225501D01* +X251747Y225501D01* +Y229700D01* +X257462D01* +X257700Y229462D01* +Y228128D01* +X257641Y228142D01* +X257500Y228150D01* +X255359Y228142D01* +X255221Y228109D01* +X255090Y228054D01* +X254969Y227980D01* +X254862Y227888D01* +X254770Y227781D01* +X254696Y227660D01* +X254641Y227529D01* +X254608Y227391D01* +X254600Y227250D01* +X254608Y218609D01* +X254641Y218471D01* +X254696Y218340D01* +X254770Y218219D01* +X254862Y218112D01* +X254969Y218020D01* +X255090Y217946D01* +X255221Y217891D01* +X255359Y217858D01* +X255500Y217850D01* +X257641Y217858D01* +X257700Y217872D01* +Y217538D01* +X255617Y215455D01* +X255578Y215422D01* +X255445Y215266D01* +X255338Y215092D01* +X255260Y214903D01* +X255212Y214704D01* +X255212Y214704D01* +X255196Y214500D01* +X255200Y214449D01* +Y207600D01* +X255090Y207554D01* +X254969Y207480D01* +X254862Y207388D01* +X254770Y207281D01* +X254696Y207160D01* +X254641Y207029D01* +X254608Y206891D01* +X254600Y206750D01* +X254608Y198109D01* +X254641Y197971D01* +X254696Y197840D01* +X254770Y197719D01* +X254862Y197612D01* +X254969Y197520D01* +X255090Y197446D01* +X255221Y197391D01* +X255359Y197358D01* +X255500Y197350D01* +X257641Y197358D01* +X257779Y197391D01* +X257910Y197446D01* +X258031Y197520D01* +X258138Y197612D01* +X258230Y197719D01* +X258304Y197840D01* +X258359Y197971D01* +X258392Y198109D01* +X258400Y198250D01* +X258392Y206891D01* +X258359Y207029D01* +X258304Y207160D01* +X258230Y207281D01* +X258138Y207388D01* +X258031Y207480D01* +X257910Y207554D01* +X257800Y207600D01* +Y213962D01* +X259883Y216045D01* +X259922Y216078D01* +X260055Y216233D01* +X260055Y216234D01* +X260162Y216408D01* +X260240Y216597D01* +X260288Y216796D01* +X260304Y217000D01* +X260300Y217051D01* +Y217872D01* +X260359Y217858D01* +X260500Y217850D01* +X262641Y217858D01* +X262779Y217891D01* +X262910Y217946D01* +X263031Y218020D01* +X263138Y218112D01* +X263230Y218219D01* +X263304Y218340D01* +X263359Y218471D01* +X263392Y218609D01* +X263400Y218750D01* +X263392Y227391D01* +X263359Y227529D01* +X263304Y227660D01* +X263230Y227781D01* +X263138Y227888D01* +X263031Y227980D01* +X262910Y228054D01* +X262800Y228100D01* +Y230949D01* +X262804Y231000D01* +X262788Y231204D01* +X262788Y231204D01* +X262752Y231355D01* +X262740Y231403D01* +X262708Y231481D01* +X262662Y231592D01* +X262620Y231660D01* +X262555Y231766D01* +X262555Y231767D01* +X262422Y231922D01* +X262383Y231955D01* +X258300Y236038D01* +Y237000D01* +X265500D01* +Y228142D01* +X265359Y228142D01* +X265221Y228109D01* +X265090Y228054D01* +X264969Y227980D01* +X264862Y227888D01* +X264770Y227781D01* +X264696Y227660D01* +X264641Y227529D01* +X264608Y227391D01* +X264600Y227250D01* +X264608Y219446D01* +X263117Y217955D01* +X263078Y217922D01* +X262945Y217766D01* +X262838Y217592D01* +X262760Y217403D01* +X262712Y217204D01* +X262712Y217204D01* +X262696Y217000D01* +X262700Y216949D01* +Y207628D01* +X262641Y207642D01* +X262500Y207650D01* +G37* +G36* +X251747Y188800D02*X250001D01* +Y222300D01* +X250107D01* +X250321Y222321D01* +X250531Y222362D01* +X250737Y222424D01* +X250794Y222450D01* +X250847Y222484D01* +X250894Y222526D01* +X250934Y222576D01* +X250966Y222630D01* +X250988Y222689D01* +X251001Y222751D01* +X251005Y222814D01* +X250998Y222877D01* +X250982Y222938D01* +X250956Y222996D01* +X250921Y223049D01* +X250879Y223096D01* +X250830Y223136D01* +X250775Y223167D01* +X250716Y223190D01* +X250654Y223203D01* +X250591Y223206D01* +X250528Y223200D01* +X250468Y223182D01* +X250338Y223141D01* +X250204Y223115D01* +X250068Y223102D01* +X250001D01* +Y225898D01* +X250068D01* +X250204Y225885D01* +X250338Y225859D01* +X250468Y225819D01* +X250529Y225802D01* +X250591Y225795D01* +X250654Y225799D01* +X250716Y225812D01* +X250774Y225834D01* +X250829Y225866D01* +X250878Y225905D01* +X250920Y225952D01* +X250954Y226005D01* +X250980Y226062D01* +X250996Y226123D01* +X251003Y226186D01* +X251000Y226249D01* +X250987Y226310D01* +X250964Y226369D01* +X250933Y226423D01* +X250893Y226472D01* +X250846Y226515D01* +X250794Y226549D01* +X250736Y226573D01* +X250531Y226638D01* +X250321Y226679D01* +X250107Y226700D01* +X250001D01* +Y229696D01* +X250051Y229700D01* +X251747D01* +Y225501D01* +X251686Y225505D01* +X251623Y225498D01* +X251562Y225482D01* +X251504Y225456D01* +X251451Y225421D01* +X251404Y225379D01* +X251364Y225330D01* +X251333Y225275D01* +X251310Y225216D01* +X251297Y225154D01* +X251294Y225091D01* +X251300Y225028D01* +X251318Y224968D01* +X251359Y224838D01* +X251385Y224704D01* +X251398Y224568D01* +Y224432D01* +X251385Y224296D01* +X251359Y224162D01* +X251319Y224032D01* +X251302Y223971D01* +X251295Y223909D01* +X251299Y223846D01* +X251312Y223784D01* +X251334Y223726D01* +X251366Y223671D01* +X251405Y223622D01* +X251452Y223580D01* +X251505Y223546D01* +X251562Y223520D01* +X251623Y223504D01* +X251686Y223497D01* +X251747Y223500D01* +Y188800D01* +G37* +G36* +X250001D02*X248253D01* +Y223499D01* +X248314Y223495D01* +X248377Y223502D01* +X248438Y223518D01* +X248496Y223544D01* +X248549Y223579D01* +X248596Y223621D01* +X248636Y223670D01* +X248667Y223725D01* +X248690Y223784D01* +X248703Y223846D01* +X248706Y223909D01* +X248700Y223972D01* +X248682Y224032D01* +X248641Y224162D01* +X248615Y224296D01* +X248602Y224432D01* +Y224568D01* +X248615Y224704D01* +X248641Y224838D01* +X248681Y224968D01* +X248698Y225029D01* +X248705Y225091D01* +X248701Y225154D01* +X248688Y225216D01* +X248666Y225274D01* +X248634Y225329D01* +X248595Y225378D01* +X248548Y225420D01* +X248495Y225454D01* +X248438Y225480D01* +X248377Y225496D01* +X248314Y225503D01* +X248253Y225500D01* +Y230908D01* +X249045Y230117D01* +X249078Y230078D01* +X249233Y229945D01* +X249234Y229945D01* +X249408Y229838D01* +X249597Y229760D01* +X249748Y229724D01* +X249796Y229712D01* +X249796D01* +X250000Y229696D01* +X250001Y229696D01* +Y226700D01* +X249893D01* +X249679Y226679D01* +X249469Y226638D01* +X249263Y226576D01* +X249206Y226550D01* +X249153Y226516D01* +X249106Y226474D01* +X249066Y226424D01* +X249034Y226370D01* +X249012Y226311D01* +X248999Y226249D01* +X248995Y226186D01* +X249002Y226123D01* +X249018Y226062D01* +X249044Y226004D01* +X249079Y225951D01* +X249121Y225904D01* +X249170Y225864D01* +X249225Y225833D01* +X249284Y225810D01* +X249346Y225797D01* +X249409Y225794D01* +X249472Y225800D01* +X249532Y225818D01* +X249662Y225859D01* +X249796Y225885D01* +X249932Y225898D01* +X250001D01* +Y223102D01* +X249932D01* +X249796Y223115D01* +X249662Y223141D01* +X249532Y223181D01* +X249471Y223198D01* +X249409Y223205D01* +X249346Y223201D01* +X249284Y223188D01* +X249226Y223166D01* +X249171Y223134D01* +X249122Y223095D01* +X249080Y223048D01* +X249046Y222995D01* +X249020Y222938D01* +X249004Y222877D01* +X248997Y222814D01* +X249000Y222751D01* +X249013Y222690D01* +X249036Y222631D01* +X249067Y222577D01* +X249107Y222528D01* +X249154Y222485D01* +X249206Y222451D01* +X249264Y222427D01* +X249469Y222362D01* +X249679Y222321D01* +X249893Y222300D01* +X250001D01* +Y188800D01* +G37* +G36* +X248253D02*X246551D01* +X246500Y188804D01* +X246296Y188788D01* +X246097Y188740D01* +X245908Y188662D01* +X245734Y188555D01* +X245733Y188555D01* +X245578Y188422D01* +X245545Y188383D01* +X240462Y183300D01* +X235000D01* +Y237000D01* +X245700D01* +Y234051D01* +X245696Y234000D01* +X245712Y233796D01* +X245712Y233796D01* +X245760Y233597D01* +X245838Y233408D01* +X245879Y233342D01* +X245945Y233234D01* +X245945Y233233D01* +X246078Y233078D01* +X246117Y233045D01* +X248253Y230908D01* +Y225500D01* +X248251Y225500D01* +X248190Y225487D01* +X248131Y225464D01* +X248077Y225433D01* +X248028Y225393D01* +X247985Y225346D01* +X247951Y225294D01* +X247927Y225236D01* +X247862Y225031D01* +X247821Y224821D01* +X247800Y224607D01* +Y224393D01* +X247821Y224179D01* +X247862Y223969D01* +X247924Y223763D01* +X247950Y223706D01* +X247984Y223653D01* +X248026Y223606D01* +X248076Y223566D01* +X248130Y223534D01* +X248189Y223512D01* +X248251Y223499D01* +X248253Y223499D01* +Y188800D01* +G37* +G36* +X265300Y197372D02*X265359Y197358D01* +X265500Y197350D01* +X267641Y197358D01* +X267779Y197391D01* +X267910Y197446D01* +X268031Y197520D01* +X268138Y197612D01* +X268230Y197719D01* +X268304Y197840D01* +X268359Y197971D01* +X268392Y198109D01* +X268400Y198250D01* +X268392Y206891D01* +X268359Y207029D01* +X268304Y207160D01* +X268230Y207281D01* +X268138Y207388D01* +X268031Y207480D01* +X267910Y207554D01* +X267800Y207600D01* +Y213962D01* +X269883Y216045D01* +X269922Y216078D01* +X270055Y216233D01* +X270055Y216234D01* +X270162Y216408D01* +X270240Y216597D01* +X270288Y216796D01* +X270304Y217000D01* +X270300Y217051D01* +Y217872D01* +X270359Y217858D01* +X270500Y217850D01* +X272641Y217858D01* +X272779Y217891D01* +X272910Y217946D01* +X272999Y218000D01* +X274162D01* +X273117Y216955D01* +X273078Y216922D01* +X272945Y216766D01* +X272838Y216592D01* +X272760Y216403D01* +X272712Y216204D01* +X272712Y216204D01* +X272696Y216000D01* +X272700Y215949D01* +Y207628D01* +X272641Y207642D01* +X272500Y207650D01* +X270359Y207642D01* +X270221Y207609D01* +X270090Y207554D01* +X269969Y207480D01* +X269862Y207388D01* +X269770Y207281D01* +X269696Y207160D01* +X269641Y207029D01* +X269608Y206891D01* +X269600Y206750D01* +X269608Y198109D01* +X269641Y197971D01* +X269696Y197840D01* +X269770Y197719D01* +X269862Y197612D01* +X269969Y197520D01* +X270090Y197446D01* +X270200Y197400D01* +Y188038D01* +X267162Y185000D01* +X262000D01* +Y185162D01* +X264883Y188045D01* +X264922Y188078D01* +X265055Y188233D01* +X265055Y188234D01* +X265162Y188408D01* +X265240Y188597D01* +X265288Y188796D01* +X265304Y189000D01* +X265300Y189051D01* +Y197372D01* +G37* +G36* +X282500Y207650D02*X280359Y207642D01* +X280221Y207609D01* +X280090Y207554D01* +X279969Y207480D01* +X279862Y207388D01* +X279770Y207281D01* +X279696Y207160D01* +X279641Y207029D01* +X279608Y206891D01* +X279600Y206750D01* +X279608Y198109D01* +X279641Y197971D01* +X279696Y197840D01* +X279770Y197719D01* +X279862Y197612D01* +X279969Y197520D01* +X280090Y197446D01* +X280200Y197400D01* +Y185500D01* +X275304D01* +X275300Y185551D01* +Y197372D01* +X275359Y197358D01* +X275500Y197350D01* +X277641Y197358D01* +X277779Y197391D01* +X277910Y197446D01* +X278031Y197520D01* +X278138Y197612D01* +X278230Y197719D01* +X278304Y197840D01* +X278359Y197971D01* +X278392Y198109D01* +X278400Y198250D01* +X278392Y206891D01* +X278359Y207029D01* +X278304Y207160D01* +X278230Y207281D01* +X278138Y207388D01* +X278031Y207480D01* +X277910Y207554D01* +X277800Y207600D01* +Y213962D01* +X279883Y216045D01* +X279922Y216078D01* +X280055Y216233D01* +X280055Y216234D01* +X280162Y216408D01* +X280240Y216597D01* +X280288Y216796D01* +X280304Y217000D01* +X280300Y217051D01* +Y217872D01* +X280359Y217858D01* +X280500Y217850D01* +X282641Y217858D01* +X282779Y217891D01* +X282910Y217946D01* +X283031Y218020D01* +X283138Y218112D01* +X283230Y218219D01* +X283304Y218340D01* +X283359Y218471D01* +X283392Y218609D01* +X283400Y218750D01* +X283396Y223000D01* +X284604D01* +X284608Y218609D01* +X284640Y218478D01* +X283117Y216955D01* +X283078Y216922D01* +X282945Y216766D01* +X282838Y216592D01* +X282760Y216403D01* +X282712Y216204D01* +X282712Y216204D01* +X282696Y216000D01* +X282700Y215949D01* +Y207628D01* +X282641Y207642D01* +X282500Y207650D01* +G37* +G36* +X277000Y237662D02*Y228148D01* +X275359Y228142D01* +X275221Y228109D01* +X275090Y228054D01* +X274969Y227980D01* +X274862Y227888D01* +X274770Y227781D01* +X274696Y227660D01* +X274641Y227529D01* +X274608Y227391D01* +X274600Y227250D01* +X274608Y218609D01* +X274640Y218478D01* +X273117Y216955D01* +X273078Y216922D01* +X272945Y216766D01* +X272838Y216592D01* +X272760Y216403D01* +X272712Y216204D01* +X272712Y216204D01* +X272696Y216000D01* +X272700Y215949D01* +Y207628D01* +X272641Y207642D01* +X272500Y207650D01* +X271500Y207646D01* +Y217854D01* +X272641Y217858D01* +X272779Y217891D01* +X272910Y217946D01* +X273031Y218020D01* +X273138Y218112D01* +X273230Y218219D01* +X273304Y218340D01* +X273359Y218471D01* +X273392Y218609D01* +X273400Y218750D01* +X273392Y227391D01* +X273359Y227529D01* +X273304Y227660D01* +X273230Y227781D01* +X273138Y227888D01* +X273031Y227980D01* +X272910Y228054D01* +X272800Y228100D01* +Y236462D01* +X273538Y237200D01* +X275949D01* +X276000Y237196D01* +X276204Y237212D01* +X276204Y237212D01* +X276403Y237260D01* +X276592Y237338D01* +X276766Y237445D01* +X276922Y237578D01* +X276955Y237617D01* +X277000Y237662D01* +G37* +G36* +X214800Y197122D02*X214859Y197108D01* +X215000Y197100D01* +X217141Y197108D01* +X217279Y197141D01* +X217410Y197196D01* +X217531Y197270D01* +X217638Y197362D01* +X217730Y197469D01* +X217804Y197590D01* +X217859Y197721D01* +X217892Y197859D01* +X217900Y198000D01* +X217892Y206641D01* +X217859Y206779D01* +X217804Y206910D01* +X217730Y207031D01* +X217638Y207138D01* +X217531Y207230D01* +X217410Y207304D01* +X217300Y207350D01* +Y213962D01* +X219383Y216045D01* +X219422Y216078D01* +X219555Y216233D01* +X219555Y216234D01* +X219662Y216408D01* +X219740Y216597D01* +X219788Y216796D01* +X219804Y217000D01* +X219800Y217051D01* +Y217622D01* +X219859Y217608D01* +X220000Y217600D01* +X221000Y217604D01* +Y207396D01* +X219859Y207392D01* +X219721Y207359D01* +X219590Y207304D01* +X219469Y207230D01* +X219362Y207138D01* +X219270Y207031D01* +X219196Y206910D01* +X219141Y206779D01* +X219108Y206641D01* +X219100Y206500D01* +X219108Y197859D01* +X219141Y197721D01* +X219196Y197590D01* +X219270Y197469D01* +X219362Y197362D01* +X219469Y197270D01* +X219590Y197196D01* +X219700Y197150D01* +Y188538D01* +X219462Y188300D01* +X212500D01* +X212592Y188338D01* +X212766Y188445D01* +X212922Y188578D01* +X212955Y188617D01* +X214383Y190045D01* +X214422Y190078D01* +X214555Y190233D01* +X214555Y190234D01* +X214662Y190408D01* +X214740Y190597D01* +X214788Y190796D01* +X214804Y191000D01* +X214800Y191051D01* +Y197122D01* +G37* +G36* +X233247Y234000D02*X237500D01* +Y205500D01* +X233247D01* +Y214500D01* +X233249Y214500D01* +X233310Y214513D01* +X233369Y214536D01* +X233423Y214567D01* +X233472Y214607D01* +X233515Y214654D01* +X233549Y214706D01* +X233573Y214764D01* +X233638Y214969D01* +X233679Y215179D01* +X233700Y215393D01* +Y215607D01* +X233679Y215821D01* +X233638Y216031D01* +X233576Y216237D01* +X233550Y216294D01* +X233516Y216347D01* +X233474Y216394D01* +X233424Y216434D01* +X233370Y216466D01* +X233311Y216488D01* +X233249Y216501D01* +X233247Y216501D01* +Y234000D01* +G37* +G36* +X231501D02*X233247D01* +Y216501D01* +X233186Y216505D01* +X233123Y216498D01* +X233062Y216482D01* +X233004Y216456D01* +X232951Y216421D01* +X232904Y216379D01* +X232864Y216330D01* +X232833Y216275D01* +X232810Y216216D01* +X232797Y216154D01* +X232794Y216091D01* +X232800Y216028D01* +X232818Y215968D01* +X232859Y215838D01* +X232885Y215704D01* +X232898Y215568D01* +Y215432D01* +X232885Y215296D01* +X232859Y215162D01* +X232819Y215032D01* +X232802Y214971D01* +X232795Y214909D01* +X232799Y214846D01* +X232812Y214784D01* +X232834Y214726D01* +X232866Y214671D01* +X232905Y214622D01* +X232952Y214580D01* +X233005Y214546D01* +X233062Y214520D01* +X233123Y214504D01* +X233186Y214497D01* +X233247Y214500D01* +Y205500D01* +X233083D01* +X233079Y207142D01* +X233057Y207233D01* +X233021Y207321D01* +X232971Y207401D01* +X232910Y207473D01* +X232838Y207534D01* +X232758Y207584D01* +X232670Y207620D01* +X232579Y207642D01* +X232484Y207648D01* +X231501Y207645D01* +Y208418D01* +X232579Y208421D01* +X232670Y208443D01* +X232758Y208479D01* +X232838Y208529D01* +X232910Y208590D01* +X232971Y208662D01* +X233021Y208742D01* +X233057Y208830D01* +X233079Y208921D01* +X233084Y209016D01* +X233079Y211079D01* +X233057Y211170D01* +X233021Y211258D01* +X232971Y211338D01* +X232910Y211410D01* +X232838Y211471D01* +X232758Y211521D01* +X232670Y211557D01* +X232579Y211579D01* +X232484Y211584D01* +X231501Y211582D01* +Y213300D01* +X231607D01* +X231821Y213321D01* +X232031Y213362D01* +X232237Y213424D01* +X232294Y213450D01* +X232347Y213484D01* +X232394Y213526D01* +X232434Y213576D01* +X232466Y213630D01* +X232488Y213689D01* +X232501Y213751D01* +X232505Y213814D01* +X232498Y213877D01* +X232482Y213938D01* +X232456Y213996D01* +X232421Y214049D01* +X232379Y214096D01* +X232330Y214136D01* +X232275Y214167D01* +X232216Y214190D01* +X232154Y214203D01* +X232091Y214206D01* +X232028Y214200D01* +X231968Y214182D01* +X231838Y214141D01* +X231704Y214115D01* +X231568Y214102D01* +X231501D01* +Y216898D01* +X231568D01* +X231704Y216885D01* +X231838Y216859D01* +X231968Y216819D01* +X232029Y216802D01* +X232091Y216795D01* +X232154Y216799D01* +X232216Y216812D01* +X232274Y216834D01* +X232329Y216866D01* +X232378Y216905D01* +X232420Y216952D01* +X232454Y217005D01* +X232480Y217062D01* +X232496Y217123D01* +X232503Y217186D01* +X232500Y217249D01* +X232487Y217310D01* +X232464Y217369D01* +X232433Y217423D01* +X232393Y217472D01* +X232346Y217515D01* +X232294Y217549D01* +X232236Y217573D01* +X232031Y217638D01* +X231821Y217679D01* +X231607Y217700D01* +X231501D01* +Y234000D01* +G37* +G36* +X229753D02*X231501D01* +Y217700D01* +X231393D01* +X231179Y217679D01* +X230969Y217638D01* +X230763Y217576D01* +X230706Y217550D01* +X230653Y217516D01* +X230606Y217474D01* +X230566Y217424D01* +X230534Y217370D01* +X230512Y217311D01* +X230499Y217249D01* +X230495Y217186D01* +X230502Y217123D01* +X230518Y217062D01* +X230544Y217004D01* +X230579Y216951D01* +X230621Y216904D01* +X230670Y216864D01* +X230725Y216833D01* +X230784Y216810D01* +X230846Y216797D01* +X230909Y216794D01* +X230972Y216800D01* +X231032Y216818D01* +X231162Y216859D01* +X231296Y216885D01* +X231432Y216898D01* +X231501D01* +Y214102D01* +X231432D01* +X231296Y214115D01* +X231162Y214141D01* +X231032Y214181D01* +X230971Y214198D01* +X230909Y214205D01* +X230846Y214201D01* +X230784Y214188D01* +X230726Y214166D01* +X230671Y214134D01* +X230622Y214095D01* +X230580Y214048D01* +X230546Y213995D01* +X230520Y213938D01* +X230504Y213877D01* +X230497Y213814D01* +X230500Y213751D01* +X230513Y213690D01* +X230536Y213631D01* +X230567Y213577D01* +X230607Y213528D01* +X230654Y213485D01* +X230706Y213451D01* +X230764Y213427D01* +X230969Y213362D01* +X231179Y213321D01* +X231393Y213300D01* +X231501D01* +Y211582D01* +X230421Y211579D01* +X230330Y211557D01* +X230242Y211521D01* +X230162Y211471D01* +X230090Y211410D01* +X230029Y211338D01* +X229979Y211258D01* +X229943Y211170D01* +X229921Y211079D01* +X229916Y210984D01* +X229921Y208921D01* +X229943Y208830D01* +X229979Y208742D01* +X230029Y208662D01* +X230090Y208590D01* +X230162Y208529D01* +X230242Y208479D01* +X230330Y208443D01* +X230421Y208421D01* +X230516Y208416D01* +X231501Y208418D01* +Y207645D01* +X230421Y207642D01* +X230330Y207620D01* +X230242Y207584D01* +X230162Y207534D01* +X230090Y207473D01* +X230051Y207428D01* +X229929D01* +X229878Y207432D01* +X229753Y207422D01* +Y214499D01* +X229814Y214495D01* +X229877Y214502D01* +X229938Y214518D01* +X229996Y214544D01* +X230049Y214579D01* +X230096Y214621D01* +X230136Y214670D01* +X230167Y214725D01* +X230190Y214784D01* +X230203Y214846D01* +X230206Y214909D01* +X230200Y214972D01* +X230182Y215032D01* +X230141Y215162D01* +X230115Y215296D01* +X230102Y215432D01* +Y215568D01* +X230115Y215704D01* +X230141Y215838D01* +X230181Y215968D01* +X230198Y216029D01* +X230205Y216091D01* +X230201Y216154D01* +X230188Y216216D01* +X230166Y216274D01* +X230134Y216329D01* +X230095Y216378D01* +X230048Y216420D01* +X229995Y216454D01* +X229938Y216480D01* +X229877Y216496D01* +X229814Y216503D01* +X229753Y216500D01* +Y234000D01* +G37* +G36* +X227000Y227900D02*X224859Y227892D01* +X224721Y227859D01* +X224590Y227804D01* +X224469Y227730D01* +X224362Y227638D01* +X224270Y227531D01* +X224196Y227410D01* +X224141Y227279D01* +X224108Y227141D01* +X224100Y227000D01* +X224108Y218447D01* +X222617Y216955D01* +X222578Y216922D01* +X222445Y216766D01* +X222338Y216592D01* +X222260Y216403D01* +X222212Y216204D01* +X222212Y216204D01* +X222196Y216000D01* +X222200Y215949D01* +Y207378D01* +X222141Y207392D01* +X222000Y207400D01* +X219859Y207392D01* +X219721Y207359D01* +X219590Y207304D01* +X219469Y207230D01* +X219362Y207138D01* +X219270Y207031D01* +X219196Y206910D01* +X219141Y206779D01* +X219108Y206641D01* +X219100Y206500D01* +X219101Y205500D01* +X218500D01* +Y215162D01* +X219383Y216045D01* +X219422Y216078D01* +X219555Y216233D01* +X219555Y216234D01* +X219662Y216408D01* +X219740Y216597D01* +X219788Y216796D01* +X219804Y217000D01* +X219800Y217051D01* +Y217622D01* +X219859Y217608D01* +X220000Y217600D01* +X222141Y217608D01* +X222279Y217641D01* +X222410Y217696D01* +X222531Y217770D01* +X222638Y217862D01* +X222730Y217969D01* +X222804Y218090D01* +X222859Y218221D01* +X222892Y218359D01* +X222900Y218500D01* +X222892Y227141D01* +X222859Y227279D01* +X222804Y227410D01* +X222730Y227531D01* +X222638Y227638D01* +X222531Y227730D01* +X222410Y227804D01* +X222300Y227850D01* +Y229462D01* +X226838Y234000D01* +X229753D01* +Y216500D01* +X229751Y216500D01* +X229690Y216487D01* +X229631Y216464D01* +X229577Y216433D01* +X229528Y216393D01* +X229485Y216346D01* +X229451Y216294D01* +X229427Y216236D01* +X229362Y216031D01* +X229321Y215821D01* +X229300Y215607D01* +Y215393D01* +X229321Y215179D01* +X229362Y214969D01* +X229424Y214763D01* +X229450Y214706D01* +X229484Y214653D01* +X229526Y214606D01* +X229576Y214566D01* +X229630Y214534D01* +X229689Y214512D01* +X229751Y214499D01* +X229753Y214499D01* +Y207422D01* +X229674Y207416D01* +X229475Y207368D01* +X229286Y207290D01* +X229112Y207183D01* +X229111Y207183D01* +X228956Y207050D01* +X228923Y207011D01* +X227892Y205981D01* +X227892Y206641D01* +X227859Y206779D01* +X227804Y206910D01* +X227730Y207031D01* +X227638Y207138D01* +X227531Y207230D01* +X227410Y207304D01* +X227279Y207359D01* +X227141Y207392D01* +X227000Y207400D01* +X224859Y207392D01* +X224800Y207378D01* +Y215462D01* +X226883Y217545D01* +X226922Y217578D01* +X226947Y217608D01* +X227141Y217608D01* +X227279Y217641D01* +X227410Y217696D01* +X227531Y217770D01* +X227638Y217862D01* +X227730Y217969D01* +X227804Y218090D01* +X227859Y218221D01* +X227892Y218359D01* +X227900Y218500D01* +X227892Y227141D01* +X227859Y227279D01* +X227804Y227410D01* +X227730Y227531D01* +X227638Y227638D01* +X227531Y227730D01* +X227410Y227804D01* +X227279Y227859D01* +X227141Y227892D01* +X227000Y227900D01* +G37* +G36* +X237000Y206500D02*Y183300D01* +X223000D01* +X223092Y183338D01* +X223266Y183445D01* +X223422Y183578D01* +X223455Y183617D01* +X224383Y184545D01* +X224422Y184578D01* +X224555Y184733D01* +X224555Y184734D01* +X224662Y184908D01* +X224740Y185097D01* +X224788Y185296D01* +X224804Y185500D01* +X224800Y185551D01* +Y191500D01* +X224800Y191500D01* +Y197122D01* +X224859Y197108D01* +X225000Y197100D01* +X227141Y197108D01* +X227279Y197141D01* +X227410Y197196D01* +X227531Y197270D01* +X227638Y197362D01* +X227730Y197469D01* +X227804Y197590D01* +X227859Y197721D01* +X227892Y197859D01* +X227900Y198000D01* +X227900Y198512D01* +X228295Y198117D01* +X228328Y198078D01* +X228483Y197945D01* +X228484Y197945D01* +X228592Y197879D01* +X228658Y197838D01* +X228847Y197760D01* +X229046Y197712D01* +X229046Y197712D01* +X229218Y197698D01* +X229440Y197440D01* +X229703Y197215D01* +X229998Y197034D01* +X230318Y196901D01* +X230655Y196820D01* +X231000Y196793D01* +X231345Y196820D01* +X231682Y196901D01* +X232002Y197034D01* +X232297Y197215D01* +X232560Y197440D01* +X232785Y197703D01* +X232966Y197998D01* +X233099Y198318D01* +X233180Y198655D01* +X233200Y199000D01* +X233180Y199345D01* +X233099Y199682D01* +X232966Y200002D01* +X232785Y200297D01* +X232560Y200560D01* +X232297Y200785D01* +X232002Y200966D01* +X231682Y201099D01* +X231345Y201180D01* +X231000Y201207D01* +X230655Y201180D01* +X230318Y201099D01* +X229998Y200966D01* +X229703Y200785D01* +X229487Y200601D01* +X227896Y202193D01* +X227896Y202307D01* +X230173Y204585D01* +X230242Y204542D01* +X230330Y204506D01* +X230421Y204484D01* +X230516Y204478D01* +X232579Y204484D01* +X232670Y204506D01* +X232758Y204542D01* +X232838Y204592D01* +X232910Y204653D01* +X232971Y204725D01* +X233021Y204805D01* +X233057Y204893D01* +X233079Y204984D01* +X233084Y205078D01* +X233081Y206500D01* +X237000D01* +G37* +G36* +X249838Y182000D02*X251162D01* +X240662Y171500D01* +X239000D01* +Y178200D01* +X245449D01* +X245500Y178196D01* +X245704Y178212D01* +X245704Y178212D01* +X245903Y178260D01* +X246092Y178338D01* +X246266Y178445D01* +X246422Y178578D01* +X246455Y178617D01* +X249838Y182000D01* +G37* +G36* +X265200Y188500D02*X269000D01* +Y186838D01* +X265962Y183800D01* +X260638D01* +X264883Y188045D01* +X264922Y188078D01* +X265055Y188233D01* +X265055Y188234D01* +X265162Y188408D01* +X265200Y188500D01* +G37* +G36* +X290000Y187500D02*X285300D01* +Y197372D01* +X285359Y197358D01* +X285500Y197350D01* +X287641Y197358D01* +X287779Y197391D01* +X287910Y197446D01* +X288031Y197520D01* +X288138Y197612D01* +X288230Y197719D01* +X288304Y197840D01* +X288359Y197971D01* +X288392Y198109D01* +X288400Y198250D01* +X288400Y198762D01* +X289545Y197617D01* +X289578Y197578D01* +X289733Y197445D01* +X289734Y197445D01* +X289908Y197338D01* +X290000Y197300D01* +Y187500D01* +G37* +G36* +X294747Y219000D02*X298500D01* +Y183000D01* +X294747D01* +Y213000D01* +X294749Y213000D01* +X294810Y213013D01* +X294869Y213036D01* +X294923Y213067D01* +X294972Y213107D01* +X295015Y213154D01* +X295049Y213206D01* +X295073Y213264D01* +X295138Y213469D01* +X295179Y213679D01* +X295200Y213893D01* +Y214107D01* +X295179Y214321D01* +X295138Y214531D01* +X295076Y214737D01* +X295050Y214794D01* +X295016Y214847D01* +X294974Y214894D01* +X294924Y214934D01* +X294870Y214966D01* +X294811Y214988D01* +X294749Y215001D01* +X294747Y215001D01* +Y219000D01* +G37* +G36* +X293000D02*X294747D01* +Y215001D01* +X294686Y215005D01* +X294623Y214998D01* +X294562Y214982D01* +X294504Y214956D01* +X294451Y214921D01* +X294404Y214879D01* +X294364Y214830D01* +X294333Y214775D01* +X294310Y214716D01* +X294297Y214654D01* +X294294Y214591D01* +X294300Y214528D01* +X294318Y214468D01* +X294359Y214338D01* +X294385Y214204D01* +X294398Y214068D01* +Y213932D01* +X294385Y213796D01* +X294359Y213662D01* +X294319Y213532D01* +X294302Y213471D01* +X294295Y213409D01* +X294299Y213346D01* +X294312Y213284D01* +X294334Y213226D01* +X294366Y213171D01* +X294405Y213122D01* +X294452Y213080D01* +X294505Y213046D01* +X294562Y213020D01* +X294623Y213004D01* +X294686Y212997D01* +X294747Y213000D01* +Y183000D01* +X293000D01* +Y197388D01* +X293060Y197440D01* +X293285Y197703D01* +X293466Y197998D01* +X293599Y198318D01* +X293680Y198655D01* +X293700Y199000D01* +X293680Y199345D01* +X293599Y199682D01* +X293466Y200002D01* +X293285Y200297D01* +X293060Y200560D01* +X293000Y200612D01* +Y202918D01* +X294079Y202921D01* +X294170Y202943D01* +X294258Y202979D01* +X294338Y203029D01* +X294410Y203090D01* +X294471Y203162D01* +X294521Y203242D01* +X294557Y203330D01* +X294579Y203421D01* +X294584Y203516D01* +X294579Y205579D01* +X294557Y205670D01* +X294521Y205758D01* +X294471Y205838D01* +X294410Y205910D01* +X294338Y205971D01* +X294258Y206021D01* +X294170Y206057D01* +X294079Y206079D01* +X293984Y206084D01* +X293000Y206082D01* +Y206855D01* +X294079Y206858D01* +X294170Y206880D01* +X294258Y206916D01* +X294338Y206966D01* +X294410Y207027D01* +X294471Y207099D01* +X294521Y207179D01* +X294557Y207267D01* +X294579Y207358D01* +X294584Y207452D01* +X294579Y209516D01* +X294557Y209607D01* +X294521Y209695D01* +X294471Y209775D01* +X294410Y209847D01* +X294338Y209908D01* +X294258Y209958D01* +X294170Y209994D01* +X294079Y210016D01* +X293984Y210022D01* +X293000Y210019D01* +Y211800D01* +X293107D01* +X293321Y211821D01* +X293531Y211862D01* +X293737Y211924D01* +X293794Y211950D01* +X293847Y211984D01* +X293894Y212026D01* +X293934Y212076D01* +X293966Y212130D01* +X293988Y212189D01* +X294001Y212251D01* +X294005Y212314D01* +X293998Y212377D01* +X293982Y212438D01* +X293956Y212496D01* +X293921Y212549D01* +X293879Y212596D01* +X293830Y212636D01* +X293775Y212667D01* +X293716Y212690D01* +X293654Y212703D01* +X293591Y212706D01* +X293528Y212700D01* +X293468Y212682D01* +X293338Y212641D01* +X293204Y212615D01* +X293068Y212602D01* +X293000D01* +Y215398D01* +X293068D01* +X293204Y215385D01* +X293338Y215359D01* +X293468Y215319D01* +X293529Y215302D01* +X293591Y215295D01* +X293654Y215299D01* +X293716Y215312D01* +X293774Y215334D01* +X293829Y215366D01* +X293878Y215405D01* +X293920Y215452D01* +X293954Y215505D01* +X293980Y215562D01* +X293996Y215623D01* +X294003Y215686D01* +X294000Y215749D01* +X293987Y215810D01* +X293964Y215869D01* +X293933Y215923D01* +X293893Y215972D01* +X293846Y216015D01* +X293794Y216049D01* +X293736Y216073D01* +X293531Y216138D01* +X293321Y216179D01* +X293107Y216200D01* +X293000D01* +Y219000D01* +G37* +G36* +Y200612D02*X292797Y200785D01* +X292502Y200966D01* +X292182Y201099D01* +X291845Y201180D01* +X291500Y201207D01* +X291155Y201180D01* +X290818Y201099D01* +X290498Y200966D01* +X290203Y200785D01* +X290122Y200716D01* +X288396Y202443D01* +X288396Y202557D01* +X289038Y203200D01* +X291505D01* +X291529Y203162D01* +X291590Y203090D01* +X291662Y203029D01* +X291742Y202979D01* +X291830Y202943D01* +X291921Y202921D01* +X292016Y202916D01* +X293000Y202918D01* +Y200612D01* +G37* +G36* +Y183000D02*X290338D01* +X292383Y185045D01* +X292422Y185078D01* +X292555Y185233D01* +X292555Y185234D01* +X292662Y185408D01* +X292740Y185597D01* +X292788Y185796D01* +X292804Y186000D01* +X292800Y186051D01* +Y197217D01* +X293000Y197388D01* +Y183000D01* +G37* +G36* +X291253Y219000D02*X293000D01* +Y216200D01* +X292893D01* +X292679Y216179D01* +X292469Y216138D01* +X292263Y216076D01* +X292206Y216050D01* +X292153Y216016D01* +X292106Y215974D01* +X292066Y215924D01* +X292034Y215870D01* +X292012Y215811D01* +X291999Y215749D01* +X291995Y215686D01* +X292002Y215623D01* +X292018Y215562D01* +X292044Y215504D01* +X292079Y215451D01* +X292121Y215404D01* +X292170Y215364D01* +X292225Y215333D01* +X292284Y215310D01* +X292346Y215297D01* +X292409Y215294D01* +X292472Y215300D01* +X292532Y215318D01* +X292662Y215359D01* +X292796Y215385D01* +X292932Y215398D01* +X293000D01* +Y212602D01* +X292932D01* +X292796Y212615D01* +X292662Y212641D01* +X292532Y212681D01* +X292471Y212698D01* +X292409Y212705D01* +X292346Y212701D01* +X292284Y212688D01* +X292226Y212666D01* +X292171Y212634D01* +X292122Y212595D01* +X292080Y212548D01* +X292046Y212495D01* +X292020Y212438D01* +X292004Y212377D01* +X291997Y212314D01* +X292000Y212251D01* +X292013Y212190D01* +X292036Y212131D01* +X292067Y212077D01* +X292107Y212028D01* +X292154Y211985D01* +X292206Y211951D01* +X292264Y211927D01* +X292469Y211862D01* +X292679Y211821D01* +X292893Y211800D01* +X293000D01* +Y210019D01* +X291921Y210016D01* +X291830Y209994D01* +X291742Y209958D01* +X291662Y209908D01* +X291590Y209847D01* +X291529Y209775D01* +X291479Y209695D01* +X291443Y209607D01* +X291421Y209516D01* +X291416Y209422D01* +X291421Y207358D01* +X291443Y207267D01* +X291479Y207179D01* +X291529Y207099D01* +X291590Y207027D01* +X291662Y206966D01* +X291742Y206916D01* +X291830Y206880D01* +X291921Y206858D01* +X292016Y206852D01* +X293000Y206855D01* +Y206082D01* +X291921Y206079D01* +X291830Y206057D01* +X291742Y206021D01* +X291662Y205971D01* +X291590Y205910D01* +X291529Y205838D01* +X291505Y205800D01* +X291253D01* +Y212999D01* +X291314Y212995D01* +X291377Y213002D01* +X291438Y213018D01* +X291496Y213044D01* +X291549Y213079D01* +X291596Y213121D01* +X291636Y213170D01* +X291667Y213225D01* +X291690Y213284D01* +X291703Y213346D01* +X291706Y213409D01* +X291700Y213472D01* +X291682Y213532D01* +X291641Y213662D01* +X291615Y213796D01* +X291602Y213932D01* +Y214068D01* +X291615Y214204D01* +X291641Y214338D01* +X291681Y214468D01* +X291698Y214529D01* +X291705Y214591D01* +X291701Y214654D01* +X291688Y214716D01* +X291666Y214774D01* +X291634Y214829D01* +X291595Y214878D01* +X291548Y214920D01* +X291495Y214954D01* +X291438Y214980D01* +X291377Y214996D01* +X291314Y215003D01* +X291253Y215000D01* +Y219000D01* +G37* +G36* +X287500Y207650D02*X285359Y207642D01* +X285300Y207628D01* +Y215462D01* +X287383Y217545D01* +X287422Y217578D01* +X287555Y217733D01* +X287555Y217734D01* +X287631Y217858D01* +X287641Y217858D01* +X287779Y217891D01* +X287910Y217946D01* +X288031Y218020D01* +X288138Y218112D01* +X288230Y218219D01* +X288304Y218340D01* +X288359Y218471D01* +X288392Y218609D01* +X288400Y218750D01* +X288400Y219000D01* +X291253D01* +Y215000D01* +X291251Y215000D01* +X291190Y214987D01* +X291131Y214964D01* +X291077Y214933D01* +X291028Y214893D01* +X290985Y214846D01* +X290951Y214794D01* +X290927Y214736D01* +X290862Y214531D01* +X290821Y214321D01* +X290800Y214107D01* +Y213893D01* +X290821Y213679D01* +X290862Y213469D01* +X290924Y213263D01* +X290950Y213206D01* +X290984Y213153D01* +X291026Y213106D01* +X291076Y213066D01* +X291130Y213034D01* +X291189Y213012D01* +X291251Y212999D01* +X291253Y212999D01* +Y205800D01* +X288551D01* +X288500Y205804D01* +X288393Y205796D01* +X288392Y206891D01* +X288359Y207029D01* +X288304Y207160D01* +X288230Y207281D01* +X288138Y207388D01* +X288031Y207480D01* +X287910Y207554D01* +X287779Y207609D01* +X287641Y207642D01* +X287500Y207650D01* +G37* +G36* +X283747Y270500D02*X285700D01* +Y250316D01* +X285605Y250293D01* +X284951Y250022D01* +X284347Y249652D01* +X283808Y249192D01* +X283747Y249120D01* +Y251500D01* +X283749Y251500D01* +X283810Y251513D01* +X283869Y251536D01* +X283923Y251567D01* +X283972Y251607D01* +X284015Y251654D01* +X284049Y251706D01* +X284073Y251764D01* +X284138Y251969D01* +X284179Y252179D01* +X284200Y252393D01* +Y252607D01* +X284179Y252821D01* +X284138Y253031D01* +X284076Y253237D01* +X284050Y253294D01* +X284016Y253347D01* +X283974Y253394D01* +X283924Y253434D01* +X283870Y253466D01* +X283811Y253488D01* +X283749Y253501D01* +X283747Y253501D01* +Y270500D01* +G37* +G36* +X282001D02*X283747D01* +Y253501D01* +X283686Y253505D01* +X283623Y253498D01* +X283562Y253482D01* +X283504Y253456D01* +X283451Y253421D01* +X283404Y253379D01* +X283364Y253330D01* +X283333Y253275D01* +X283310Y253216D01* +X283297Y253154D01* +X283294Y253091D01* +X283300Y253028D01* +X283318Y252968D01* +X283359Y252838D01* +X283385Y252704D01* +X283398Y252568D01* +Y252432D01* +X283385Y252296D01* +X283359Y252162D01* +X283319Y252032D01* +X283302Y251971D01* +X283295Y251909D01* +X283299Y251846D01* +X283312Y251784D01* +X283334Y251726D01* +X283366Y251671D01* +X283405Y251622D01* +X283452Y251580D01* +X283505Y251546D01* +X283562Y251520D01* +X283623Y251504D01* +X283686Y251497D01* +X283747Y251500D01* +Y249120D01* +X283348Y248653D01* +X283254Y248500D01* +X282001D01* +Y250300D01* +X282107D01* +X282321Y250321D01* +X282531Y250362D01* +X282737Y250424D01* +X282794Y250450D01* +X282847Y250484D01* +X282894Y250526D01* +X282934Y250576D01* +X282966Y250630D01* +X282988Y250689D01* +X283001Y250751D01* +X283005Y250814D01* +X282998Y250877D01* +X282982Y250938D01* +X282956Y250996D01* +X282921Y251049D01* +X282879Y251096D01* +X282830Y251136D01* +X282775Y251167D01* +X282716Y251190D01* +X282654Y251203D01* +X282591Y251206D01* +X282528Y251200D01* +X282468Y251182D01* +X282338Y251141D01* +X282204Y251115D01* +X282068Y251102D01* +X282001D01* +Y253898D01* +X282068D01* +X282204Y253885D01* +X282338Y253859D01* +X282468Y253819D01* +X282529Y253802D01* +X282591Y253795D01* +X282654Y253799D01* +X282716Y253812D01* +X282774Y253834D01* +X282829Y253866D01* +X282878Y253905D01* +X282920Y253952D01* +X282954Y254005D01* +X282980Y254062D01* +X282996Y254123D01* +X283003Y254186D01* +X283000Y254249D01* +X282987Y254310D01* +X282964Y254369D01* +X282933Y254423D01* +X282893Y254472D01* +X282846Y254515D01* +X282794Y254549D01* +X282736Y254573D01* +X282531Y254638D01* +X282321Y254679D01* +X282107Y254700D01* +X282001D01* +Y270500D01* +G37* +G36* +X280253D02*X282001D01* +Y254700D01* +X281893D01* +X281679Y254679D01* +X281469Y254638D01* +X281263Y254576D01* +X281206Y254550D01* +X281153Y254516D01* +X281106Y254474D01* +X281066Y254424D01* +X281034Y254370D01* +X281012Y254311D01* +X280999Y254249D01* +X280995Y254186D01* +X281002Y254123D01* +X281018Y254062D01* +X281044Y254004D01* +X281079Y253951D01* +X281121Y253904D01* +X281170Y253864D01* +X281225Y253833D01* +X281284Y253810D01* +X281346Y253797D01* +X281409Y253794D01* +X281472Y253800D01* +X281532Y253818D01* +X281662Y253859D01* +X281796Y253885D01* +X281932Y253898D01* +X282001D01* +Y251102D01* +X281932D01* +X281796Y251115D01* +X281662Y251141D01* +X281532Y251181D01* +X281471Y251198D01* +X281409Y251205D01* +X281346Y251201D01* +X281284Y251188D01* +X281226Y251166D01* +X281171Y251134D01* +X281122Y251095D01* +X281080Y251048D01* +X281046Y250995D01* +X281020Y250938D01* +X281004Y250877D01* +X280997Y250814D01* +X281000Y250751D01* +X281013Y250690D01* +X281036Y250631D01* +X281067Y250577D01* +X281107Y250528D01* +X281154Y250485D01* +X281206Y250451D01* +X281264Y250427D01* +X281469Y250362D01* +X281679Y250321D01* +X281893Y250300D01* +X282001D01* +Y248500D01* +X280746D01* +X280652Y248653D01* +X280253Y249120D01* +Y251499D01* +X280314Y251495D01* +X280377Y251502D01* +X280438Y251518D01* +X280496Y251544D01* +X280549Y251579D01* +X280596Y251621D01* +X280636Y251670D01* +X280667Y251725D01* +X280690Y251784D01* +X280703Y251846D01* +X280706Y251909D01* +X280700Y251972D01* +X280682Y252032D01* +X280641Y252162D01* +X280615Y252296D01* +X280602Y252432D01* +Y252568D01* +X280615Y252704D01* +X280641Y252838D01* +X280681Y252968D01* +X280698Y253029D01* +X280705Y253091D01* +X280701Y253154D01* +X280688Y253216D01* +X280666Y253274D01* +X280634Y253329D01* +X280595Y253378D01* +X280548Y253420D01* +X280495Y253454D01* +X280438Y253480D01* +X280377Y253496D01* +X280314Y253503D01* +X280253Y253500D01* +Y270500D01* +G37* +G36* +X278300D02*X280253D01* +Y253500D01* +X280251Y253500D01* +X280190Y253487D01* +X280131Y253464D01* +X280077Y253433D01* +X280028Y253393D01* +X279985Y253346D01* +X279951Y253294D01* +X279927Y253236D01* +X279862Y253031D01* +X279821Y252821D01* +X279800Y252607D01* +Y252393D01* +X279821Y252179D01* +X279862Y251969D01* +X279924Y251763D01* +X279950Y251706D01* +X279984Y251653D01* +X280026Y251606D01* +X280076Y251566D01* +X280130Y251534D01* +X280189Y251512D01* +X280251Y251499D01* +X280253Y251499D01* +Y249120D01* +X280192Y249192D01* +X279653Y249652D01* +X279049Y250022D01* +X278395Y250293D01* +X278300Y250316D01* +Y270500D01* +G37* +G36* +X260500Y249500D02*X264169D01* +X263808Y249192D01* +X263348Y248653D01* +X262978Y248049D01* +X262707Y247395D01* +X262542Y246706D01* +X262486Y246000D01* +X262542Y245294D01* +X262707Y244605D01* +X262978Y243951D01* +X263348Y243347D01* +X263808Y242808D01* +X264347Y242348D01* +X264951Y241978D01* +X265605Y241707D01* +X265700Y241684D01* +Y234500D01* +X260500D01* +Y243169D01* +X260652Y243347D01* +X261022Y243951D01* +X261293Y244605D01* +X261458Y245294D01* +X261500Y246000D01* +X261458Y246706D01* +X261293Y247395D01* +X261022Y248049D01* +X260652Y248653D01* +X260500Y248831D01* +Y249500D01* +G37* +G36* +X283747Y242880D02*X283808Y242808D01* +X284347Y242348D01* +X284951Y241978D01* +X285605Y241707D01* +X285700Y241684D01* +Y240538D01* +X284962Y239800D01* +X283747D01* +Y242880D01* +G37* +G36* +Y292500D02*X286500D01* +Y290838D01* +X286117Y290455D01* +X286078Y290422D01* +X285945Y290266D01* +X285838Y290092D01* +X285760Y289903D01* +X285712Y289704D01* +X285712Y289704D01* +X285696Y289500D01* +X285700Y289449D01* +Y250316D01* +X285605Y250293D01* +X284951Y250022D01* +X284347Y249652D01* +X283808Y249192D01* +X283747Y249120D01* +Y251500D01* +X283749Y251500D01* +X283810Y251513D01* +X283869Y251536D01* +X283923Y251567D01* +X283972Y251607D01* +X284015Y251654D01* +X284049Y251706D01* +X284073Y251764D01* +X284138Y251969D01* +X284179Y252179D01* +X284200Y252393D01* +Y252607D01* +X284179Y252821D01* +X284138Y253031D01* +X284076Y253237D01* +X284050Y253294D01* +X284016Y253347D01* +X283974Y253394D01* +X283924Y253434D01* +X283870Y253466D01* +X283811Y253488D01* +X283749Y253501D01* +X283747Y253501D01* +Y292500D01* +G37* +G36* +X282001D02*X283747D01* +Y253501D01* +X283686Y253505D01* +X283623Y253498D01* +X283562Y253482D01* +X283504Y253456D01* +X283451Y253421D01* +X283404Y253379D01* +X283364Y253330D01* +X283333Y253275D01* +X283310Y253216D01* +X283297Y253154D01* +X283294Y253091D01* +X283300Y253028D01* +X283318Y252968D01* +X283359Y252838D01* +X283385Y252704D01* +X283398Y252568D01* +Y252432D01* +X283385Y252296D01* +X283359Y252162D01* +X283319Y252032D01* +X283302Y251971D01* +X283295Y251909D01* +X283299Y251846D01* +X283312Y251784D01* +X283334Y251726D01* +X283366Y251671D01* +X283405Y251622D01* +X283452Y251580D01* +X283505Y251546D01* +X283562Y251520D01* +X283623Y251504D01* +X283686Y251497D01* +X283747Y251500D01* +Y249120D01* +X283348Y248653D01* +X282978Y248049D01* +X282707Y247395D01* +X282542Y246706D01* +X282486Y246000D01* +X282542Y245294D01* +X282707Y244605D01* +X282978Y243951D01* +X283348Y243347D01* +X283747Y242880D01* +Y239800D01* +X282051D01* +X282001Y239804D01* +Y250300D01* +X282107D01* +X282321Y250321D01* +X282531Y250362D01* +X282737Y250424D01* +X282794Y250450D01* +X282847Y250484D01* +X282894Y250526D01* +X282934Y250576D01* +X282966Y250630D01* +X282988Y250689D01* +X283001Y250751D01* +X283005Y250814D01* +X282998Y250877D01* +X282982Y250938D01* +X282956Y250996D01* +X282921Y251049D01* +X282879Y251096D01* +X282830Y251136D01* +X282775Y251167D01* +X282716Y251190D01* +X282654Y251203D01* +X282591Y251206D01* +X282528Y251200D01* +X282468Y251182D01* +X282338Y251141D01* +X282204Y251115D01* +X282068Y251102D01* +X282001D01* +Y253898D01* +X282068D01* +X282204Y253885D01* +X282338Y253859D01* +X282468Y253819D01* +X282529Y253802D01* +X282591Y253795D01* +X282654Y253799D01* +X282716Y253812D01* +X282774Y253834D01* +X282829Y253866D01* +X282878Y253905D01* +X282920Y253952D01* +X282954Y254005D01* +X282980Y254062D01* +X282996Y254123D01* +X283003Y254186D01* +X283000Y254249D01* +X282987Y254310D01* +X282964Y254369D01* +X282933Y254423D01* +X282893Y254472D01* +X282846Y254515D01* +X282794Y254549D01* +X282736Y254573D01* +X282531Y254638D01* +X282321Y254679D01* +X282107Y254700D01* +X282001D01* +Y292500D01* +G37* +G36* +X280253D02*X282001D01* +Y254700D01* +X281893D01* +X281679Y254679D01* +X281469Y254638D01* +X281263Y254576D01* +X281206Y254550D01* +X281153Y254516D01* +X281106Y254474D01* +X281066Y254424D01* +X281034Y254370D01* +X281012Y254311D01* +X280999Y254249D01* +X280995Y254186D01* +X281002Y254123D01* +X281018Y254062D01* +X281044Y254004D01* +X281079Y253951D01* +X281121Y253904D01* +X281170Y253864D01* +X281225Y253833D01* +X281284Y253810D01* +X281346Y253797D01* +X281409Y253794D01* +X281472Y253800D01* +X281532Y253818D01* +X281662Y253859D01* +X281796Y253885D01* +X281932Y253898D01* +X282001D01* +Y251102D01* +X281932D01* +X281796Y251115D01* +X281662Y251141D01* +X281532Y251181D01* +X281471Y251198D01* +X281409Y251205D01* +X281346Y251201D01* +X281284Y251188D01* +X281226Y251166D01* +X281171Y251134D01* +X281122Y251095D01* +X281080Y251048D01* +X281046Y250995D01* +X281020Y250938D01* +X281004Y250877D01* +X280997Y250814D01* +X281000Y250751D01* +X281013Y250690D01* +X281036Y250631D01* +X281067Y250577D01* +X281107Y250528D01* +X281154Y250485D01* +X281206Y250451D01* +X281264Y250427D01* +X281469Y250362D01* +X281679Y250321D01* +X281893Y250300D01* +X282001D01* +Y239804D01* +X282000Y239804D01* +X281796Y239788D01* +X281597Y239740D01* +X281408Y239662D01* +X281234Y239555D01* +X281233Y239555D01* +X281078Y239422D01* +X281045Y239383D01* +X280253Y238592D01* +Y242880D01* +X280652Y243347D01* +X281022Y243951D01* +X281293Y244605D01* +X281458Y245294D01* +X281500Y246000D01* +X281458Y246706D01* +X281293Y247395D01* +X281022Y248049D01* +X280652Y248653D01* +X280253Y249120D01* +Y251499D01* +X280314Y251495D01* +X280377Y251502D01* +X280438Y251518D01* +X280496Y251544D01* +X280549Y251579D01* +X280596Y251621D01* +X280636Y251670D01* +X280667Y251725D01* +X280690Y251784D01* +X280703Y251846D01* +X280706Y251909D01* +X280700Y251972D01* +X280682Y252032D01* +X280641Y252162D01* +X280615Y252296D01* +X280602Y252432D01* +Y252568D01* +X280615Y252704D01* +X280641Y252838D01* +X280681Y252968D01* +X280698Y253029D01* +X280705Y253091D01* +X280701Y253154D01* +X280688Y253216D01* +X280666Y253274D01* +X280634Y253329D01* +X280595Y253378D01* +X280548Y253420D01* +X280495Y253454D01* +X280438Y253480D01* +X280377Y253496D01* +X280314Y253503D01* +X280253Y253500D01* +Y292500D01* +G37* +G36* +Y238592D02*X278117Y236455D01* +X278078Y236422D01* +X277945Y236266D01* +X277838Y236092D01* +X277760Y235903D01* +X277712Y235704D01* +X277712Y235704D01* +X277696Y235500D01* +X277700Y235449D01* +Y228128D01* +X277641Y228142D01* +X277500Y228150D01* +X276500Y228146D01* +Y237300D01* +X276592Y237338D01* +X276766Y237445D01* +X276922Y237578D01* +X276955Y237617D01* +X277883Y238545D01* +X277922Y238578D01* +X278055Y238733D01* +X278055Y238734D01* +X278162Y238908D01* +X278240Y239097D01* +X278288Y239296D01* +X278304Y239500D01* +X278300Y239551D01* +Y241684D01* +X278395Y241707D01* +X279049Y241978D01* +X279653Y242348D01* +X280192Y242808D01* +X280253Y242880D01* +Y238592D01* +G37* +G36* +X278300Y292500D02*X280253D01* +Y253500D01* +X280251Y253500D01* +X280190Y253487D01* +X280131Y253464D01* +X280077Y253433D01* +X280028Y253393D01* +X279985Y253346D01* +X279951Y253294D01* +X279927Y253236D01* +X279862Y253031D01* +X279821Y252821D01* +X279800Y252607D01* +Y252393D01* +X279821Y252179D01* +X279862Y251969D01* +X279924Y251763D01* +X279950Y251706D01* +X279984Y251653D01* +X280026Y251606D01* +X280076Y251566D01* +X280130Y251534D01* +X280189Y251512D01* +X280251Y251499D01* +X280253Y251499D01* +Y249120D01* +X280192Y249192D01* +X279653Y249652D01* +X279049Y250022D01* +X278395Y250293D01* +X278300Y250316D01* +Y292500D01* +G37* +G36* +X284000Y293700D02*Y253365D01* +X283974Y253394D01* +X283924Y253434D01* +X283870Y253466D01* +X283811Y253488D01* +X283749Y253501D01* +X283686Y253505D01* +X283623Y253498D01* +X283562Y253482D01* +X283504Y253456D01* +X283451Y253421D01* +X283404Y253379D01* +X283364Y253330D01* +X283333Y253275D01* +X283310Y253216D01* +X283297Y253154D01* +X283294Y253091D01* +X283300Y253028D01* +X283318Y252968D01* +X283359Y252838D01* +X283385Y252704D01* +X283398Y252568D01* +Y252432D01* +X283385Y252296D01* +X283359Y252162D01* +X283319Y252032D01* +X283302Y251971D01* +X283295Y251909D01* +X283299Y251846D01* +X283312Y251784D01* +X283334Y251726D01* +X283366Y251671D01* +X283405Y251622D01* +X283452Y251580D01* +X283505Y251546D01* +X283562Y251520D01* +X283623Y251504D01* +X283686Y251497D01* +X283749Y251500D01* +X283810Y251513D01* +X283869Y251536D01* +X283923Y251567D01* +X283972Y251607D01* +X284000Y251638D01* +Y249356D01* +X283808Y249192D01* +X283348Y248653D01* +X283254Y248500D01* +X282001D01* +Y250300D01* +X282107D01* +X282321Y250321D01* +X282531Y250362D01* +X282737Y250424D01* +X282794Y250450D01* +X282847Y250484D01* +X282894Y250526D01* +X282934Y250576D01* +X282966Y250630D01* +X282988Y250689D01* +X283001Y250751D01* +X283005Y250814D01* +X282998Y250877D01* +X282982Y250938D01* +X282956Y250996D01* +X282921Y251049D01* +X282879Y251096D01* +X282830Y251136D01* +X282775Y251167D01* +X282716Y251190D01* +X282654Y251203D01* +X282591Y251206D01* +X282528Y251200D01* +X282468Y251182D01* +X282338Y251141D01* +X282204Y251115D01* +X282068Y251102D01* +X282001D01* +Y253898D01* +X282068D01* +X282204Y253885D01* +X282338Y253859D01* +X282468Y253819D01* +X282529Y253802D01* +X282591Y253795D01* +X282654Y253799D01* +X282716Y253812D01* +X282774Y253834D01* +X282829Y253866D01* +X282878Y253905D01* +X282920Y253952D01* +X282954Y254005D01* +X282980Y254062D01* +X282996Y254123D01* +X283003Y254186D01* +X283000Y254249D01* +X282987Y254310D01* +X282964Y254369D01* +X282933Y254423D01* +X282893Y254472D01* +X282846Y254515D01* +X282794Y254549D01* +X282736Y254573D01* +X282531Y254638D01* +X282321Y254679D01* +X282107Y254700D01* +X282001D01* +Y293700D01* +X284000D01* +G37* +G36* +X282001Y248500D02*X280746D01* +X280652Y248653D01* +X280253Y249120D01* +Y251499D01* +X280314Y251495D01* +X280377Y251502D01* +X280438Y251518D01* +X280496Y251544D01* +X280549Y251579D01* +X280596Y251621D01* +X280636Y251670D01* +X280667Y251725D01* +X280690Y251784D01* +X280703Y251846D01* +X280706Y251909D01* +X280700Y251972D01* +X280682Y252032D01* +X280641Y252162D01* +X280615Y252296D01* +X280602Y252432D01* +Y252568D01* +X280615Y252704D01* +X280641Y252838D01* +X280681Y252968D01* +X280698Y253029D01* +X280705Y253091D01* +X280701Y253154D01* +X280688Y253216D01* +X280666Y253274D01* +X280634Y253329D01* +X280595Y253378D01* +X280548Y253420D01* +X280495Y253454D01* +X280438Y253480D01* +X280377Y253496D01* +X280314Y253503D01* +X280253Y253500D01* +Y293700D01* +X282001D01* +Y254700D01* +X281893D01* +X281679Y254679D01* +X281469Y254638D01* +X281263Y254576D01* +X281206Y254550D01* +X281153Y254516D01* +X281106Y254474D01* +X281066Y254424D01* +X281034Y254370D01* +X281012Y254311D01* +X280999Y254249D01* +X280995Y254186D01* +X281002Y254123D01* +X281018Y254062D01* +X281044Y254004D01* +X281079Y253951D01* +X281121Y253904D01* +X281170Y253864D01* +X281225Y253833D01* +X281284Y253810D01* +X281346Y253797D01* +X281409Y253794D01* +X281472Y253800D01* +X281532Y253818D01* +X281662Y253859D01* +X281796Y253885D01* +X281932Y253898D01* +X282001D01* +Y251102D01* +X281932D01* +X281796Y251115D01* +X281662Y251141D01* +X281532Y251181D01* +X281471Y251198D01* +X281409Y251205D01* +X281346Y251201D01* +X281284Y251188D01* +X281226Y251166D01* +X281171Y251134D01* +X281122Y251095D01* +X281080Y251048D01* +X281046Y250995D01* +X281020Y250938D01* +X281004Y250877D01* +X280997Y250814D01* +X281000Y250751D01* +X281013Y250690D01* +X281036Y250631D01* +X281067Y250577D01* +X281107Y250528D01* +X281154Y250485D01* +X281206Y250451D01* +X281264Y250427D01* +X281469Y250362D01* +X281679Y250321D01* +X281893Y250300D01* +X282001D01* +Y248500D01* +G37* +G36* +X280253Y249120D02*X280192Y249192D01* +X279653Y249652D01* +X279049Y250022D01* +X278395Y250293D01* +X278300Y250316D01* +Y293462D01* +X278538Y293700D01* +X280253D01* +Y253500D01* +X280251Y253500D01* +X280190Y253487D01* +X280131Y253464D01* +X280077Y253433D01* +X280028Y253393D01* +X279985Y253346D01* +X279951Y253294D01* +X279927Y253236D01* +X279862Y253031D01* +X279821Y252821D01* +X279800Y252607D01* +Y252393D01* +X279821Y252179D01* +X279862Y251969D01* +X279924Y251763D01* +X279950Y251706D01* +X279984Y251653D01* +X280026Y251606D01* +X280076Y251566D01* +X280130Y251534D01* +X280189Y251512D01* +X280251Y251499D01* +X280253Y251499D01* +Y249120D01* +G37* +G36* +X263747Y242880D02*X263808Y242808D01* +X264347Y242348D01* +X264951Y241978D01* +X265605Y241707D01* +X265700Y241684D01* +Y232551D01* +X265696Y232500D01* +X265712Y232296D01* +X265712Y232296D01* +X265760Y232097D01* +X265838Y231908D01* +X265879Y231842D01* +X265945Y231734D01* +X265945Y231733D01* +X266078Y231578D01* +X266117Y231545D01* +X267500Y230162D01* +Y228150D01* +X267500D01* +X265359Y228142D01* +X265221Y228109D01* +X265090Y228054D01* +X264969Y227980D01* +X264862Y227888D01* +X264770Y227781D01* +X264696Y227660D01* +X264641Y227529D01* +X264608Y227391D01* +X264600Y227250D01* +X264608Y219446D01* +X263747Y218585D01* +Y242880D01* +G37* +G36* +Y300500D02*X267500D01* +Y299838D01* +X266117Y298455D01* +X266078Y298422D01* +X265945Y298266D01* +X265838Y298092D01* +X265760Y297903D01* +X265712Y297704D01* +X265712Y297704D01* +X265696Y297500D01* +X265700Y297449D01* +Y267000D01* +X265700Y267000D01* +Y250316D01* +X265605Y250293D01* +X264951Y250022D01* +X264347Y249652D01* +X263808Y249192D01* +X263747Y249120D01* +Y251500D01* +X263749Y251500D01* +X263810Y251513D01* +X263869Y251536D01* +X263923Y251567D01* +X263972Y251607D01* +X264015Y251654D01* +X264049Y251706D01* +X264073Y251764D01* +X264138Y251969D01* +X264179Y252179D01* +X264200Y252393D01* +Y252607D01* +X264179Y252821D01* +X264138Y253031D01* +X264076Y253237D01* +X264050Y253294D01* +X264016Y253347D01* +X263974Y253394D01* +X263924Y253434D01* +X263870Y253466D01* +X263811Y253488D01* +X263749Y253501D01* +X263747Y253501D01* +Y300500D01* +G37* +G36* +X262001Y217856D02*X262641Y217858D01* +X262779Y217891D01* +X262910Y217946D01* +X263031Y218020D01* +X263138Y218112D01* +X263230Y218219D01* +X263304Y218340D01* +X263359Y218471D01* +X263392Y218609D01* +X263400Y218750D01* +X263392Y227391D01* +X263359Y227529D01* +X263304Y227660D01* +X263230Y227781D01* +X263138Y227888D01* +X263031Y227980D01* +X262910Y228054D01* +X262800Y228100D01* +Y230949D01* +X262804Y231000D01* +X262788Y231204D01* +X262788Y231204D01* +X262752Y231355D01* +X262740Y231403D01* +X262708Y231481D01* +X262662Y231592D01* +X262620Y231660D01* +X262555Y231766D01* +X262555Y231767D01* +X262422Y231922D01* +X262383Y231955D01* +X262001Y232338D01* +Y250300D01* +X262107D01* +X262321Y250321D01* +X262531Y250362D01* +X262737Y250424D01* +X262794Y250450D01* +X262847Y250484D01* +X262894Y250526D01* +X262934Y250576D01* +X262966Y250630D01* +X262988Y250689D01* +X263001Y250751D01* +X263005Y250814D01* +X262998Y250877D01* +X262982Y250938D01* +X262956Y250996D01* +X262921Y251049D01* +X262879Y251096D01* +X262830Y251136D01* +X262775Y251167D01* +X262716Y251190D01* +X262654Y251203D01* +X262591Y251206D01* +X262528Y251200D01* +X262468Y251182D01* +X262338Y251141D01* +X262204Y251115D01* +X262068Y251102D01* +X262001D01* +Y253898D01* +X262068D01* +X262204Y253885D01* +X262338Y253859D01* +X262468Y253819D01* +X262529Y253802D01* +X262591Y253795D01* +X262654Y253799D01* +X262716Y253812D01* +X262774Y253834D01* +X262829Y253866D01* +X262878Y253905D01* +X262920Y253952D01* +X262954Y254005D01* +X262980Y254062D01* +X262996Y254123D01* +X263003Y254186D01* +X263000Y254249D01* +X262987Y254310D01* +X262964Y254369D01* +X262933Y254423D01* +X262893Y254472D01* +X262846Y254515D01* +X262794Y254549D01* +X262736Y254573D01* +X262531Y254638D01* +X262321Y254679D01* +X262107Y254700D01* +X262001D01* +Y300500D01* +X263747D01* +Y253501D01* +X263686Y253505D01* +X263623Y253498D01* +X263562Y253482D01* +X263504Y253456D01* +X263451Y253421D01* +X263404Y253379D01* +X263364Y253330D01* +X263333Y253275D01* +X263310Y253216D01* +X263297Y253154D01* +X263294Y253091D01* +X263300Y253028D01* +X263318Y252968D01* +X263359Y252838D01* +X263385Y252704D01* +X263398Y252568D01* +Y252432D01* +X263385Y252296D01* +X263359Y252162D01* +X263319Y252032D01* +X263302Y251971D01* +X263295Y251909D01* +X263299Y251846D01* +X263312Y251784D01* +X263334Y251726D01* +X263366Y251671D01* +X263405Y251622D01* +X263452Y251580D01* +X263505Y251546D01* +X263562Y251520D01* +X263623Y251504D01* +X263686Y251497D01* +X263747Y251500D01* +Y249120D01* +X263348Y248653D01* +X262978Y248049D01* +X262707Y247395D01* +X262542Y246706D01* +X262486Y246000D01* +X262542Y245294D01* +X262707Y244605D01* +X262978Y243951D01* +X263348Y243347D01* +X263747Y242880D01* +Y218585D01* +X263117Y217955D01* +X263078Y217922D01* +X262945Y217766D01* +X262838Y217592D01* +X262760Y217403D01* +X262712Y217204D01* +X262712Y217204D01* +X262696Y217000D01* +X262700Y216949D01* +Y208500D01* +X262001D01* +Y217856D01* +G37* +G36* +Y232338D02*X260253Y234085D01* +Y242880D01* +X260652Y243347D01* +X261022Y243951D01* +X261293Y244605D01* +X261458Y245294D01* +X261500Y246000D01* +X261458Y246706D01* +X261293Y247395D01* +X261022Y248049D01* +X260652Y248653D01* +X260253Y249120D01* +Y251499D01* +X260314Y251495D01* +X260377Y251502D01* +X260438Y251518D01* +X260496Y251544D01* +X260549Y251579D01* +X260596Y251621D01* +X260636Y251670D01* +X260667Y251725D01* +X260690Y251784D01* +X260703Y251846D01* +X260706Y251909D01* +X260700Y251972D01* +X260682Y252032D01* +X260641Y252162D01* +X260615Y252296D01* +X260602Y252432D01* +Y252568D01* +X260615Y252704D01* +X260641Y252838D01* +X260681Y252968D01* +X260698Y253029D01* +X260705Y253091D01* +X260701Y253154D01* +X260688Y253216D01* +X260666Y253274D01* +X260634Y253329D01* +X260595Y253378D01* +X260548Y253420D01* +X260495Y253454D01* +X260438Y253480D01* +X260377Y253496D01* +X260314Y253503D01* +X260253Y253500D01* +Y300500D01* +X262001D01* +Y254700D01* +X261893D01* +X261679Y254679D01* +X261469Y254638D01* +X261263Y254576D01* +X261206Y254550D01* +X261153Y254516D01* +X261106Y254474D01* +X261066Y254424D01* +X261034Y254370D01* +X261012Y254311D01* +X260999Y254249D01* +X260995Y254186D01* +X261002Y254123D01* +X261018Y254062D01* +X261044Y254004D01* +X261079Y253951D01* +X261121Y253904D01* +X261170Y253864D01* +X261225Y253833D01* +X261284Y253810D01* +X261346Y253797D01* +X261409Y253794D01* +X261472Y253800D01* +X261532Y253818D01* +X261662Y253859D01* +X261796Y253885D01* +X261932Y253898D01* +X262001D01* +Y251102D01* +X261932D01* +X261796Y251115D01* +X261662Y251141D01* +X261532Y251181D01* +X261471Y251198D01* +X261409Y251205D01* +X261346Y251201D01* +X261284Y251188D01* +X261226Y251166D01* +X261171Y251134D01* +X261122Y251095D01* +X261080Y251048D01* +X261046Y250995D01* +X261020Y250938D01* +X261004Y250877D01* +X260997Y250814D01* +X261000Y250751D01* +X261013Y250690D01* +X261036Y250631D01* +X261067Y250577D01* +X261107Y250528D01* +X261154Y250485D01* +X261206Y250451D01* +X261264Y250427D01* +X261469Y250362D01* +X261679Y250321D01* +X261893Y250300D01* +X262001D01* +Y232338D01* +G37* +G36* +X260253Y249120D02*X260192Y249192D01* +X259653Y249652D01* +X259049Y250022D01* +X258395Y250293D01* +X258300Y250316D01* +Y300500D01* +X260253D01* +Y253500D01* +X260251Y253500D01* +X260190Y253487D01* +X260131Y253464D01* +X260077Y253433D01* +X260028Y253393D01* +X259985Y253346D01* +X259951Y253294D01* +X259927Y253236D01* +X259862Y253031D01* +X259821Y252821D01* +X259800Y252607D01* +Y252393D01* +X259821Y252179D01* +X259862Y251969D01* +X259924Y251763D01* +X259950Y251706D01* +X259984Y251653D01* +X260026Y251606D01* +X260076Y251566D01* +X260130Y251534D01* +X260189Y251512D01* +X260251Y251499D01* +X260253Y251499D01* +Y249120D01* +G37* +G36* +Y234085D02*X258300Y236038D01* +Y241684D01* +X258395Y241707D01* +X259049Y241978D01* +X259653Y242348D01* +X260192Y242808D01* +X260253Y242880D01* +Y234085D01* +G37* +G36* +X260300Y217872D02*X260359Y217858D01* +X260500Y217850D01* +X262001Y217856D01* +Y208500D01* +X257800D01* +Y213962D01* +X259883Y216045D01* +X259922Y216078D01* +X260055Y216233D01* +X260055Y216234D01* +X260162Y216408D01* +X260240Y216597D01* +X260288Y216796D01* +X260304Y217000D01* +X260300Y217051D01* +Y217872D01* +G37* +G36* +X273747Y242880D02*X273808Y242808D01* +X274347Y242348D01* +X274500Y242254D01* +Y239800D01* +X273747D01* +Y242880D01* +G37* +G36* +Y296000D02*X274500D01* +Y249746D01* +X274347Y249652D01* +X273808Y249192D01* +X273747Y249120D01* +Y251500D01* +X273749Y251500D01* +X273810Y251513D01* +X273869Y251536D01* +X273923Y251567D01* +X273972Y251607D01* +X274015Y251654D01* +X274049Y251706D01* +X274073Y251764D01* +X274138Y251969D01* +X274179Y252179D01* +X274200Y252393D01* +Y252607D01* +X274179Y252821D01* +X274138Y253031D01* +X274076Y253237D01* +X274050Y253294D01* +X274016Y253347D01* +X273974Y253394D01* +X273924Y253434D01* +X273870Y253466D01* +X273811Y253488D01* +X273749Y253501D01* +X273747Y253501D01* +Y296000D01* +G37* +G36* +X272001D02*X273747D01* +Y253501D01* +X273686Y253505D01* +X273623Y253498D01* +X273562Y253482D01* +X273504Y253456D01* +X273451Y253421D01* +X273404Y253379D01* +X273364Y253330D01* +X273333Y253275D01* +X273310Y253216D01* +X273297Y253154D01* +X273294Y253091D01* +X273300Y253028D01* +X273318Y252968D01* +X273359Y252838D01* +X273385Y252704D01* +X273398Y252568D01* +Y252432D01* +X273385Y252296D01* +X273359Y252162D01* +X273319Y252032D01* +X273302Y251971D01* +X273295Y251909D01* +X273299Y251846D01* +X273312Y251784D01* +X273334Y251726D01* +X273366Y251671D01* +X273405Y251622D01* +X273452Y251580D01* +X273505Y251546D01* +X273562Y251520D01* +X273623Y251504D01* +X273686Y251497D01* +X273747Y251500D01* +Y249120D01* +X273348Y248653D01* +X272978Y248049D01* +X272707Y247395D01* +X272542Y246706D01* +X272486Y246000D01* +X272542Y245294D01* +X272707Y244605D01* +X272978Y243951D01* +X273348Y243347D01* +X273747Y242880D01* +Y239800D01* +X273051D01* +X273000Y239804D01* +X272796Y239788D01* +X272597Y239740D01* +X272408Y239662D01* +X272234Y239555D01* +X272233Y239555D01* +X272078Y239422D01* +X272045Y239383D01* +X272001Y239339D01* +Y250300D01* +X272107D01* +X272321Y250321D01* +X272531Y250362D01* +X272737Y250424D01* +X272794Y250450D01* +X272847Y250484D01* +X272894Y250526D01* +X272934Y250576D01* +X272966Y250630D01* +X272988Y250689D01* +X273001Y250751D01* +X273005Y250814D01* +X272998Y250877D01* +X272982Y250938D01* +X272956Y250996D01* +X272921Y251049D01* +X272879Y251096D01* +X272830Y251136D01* +X272775Y251167D01* +X272716Y251190D01* +X272654Y251203D01* +X272591Y251206D01* +X272528Y251200D01* +X272468Y251182D01* +X272338Y251141D01* +X272204Y251115D01* +X272068Y251102D01* +X272001D01* +Y253898D01* +X272068D01* +X272204Y253885D01* +X272338Y253859D01* +X272468Y253819D01* +X272529Y253802D01* +X272591Y253795D01* +X272654Y253799D01* +X272716Y253812D01* +X272774Y253834D01* +X272829Y253866D01* +X272878Y253905D01* +X272920Y253952D01* +X272954Y254005D01* +X272980Y254062D01* +X272996Y254123D01* +X273003Y254186D01* +X273000Y254249D01* +X272987Y254310D01* +X272964Y254369D01* +X272933Y254423D01* +X272893Y254472D01* +X272846Y254515D01* +X272794Y254549D01* +X272736Y254573D01* +X272531Y254638D01* +X272321Y254679D01* +X272107Y254700D01* +X272001D01* +Y296000D01* +G37* +G36* +X270253D02*X272001D01* +Y254700D01* +X271893D01* +X271679Y254679D01* +X271469Y254638D01* +X271263Y254576D01* +X271206Y254550D01* +X271153Y254516D01* +X271106Y254474D01* +X271066Y254424D01* +X271034Y254370D01* +X271012Y254311D01* +X270999Y254249D01* +X270995Y254186D01* +X271002Y254123D01* +X271018Y254062D01* +X271044Y254004D01* +X271079Y253951D01* +X271121Y253904D01* +X271170Y253864D01* +X271225Y253833D01* +X271284Y253810D01* +X271346Y253797D01* +X271409Y253794D01* +X271472Y253800D01* +X271532Y253818D01* +X271662Y253859D01* +X271796Y253885D01* +X271932Y253898D01* +X272001D01* +Y251102D01* +X271932D01* +X271796Y251115D01* +X271662Y251141D01* +X271532Y251181D01* +X271471Y251198D01* +X271409Y251205D01* +X271346Y251201D01* +X271284Y251188D01* +X271226Y251166D01* +X271171Y251134D01* +X271122Y251095D01* +X271080Y251048D01* +X271046Y250995D01* +X271020Y250938D01* +X271004Y250877D01* +X270997Y250814D01* +X271000Y250751D01* +X271013Y250690D01* +X271036Y250631D01* +X271067Y250577D01* +X271107Y250528D01* +X271154Y250485D01* +X271206Y250451D01* +X271264Y250427D01* +X271469Y250362D01* +X271679Y250321D01* +X271893Y250300D01* +X272001D01* +Y239339D01* +X270617Y237955D01* +X270578Y237922D01* +X270445Y237766D01* +X270338Y237592D01* +X270260Y237403D01* +X270253Y237375D01* +Y242880D01* +X270652Y243347D01* +X271022Y243951D01* +X271293Y244605D01* +X271458Y245294D01* +X271500Y246000D01* +X271458Y246706D01* +X271293Y247395D01* +X271022Y248049D01* +X270652Y248653D01* +X270253Y249120D01* +Y251499D01* +X270314Y251495D01* +X270377Y251502D01* +X270438Y251518D01* +X270496Y251544D01* +X270549Y251579D01* +X270596Y251621D01* +X270636Y251670D01* +X270667Y251725D01* +X270690Y251784D01* +X270703Y251846D01* +X270706Y251909D01* +X270700Y251972D01* +X270682Y252032D01* +X270641Y252162D01* +X270615Y252296D01* +X270602Y252432D01* +Y252568D01* +X270615Y252704D01* +X270641Y252838D01* +X270681Y252968D01* +X270698Y253029D01* +X270705Y253091D01* +X270701Y253154D01* +X270688Y253216D01* +X270666Y253274D01* +X270634Y253329D01* +X270595Y253378D01* +X270548Y253420D01* +X270495Y253454D01* +X270438Y253480D01* +X270377Y253496D01* +X270314Y253503D01* +X270253Y253500D01* +Y296000D01* +G37* +G36* +Y237375D02*X270212Y237204D01* +X270212Y237204D01* +X270196Y237000D01* +X270200Y236949D01* +Y231000D01* +X270162Y231092D01* +X270120Y231160D01* +X270055Y231266D01* +X270055Y231267D01* +X269922Y231422D01* +X269883Y231455D01* +X268300Y233038D01* +Y241684D01* +X268395Y241707D01* +X269049Y241978D01* +X269653Y242348D01* +X270192Y242808D01* +X270253Y242880D01* +Y237375D01* +G37* +G36* +X268300Y296000D02*X270253D01* +Y253500D01* +X270251Y253500D01* +X270190Y253487D01* +X270131Y253464D01* +X270077Y253433D01* +X270028Y253393D01* +X269985Y253346D01* +X269951Y253294D01* +X269927Y253236D01* +X269862Y253031D01* +X269821Y252821D01* +X269800Y252607D01* +Y252393D01* +X269821Y252179D01* +X269862Y251969D01* +X269924Y251763D01* +X269950Y251706D01* +X269984Y251653D01* +X270026Y251606D01* +X270076Y251566D01* +X270130Y251534D01* +X270189Y251512D01* +X270251Y251499D01* +X270253Y251499D01* +Y249120D01* +X270192Y249192D01* +X269653Y249652D01* +X269049Y250022D01* +X268395Y250293D01* +X268300Y250316D01* +Y267000D01* +X268300Y267000D01* +Y296000D01* +G37* +G36* +X269000Y250500D02*X271138D01* +X271154Y250485D01* +X271206Y250451D01* +X271264Y250427D01* +X271469Y250362D01* +X271679Y250321D01* +X271893Y250300D01* +X272107D01* +X272321Y250321D01* +X272531Y250362D01* +X272737Y250424D01* +X272794Y250450D01* +X272847Y250484D01* +X272865Y250500D01* +X275700D01* +Y250316D01* +X275605Y250293D01* +X274951Y250022D01* +X274347Y249652D01* +X273808Y249192D01* +X273348Y248653D01* +X272978Y248049D01* +X272707Y247395D01* +X272542Y246706D01* +X272486Y246000D01* +X272542Y245294D01* +X272707Y244605D01* +X272978Y243951D01* +X273348Y243347D01* +X273808Y242808D01* +X274347Y242348D01* +X274951Y241978D01* +X275605Y241707D01* +X275700Y241684D01* +Y240038D01* +X275462Y239800D01* +X273051D01* +X273000Y239804D01* +X272796Y239788D01* +X272597Y239740D01* +X272408Y239662D01* +X272234Y239555D01* +X272233Y239555D01* +X272078Y239422D01* +X272045Y239383D01* +X270662Y238000D01* +X269000D01* +Y241958D01* +X269049Y241978D01* +X269653Y242348D01* +X270192Y242808D01* +X270652Y243347D01* +X271022Y243951D01* +X271293Y244605D01* +X271458Y245294D01* +X271500Y246000D01* +X271458Y246706D01* +X271293Y247395D01* +X271022Y248049D01* +X270652Y248653D01* +X270192Y249192D01* +X269653Y249652D01* +X269049Y250022D01* +X269000Y250042D01* +Y250500D01* +G37* +G36* +X287500Y228150D02*X285359Y228142D01* +X285221Y228109D01* +X285090Y228054D01* +X284969Y227980D01* +X284862Y227888D01* +X284770Y227781D01* +X284696Y227660D01* +X284641Y227529D01* +X284608Y227391D01* +X284600Y227250D01* +X284608Y218609D01* +X284640Y218478D01* +X283662Y217500D01* +X282000D01* +Y217856D01* +X282641Y217858D01* +X282779Y217891D01* +X282910Y217946D01* +X283031Y218020D01* +X283138Y218112D01* +X283230Y218219D01* +X283304Y218340D01* +X283359Y218471D01* +X283392Y218609D01* +X283400Y218750D01* +X283392Y227391D01* +X283359Y227529D01* +X283304Y227660D01* +X283230Y227781D01* +X283138Y227888D01* +X283031Y227980D01* +X282910Y228054D01* +X282800Y228100D01* +Y232962D01* +X283538Y233700D01* +X295949D01* +X296000Y233696D01* +X296204Y233712D01* +X296204Y233712D01* +X296403Y233760D01* +X296592Y233838D01* +X296766Y233945D01* +X296922Y234078D01* +X296955Y234117D01* +X297883Y235045D01* +X297922Y235078D01* +X298055Y235233D01* +X298055Y235234D01* +X298162Y235408D01* +X298240Y235597D01* +X298288Y235796D01* +X298304Y236000D01* +X298300Y236051D01* +Y241684D01* +X298395Y241707D01* +X298500Y241751D01* +Y217500D01* +X287338D01* +X287383Y217545D01* +X287422Y217578D01* +X287555Y217733D01* +X287555Y217734D01* +X287631Y217858D01* +X287641Y217858D01* +X287779Y217891D01* +X287910Y217946D01* +X288031Y218020D01* +X288138Y218112D01* +X288230Y218219D01* +X288304Y218340D01* +X288359Y218471D01* +X288392Y218609D01* +X288400Y218750D01* +X288392Y227391D01* +X288359Y227529D01* +X288304Y227660D01* +X288230Y227781D01* +X288138Y227888D01* +X288031Y227980D01* +X287910Y228054D01* +X287779Y228109D01* +X287641Y228142D01* +X287500Y228150D01* +G37* +G36* +X293747Y242880D02*X293808Y242808D01* +X294347Y242348D01* +X294951Y241978D01* +X295605Y241707D01* +X295700Y241684D01* +Y236538D01* +X295462Y236300D01* +X293747D01* +Y242880D01* +G37* +G36* +Y288000D02*X296500D01* +Y286338D01* +X296117Y285955D01* +X296078Y285922D01* +X295945Y285766D01* +X295838Y285592D01* +X295760Y285403D01* +X295712Y285204D01* +X295712Y285204D01* +X295696Y285000D01* +X295700Y284949D01* +Y250316D01* +X295605Y250293D01* +X294951Y250022D01* +X294347Y249652D01* +X293808Y249192D01* +X293747Y249120D01* +Y251500D01* +X293749Y251500D01* +X293810Y251513D01* +X293869Y251536D01* +X293923Y251567D01* +X293972Y251607D01* +X294015Y251654D01* +X294049Y251706D01* +X294073Y251764D01* +X294138Y251969D01* +X294179Y252179D01* +X294200Y252393D01* +Y252607D01* +X294179Y252821D01* +X294138Y253031D01* +X294076Y253237D01* +X294050Y253294D01* +X294016Y253347D01* +X293974Y253394D01* +X293924Y253434D01* +X293870Y253466D01* +X293811Y253488D01* +X293749Y253501D01* +X293747Y253501D01* +Y288000D01* +G37* +G36* +X292001D02*X293747D01* +Y253501D01* +X293686Y253505D01* +X293623Y253498D01* +X293562Y253482D01* +X293504Y253456D01* +X293451Y253421D01* +X293404Y253379D01* +X293364Y253330D01* +X293333Y253275D01* +X293310Y253216D01* +X293297Y253154D01* +X293294Y253091D01* +X293300Y253028D01* +X293318Y252968D01* +X293359Y252838D01* +X293385Y252704D01* +X293398Y252568D01* +Y252432D01* +X293385Y252296D01* +X293359Y252162D01* +X293319Y252032D01* +X293302Y251971D01* +X293295Y251909D01* +X293299Y251846D01* +X293312Y251784D01* +X293334Y251726D01* +X293366Y251671D01* +X293405Y251622D01* +X293452Y251580D01* +X293505Y251546D01* +X293562Y251520D01* +X293623Y251504D01* +X293686Y251497D01* +X293747Y251500D01* +Y249120D01* +X293348Y248653D01* +X292978Y248049D01* +X292707Y247395D01* +X292542Y246706D01* +X292486Y246000D01* +X292542Y245294D01* +X292707Y244605D01* +X292978Y243951D01* +X293348Y243347D01* +X293747Y242880D01* +Y236300D01* +X292001D01* +Y250300D01* +X292107D01* +X292321Y250321D01* +X292531Y250362D01* +X292737Y250424D01* +X292794Y250450D01* +X292847Y250484D01* +X292894Y250526D01* +X292934Y250576D01* +X292966Y250630D01* +X292988Y250689D01* +X293001Y250751D01* +X293005Y250814D01* +X292998Y250877D01* +X292982Y250938D01* +X292956Y250996D01* +X292921Y251049D01* +X292879Y251096D01* +X292830Y251136D01* +X292775Y251167D01* +X292716Y251190D01* +X292654Y251203D01* +X292591Y251206D01* +X292528Y251200D01* +X292468Y251182D01* +X292338Y251141D01* +X292204Y251115D01* +X292068Y251102D01* +X292001D01* +Y253898D01* +X292068D01* +X292204Y253885D01* +X292338Y253859D01* +X292468Y253819D01* +X292529Y253802D01* +X292591Y253795D01* +X292654Y253799D01* +X292716Y253812D01* +X292774Y253834D01* +X292829Y253866D01* +X292878Y253905D01* +X292920Y253952D01* +X292954Y254005D01* +X292980Y254062D01* +X292996Y254123D01* +X293003Y254186D01* +X293000Y254249D01* +X292987Y254310D01* +X292964Y254369D01* +X292933Y254423D01* +X292893Y254472D01* +X292846Y254515D01* +X292794Y254549D01* +X292736Y254573D01* +X292531Y254638D01* +X292321Y254679D01* +X292107Y254700D01* +X292001D01* +Y288000D01* +G37* +G36* +X290253D02*X292001D01* +Y254700D01* +X291893D01* +X291679Y254679D01* +X291469Y254638D01* +X291263Y254576D01* +X291206Y254550D01* +X291153Y254516D01* +X291106Y254474D01* +X291066Y254424D01* +X291034Y254370D01* +X291012Y254311D01* +X290999Y254249D01* +X290995Y254186D01* +X291002Y254123D01* +X291018Y254062D01* +X291044Y254004D01* +X291079Y253951D01* +X291121Y253904D01* +X291170Y253864D01* +X291225Y253833D01* +X291284Y253810D01* +X291346Y253797D01* +X291409Y253794D01* +X291472Y253800D01* +X291532Y253818D01* +X291662Y253859D01* +X291796Y253885D01* +X291932Y253898D01* +X292001D01* +Y251102D01* +X291932D01* +X291796Y251115D01* +X291662Y251141D01* +X291532Y251181D01* +X291471Y251198D01* +X291409Y251205D01* +X291346Y251201D01* +X291284Y251188D01* +X291226Y251166D01* +X291171Y251134D01* +X291122Y251095D01* +X291080Y251048D01* +X291046Y250995D01* +X291020Y250938D01* +X291004Y250877D01* +X290997Y250814D01* +X291000Y250751D01* +X291013Y250690D01* +X291036Y250631D01* +X291067Y250577D01* +X291107Y250528D01* +X291154Y250485D01* +X291206Y250451D01* +X291264Y250427D01* +X291469Y250362D01* +X291679Y250321D01* +X291893Y250300D01* +X292001D01* +Y236300D01* +X290253D01* +Y242880D01* +X290652Y243347D01* +X291022Y243951D01* +X291293Y244605D01* +X291458Y245294D01* +X291500Y246000D01* +X291458Y246706D01* +X291293Y247395D01* +X291022Y248049D01* +X290652Y248653D01* +X290253Y249120D01* +Y251499D01* +X290314Y251495D01* +X290377Y251502D01* +X290438Y251518D01* +X290496Y251544D01* +X290549Y251579D01* +X290596Y251621D01* +X290636Y251670D01* +X290667Y251725D01* +X290690Y251784D01* +X290703Y251846D01* +X290706Y251909D01* +X290700Y251972D01* +X290682Y252032D01* +X290641Y252162D01* +X290615Y252296D01* +X290602Y252432D01* +Y252568D01* +X290615Y252704D01* +X290641Y252838D01* +X290681Y252968D01* +X290698Y253029D01* +X290705Y253091D01* +X290701Y253154D01* +X290688Y253216D01* +X290666Y253274D01* +X290634Y253329D01* +X290595Y253378D01* +X290548Y253420D01* +X290495Y253454D01* +X290438Y253480D01* +X290377Y253496D01* +X290314Y253503D01* +X290253Y253500D01* +Y288000D01* +G37* +G36* +Y236300D02*X287500D01* +Y238662D01* +X287883Y239045D01* +X287922Y239078D01* +X288055Y239233D01* +X288055Y239234D01* +X288162Y239408D01* +X288240Y239597D01* +X288288Y239796D01* +X288304Y240000D01* +X288300Y240051D01* +Y241684D01* +X288395Y241707D01* +X289049Y241978D01* +X289653Y242348D01* +X290192Y242808D01* +X290253Y242880D01* +Y236300D01* +G37* +G36* +X288300Y288000D02*X290253D01* +Y253500D01* +X290251Y253500D01* +X290190Y253487D01* +X290131Y253464D01* +X290077Y253433D01* +X290028Y253393D01* +X289985Y253346D01* +X289951Y253294D01* +X289927Y253236D01* +X289862Y253031D01* +X289821Y252821D01* +X289800Y252607D01* +Y252393D01* +X289821Y252179D01* +X289862Y251969D01* +X289924Y251763D01* +X289950Y251706D01* +X289984Y251653D01* +X290026Y251606D01* +X290076Y251566D01* +X290130Y251534D01* +X290189Y251512D01* +X290251Y251499D01* +X290253Y251499D01* +Y249120D01* +X290192Y249192D01* +X289653Y249652D01* +X289049Y250022D01* +X288395Y250293D01* +X288300Y250316D01* +Y288000D01* +G37* +G36* +X301622Y29700D02*X342000D01* +Y12000D01* +X301622D01* +Y29700D01* +G37* +G36* +X329550Y81750D02*X329564Y77015D01* +X329619Y76785D01* +X329709Y76567D01* +X329833Y76366D01* +X329986Y76186D01* +X330166Y76033D01* +X330367Y75909D01* +X330585Y75819D01* +X330815Y75764D01* +X331050Y75750D01* +X337185Y75764D01* +X337415Y75819D01* +X337633Y75909D01* +X337834Y76033D01* +X338014Y76186D01* +X338167Y76366D01* +X338291Y76567D01* +X338381Y76785D01* +X338436Y77015D01* +X338450Y77250D01* +X338436Y81985D01* +X338381Y82215D01* +X338291Y82433D01* +X338167Y82634D01* +X338014Y82814D01* +X337834Y82967D01* +X337633Y83091D01* +X337415Y83181D01* +X337185Y83236D01* +X336950Y83250D01* +X335300Y83246D01* +Y84000D01* +X342000D01* +Y83238D01* +X341315Y83236D01* +X341085Y83181D01* +X340867Y83091D01* +X340666Y82967D01* +X340486Y82814D01* +X340333Y82634D01* +X340209Y82433D01* +X340119Y82215D01* +X340064Y81985D01* +X340050Y81750D01* +X340064Y77015D01* +X340119Y76785D01* +X340209Y76567D01* +X340333Y76366D01* +X340486Y76186D01* +X340666Y76033D01* +X340867Y75909D01* +X341085Y75819D01* +X341315Y75764D01* +X341550Y75750D01* +X342000Y75751D01* +Y75238D01* +X341315Y75236D01* +X341085Y75181D01* +X340867Y75091D01* +X340666Y74967D01* +X340486Y74814D01* +X340333Y74634D01* +X340209Y74433D01* +X340119Y74215D01* +X340064Y73985D01* +X340050Y73750D01* +X340064Y69015D01* +X340119Y68785D01* +X340209Y68567D01* +X340333Y68366D01* +X340486Y68186D01* +X340666Y68033D01* +X340867Y67909D01* +X341085Y67819D01* +X341315Y67764D01* +X341550Y67750D01* +X342000Y67751D01* +Y32300D01* +X301622D01* +Y35700D01* +X332449D01* +X332500Y35696D01* +X332704Y35712D01* +X332704Y35712D01* +X332903Y35760D01* +X333092Y35838D01* +X333266Y35945D01* +X333422Y36078D01* +X333455Y36117D01* +X334883Y37545D01* +X334922Y37578D01* +X335055Y37733D01* +X335055Y37734D01* +X335162Y37908D01* +X335240Y38097D01* +X335288Y38296D01* +X335304Y38500D01* +X335300Y38551D01* +Y67760D01* +X337185Y67764D01* +X337415Y67819D01* +X337633Y67909D01* +X337834Y68033D01* +X338014Y68186D01* +X338167Y68366D01* +X338291Y68567D01* +X338381Y68785D01* +X338436Y69015D01* +X338450Y69250D01* +X338436Y73985D01* +X338381Y74215D01* +X338291Y74433D01* +X338167Y74634D01* +X338014Y74814D01* +X337834Y74967D01* +X337633Y75091D01* +X337415Y75181D01* +X337185Y75236D01* +X336950Y75250D01* +X330815Y75236D01* +X330585Y75181D01* +X330367Y75091D01* +X330166Y74967D01* +X329986Y74814D01* +X329833Y74634D01* +X329709Y74433D01* +X329619Y74215D01* +X329564Y73985D01* +X329550Y73750D01* +X329564Y69015D01* +X329619Y68785D01* +X329709Y68567D01* +X329833Y68366D01* +X329986Y68186D01* +X330166Y68033D01* +X330367Y67909D01* +X330585Y67819D01* +X330815Y67764D01* +X331050Y67750D01* +X332700Y67754D01* +Y39038D01* +X331962Y38300D01* +X301622D01* +Y41700D01* +X321949D01* +X322000Y41696D01* +X322204Y41712D01* +X322204Y41712D01* +X322403Y41760D01* +X322592Y41838D01* +X322766Y41945D01* +X322922Y42078D01* +X322955Y42117D01* +X324383Y43545D01* +X324422Y43578D01* +X324555Y43733D01* +X324555Y43734D01* +X324662Y43908D01* +X324740Y44097D01* +X324788Y44296D01* +X324804Y44500D01* +X324800Y44551D01* +Y67760D01* +X326685Y67764D01* +X326915Y67819D01* +X327133Y67909D01* +X327334Y68033D01* +X327514Y68186D01* +X327667Y68366D01* +X327791Y68567D01* +X327881Y68785D01* +X327936Y69015D01* +X327950Y69250D01* +X327936Y73985D01* +X327881Y74215D01* +X327791Y74433D01* +X327667Y74634D01* +X327514Y74814D01* +X327334Y74967D01* +X327133Y75091D01* +X326915Y75181D01* +X326685Y75236D01* +X326450Y75250D01* +X320315Y75236D01* +X320085Y75181D01* +X319867Y75091D01* +X319666Y74967D01* +X319486Y74814D01* +X319333Y74634D01* +X319209Y74433D01* +X319119Y74215D01* +X319064Y73985D01* +X319050Y73750D01* +X319064Y69015D01* +X319119Y68785D01* +X319209Y68567D01* +X319333Y68366D01* +X319486Y68186D01* +X319666Y68033D01* +X319867Y67909D01* +X320085Y67819D01* +X320315Y67764D01* +X320550Y67750D01* +X322200Y67754D01* +Y45038D01* +X321462Y44300D01* +X301622D01* +Y48200D01* +X311449D01* +X311500Y48196D01* +X311704Y48212D01* +X311704Y48212D01* +X311903Y48260D01* +X312092Y48338D01* +X312266Y48445D01* +X312422Y48578D01* +X312455Y48617D01* +X313883Y50045D01* +X313922Y50078D01* +X314055Y50233D01* +X314055Y50234D01* +X314162Y50408D01* +X314240Y50597D01* +X314288Y50796D01* +X314304Y51000D01* +X314300Y51051D01* +Y67760D01* +X316185Y67764D01* +X316415Y67819D01* +X316633Y67909D01* +X316834Y68033D01* +X317014Y68186D01* +X317167Y68366D01* +X317291Y68567D01* +X317381Y68785D01* +X317436Y69015D01* +X317450Y69250D01* +X317436Y73985D01* +X317381Y74215D01* +X317291Y74433D01* +X317167Y74634D01* +X317014Y74814D01* +X316834Y74967D01* +X316633Y75091D01* +X316415Y75181D01* +X316185Y75236D01* +X315950Y75250D01* +X309815Y75236D01* +X309585Y75181D01* +X309367Y75091D01* +X309166Y74967D01* +X308986Y74814D01* +X308833Y74634D01* +X308709Y74433D01* +X308619Y74215D01* +X308564Y73985D01* +X308550Y73750D01* +X308564Y69015D01* +X308619Y68785D01* +X308709Y68567D01* +X308833Y68366D01* +X308986Y68186D01* +X309166Y68033D01* +X309367Y67909D01* +X309585Y67819D01* +X309815Y67764D01* +X310050Y67750D01* +X311700Y67754D01* +Y51538D01* +X310962Y50800D01* +X301622D01* +Y54783D01* +X302883Y56045D01* +X302922Y56078D01* +X303055Y56233D01* +X303055Y56234D01* +X303162Y56408D01* +X303240Y56597D01* +X303288Y56796D01* +X303304Y57000D01* +X303300Y57051D01* +Y67760D01* +X305185Y67764D01* +X305415Y67819D01* +X305633Y67909D01* +X305834Y68033D01* +X306014Y68186D01* +X306167Y68366D01* +X306291Y68567D01* +X306381Y68785D01* +X306436Y69015D01* +X306450Y69250D01* +X306436Y73985D01* +X306381Y74215D01* +X306291Y74433D01* +X306167Y74634D01* +X306014Y74814D01* +X305834Y74967D01* +X305633Y75091D01* +X305415Y75181D01* +X305185Y75236D01* +X304950Y75250D01* +X301622Y75242D01* +Y75756D01* +X305185Y75764D01* +X305415Y75819D01* +X305633Y75909D01* +X305834Y76033D01* +X306014Y76186D01* +X306167Y76366D01* +X306291Y76567D01* +X306381Y76785D01* +X306436Y77015D01* +X306450Y77250D01* +X306436Y81985D01* +X306381Y82215D01* +X306291Y82433D01* +X306167Y82634D01* +X306014Y82814D01* +X305834Y82967D01* +X305633Y83091D01* +X305415Y83181D01* +X305185Y83236D01* +X304950Y83250D01* +X303300Y83246D01* +Y84000D01* +X311700D01* +Y83240D01* +X309815Y83236D01* +X309585Y83181D01* +X309367Y83091D01* +X309166Y82967D01* +X308986Y82814D01* +X308833Y82634D01* +X308709Y82433D01* +X308619Y82215D01* +X308564Y81985D01* +X308550Y81750D01* +X308564Y77015D01* +X308619Y76785D01* +X308709Y76567D01* +X308833Y76366D01* +X308986Y76186D01* +X309166Y76033D01* +X309367Y75909D01* +X309585Y75819D01* +X309815Y75764D01* +X310050Y75750D01* +X316185Y75764D01* +X316415Y75819D01* +X316633Y75909D01* +X316834Y76033D01* +X317014Y76186D01* +X317167Y76366D01* +X317291Y76567D01* +X317381Y76785D01* +X317436Y77015D01* +X317450Y77250D01* +X317436Y81985D01* +X317381Y82215D01* +X317291Y82433D01* +X317167Y82634D01* +X317014Y82814D01* +X316834Y82967D01* +X316633Y83091D01* +X316415Y83181D01* +X316185Y83236D01* +X315950Y83250D01* +X314300Y83246D01* +Y84000D01* +X322200D01* +Y83240D01* +X320315Y83236D01* +X320085Y83181D01* +X319867Y83091D01* +X319666Y82967D01* +X319486Y82814D01* +X319333Y82634D01* +X319209Y82433D01* +X319119Y82215D01* +X319064Y81985D01* +X319050Y81750D01* +X319064Y77015D01* +X319119Y76785D01* +X319209Y76567D01* +X319333Y76366D01* +X319486Y76186D01* +X319666Y76033D01* +X319867Y75909D01* +X320085Y75819D01* +X320315Y75764D01* +X320550Y75750D01* +X326685Y75764D01* +X326915Y75819D01* +X327133Y75909D01* +X327334Y76033D01* +X327514Y76186D01* +X327667Y76366D01* +X327791Y76567D01* +X327881Y76785D01* +X327936Y77015D01* +X327950Y77250D01* +X327936Y81985D01* +X327881Y82215D01* +X327791Y82433D01* +X327667Y82634D01* +X327514Y82814D01* +X327334Y82967D01* +X327133Y83091D01* +X326915Y83181D01* +X326685Y83236D01* +X326450Y83250D01* +X324800Y83246D01* +Y84000D01* +X332700D01* +Y83240D01* +X330815Y83236D01* +X330585Y83181D01* +X330367Y83091D01* +X330166Y82967D01* +X329986Y82814D01* +X329833Y82634D01* +X329709Y82433D01* +X329619Y82215D01* +X329564Y81985D01* +X329550Y81750D01* +G37* +G36* +X285372Y41700D02*X301622D01* +Y38300D01* +X285372D01* +Y41700D01* +G37* +G36* +Y48200D02*X301622D01* +Y44300D01* +X285372D01* +Y48200D01* +G37* +G36* +Y54200D02*X300449D01* +X300500Y54196D01* +X300704Y54212D01* +X300704Y54212D01* +X300903Y54260D01* +X301092Y54338D01* +X301266Y54445D01* +X301422Y54578D01* +X301455Y54617D01* +X301622Y54783D01* +Y50800D01* +X285372D01* +Y54200D01* +G37* +G36* +Y84000D02*X289700D01* +Y83240D01* +X287815Y83236D01* +X287585Y83181D01* +X287367Y83091D01* +X287166Y82967D01* +X286986Y82814D01* +X286833Y82634D01* +X286709Y82433D01* +X286619Y82215D01* +X286564Y81985D01* +X286550Y81750D01* +X286564Y77015D01* +X286619Y76785D01* +X286709Y76567D01* +X286833Y76366D01* +X286986Y76186D01* +X287166Y76033D01* +X287367Y75909D01* +X287585Y75819D01* +X287815Y75764D01* +X288050Y75750D01* +X294185Y75764D01* +X294415Y75819D01* +X294633Y75909D01* +X294834Y76033D01* +X295014Y76186D01* +X295167Y76366D01* +X295291Y76567D01* +X295381Y76785D01* +X295436Y77015D01* +X295450Y77250D01* +X295436Y81985D01* +X295381Y82215D01* +X295291Y82433D01* +X295167Y82634D01* +X295014Y82814D01* +X294834Y82967D01* +X294633Y83091D01* +X294415Y83181D01* +X294185Y83236D01* +X293950Y83250D01* +X292300Y83246D01* +Y84000D01* +X300700D01* +Y83240D01* +X298815Y83236D01* +X298585Y83181D01* +X298367Y83091D01* +X298166Y82967D01* +X297986Y82814D01* +X297833Y82634D01* +X297709Y82433D01* +X297619Y82215D01* +X297564Y81985D01* +X297550Y81750D01* +X297564Y77015D01* +X297619Y76785D01* +X297709Y76567D01* +X297833Y76366D01* +X297986Y76186D01* +X298166Y76033D01* +X298367Y75909D01* +X298585Y75819D01* +X298815Y75764D01* +X299050Y75750D01* +X301622Y75756D01* +Y75242D01* +X298815Y75236D01* +X298585Y75181D01* +X298367Y75091D01* +X298166Y74967D01* +X297986Y74814D01* +X297833Y74634D01* +X297709Y74433D01* +X297619Y74215D01* +X297564Y73985D01* +X297550Y73750D01* +X297564Y69015D01* +X297619Y68785D01* +X297709Y68567D01* +X297833Y68366D01* +X297986Y68186D01* +X298166Y68033D01* +X298367Y67909D01* +X298585Y67819D01* +X298815Y67764D01* +X299050Y67750D01* +X300700Y67754D01* +Y57538D01* +X299962Y56800D01* +X285372D01* +Y60700D01* +X289449D01* +X289500Y60696D01* +X289704Y60712D01* +X289704Y60712D01* +X289903Y60760D01* +X290092Y60838D01* +X290266Y60945D01* +X290422Y61078D01* +X290455Y61117D01* +X291883Y62545D01* +X291922Y62578D01* +X292055Y62733D01* +X292055Y62734D01* +X292162Y62908D01* +X292240Y63097D01* +X292288Y63296D01* +X292304Y63500D01* +X292300Y63551D01* +Y67760D01* +X294185Y67764D01* +X294415Y67819D01* +X294633Y67909D01* +X294834Y68033D01* +X295014Y68186D01* +X295167Y68366D01* +X295291Y68567D01* +X295381Y68785D01* +X295436Y69015D01* +X295450Y69250D01* +X295436Y73985D01* +X295381Y74215D01* +X295291Y74433D01* +X295167Y74634D01* +X295014Y74814D01* +X294834Y74967D01* +X294633Y75091D01* +X294415Y75181D01* +X294185Y75236D01* +X293950Y75250D01* +X287815Y75236D01* +X287585Y75181D01* +X287367Y75091D01* +X287166Y74967D01* +X286986Y74814D01* +X286833Y74634D01* +X286709Y74433D01* +X286619Y74215D01* +X286564Y73985D01* +X286550Y73750D01* +X286564Y69015D01* +X286619Y68785D01* +X286709Y68567D01* +X286833Y68366D01* +X286986Y68186D01* +X287166Y68033D01* +X287367Y67909D01* +X287585Y67819D01* +X287815Y67764D01* +X288050Y67750D01* +X289700Y67754D01* +Y64038D01* +X288962Y63300D01* +X285372D01* +Y84000D01* +G37* +G36* +Y29700D02*X301622D01* +Y12000D01* +X285372D01* +Y29700D01* +G37* +G36* +X301622Y32300D02*X285372D01* +Y35700D01* +X301622D01* +Y32300D01* +G37* +G36* +X285372D02*X279283D01* +X279060Y32560D01* +X278797Y32785D01* +X278502Y32966D01* +X278182Y33099D01* +X277845Y33180D01* +X277500Y33207D01* +X277155Y33180D01* +X276818Y33099D01* +X276498Y32966D01* +X276203Y32785D01* +X275940Y32560D01* +X275715Y32297D01* +X275534Y32002D01* +X275401Y31682D01* +X275320Y31345D01* +X275293Y31000D01* +X275320Y30655D01* +X275401Y30318D01* +X275534Y29998D01* +X275715Y29703D01* +X275940Y29440D01* +X276203Y29215D01* +X276498Y29034D01* +X276818Y28901D01* +X277155Y28820D01* +X277500Y28793D01* +X277845Y28820D01* +X278182Y28901D01* +X278502Y29034D01* +X278797Y29215D01* +X279060Y29440D01* +X279283Y29700D01* +X285372D01* +Y12000D01* +X262250D01* +Y14700D01* +X274627D01* +X274685Y14560D01* +X274767Y14426D01* +X274869Y14306D01* +X274989Y14204D01* +X275123Y14122D01* +X275269Y14062D01* +X275422Y14025D01* +X275578Y14016D01* +X277704Y14025D01* +X277857Y14062D01* +X278003Y14122D01* +X278137Y14204D01* +X278257Y14306D01* +X278359Y14426D01* +X278441Y14560D01* +X278501Y14706D01* +X278532Y14831D01* +X278562Y14706D01* +X278622Y14560D01* +X278704Y14426D01* +X278806Y14306D01* +X278926Y14204D01* +X279060Y14122D01* +X279206Y14062D01* +X279359Y14025D01* +X279516Y14016D01* +X281641Y14025D01* +X281794Y14062D01* +X281940Y14122D01* +X282074Y14204D01* +X282194Y14306D01* +X282296Y14426D01* +X282378Y14560D01* +X282438Y14706D01* +X282475Y14859D01* +X282484Y15016D01* +X282475Y17141D01* +X282438Y17294D01* +X282378Y17440D01* +X282296Y17574D01* +X282194Y17694D01* +X282074Y17796D01* +X281940Y17878D01* +X281794Y17938D01* +X281641Y17975D01* +X281484Y17984D01* +X279359Y17975D01* +X279206Y17938D01* +X279060Y17878D01* +X278926Y17796D01* +X278806Y17694D01* +X278704Y17574D01* +X278622Y17440D01* +X278562Y17294D01* +X278532Y17169D01* +X278501Y17294D01* +X278441Y17440D01* +X278359Y17574D01* +X278257Y17694D01* +X278137Y17796D01* +X278003Y17878D01* +X277857Y17938D01* +X277704Y17975D01* +X277548Y17984D01* +X275422Y17975D01* +X275269Y17938D01* +X275123Y17878D01* +X274989Y17796D01* +X274869Y17694D01* +X274767Y17574D01* +X274685Y17440D01* +X274627Y17300D01* +X262250D01* +Y84000D01* +X285372D01* +Y63300D01* +X279283D01* +X279060Y63560D01* +X278797Y63785D01* +X278502Y63966D01* +X278182Y64099D01* +X277845Y64180D01* +X277500Y64207D01* +X277155Y64180D01* +X276818Y64099D01* +X276498Y63966D01* +X276203Y63785D01* +X275940Y63560D01* +X275715Y63297D01* +X275534Y63002D01* +X275401Y62682D01* +X275320Y62345D01* +X275293Y62000D01* +X275320Y61655D01* +X275401Y61318D01* +X275534Y60998D01* +X275715Y60703D01* +X275940Y60440D01* +X276203Y60215D01* +X276498Y60034D01* +X276818Y59901D01* +X277155Y59820D01* +X277500Y59793D01* +X277845Y59820D01* +X278182Y59901D01* +X278502Y60034D01* +X278797Y60215D01* +X279060Y60440D01* +X279283Y60700D01* +X285372D01* +Y56800D01* +X279283D01* +X279060Y57060D01* +X278797Y57285D01* +X278502Y57466D01* +X278182Y57599D01* +X277845Y57680D01* +X277500Y57707D01* +X277155Y57680D01* +X276818Y57599D01* +X276498Y57466D01* +X276203Y57285D01* +X275940Y57060D01* +X275715Y56797D01* +X275534Y56502D01* +X275401Y56182D01* +X275320Y55845D01* +X275293Y55500D01* +X275320Y55155D01* +X275401Y54818D01* +X275534Y54498D01* +X275715Y54203D01* +X275940Y53940D01* +X276203Y53715D01* +X276498Y53534D01* +X276818Y53401D01* +X277155Y53320D01* +X277500Y53293D01* +X277845Y53320D01* +X278182Y53401D01* +X278502Y53534D01* +X278797Y53715D01* +X279060Y53940D01* +X279283Y54200D01* +X285372D01* +Y50800D01* +X279283D01* +X279060Y51060D01* +X278797Y51285D01* +X278502Y51466D01* +X278182Y51599D01* +X277845Y51680D01* +X277500Y51707D01* +X277155Y51680D01* +X276818Y51599D01* +X276498Y51466D01* +X276203Y51285D01* +X275940Y51060D01* +X275715Y50797D01* +X275534Y50502D01* +X275401Y50182D01* +X275320Y49845D01* +X275293Y49500D01* +X275320Y49155D01* +X275401Y48818D01* +X275534Y48498D01* +X275715Y48203D01* +X275940Y47940D01* +X276203Y47715D01* +X276498Y47534D01* +X276818Y47401D01* +X277155Y47320D01* +X277500Y47293D01* +X277845Y47320D01* +X278182Y47401D01* +X278502Y47534D01* +X278797Y47715D01* +X279060Y47940D01* +X279283Y48200D01* +X285372D01* +Y44300D01* +X279283D01* +X279060Y44560D01* +X278797Y44785D01* +X278502Y44966D01* +X278182Y45099D01* +X277845Y45180D01* +X277500Y45207D01* +X277155Y45180D01* +X276818Y45099D01* +X276498Y44966D01* +X276203Y44785D01* +X275940Y44560D01* +X275715Y44297D01* +X275534Y44002D01* +X275401Y43682D01* +X275320Y43345D01* +X275293Y43000D01* +X275320Y42655D01* +X275401Y42318D01* +X275534Y41998D01* +X275715Y41703D01* +X275940Y41440D01* +X276203Y41215D01* +X276498Y41034D01* +X276818Y40901D01* +X277155Y40820D01* +X277500Y40793D01* +X277845Y40820D01* +X278182Y40901D01* +X278502Y41034D01* +X278797Y41215D01* +X279060Y41440D01* +X279283Y41700D01* +X285372D01* +Y38300D01* +X279283D01* +X279060Y38560D01* +X278797Y38785D01* +X278502Y38966D01* +X278182Y39099D01* +X277845Y39180D01* +X277500Y39207D01* +X277155Y39180D01* +X276818Y39099D01* +X276498Y38966D01* +X276203Y38785D01* +X275940Y38560D01* +X275715Y38297D01* +X275534Y38002D01* +X275401Y37682D01* +X275320Y37345D01* +X275293Y37000D01* +X275320Y36655D01* +X275401Y36318D01* +X275534Y35998D01* +X275715Y35703D01* +X275940Y35440D01* +X276203Y35215D01* +X276498Y35034D01* +X276818Y34901D01* +X277155Y34820D01* +X277500Y34793D01* +X277845Y34820D01* +X278182Y34901D01* +X278502Y35034D01* +X278797Y35215D01* +X279060Y35440D01* +X279283Y35700D01* +X285372D01* +Y32300D01* +G37* +G36* +X340050Y81750D02*X340064Y77015D01* +X340119Y76785D01* +X340209Y76567D01* +X340333Y76366D01* +X340486Y76186D01* +X340666Y76033D01* +X340867Y75909D01* +X341085Y75819D01* +X341315Y75764D01* +X341550Y75750D01* +X347685Y75764D01* +X347915Y75819D01* +X348133Y75909D01* +X348334Y76033D01* +X348514Y76186D01* +X348667Y76366D01* +X348791Y76567D01* +X348881Y76785D01* +X348936Y77015D01* +X348950Y77250D01* +X348936Y81985D01* +X348881Y82215D01* +X348791Y82433D01* +X348667Y82634D01* +X348514Y82814D01* +X348334Y82967D01* +X348133Y83091D01* +X347915Y83181D01* +X347685Y83236D01* +X347450Y83250D01* +X345800Y83246D01* +Y84000D01* +X345788Y84204D01* +X345740Y84403D01* +X345700Y84500D01* +X365000D01* +Y44541D01* +X363852Y44066D01* +X362040Y42955D01* +X360425Y41575D01* +X359045Y39960D01* +X357934Y38148D01* +X357121Y36185D01* +X356625Y34118D01* +X356458Y32000D01* +X356625Y29882D01* +X357121Y27815D01* +X357934Y25852D01* +X359045Y24040D01* +X360425Y22425D01* +X362040Y21045D01* +X363852Y19934D01* +X365000Y19459D01* +Y2000D01* +X283000D01* +Y29700D01* +X342949D01* +X343000Y29696D01* +X343204Y29712D01* +X343204Y29712D01* +X343403Y29760D01* +X343592Y29838D01* +X343766Y29945D01* +X343922Y30078D01* +X343955Y30117D01* +X345383Y31545D01* +X345422Y31578D01* +X345555Y31733D01* +X345555Y31734D01* +X345662Y31908D01* +X345740Y32097D01* +X345788Y32296D01* +X345804Y32500D01* +X345800Y32551D01* +Y67760D01* +X347685Y67764D01* +X347915Y67819D01* +X348133Y67909D01* +X348334Y68033D01* +X348514Y68186D01* +X348667Y68366D01* +X348791Y68567D01* +X348881Y68785D01* +X348936Y69015D01* +X348950Y69250D01* +X348936Y73985D01* +X348881Y74215D01* +X348791Y74433D01* +X348667Y74634D01* +X348514Y74814D01* +X348334Y74967D01* +X348133Y75091D01* +X347915Y75181D01* +X347685Y75236D01* +X347450Y75250D01* +X341315Y75236D01* +X341085Y75181D01* +X340867Y75091D01* +X340666Y74967D01* +X340486Y74814D01* +X340333Y74634D01* +X340209Y74433D01* +X340119Y74215D01* +X340064Y73985D01* +X340050Y73750D01* +X340064Y69015D01* +X340119Y68785D01* +X340209Y68567D01* +X340333Y68366D01* +X340486Y68186D01* +X340666Y68033D01* +X340867Y67909D01* +X341085Y67819D01* +X341315Y67764D01* +X341550Y67750D01* +X343200Y67754D01* +Y33038D01* +X342462Y32300D01* +X283000D01* +Y35700D01* +X332449D01* +X332500Y35696D01* +X332704Y35712D01* +X332704Y35712D01* +X332903Y35760D01* +X333092Y35838D01* +X333266Y35945D01* +X333422Y36078D01* +X333455Y36117D01* +X334883Y37545D01* +X334922Y37578D01* +X335055Y37733D01* +X335055Y37734D01* +X335162Y37908D01* +X335240Y38097D01* +X335288Y38296D01* +X335304Y38500D01* +X335300Y38551D01* +Y67760D01* +X337185Y67764D01* +X337415Y67819D01* +X337633Y67909D01* +X337834Y68033D01* +X338014Y68186D01* +X338167Y68366D01* +X338291Y68567D01* +X338381Y68785D01* +X338436Y69015D01* +X338450Y69250D01* +X338436Y73985D01* +X338381Y74215D01* +X338291Y74433D01* +X338167Y74634D01* +X338014Y74814D01* +X337834Y74967D01* +X337633Y75091D01* +X337415Y75181D01* +X337185Y75236D01* +X336950Y75250D01* +X330815Y75236D01* +X330585Y75181D01* +X330367Y75091D01* +X330166Y74967D01* +X329986Y74814D01* +X329833Y74634D01* +X329709Y74433D01* +X329619Y74215D01* +X329564Y73985D01* +X329550Y73750D01* +X329564Y69015D01* +X329619Y68785D01* +X329709Y68567D01* +X329833Y68366D01* +X329986Y68186D01* +X330166Y68033D01* +X330367Y67909D01* +X330585Y67819D01* +X330815Y67764D01* +X331050Y67750D01* +X332700Y67754D01* +Y39038D01* +X331962Y38300D01* +X283000D01* +Y41700D01* +X321949D01* +X322000Y41696D01* +X322204Y41712D01* +X322204Y41712D01* +X322403Y41760D01* +X322592Y41838D01* +X322766Y41945D01* +X322922Y42078D01* +X322955Y42117D01* +X324383Y43545D01* +X324422Y43578D01* +X324555Y43733D01* +X324555Y43734D01* +X324662Y43908D01* +X324740Y44097D01* +X324788Y44296D01* +X324804Y44500D01* +X324800Y44551D01* +Y67760D01* +X326685Y67764D01* +X326915Y67819D01* +X327133Y67909D01* +X327334Y68033D01* +X327514Y68186D01* +X327667Y68366D01* +X327791Y68567D01* +X327881Y68785D01* +X327936Y69015D01* +X327950Y69250D01* +X327936Y73985D01* +X327881Y74215D01* +X327791Y74433D01* +X327667Y74634D01* +X327514Y74814D01* +X327334Y74967D01* +X327133Y75091D01* +X326915Y75181D01* +X326685Y75236D01* +X326450Y75250D01* +X320315Y75236D01* +X320085Y75181D01* +X319867Y75091D01* +X319666Y74967D01* +X319486Y74814D01* +X319333Y74634D01* +X319209Y74433D01* +X319119Y74215D01* +X319064Y73985D01* +X319050Y73750D01* +X319064Y69015D01* +X319119Y68785D01* +X319209Y68567D01* +X319333Y68366D01* +X319486Y68186D01* +X319666Y68033D01* +X319867Y67909D01* +X320085Y67819D01* +X320315Y67764D01* +X320550Y67750D01* +X322200Y67754D01* +Y45038D01* +X321462Y44300D01* +X283000D01* +Y48200D01* +X311449D01* +X311500Y48196D01* +X311704Y48212D01* +X311704Y48212D01* +X311903Y48260D01* +X312092Y48338D01* +X312266Y48445D01* +X312422Y48578D01* +X312455Y48617D01* +X313883Y50045D01* +X313922Y50078D01* +X314055Y50233D01* +X314055Y50234D01* +X314162Y50408D01* +X314240Y50597D01* +X314288Y50796D01* +X314304Y51000D01* +X314300Y51051D01* +Y67760D01* +X316185Y67764D01* +X316415Y67819D01* +X316633Y67909D01* +X316834Y68033D01* +X317014Y68186D01* +X317167Y68366D01* +X317291Y68567D01* +X317381Y68785D01* +X317436Y69015D01* +X317450Y69250D01* +X317436Y73985D01* +X317381Y74215D01* +X317291Y74433D01* +X317167Y74634D01* +X317014Y74814D01* +X316834Y74967D01* +X316633Y75091D01* +X316415Y75181D01* +X316185Y75236D01* +X315950Y75250D01* +X309815Y75236D01* +X309585Y75181D01* +X309367Y75091D01* +X309166Y74967D01* +X308986Y74814D01* +X308833Y74634D01* +X308709Y74433D01* +X308619Y74215D01* +X308564Y73985D01* +X308550Y73750D01* +X308564Y69015D01* +X308619Y68785D01* +X308709Y68567D01* +X308833Y68366D01* +X308986Y68186D01* +X309166Y68033D01* +X309367Y67909D01* +X309585Y67819D01* +X309815Y67764D01* +X310050Y67750D01* +X311700Y67754D01* +Y51538D01* +X310962Y50800D01* +X283000D01* +Y54200D01* +X300449D01* +X300500Y54196D01* +X300704Y54212D01* +X300704Y54212D01* +X300903Y54260D01* +X301092Y54338D01* +X301266Y54445D01* +X301422Y54578D01* +X301455Y54617D01* +X302883Y56045D01* +X302922Y56078D01* +X303055Y56233D01* +X303055Y56234D01* +X303162Y56408D01* +X303240Y56597D01* +X303288Y56796D01* +X303304Y57000D01* +X303300Y57051D01* +Y67760D01* +X305185Y67764D01* +X305415Y67819D01* +X305633Y67909D01* +X305834Y68033D01* +X306014Y68186D01* +X306167Y68366D01* +X306291Y68567D01* +X306381Y68785D01* +X306436Y69015D01* +X306450Y69250D01* +X306436Y73985D01* +X306381Y74215D01* +X306291Y74433D01* +X306167Y74634D01* +X306014Y74814D01* +X305834Y74967D01* +X305633Y75091D01* +X305415Y75181D01* +X305185Y75236D01* +X304950Y75250D01* +X298815Y75236D01* +X298585Y75181D01* +X298367Y75091D01* +X298166Y74967D01* +X297986Y74814D01* +X297833Y74634D01* +X297709Y74433D01* +X297619Y74215D01* +X297564Y73985D01* +X297550Y73750D01* +X297564Y69015D01* +X297619Y68785D01* +X297709Y68567D01* +X297833Y68366D01* +X297986Y68186D01* +X298166Y68033D01* +X298367Y67909D01* +X298585Y67819D01* +X298815Y67764D01* +X299050Y67750D01* +X300700Y67754D01* +Y57538D01* +X299962Y56800D01* +X283000D01* +Y60700D01* +X289449D01* +X289500Y60696D01* +X289704Y60712D01* +X289704Y60712D01* +X289903Y60760D01* +X290092Y60838D01* +X290266Y60945D01* +X290422Y61078D01* +X290455Y61117D01* +X291883Y62545D01* +X291922Y62578D01* +X292055Y62733D01* +X292055Y62734D01* +X292162Y62908D01* +X292240Y63097D01* +X292288Y63296D01* +X292304Y63500D01* +X292300Y63551D01* +Y67760D01* +X294185Y67764D01* +X294415Y67819D01* +X294633Y67909D01* +X294834Y68033D01* +X295014Y68186D01* +X295167Y68366D01* +X295291Y68567D01* +X295381Y68785D01* +X295436Y69015D01* +X295450Y69250D01* +X295436Y73985D01* +X295381Y74215D01* +X295291Y74433D01* +X295167Y74634D01* +X295014Y74814D01* +X294834Y74967D01* +X294633Y75091D01* +X294415Y75181D01* +X294185Y75236D01* +X293950Y75250D01* +X287815Y75236D01* +X287585Y75181D01* +X287367Y75091D01* +X287166Y74967D01* +X286986Y74814D01* +X286833Y74634D01* +X286709Y74433D01* +X286619Y74215D01* +X286564Y73985D01* +X286550Y73750D01* +X286564Y69015D01* +X286619Y68785D01* +X286709Y68567D01* +X286833Y68366D01* +X286986Y68186D01* +X287166Y68033D01* +X287367Y67909D01* +X287585Y67819D01* +X287815Y67764D01* +X288050Y67750D01* +X289700Y67754D01* +Y64038D01* +X288962Y63300D01* +X283000D01* +Y84500D01* +X289700D01* +Y83240D01* +X287815Y83236D01* +X287585Y83181D01* +X287367Y83091D01* +X287166Y82967D01* +X286986Y82814D01* +X286833Y82634D01* +X286709Y82433D01* +X286619Y82215D01* +X286564Y81985D01* +X286550Y81750D01* +X286564Y77015D01* +X286619Y76785D01* +X286709Y76567D01* +X286833Y76366D01* +X286986Y76186D01* +X287166Y76033D01* +X287367Y75909D01* +X287585Y75819D01* +X287815Y75764D01* +X288050Y75750D01* +X294185Y75764D01* +X294415Y75819D01* +X294633Y75909D01* +X294834Y76033D01* +X295014Y76186D01* +X295167Y76366D01* +X295291Y76567D01* +X295381Y76785D01* +X295436Y77015D01* +X295450Y77250D01* +X295436Y81985D01* +X295381Y82215D01* +X295291Y82433D01* +X295167Y82634D01* +X295014Y82814D01* +X294834Y82967D01* +X294633Y83091D01* +X294415Y83181D01* +X294185Y83236D01* +X293950Y83250D01* +X292300Y83246D01* +Y84500D01* +X300700D01* +Y83240D01* +X298815Y83236D01* +X298585Y83181D01* +X298367Y83091D01* +X298166Y82967D01* +X297986Y82814D01* +X297833Y82634D01* +X297709Y82433D01* +X297619Y82215D01* +X297564Y81985D01* +X297550Y81750D01* +X297564Y77015D01* +X297619Y76785D01* +X297709Y76567D01* +X297833Y76366D01* +X297986Y76186D01* +X298166Y76033D01* +X298367Y75909D01* +X298585Y75819D01* +X298815Y75764D01* +X299050Y75750D01* +X305185Y75764D01* +X305415Y75819D01* +X305633Y75909D01* +X305834Y76033D01* +X306014Y76186D01* +X306167Y76366D01* +X306291Y76567D01* +X306381Y76785D01* +X306436Y77015D01* +X306450Y77250D01* +X306436Y81985D01* +X306381Y82215D01* +X306291Y82433D01* +X306167Y82634D01* +X306014Y82814D01* +X305834Y82967D01* +X305633Y83091D01* +X305415Y83181D01* +X305185Y83236D01* +X304950Y83250D01* +X303300Y83246D01* +Y84500D01* +X311800D01* +X311760Y84403D01* +X311712Y84204D01* +X311700Y84000D01* +Y83240D01* +X309815Y83236D01* +X309585Y83181D01* +X309367Y83091D01* +X309166Y82967D01* +X308986Y82814D01* +X308833Y82634D01* +X308709Y82433D01* +X308619Y82215D01* +X308564Y81985D01* +X308550Y81750D01* +X308564Y77015D01* +X308619Y76785D01* +X308709Y76567D01* +X308833Y76366D01* +X308986Y76186D01* +X309166Y76033D01* +X309367Y75909D01* +X309585Y75819D01* +X309815Y75764D01* +X310050Y75750D01* +X316185Y75764D01* +X316415Y75819D01* +X316633Y75909D01* +X316834Y76033D01* +X317014Y76186D01* +X317167Y76366D01* +X317291Y76567D01* +X317381Y76785D01* +X317436Y77015D01* +X317450Y77250D01* +X317436Y81985D01* +X317381Y82215D01* +X317291Y82433D01* +X317167Y82634D01* +X317014Y82814D01* +X316834Y82967D01* +X316633Y83091D01* +X316415Y83181D01* +X316185Y83236D01* +X315950Y83250D01* +X314300Y83246D01* +Y84000D01* +X314288Y84204D01* +X314240Y84403D01* +X314200Y84500D01* +X322300D01* +X322260Y84403D01* +X322212Y84204D01* +X322200Y84000D01* +Y83240D01* +X320315Y83236D01* +X320085Y83181D01* +X319867Y83091D01* +X319666Y82967D01* +X319486Y82814D01* +X319333Y82634D01* +X319209Y82433D01* +X319119Y82215D01* +X319064Y81985D01* +X319050Y81750D01* +X319064Y77015D01* +X319119Y76785D01* +X319209Y76567D01* +X319333Y76366D01* +X319486Y76186D01* +X319666Y76033D01* +X319867Y75909D01* +X320085Y75819D01* +X320315Y75764D01* +X320550Y75750D01* +X326685Y75764D01* +X326915Y75819D01* +X327133Y75909D01* +X327334Y76033D01* +X327514Y76186D01* +X327667Y76366D01* +X327791Y76567D01* +X327881Y76785D01* +X327936Y77015D01* +X327950Y77250D01* +X327936Y81985D01* +X327881Y82215D01* +X327791Y82433D01* +X327667Y82634D01* +X327514Y82814D01* +X327334Y82967D01* +X327133Y83091D01* +X326915Y83181D01* +X326685Y83236D01* +X326450Y83250D01* +X324800Y83246D01* +Y84000D01* +X324788Y84204D01* +X324740Y84403D01* +X324700Y84500D01* +X332800D01* +X332760Y84403D01* +X332712Y84204D01* +X332700Y84000D01* +Y83240D01* +X330815Y83236D01* +X330585Y83181D01* +X330367Y83091D01* +X330166Y82967D01* +X329986Y82814D01* +X329833Y82634D01* +X329709Y82433D01* +X329619Y82215D01* +X329564Y81985D01* +X329550Y81750D01* +X329564Y77015D01* +X329619Y76785D01* +X329709Y76567D01* +X329833Y76366D01* +X329986Y76186D01* +X330166Y76033D01* +X330367Y75909D01* +X330585Y75819D01* +X330815Y75764D01* +X331050Y75750D01* +X337185Y75764D01* +X337415Y75819D01* +X337633Y75909D01* +X337834Y76033D01* +X338014Y76186D01* +X338167Y76366D01* +X338291Y76567D01* +X338381Y76785D01* +X338436Y77015D01* +X338450Y77250D01* +X338436Y81985D01* +X338381Y82215D01* +X338291Y82433D01* +X338167Y82634D01* +X338014Y82814D01* +X337834Y82967D01* +X337633Y83091D01* +X337415Y83181D01* +X337185Y83236D01* +X336950Y83250D01* +X335300Y83246D01* +Y84000D01* +X335288Y84204D01* +X335240Y84403D01* +X335200Y84500D01* +X343300D01* +X343260Y84403D01* +X343212Y84204D01* +X343200Y84000D01* +Y83240D01* +X341315Y83236D01* +X341085Y83181D01* +X340867Y83091D01* +X340666Y82967D01* +X340486Y82814D01* +X340333Y82634D01* +X340209Y82433D01* +X340119Y82215D01* +X340064Y81985D01* +X340050Y81750D01* +G37* +G36* +X198747Y79856D02*X199579Y79858D01* +X199670Y79880D01* +X199758Y79916D01* +X199838Y79966D01* +X199910Y80027D01* +X199971Y80099D01* +X200021Y80179D01* +X200057Y80267D01* +X200079Y80358D01* +X200084Y80452D01* +X200079Y82516D01* +X200057Y82607D01* +X200021Y82695D01* +X199971Y82775D01* +X199910Y82847D01* +X199838Y82908D01* +X199758Y82958D01* +X199670Y82994D01* +X199579Y83016D01* +X199484Y83022D01* +X198747Y83020D01* +Y84946D01* +X198823Y84941D01* +X200160Y84955D01* +X200358Y85002D01* +X200556Y84955D01* +X200791Y84941D01* +X202011Y84954D01* +Y58500D01* +X198747D01* +Y66000D01* +X198749Y66000D01* +X198810Y66013D01* +X198869Y66036D01* +X198923Y66067D01* +X198972Y66107D01* +X199015Y66154D01* +X199049Y66206D01* +X199073Y66264D01* +X199138Y66469D01* +X199179Y66679D01* +X199200Y66893D01* +Y67107D01* +X199179Y67321D01* +X199138Y67531D01* +X199076Y67737D01* +X199050Y67794D01* +X199016Y67847D01* +X198974Y67894D01* +X198924Y67934D01* +X198870Y67966D01* +X198811Y67988D01* +X198749Y68001D01* +X198747Y68001D01* +Y75919D01* +X199579Y75921D01* +X199670Y75943D01* +X199758Y75979D01* +X199838Y76029D01* +X199910Y76090D01* +X199971Y76162D01* +X200021Y76242D01* +X200057Y76330D01* +X200079Y76421D01* +X200084Y76516D01* +X200079Y78579D01* +X200057Y78670D01* +X200021Y78758D01* +X199971Y78838D01* +X199910Y78910D01* +X199838Y78971D01* +X199758Y79021D01* +X199670Y79057D01* +X199579Y79079D01* +X199484Y79084D01* +X198747Y79083D01* +Y79856D01* +G37* +G36* +Y58500D02*X197001D01* +Y64800D01* +X197107D01* +X197321Y64821D01* +X197531Y64862D01* +X197737Y64924D01* +X197794Y64950D01* +X197847Y64984D01* +X197894Y65026D01* +X197934Y65076D01* +X197966Y65130D01* +X197988Y65189D01* +X198001Y65251D01* +X198005Y65314D01* +X197998Y65377D01* +X197982Y65438D01* +X197956Y65496D01* +X197921Y65549D01* +X197879Y65596D01* +X197830Y65636D01* +X197775Y65667D01* +X197716Y65690D01* +X197654Y65703D01* +X197591Y65706D01* +X197528Y65700D01* +X197468Y65682D01* +X197338Y65641D01* +X197204Y65615D01* +X197068Y65602D01* +X197001D01* +Y68398D01* +X197068D01* +X197204Y68385D01* +X197338Y68359D01* +X197468Y68319D01* +X197529Y68302D01* +X197591Y68295D01* +X197654Y68299D01* +X197716Y68312D01* +X197774Y68334D01* +X197829Y68366D01* +X197878Y68405D01* +X197920Y68452D01* +X197954Y68505D01* +X197980Y68562D01* +X197996Y68623D01* +X198003Y68686D01* +X198000Y68749D01* +X197987Y68810D01* +X197964Y68869D01* +X197933Y68923D01* +X197893Y68972D01* +X197846Y69015D01* +X197794Y69049D01* +X197736Y69073D01* +X197531Y69138D01* +X197321Y69179D01* +X197107Y69200D01* +X197001D01* +Y70418D01* +X198016Y70421D01* +X198107Y70443D01* +X198195Y70479D01* +X198275Y70529D01* +X198347Y70590D01* +X198408Y70662D01* +X198458Y70742D01* +X198494Y70830D01* +X198516Y70921D01* +X198522Y71016D01* +X198516Y73079D01* +X198494Y73170D01* +X198458Y73258D01* +X198408Y73338D01* +X198347Y73410D01* +X198275Y73471D01* +X198195Y73521D01* +X198107Y73557D01* +X198016Y73579D01* +X197922Y73584D01* +X197001Y73582D01* +Y76207D01* +X197029Y76162D01* +X197090Y76090D01* +X197162Y76029D01* +X197242Y75979D01* +X197330Y75943D01* +X197421Y75921D01* +X197516Y75916D01* +X198747Y75919D01* +Y68001D01* +X198686Y68005D01* +X198623Y67998D01* +X198562Y67982D01* +X198504Y67956D01* +X198451Y67921D01* +X198404Y67879D01* +X198364Y67830D01* +X198333Y67775D01* +X198310Y67716D01* +X198297Y67654D01* +X198294Y67591D01* +X198300Y67528D01* +X198318Y67468D01* +X198359Y67338D01* +X198385Y67204D01* +X198398Y67068D01* +Y66932D01* +X198385Y66796D01* +X198359Y66662D01* +X198319Y66532D01* +X198302Y66471D01* +X198295Y66409D01* +X198299Y66346D01* +X198312Y66284D01* +X198334Y66226D01* +X198366Y66171D01* +X198405Y66122D01* +X198452Y66080D01* +X198505Y66046D01* +X198562Y66020D01* +X198623Y66004D01* +X198686Y65997D01* +X198747Y66000D01* +Y58500D01* +G37* +G36* +Y83020D02*X197821Y83017D01* +X197001Y83838D01* +Y84943D01* +X198191Y84955D01* +X198389Y85002D01* +X198588Y84955D01* +X198747Y84946D01* +Y83020D01* +G37* +G36* +X197001Y80144D02*X197029Y80099D01* +X197090Y80027D01* +X197162Y79966D01* +X197242Y79916D01* +X197330Y79880D01* +X197421Y79858D01* +X197516Y79852D01* +X198747Y79856D01* +Y79083D01* +X197421Y79079D01* +X197330Y79057D01* +X197242Y79021D01* +X197162Y78971D01* +X197090Y78910D01* +X197029Y78838D01* +X197001Y78793D01* +Y80144D01* +G37* +G36* +Y83838D02*X195887Y84951D01* +X196223Y84955D01* +X196421Y85002D01* +X196619Y84955D01* +X196854Y84941D01* +X197001Y84943D01* +Y83838D01* +G37* +G36* +X195253Y81908D02*X196608Y80554D01* +X196641Y80515D01* +X196796Y80382D01* +X196797Y80382D01* +X196905Y80316D01* +X196936Y80297D01* +X196943Y80267D01* +X196979Y80179D01* +X197001Y80144D01* +Y78793D01* +X196979Y78758D01* +X196943Y78670D01* +X196921Y78579D01* +X196916Y78484D01* +X196921Y76421D01* +X196943Y76330D01* +X196979Y76242D01* +X197001Y76207D01* +Y73582D01* +X195858Y73579D01* +X195767Y73557D01* +X195679Y73521D01* +X195599Y73471D01* +X195527Y73410D01* +X195466Y73338D01* +X195416Y73258D01* +X195380Y73170D01* +X195358Y73079D01* +X195352Y72984D01* +X195358Y70921D01* +X195380Y70830D01* +X195416Y70742D01* +X195466Y70662D01* +X195527Y70590D01* +X195599Y70529D01* +X195679Y70479D01* +X195767Y70443D01* +X195858Y70421D01* +X195952Y70416D01* +X197001Y70418D01* +Y69200D01* +X196893D01* +X196679Y69179D01* +X196469Y69138D01* +X196263Y69076D01* +X196206Y69050D01* +X196153Y69016D01* +X196106Y68974D01* +X196066Y68924D01* +X196034Y68870D01* +X196012Y68811D01* +X195999Y68749D01* +X195995Y68686D01* +X196002Y68623D01* +X196018Y68562D01* +X196044Y68504D01* +X196079Y68451D01* +X196121Y68404D01* +X196170Y68364D01* +X196225Y68333D01* +X196284Y68310D01* +X196346Y68297D01* +X196409Y68294D01* +X196472Y68300D01* +X196532Y68318D01* +X196662Y68359D01* +X196796Y68385D01* +X196932Y68398D01* +X197001D01* +Y65602D01* +X196932D01* +X196796Y65615D01* +X196662Y65641D01* +X196532Y65681D01* +X196471Y65698D01* +X196409Y65705D01* +X196346Y65701D01* +X196284Y65688D01* +X196226Y65666D01* +X196171Y65634D01* +X196122Y65595D01* +X196080Y65548D01* +X196046Y65495D01* +X196020Y65438D01* +X196004Y65377D01* +X195997Y65314D01* +X196000Y65251D01* +X196013Y65190D01* +X196036Y65131D01* +X196067Y65077D01* +X196107Y65028D01* +X196154Y64985D01* +X196206Y64951D01* +X196264Y64927D01* +X196469Y64862D01* +X196679Y64821D01* +X196893Y64800D01* +X197001D01* +Y58500D01* +X195253D01* +Y65999D01* +X195314Y65995D01* +X195377Y66002D01* +X195438Y66018D01* +X195496Y66044D01* +X195549Y66079D01* +X195596Y66121D01* +X195636Y66170D01* +X195667Y66225D01* +X195690Y66284D01* +X195703Y66346D01* +X195706Y66409D01* +X195700Y66472D01* +X195682Y66532D01* +X195641Y66662D01* +X195615Y66796D01* +X195602Y66932D01* +Y67068D01* +X195615Y67204D01* +X195641Y67338D01* +X195681Y67468D01* +X195698Y67529D01* +X195705Y67591D01* +X195701Y67654D01* +X195688Y67716D01* +X195666Y67774D01* +X195634Y67829D01* +X195595Y67878D01* +X195548Y67920D01* +X195495Y67954D01* +X195438Y67980D01* +X195377Y67996D01* +X195314Y68003D01* +X195253Y68000D01* +Y81908D01* +G37* +G36* +X193000Y70418D02*X194079Y70421D01* +X194170Y70443D01* +X194258Y70479D01* +X194338Y70529D01* +X194410Y70590D01* +X194471Y70662D01* +X194521Y70742D01* +X194557Y70830D01* +X194579Y70921D01* +X194584Y71016D01* +X194579Y73079D01* +X194557Y73170D01* +X194521Y73258D01* +X194471Y73338D01* +X194410Y73410D01* +X194338Y73471D01* +X194258Y73521D01* +X194170Y73557D01* +X194079Y73579D01* +X193984Y73584D01* +X193000Y73582D01* +Y75918D01* +X194079Y75921D01* +X194170Y75943D01* +X194258Y75979D01* +X194338Y76029D01* +X194410Y76090D01* +X194471Y76162D01* +X194521Y76242D01* +X194557Y76330D01* +X194579Y76421D01* +X194584Y76516D01* +X194579Y78579D01* +X194557Y78670D01* +X194521Y78758D01* +X194471Y78838D01* +X194410Y78910D01* +X194338Y78971D01* +X194258Y79021D01* +X194170Y79057D01* +X194079Y79079D01* +X193984Y79084D01* +X193000Y79082D01* +Y79855D01* +X194079Y79858D01* +X194170Y79880D01* +X194258Y79916D01* +X194338Y79966D01* +X194410Y80027D01* +X194471Y80099D01* +X194521Y80179D01* +X194557Y80267D01* +X194579Y80358D01* +X194584Y80452D01* +X194579Y82516D01* +X194558Y82604D01* +X195253Y81908D01* +Y68000D01* +X195251Y68000D01* +X195190Y67987D01* +X195131Y67964D01* +X195077Y67933D01* +X195028Y67893D01* +X194985Y67846D01* +X194951Y67794D01* +X194927Y67736D01* +X194862Y67531D01* +X194821Y67321D01* +X194800Y67107D01* +Y66893D01* +X194821Y66679D01* +X194862Y66469D01* +X194924Y66263D01* +X194950Y66206D01* +X194984Y66153D01* +X195026Y66106D01* +X195076Y66066D01* +X195130Y66034D01* +X195189Y66012D01* +X195251Y65999D01* +X195253Y65999D01* +Y58500D01* +X193000D01* +Y70418D01* +G37* +G36* +Y73582D02*X191921Y73579D01* +X191830Y73557D01* +X191742Y73521D01* +X191662Y73471D01* +X191590Y73410D01* +X191529Y73338D01* +X191518Y73321D01* +X190831Y74007D01* +Y81862D01* +X191419Y81274D01* +X191421Y80358D01* +X191443Y80267D01* +X191479Y80179D01* +X191529Y80099D01* +X191590Y80027D01* +X191662Y79966D01* +X191742Y79916D01* +X191830Y79880D01* +X191921Y79858D01* +X192016Y79852D01* +X193000Y79855D01* +Y79082D01* +X191921Y79079D01* +X191830Y79057D01* +X191742Y79021D01* +X191662Y78971D01* +X191590Y78910D01* +X191529Y78838D01* +X191479Y78758D01* +X191443Y78670D01* +X191421Y78579D01* +X191416Y78484D01* +X191421Y76421D01* +X191443Y76330D01* +X191479Y76242D01* +X191529Y76162D01* +X191590Y76090D01* +X191662Y76029D01* +X191742Y75979D01* +X191830Y75943D01* +X191921Y75921D01* +X192016Y75916D01* +X193000Y75918D01* +Y73582D01* +G37* +G36* +X192016Y70416D02*X193000Y70418D01* +Y58500D01* +X184000D01* +Y62200D01* +X184949D01* +X185000Y62196D01* +X185204Y62212D01* +X185204Y62212D01* +X185403Y62260D01* +X185592Y62338D01* +X185766Y62445D01* +X185922Y62578D01* +X185955Y62617D01* +X188446Y65108D01* +X188485Y65141D01* +X188618Y65296D01* +X188618Y65297D01* +X188725Y65471D01* +X188803Y65660D01* +X188851Y65859D01* +X188867Y66063D01* +X188863Y66114D01* +Y72299D01* +X190045Y71117D01* +X190078Y71078D01* +X190233Y70945D01* +X190234Y70945D01* +X190408Y70838D01* +X190597Y70760D01* +X190748Y70724D01* +X190796Y70712D01* +X190796D01* +X191000Y70696D01* +X191051Y70700D01* +X191505D01* +X191529Y70662D01* +X191590Y70590D01* +X191662Y70529D01* +X191742Y70479D01* +X191830Y70443D01* +X191921Y70421D01* +X192016Y70416D01* +G37* +G36* +X161000Y158200D02*X165969D01* +X165896Y158024D01* +X165841Y157794D01* +X165838Y157737D01* +X162495D01* +X162471Y157775D01* +X162410Y157847D01* +X162338Y157908D01* +X162258Y157958D01* +X162170Y157994D01* +X162079Y158016D01* +X161984Y158022D01* +X161000Y158019D01* +Y158200D01* +G37* +G36* +X191001Y145389D02*X191060Y145440D01* +X191285Y145703D01* +X191466Y145998D01* +X191599Y146318D01* +X191680Y146655D01* +X191700Y147000D01* +X191680Y147341D01* +X192383Y148045D01* +X192422Y148078D01* +X192555Y148233D01* +X192555Y148234D01* +X192662Y148408D01* +X192740Y148597D01* +X192788Y148796D01* +X192804Y149000D01* +X192800Y149051D01* +Y150066D01* +X192918Y150059D01* +X194137Y150072D01* +Y147078D01* +X193975Y147011D01* +X193673Y146826D01* +X193404Y146596D01* +X193174Y146327D01* +X192989Y146025D01* +X192854Y145697D01* +X192771Y145353D01* +X192750Y145000D01* +Y129001D01* +X192749Y129001D01* +X192686Y129005D01* +X192623Y128998D01* +X192562Y128982D01* +X192504Y128956D01* +X192451Y128921D01* +X192404Y128879D01* +X192364Y128830D01* +X192333Y128775D01* +X192310Y128716D01* +X192297Y128654D01* +X192294Y128591D01* +X192300Y128528D01* +X192318Y128468D01* +X192359Y128338D01* +X192385Y128204D01* +X192398Y128068D01* +Y127932D01* +X192385Y127796D01* +X192359Y127662D01* +X192319Y127532D01* +X192302Y127471D01* +X192295Y127409D01* +X192299Y127346D01* +X192312Y127284D01* +X192334Y127226D01* +X192366Y127171D01* +X192405Y127122D01* +X192452Y127080D01* +X192505Y127046D01* +X192562Y127020D01* +X192623Y127004D01* +X192686Y126997D01* +X192749Y127000D01* +X192750Y127001D01* +Y96000D01* +X192771Y95647D01* +X192854Y95303D01* +X192989Y94975D01* +X193045Y94884D01* +X192617Y94455D01* +X192578Y94422D01* +X192445Y94266D01* +X192338Y94092D01* +X192270Y93928D01* +X192051Y93941D01* +X191001Y93930D01* +Y100548D01* +X191005Y100546D01* +X191062Y100520D01* +X191123Y100504D01* +X191186Y100497D01* +X191249Y100500D01* +X191310Y100513D01* +X191369Y100536D01* +X191423Y100567D01* +X191472Y100607D01* +X191515Y100654D01* +X191549Y100706D01* +X191573Y100764D01* +X191638Y100969D01* +X191679Y101179D01* +X191700Y101393D01* +Y101607D01* +X191679Y101821D01* +X191638Y102031D01* +X191576Y102237D01* +X191550Y102294D01* +X191516Y102347D01* +X191474Y102394D01* +X191424Y102434D01* +X191370Y102466D01* +X191311Y102488D01* +X191249Y102501D01* +X191186Y102505D01* +X191123Y102498D01* +X191062Y102482D01* +X191004Y102456D01* +X191001Y102454D01* +Y125800D01* +X191107D01* +X191321Y125821D01* +X191531Y125862D01* +X191737Y125924D01* +X191794Y125950D01* +X191847Y125984D01* +X191894Y126026D01* +X191934Y126076D01* +X191966Y126130D01* +X191988Y126189D01* +X192001Y126251D01* +X192005Y126314D01* +X191998Y126377D01* +X191982Y126438D01* +X191956Y126496D01* +X191921Y126549D01* +X191879Y126596D01* +X191830Y126636D01* +X191775Y126667D01* +X191716Y126690D01* +X191654Y126703D01* +X191591Y126706D01* +X191528Y126700D01* +X191468Y126682D01* +X191338Y126641D01* +X191204Y126615D01* +X191068Y126602D01* +X191001D01* +Y129398D01* +X191068D01* +X191204Y129385D01* +X191338Y129359D01* +X191468Y129319D01* +X191529Y129302D01* +X191591Y129295D01* +X191654Y129299D01* +X191716Y129312D01* +X191774Y129334D01* +X191829Y129366D01* +X191878Y129405D01* +X191920Y129452D01* +X191954Y129505D01* +X191980Y129562D01* +X191996Y129623D01* +X192003Y129686D01* +X192000Y129749D01* +X191987Y129810D01* +X191964Y129869D01* +X191933Y129923D01* +X191893Y129972D01* +X191846Y130015D01* +X191794Y130049D01* +X191736Y130073D01* +X191531Y130138D01* +X191321Y130179D01* +X191107Y130200D01* +X191001D01* +Y145389D01* +G37* +G36* +X189253Y144813D02*X189500Y144793D01* +X189845Y144820D01* +X190182Y144901D01* +X190502Y145034D01* +X190797Y145215D01* +X191001Y145389D01* +Y130200D01* +X190893D01* +X190679Y130179D01* +X190469Y130138D01* +X190263Y130076D01* +X190206Y130050D01* +X190153Y130016D01* +X190106Y129974D01* +X190066Y129924D01* +X190034Y129870D01* +X190012Y129811D01* +X189999Y129749D01* +X189995Y129686D01* +X190002Y129623D01* +X190018Y129562D01* +X190044Y129504D01* +X190079Y129451D01* +X190121Y129404D01* +X190170Y129364D01* +X190225Y129333D01* +X190284Y129310D01* +X190346Y129297D01* +X190409Y129294D01* +X190472Y129300D01* +X190532Y129318D01* +X190662Y129359D01* +X190796Y129385D01* +X190932Y129398D01* +X191001D01* +Y126602D01* +X190932D01* +X190796Y126615D01* +X190662Y126641D01* +X190532Y126681D01* +X190471Y126698D01* +X190409Y126705D01* +X190346Y126701D01* +X190284Y126688D01* +X190226Y126666D01* +X190171Y126634D01* +X190122Y126595D01* +X190080Y126548D01* +X190046Y126495D01* +X190020Y126438D01* +X190004Y126377D01* +X189997Y126314D01* +X190000Y126251D01* +X190013Y126190D01* +X190036Y126131D01* +X190067Y126077D01* +X190107Y126028D01* +X190154Y125985D01* +X190206Y125951D01* +X190264Y125927D01* +X190469Y125862D01* +X190679Y125821D01* +X190893Y125800D01* +X191001D01* +Y102454D01* +X190951Y102421D01* +X190904Y102379D01* +X190864Y102330D01* +X190833Y102275D01* +X190810Y102216D01* +X190797Y102154D01* +X190794Y102091D01* +X190800Y102028D01* +X190818Y101968D01* +X190859Y101838D01* +X190885Y101704D01* +X190898Y101568D01* +Y101432D01* +X190885Y101296D01* +X190859Y101162D01* +X190819Y101032D01* +X190802Y100971D01* +X190795Y100909D01* +X190799Y100846D01* +X190812Y100784D01* +X190834Y100726D01* +X190866Y100671D01* +X190905Y100622D01* +X190952Y100580D01* +X191001Y100548D01* +Y93930D01* +X190832Y93928D01* +X190835Y93969D01* +X190819Y94173D01* +X190819Y94173D01* +X190783Y94324D01* +X190771Y94372D01* +X190739Y94450D01* +X190693Y94561D01* +X190651Y94629D01* +X190586Y94735D01* +X190586Y94736D01* +X190453Y94891D01* +X190414Y94924D01* +X189253Y96085D01* +Y99314D01* +X189393Y99300D01* +X189607D01* +X189821Y99321D01* +X190031Y99362D01* +X190237Y99424D01* +X190294Y99450D01* +X190347Y99484D01* +X190394Y99526D01* +X190434Y99576D01* +X190466Y99630D01* +X190488Y99689D01* +X190501Y99751D01* +X190505Y99814D01* +X190498Y99877D01* +X190482Y99938D01* +X190456Y99996D01* +X190421Y100049D01* +X190379Y100096D01* +X190330Y100136D01* +X190275Y100167D01* +X190216Y100190D01* +X190154Y100203D01* +X190091Y100206D01* +X190028Y100200D01* +X189968Y100182D01* +X189838Y100141D01* +X189704Y100115D01* +X189568Y100102D01* +X189432D01* +X189296Y100115D01* +X189253Y100123D01* +Y102877D01* +X189296Y102885D01* +X189432Y102898D01* +X189568D01* +X189704Y102885D01* +X189838Y102859D01* +X189968Y102819D01* +X190029Y102802D01* +X190091Y102795D01* +X190154Y102799D01* +X190216Y102812D01* +X190274Y102834D01* +X190329Y102866D01* +X190378Y102905D01* +X190420Y102952D01* +X190454Y103005D01* +X190480Y103062D01* +X190496Y103123D01* +X190503Y103186D01* +X190500Y103249D01* +X190487Y103310D01* +X190464Y103369D01* +X190433Y103423D01* +X190393Y103472D01* +X190346Y103515D01* +X190294Y103549D01* +X190236Y103573D01* +X190031Y103638D01* +X189821Y103679D01* +X189607Y103700D01* +X189393D01* +X189253Y103686D01* +Y126999D01* +X189314Y126995D01* +X189377Y127002D01* +X189438Y127018D01* +X189496Y127044D01* +X189549Y127079D01* +X189596Y127121D01* +X189636Y127170D01* +X189667Y127225D01* +X189690Y127284D01* +X189703Y127346D01* +X189706Y127409D01* +X189700Y127472D01* +X189682Y127532D01* +X189641Y127662D01* +X189615Y127796D01* +X189602Y127932D01* +Y128068D01* +X189615Y128204D01* +X189641Y128338D01* +X189681Y128468D01* +X189698Y128529D01* +X189705Y128591D01* +X189701Y128654D01* +X189688Y128716D01* +X189666Y128774D01* +X189634Y128829D01* +X189595Y128878D01* +X189548Y128920D01* +X189495Y128954D01* +X189438Y128980D01* +X189377Y128996D01* +X189314Y129003D01* +X189253Y129000D01* +Y144813D01* +G37* +G36* +Y150062D02*X190200Y150072D01* +Y149538D01* +X189841Y149180D01* +X189500Y149207D01* +X189253Y149187D01* +Y150062D01* +G37* +G36* +X187753Y145658D02*X187940Y145440D01* +X188203Y145215D01* +X188498Y145034D01* +X188818Y144901D01* +X189155Y144820D01* +X189253Y144813D01* +Y129000D01* +X189251Y129000D01* +X189190Y128987D01* +X189131Y128964D01* +X189077Y128933D01* +X189028Y128893D01* +X188985Y128846D01* +X188951Y128794D01* +X188927Y128736D01* +X188862Y128531D01* +X188821Y128321D01* +X188800Y128107D01* +Y127893D01* +X188821Y127679D01* +X188862Y127469D01* +X188924Y127263D01* +X188950Y127206D01* +X188984Y127153D01* +X189026Y127106D01* +X189076Y127066D01* +X189130Y127034D01* +X189189Y127012D01* +X189251Y126999D01* +X189253Y126999D01* +Y103686D01* +X189179Y103679D01* +X188969Y103638D01* +X188763Y103576D01* +X188706Y103550D01* +X188653Y103516D01* +X188606Y103474D01* +X188566Y103424D01* +X188534Y103370D01* +X188512Y103311D01* +X188499Y103249D01* +X188495Y103186D01* +X188502Y103123D01* +X188518Y103062D01* +X188544Y103004D01* +X188579Y102951D01* +X188621Y102904D01* +X188670Y102864D01* +X188725Y102833D01* +X188784Y102810D01* +X188846Y102797D01* +X188909Y102794D01* +X188972Y102800D01* +X189032Y102818D01* +X189162Y102859D01* +X189253Y102877D01* +Y100123D01* +X189162Y100141D01* +X189032Y100181D01* +X188971Y100198D01* +X188909Y100205D01* +X188846Y100201D01* +X188784Y100188D01* +X188726Y100166D01* +X188671Y100134D01* +X188622Y100095D01* +X188580Y100048D01* +X188546Y99995D01* +X188520Y99938D01* +X188504Y99877D01* +X188497Y99814D01* +X188500Y99751D01* +X188513Y99690D01* +X188536Y99631D01* +X188567Y99577D01* +X188607Y99528D01* +X188654Y99485D01* +X188706Y99451D01* +X188764Y99427D01* +X188969Y99362D01* +X189179Y99321D01* +X189253Y99314D01* +Y96085D01* +X188680Y96659D01* +X188700Y97000D01* +X188680Y97345D01* +X188599Y97682D01* +X188466Y98002D01* +X188285Y98297D01* +X188060Y98560D01* +X187797Y98785D01* +X187753Y98812D01* +Y100499D01* +X187814Y100495D01* +X187877Y100502D01* +X187938Y100518D01* +X187996Y100544D01* +X188049Y100579D01* +X188096Y100621D01* +X188136Y100670D01* +X188167Y100725D01* +X188190Y100784D01* +X188203Y100846D01* +X188206Y100909D01* +X188200Y100972D01* +X188182Y101032D01* +X188141Y101162D01* +X188115Y101296D01* +X188102Y101432D01* +Y101568D01* +X188115Y101704D01* +X188141Y101838D01* +X188181Y101968D01* +X188198Y102029D01* +X188205Y102091D01* +X188201Y102154D01* +X188188Y102216D01* +X188166Y102274D01* +X188134Y102329D01* +X188095Y102378D01* +X188048Y102420D01* +X187995Y102454D01* +X187938Y102480D01* +X187877Y102496D01* +X187814Y102503D01* +X187753Y102500D01* +Y145658D01* +G37* +G36* +Y150067D02*X188349Y150073D01* +X188547Y150120D01* +X188746Y150073D01* +X188981Y150059D01* +X189253Y150062D01* +Y149187D01* +X189155Y149180D01* +X188818Y149099D01* +X188498Y148966D01* +X188203Y148785D01* +X187940Y148560D01* +X187753Y148342D01* +Y150067D01* +G37* +G36* +X169747Y146658D02*X169785Y146703D01* +X169966Y146998D01* +X170099Y147318D01* +X170180Y147655D01* +X170200Y148000D01* +X170180Y148345D01* +X170099Y148682D01* +X169966Y149002D01* +X169785Y149297D01* +X169747Y149342D01* +Y150064D01* +X170633Y150073D01* +X170831Y150120D01* +X171029Y150073D01* +X171264Y150059D01* +X172601Y150073D01* +X172799Y150120D01* +X172998Y150073D01* +X173233Y150059D01* +X174570Y150073D01* +X174768Y150120D01* +X174966Y150073D01* +X175201Y150059D01* +X176538Y150073D01* +X176736Y150120D01* +X176935Y150073D01* +X177170Y150059D01* +X178507Y150073D01* +X178705Y150120D01* +X178903Y150073D01* +X179138Y150059D01* +X180475Y150073D01* +X180673Y150120D01* +X180872Y150073D01* +X181107Y150059D01* +X182444Y150073D01* +X182642Y150120D01* +X182840Y150073D01* +X183075Y150059D01* +X184412Y150073D01* +X184610Y150120D01* +X184809Y150073D01* +X185044Y150059D01* +X186381Y150073D01* +X186579Y150120D01* +X186777Y150073D01* +X187012Y150059D01* +X187753Y150067D01* +Y148342D01* +X187715Y148297D01* +X187534Y148002D01* +X187401Y147682D01* +X187320Y147345D01* +X187293Y147000D01* +X187320Y146655D01* +X187401Y146318D01* +X187534Y145998D01* +X187715Y145703D01* +X187753Y145658D01* +Y102500D01* +X187751Y102500D01* +X187690Y102487D01* +X187631Y102464D01* +X187577Y102433D01* +X187528Y102393D01* +X187485Y102346D01* +X187451Y102294D01* +X187427Y102236D01* +X187362Y102031D01* +X187321Y101821D01* +X187300Y101607D01* +Y101393D01* +X187321Y101179D01* +X187362Y100969D01* +X187424Y100763D01* +X187450Y100706D01* +X187484Y100653D01* +X187526Y100606D01* +X187576Y100566D01* +X187630Y100534D01* +X187689Y100512D01* +X187751Y100499D01* +X187753Y100499D01* +Y98812D01* +X187502Y98966D01* +X187182Y99099D01* +X186845Y99180D01* +X186500Y99207D01* +X186155Y99180D01* +X185818Y99099D01* +X185498Y98966D01* +X185203Y98785D01* +X184940Y98560D01* +X184715Y98297D01* +X184534Y98002D01* +X184401Y97682D01* +X184320Y97345D01* +X184293Y97000D01* +X184320Y96655D01* +X184401Y96318D01* +X184534Y95998D01* +X184715Y95703D01* +X184940Y95440D01* +X185203Y95215D01* +X185498Y95034D01* +X185818Y94901D01* +X186155Y94820D01* +X186500Y94793D01* +X186841Y94820D01* +X187725Y93937D01* +X186777Y93927D01* +X186578Y93880D01* +X186380Y93927D01* +X186145Y93941D01* +X184808Y93927D01* +X184610Y93880D01* +X184412Y93927D01* +X184177Y93941D01* +X182840Y93927D01* +X182642Y93880D01* +X182443Y93927D01* +X182208Y93941D01* +X180871Y93927D01* +X180673Y93880D01* +X180475Y93927D01* +X180240Y93941D01* +X178903Y93927D01* +X178704Y93880D01* +X178506Y93927D01* +X178271Y93941D01* +X176934Y93927D01* +X176736Y93880D01* +X176538Y93927D01* +X176303Y93941D01* +X174966Y93927D01* +X174768Y93880D01* +X174569Y93927D01* +X174334Y93941D01* +X172997Y93927D01* +X172799Y93880D01* +X172601Y93927D01* +X172366Y93941D01* +X171029Y93927D01* +X170830Y93880D01* +X170632Y93927D01* +X170397Y93941D01* +X169747Y93934D01* +Y94908D01* +X169992Y95154D01* +X170123Y95122D01* +X170500Y95093D01* +X170877Y95122D01* +X171244Y95210D01* +X171593Y95355D01* +X171915Y95552D01* +X172202Y95798D01* +X172448Y96085D01* +X172645Y96407D01* +X172790Y96756D01* +X172878Y97123D01* +X172900Y97500D01* +X172878Y97877D01* +X172790Y98244D01* +X172645Y98593D01* +X172448Y98915D01* +X172202Y99202D01* +X171915Y99448D01* +X171593Y99645D01* +X171244Y99790D01* +X170877Y99878D01* +X170500Y99907D01* +X170123Y99878D01* +X169756Y99790D01* +X169747Y99786D01* +Y121000D01* +X169749Y121000D01* +X169810Y121013D01* +X169869Y121036D01* +X169923Y121067D01* +X169972Y121107D01* +X170015Y121154D01* +X170049Y121206D01* +X170073Y121264D01* +X170138Y121469D01* +X170179Y121679D01* +X170200Y121893D01* +Y122107D01* +X170179Y122321D01* +X170138Y122531D01* +X170076Y122737D01* +X170050Y122794D01* +X170016Y122847D01* +X169974Y122894D01* +X169924Y122934D01* +X169870Y122966D01* +X169811Y122988D01* +X169749Y123001D01* +X169747Y123001D01* +Y127000D01* +X169749Y127000D01* +X169810Y127013D01* +X169869Y127036D01* +X169923Y127067D01* +X169972Y127107D01* +X170015Y127154D01* +X170049Y127206D01* +X170073Y127264D01* +X170138Y127469D01* +X170179Y127679D01* +X170200Y127893D01* +Y128107D01* +X170179Y128321D01* +X170138Y128531D01* +X170076Y128737D01* +X170050Y128794D01* +X170016Y128847D01* +X169974Y128894D01* +X169924Y128934D01* +X169870Y128966D01* +X169811Y128988D01* +X169749Y129001D01* +X169747Y129001D01* +Y146658D01* +G37* +G36* +Y93934D02*X169239Y93929D01* +Y94401D01* +X169747Y94908D01* +Y93934D01* +G37* +G36* +Y149342D02*X169560Y149560D01* +X169297Y149785D01* +X169178Y149858D01* +Y150066D01* +X169296Y150059D01* +X169747Y150064D01* +Y149342D01* +G37* +G36* +X168001Y145793D02*X168345Y145820D01* +X168682Y145901D01* +X169002Y146034D01* +X169297Y146215D01* +X169560Y146440D01* +X169747Y146658D01* +Y129001D01* +X169686Y129005D01* +X169623Y128998D01* +X169562Y128982D01* +X169504Y128956D01* +X169451Y128921D01* +X169404Y128879D01* +X169364Y128830D01* +X169333Y128775D01* +X169310Y128716D01* +X169297Y128654D01* +X169294Y128591D01* +X169300Y128528D01* +X169318Y128468D01* +X169359Y128338D01* +X169385Y128204D01* +X169398Y128068D01* +Y127932D01* +X169385Y127796D01* +X169359Y127662D01* +X169319Y127532D01* +X169302Y127471D01* +X169295Y127409D01* +X169299Y127346D01* +X169312Y127284D01* +X169334Y127226D01* +X169366Y127171D01* +X169405Y127122D01* +X169452Y127080D01* +X169505Y127046D01* +X169562Y127020D01* +X169623Y127004D01* +X169686Y126997D01* +X169747Y127000D01* +Y123001D01* +X169686Y123005D01* +X169623Y122998D01* +X169562Y122982D01* +X169504Y122956D01* +X169451Y122921D01* +X169404Y122879D01* +X169364Y122830D01* +X169333Y122775D01* +X169310Y122716D01* +X169297Y122654D01* +X169294Y122591D01* +X169300Y122528D01* +X169318Y122468D01* +X169359Y122338D01* +X169385Y122204D01* +X169398Y122068D01* +Y121932D01* +X169385Y121796D01* +X169359Y121662D01* +X169319Y121532D01* +X169302Y121471D01* +X169295Y121409D01* +X169299Y121346D01* +X169312Y121284D01* +X169334Y121226D01* +X169366Y121171D01* +X169405Y121122D01* +X169452Y121080D01* +X169505Y121046D01* +X169562Y121020D01* +X169623Y121004D01* +X169686Y120997D01* +X169747Y121000D01* +Y99786D01* +X169407Y99645D01* +X169085Y99448D01* +X168798Y99202D01* +X168552Y98915D01* +X168355Y98593D01* +X168210Y98244D01* +X168122Y97877D01* +X168093Y97500D01* +X168122Y97123D01* +X168154Y96992D01* +X168001Y96839D01* +Y119800D01* +X168107D01* +X168321Y119821D01* +X168531Y119862D01* +X168737Y119924D01* +X168794Y119950D01* +X168847Y119984D01* +X168894Y120026D01* +X168934Y120076D01* +X168966Y120130D01* +X168988Y120189D01* +X169001Y120251D01* +X169005Y120314D01* +X168998Y120377D01* +X168982Y120438D01* +X168956Y120496D01* +X168921Y120549D01* +X168879Y120596D01* +X168830Y120636D01* +X168775Y120667D01* +X168716Y120690D01* +X168654Y120703D01* +X168591Y120706D01* +X168528Y120700D01* +X168468Y120682D01* +X168338Y120641D01* +X168204Y120615D01* +X168068Y120602D01* +X168001D01* +Y123398D01* +X168068D01* +X168204Y123385D01* +X168338Y123359D01* +X168468Y123319D01* +X168529Y123302D01* +X168591Y123295D01* +X168654Y123299D01* +X168716Y123312D01* +X168774Y123334D01* +X168829Y123366D01* +X168878Y123405D01* +X168920Y123452D01* +X168954Y123505D01* +X168980Y123562D01* +X168996Y123623D01* +X169003Y123686D01* +X169000Y123749D01* +X168987Y123810D01* +X168964Y123869D01* +X168933Y123923D01* +X168893Y123972D01* +X168846Y124015D01* +X168794Y124049D01* +X168736Y124073D01* +X168531Y124138D01* +X168321Y124179D01* +X168107Y124200D01* +X168001D01* +Y125800D01* +X168107D01* +X168321Y125821D01* +X168531Y125862D01* +X168737Y125924D01* +X168794Y125950D01* +X168847Y125984D01* +X168894Y126026D01* +X168934Y126076D01* +X168966Y126130D01* +X168988Y126189D01* +X169001Y126251D01* +X169005Y126314D01* +X168998Y126377D01* +X168982Y126438D01* +X168956Y126496D01* +X168921Y126549D01* +X168879Y126596D01* +X168830Y126636D01* +X168775Y126667D01* +X168716Y126690D01* +X168654Y126703D01* +X168591Y126706D01* +X168528Y126700D01* +X168468Y126682D01* +X168338Y126641D01* +X168204Y126615D01* +X168068Y126602D01* +X168001D01* +Y129398D01* +X168068D01* +X168204Y129385D01* +X168338Y129359D01* +X168468Y129319D01* +X168529Y129302D01* +X168591Y129295D01* +X168654Y129299D01* +X168716Y129312D01* +X168774Y129334D01* +X168829Y129366D01* +X168878Y129405D01* +X168920Y129452D01* +X168954Y129505D01* +X168980Y129562D01* +X168996Y129623D01* +X169003Y129686D01* +X169000Y129749D01* +X168987Y129810D01* +X168964Y129869D01* +X168933Y129923D01* +X168893Y129972D01* +X168846Y130015D01* +X168794Y130049D01* +X168736Y130073D01* +X168531Y130138D01* +X168321Y130179D01* +X168107Y130200D01* +X168001D01* +Y145793D01* +G37* +G36* +X166253Y150507D02*X166263Y150495D01* +X166443Y150342D01* +X166578Y150259D01* +Y149679D01* +X166440Y149560D01* +X166253Y149342D01* +Y150507D01* +G37* +G36* +Y97415D02*X166383Y97545D01* +X166422Y97578D01* +X166555Y97733D01* +X166555Y97734D01* +X166662Y97908D01* +X166740Y98097D01* +X166788Y98296D01* +X166804Y98500D01* +X166800Y98551D01* +Y116949D01* +X166804Y117000D01* +X166788Y117204D01* +X166788Y117204D01* +X166740Y117403D01* +X166662Y117592D01* +X166555Y117766D01* +X166422Y117922D01* +X166383Y117955D01* +X166253Y118085D01* +Y120999D01* +X166314Y120995D01* +X166377Y121002D01* +X166438Y121018D01* +X166496Y121044D01* +X166549Y121079D01* +X166596Y121121D01* +X166636Y121170D01* +X166667Y121225D01* +X166690Y121284D01* +X166703Y121346D01* +X166706Y121409D01* +X166700Y121472D01* +X166682Y121532D01* +X166641Y121662D01* +X166615Y121796D01* +X166602Y121932D01* +Y122068D01* +X166615Y122204D01* +X166641Y122338D01* +X166681Y122468D01* +X166698Y122529D01* +X166705Y122591D01* +X166701Y122654D01* +X166688Y122716D01* +X166666Y122774D01* +X166634Y122829D01* +X166595Y122878D01* +X166548Y122920D01* +X166495Y122954D01* +X166438Y122980D01* +X166377Y122996D01* +X166314Y123003D01* +X166253Y123000D01* +Y126999D01* +X166314Y126995D01* +X166377Y127002D01* +X166438Y127018D01* +X166496Y127044D01* +X166549Y127079D01* +X166596Y127121D01* +X166636Y127170D01* +X166667Y127225D01* +X166690Y127284D01* +X166703Y127346D01* +X166706Y127409D01* +X166700Y127472D01* +X166682Y127532D01* +X166641Y127662D01* +X166615Y127796D01* +X166602Y127932D01* +Y128068D01* +X166615Y128204D01* +X166641Y128338D01* +X166681Y128468D01* +X166698Y128529D01* +X166705Y128591D01* +X166701Y128654D01* +X166688Y128716D01* +X166666Y128774D01* +X166634Y128829D01* +X166595Y128878D01* +X166548Y128920D01* +X166495Y128954D01* +X166438Y128980D01* +X166377Y128996D01* +X166314Y129003D01* +X166253Y129000D01* +Y146658D01* +X166440Y146440D01* +X166703Y146215D01* +X166998Y146034D01* +X167318Y145901D01* +X167655Y145820D01* +X168000Y145793D01* +X168001Y145793D01* +Y130200D01* +X167893D01* +X167679Y130179D01* +X167469Y130138D01* +X167263Y130076D01* +X167206Y130050D01* +X167153Y130016D01* +X167106Y129974D01* +X167066Y129924D01* +X167034Y129870D01* +X167012Y129811D01* +X166999Y129749D01* +X166995Y129686D01* +X167002Y129623D01* +X167018Y129562D01* +X167044Y129504D01* +X167079Y129451D01* +X167121Y129404D01* +X167170Y129364D01* +X167225Y129333D01* +X167284Y129310D01* +X167346Y129297D01* +X167409Y129294D01* +X167472Y129300D01* +X167532Y129318D01* +X167662Y129359D01* +X167796Y129385D01* +X167932Y129398D01* +X168001D01* +Y126602D01* +X167932D01* +X167796Y126615D01* +X167662Y126641D01* +X167532Y126681D01* +X167471Y126698D01* +X167409Y126705D01* +X167346Y126701D01* +X167284Y126688D01* +X167226Y126666D01* +X167171Y126634D01* +X167122Y126595D01* +X167080Y126548D01* +X167046Y126495D01* +X167020Y126438D01* +X167004Y126377D01* +X166997Y126314D01* +X167000Y126251D01* +X167013Y126190D01* +X167036Y126131D01* +X167067Y126077D01* +X167107Y126028D01* +X167154Y125985D01* +X167206Y125951D01* +X167264Y125927D01* +X167469Y125862D01* +X167679Y125821D01* +X167893Y125800D01* +X168001D01* +Y124200D01* +X167893D01* +X167679Y124179D01* +X167469Y124138D01* +X167263Y124076D01* +X167206Y124050D01* +X167153Y124016D01* +X167106Y123974D01* +X167066Y123924D01* +X167034Y123870D01* +X167012Y123811D01* +X166999Y123749D01* +X166995Y123686D01* +X167002Y123623D01* +X167018Y123562D01* +X167044Y123504D01* +X167079Y123451D01* +X167121Y123404D01* +X167170Y123364D01* +X167225Y123333D01* +X167284Y123310D01* +X167346Y123297D01* +X167409Y123294D01* +X167472Y123300D01* +X167532Y123318D01* +X167662Y123359D01* +X167796Y123385D01* +X167932Y123398D01* +X168001D01* +Y120602D01* +X167932D01* +X167796Y120615D01* +X167662Y120641D01* +X167532Y120681D01* +X167471Y120698D01* +X167409Y120705D01* +X167346Y120701D01* +X167284Y120688D01* +X167226Y120666D01* +X167171Y120634D01* +X167122Y120595D01* +X167080Y120548D01* +X167046Y120495D01* +X167020Y120438D01* +X167004Y120377D01* +X166997Y120314D01* +X167000Y120251D01* +X167013Y120190D01* +X167036Y120131D01* +X167067Y120077D01* +X167107Y120028D01* +X167154Y119985D01* +X167206Y119951D01* +X167264Y119927D01* +X167469Y119862D01* +X167679Y119821D01* +X167893Y119800D01* +X168001D01* +Y96839D01* +X167056Y95894D01* +X167017Y95861D01* +X166884Y95705D01* +X166777Y95531D01* +X166699Y95342D01* +X166651Y95143D01* +X166651Y95143D01* +X166635Y94939D01* +X166639Y94888D01* +Y93779D01* +X166443Y93658D01* +X166263Y93505D01* +X166253Y93493D01* +Y97415D01* +G37* +G36* +Y118085D02*X165392Y118946D01* +X165359Y118985D01* +X165203Y119118D01* +X165029Y119225D01* +X164840Y119303D01* +X164641Y119351D01* +X164641Y119351D01* +X164437Y119367D01* +X164386Y119363D01* +X163434D01* +X163441Y119481D01* +X163427Y120818D01* +X163380Y121016D01* +X163427Y121214D01* +X163441Y121449D01* +X163427Y122786D01* +X163380Y122984D01* +X163427Y123183D01* +X163441Y123418D01* +X163427Y124755D01* +X163380Y124953D01* +X163427Y125151D01* +X163441Y125386D01* +X163427Y126723D01* +X163380Y126921D01* +X163427Y127120D01* +X163441Y127355D01* +X163427Y128692D01* +X163380Y128890D01* +X163427Y129088D01* +X163441Y129323D01* +X163427Y130660D01* +X163380Y130858D01* +X163427Y131057D01* +X163441Y131292D01* +X163427Y132629D01* +X163380Y132827D01* +X163427Y133025D01* +X163441Y133260D01* +X163427Y134597D01* +X163380Y134796D01* +X163427Y134994D01* +X163441Y135229D01* +X163427Y136566D01* +X163380Y136764D01* +X163427Y136962D01* +X163441Y137197D01* +X163427Y138534D01* +X163380Y138732D01* +X163427Y138931D01* +X163441Y139166D01* +X163427Y140503D01* +X163380Y140701D01* +X163427Y140899D01* +X163441Y141134D01* +X163427Y142471D01* +X163380Y142670D01* +X163427Y142868D01* +X163441Y143103D01* +X163427Y144440D01* +X163380Y144638D01* +X163427Y144836D01* +X163441Y145071D01* +X163427Y146408D01* +X163372Y146638D01* +X163282Y146856D01* +X163158Y147057D01* +X163005Y147237D01* +X162825Y147390D01* +X162624Y147514D01* +X162406Y147604D01* +X162176Y147659D01* +X161941Y147673D01* +X161000Y147671D01* +Y150918D01* +X162079Y150921D01* +X162170Y150943D01* +X162258Y150979D01* +X162338Y151029D01* +X162410Y151090D01* +X162471Y151162D01* +X162521Y151242D01* +X162557Y151330D01* +X162579Y151421D01* +X162584Y151516D01* +X162579Y153579D01* +X162557Y153670D01* +X162521Y153758D01* +X162471Y153838D01* +X162410Y153910D01* +X162338Y153971D01* +X162258Y154021D01* +X162170Y154057D01* +X162079Y154079D01* +X161984Y154084D01* +X161000Y154082D01* +Y154855D01* +X162079Y154858D01* +X162170Y154880D01* +X162258Y154916D01* +X162338Y154966D01* +X162410Y155027D01* +X162471Y155099D01* +X162495Y155137D01* +X165403D01* +X165833Y154706D01* +X165841Y151324D01* +X165896Y151094D01* +X165986Y150876D01* +X166110Y150675D01* +X166253Y150507D01* +Y149342D01* +X166215Y149297D01* +X166034Y149002D01* +X165901Y148682D01* +X165820Y148345D01* +X165793Y148000D01* +X165820Y147655D01* +X165901Y147318D01* +X166034Y146998D01* +X166215Y146703D01* +X166253Y146658D01* +Y129000D01* +X166251Y129000D01* +X166190Y128987D01* +X166131Y128964D01* +X166077Y128933D01* +X166028Y128893D01* +X165985Y128846D01* +X165951Y128794D01* +X165927Y128736D01* +X165862Y128531D01* +X165821Y128321D01* +X165800Y128107D01* +Y127893D01* +X165821Y127679D01* +X165862Y127469D01* +X165924Y127263D01* +X165950Y127206D01* +X165984Y127153D01* +X166026Y127106D01* +X166076Y127066D01* +X166130Y127034D01* +X166189Y127012D01* +X166251Y126999D01* +X166253Y126999D01* +Y123000D01* +X166251Y123000D01* +X166190Y122987D01* +X166131Y122964D01* +X166077Y122933D01* +X166028Y122893D01* +X165985Y122846D01* +X165951Y122794D01* +X165927Y122736D01* +X165862Y122531D01* +X165821Y122321D01* +X165800Y122107D01* +Y121893D01* +X165821Y121679D01* +X165862Y121469D01* +X165924Y121263D01* +X165950Y121206D01* +X165984Y121153D01* +X166026Y121106D01* +X166076Y121066D01* +X166130Y121034D01* +X166189Y121012D01* +X166251Y120999D01* +X166253Y120999D01* +Y118085D01* +G37* +G36* +X161000Y94200D02*X162449D01* +X162500Y94196D01* +X162704Y94212D01* +X162704Y94212D01* +X162903Y94260D01* +X163092Y94338D01* +X163266Y94445D01* +X163422Y94578D01* +X163455Y94617D01* +X166253Y97415D01* +Y93493D01* +X166110Y93325D01* +X165986Y93124D01* +X165896Y92906D01* +X165841Y92676D01* +X165827Y92441D01* +X165834Y89294D01* +X165340Y88800D01* +X162495D01* +X162471Y88838D01* +X162410Y88910D01* +X162338Y88971D01* +X162258Y89021D01* +X162170Y89057D01* +X162079Y89079D01* +X161984Y89084D01* +X161000Y89082D01* +Y89855D01* +X162079Y89858D01* +X162170Y89880D01* +X162258Y89916D01* +X162338Y89966D01* +X162410Y90027D01* +X162471Y90099D01* +X162521Y90179D01* +X162557Y90267D01* +X162579Y90358D01* +X162584Y90452D01* +X162579Y92516D01* +X162557Y92607D01* +X162521Y92695D01* +X162471Y92775D01* +X162410Y92847D01* +X162338Y92908D01* +X162258Y92958D01* +X162170Y92994D01* +X162079Y93016D01* +X161984Y93022D01* +X161000Y93019D01* +Y94200D01* +G37* +G36* +Y85918D02*X162079Y85921D01* +X162170Y85943D01* +X162258Y85979D01* +X162338Y86029D01* +X162410Y86090D01* +X162471Y86162D01* +X162495Y86200D01* +X165827D01* +X165843Y86199D01* +X165896Y85976D01* +X165986Y85758D01* +X166110Y85557D01* +X166263Y85377D01* +X166443Y85224D01* +X166644Y85100D01* +X166862Y85010D01* +X167092Y84955D01* +X167327Y84941D01* +X168664Y84955D01* +X168862Y85002D01* +X169060Y84955D01* +X169295Y84941D01* +X170632Y84955D01* +X170830Y85002D01* +X171029Y84955D01* +X171264Y84941D01* +X172601Y84955D01* +X172799Y85002D01* +X172997Y84955D01* +X173232Y84941D01* +X174569Y84955D01* +X174767Y85002D01* +X174966Y84955D01* +X175201Y84941D01* +X176538Y84955D01* +X176736Y85002D01* +X176934Y84955D01* +X177169Y84941D01* +X178506Y84955D01* +X178704Y85002D01* +X178903Y84955D01* +X179138Y84941D01* +X180475Y84955D01* +X180673Y85002D01* +X180871Y84955D01* +X181106Y84941D01* +X182443Y84955D01* +X182641Y85002D01* +X182840Y84955D01* +X183075Y84941D01* +X184294Y84954D01* +Y84000D01* +X161000D01* +Y85918D01* +G37* +G36* +Y147671D02*X155706Y147659D01* +X155476Y147604D01* +X155258Y147514D01* +X155057Y147390D01* +X154877Y147237D01* +X154724Y147057D01* +X154641Y146922D01* +X137500D01* +Y150067D01* +X137715Y150119D01* +X137933Y150209D01* +X138134Y150333D01* +X138314Y150486D01* +X138467Y150666D01* +X138591Y150867D01* +X138681Y151085D01* +X138736Y151315D01* +X138750Y151550D01* +X138736Y157685D01* +X138681Y157915D01* +X138591Y158133D01* +X138550Y158200D01* +X139450D01* +X139409Y158133D01* +X139319Y157915D01* +X139264Y157685D01* +X139250Y157450D01* +X139264Y151315D01* +X139319Y151085D01* +X139409Y150867D01* +X139533Y150666D01* +X139686Y150486D01* +X139866Y150333D01* +X140067Y150209D01* +X140285Y150119D01* +X140515Y150064D01* +X140750Y150050D01* +X145485Y150064D01* +X145715Y150119D01* +X145933Y150209D01* +X146134Y150333D01* +X146314Y150486D01* +X146467Y150666D01* +X146591Y150867D01* +X146681Y151085D01* +X146736Y151315D01* +X146750Y151550D01* +X146748Y152250D01* +X152412D01* +X152500Y152243D01* +X152853Y152271D01* +X152853Y152271D01* +X153197Y152354D01* +X153525Y152489D01* +X153827Y152674D01* +X154096Y152904D01* +X154153Y152971D01* +X155591Y154409D01* +X155826Y154673D01* +X156011Y154975D01* +X156146Y155303D01* +X156229Y155647D01* +X156257Y156000D01* +X156229Y156353D01* +X156146Y156697D01* +X156011Y157025D01* +X155826Y157327D01* +X155596Y157596D01* +X155327Y157826D01* +X155025Y158011D01* +X154697Y158146D01* +X154474Y158200D01* +X161000D01* +Y158019D01* +X159921Y158016D01* +X159830Y157994D01* +X159742Y157958D01* +X159662Y157908D01* +X159590Y157847D01* +X159529Y157775D01* +X159479Y157695D01* +X159443Y157607D01* +X159421Y157516D01* +X159416Y157422D01* +X159421Y155358D01* +X159443Y155267D01* +X159479Y155179D01* +X159529Y155099D01* +X159590Y155027D01* +X159662Y154966D01* +X159742Y154916D01* +X159830Y154880D01* +X159921Y154858D01* +X160016Y154852D01* +X161000Y154855D01* +Y154082D01* +X159921Y154079D01* +X159830Y154057D01* +X159742Y154021D01* +X159662Y153971D01* +X159590Y153910D01* +X159529Y153838D01* +X159479Y153758D01* +X159443Y153670D01* +X159421Y153579D01* +X159416Y153484D01* +X159421Y151421D01* +X159443Y151330D01* +X159479Y151242D01* +X159529Y151162D01* +X159590Y151090D01* +X159662Y151029D01* +X159742Y150979D01* +X159830Y150943D01* +X159921Y150921D01* +X160016Y150916D01* +X161000Y150918D01* +Y147671D01* +G37* +G36* +X160016Y85916D02*X161000Y85918D01* +Y84000D01* +X137500D01* +Y84700D01* +X138949D01* +X139000Y84696D01* +X139204Y84712D01* +X139204Y84712D01* +X139403Y84760D01* +X139592Y84838D01* +X139766Y84945D01* +X139922Y85078D01* +X139955Y85117D01* +X149038Y94200D01* +X161000D01* +Y93019D01* +X159921Y93016D01* +X159830Y92994D01* +X159742Y92958D01* +X159662Y92908D01* +X159590Y92847D01* +X159529Y92775D01* +X159479Y92695D01* +X159443Y92607D01* +X159421Y92516D01* +X159416Y92422D01* +X159421Y90358D01* +X159443Y90267D01* +X159479Y90179D01* +X159529Y90099D01* +X159590Y90027D01* +X159662Y89966D01* +X159742Y89916D01* +X159830Y89880D01* +X159921Y89858D01* +X160016Y89852D01* +X161000Y89855D01* +Y89082D01* +X159921Y89079D01* +X159830Y89057D01* +X159742Y89021D01* +X159662Y88971D01* +X159590Y88910D01* +X159529Y88838D01* +X159479Y88758D01* +X159443Y88670D01* +X159421Y88579D01* +X159416Y88484D01* +X159421Y86421D01* +X159443Y86330D01* +X159479Y86242D01* +X159529Y86162D01* +X159590Y86090D01* +X159662Y86029D01* +X159742Y85979D01* +X159830Y85943D01* +X159921Y85921D01* +X160016Y85916D01* +G37* +G36* +X242247Y130542D02*X246158D01* +X246158Y130542D01* +X246291D01* +X246342Y130538D01* +X246546Y130554D01* +X246546Y130554D01* +X246745Y130602D01* +X246934Y130680D01* +X247108Y130787D01* +X247264Y130920D01* +X247297Y130959D01* +X247338Y131000D01* +X250500D01* +X242247Y123596D01* +Y124500D01* +X242249Y124500D01* +X242310Y124513D01* +X242369Y124536D01* +X242423Y124567D01* +X242472Y124607D01* +X242515Y124654D01* +X242549Y124706D01* +X242573Y124764D01* +X242638Y124969D01* +X242679Y125179D01* +X242700Y125393D01* +Y125607D01* +X242679Y125821D01* +X242638Y126031D01* +X242576Y126237D01* +X242550Y126294D01* +X242516Y126347D01* +X242474Y126394D01* +X242424Y126434D01* +X242370Y126466D01* +X242311Y126488D01* +X242249Y126501D01* +X242247Y126501D01* +Y130542D01* +G37* +G36* +X240501D02*X242247D01* +Y126501D01* +X242186Y126505D01* +X242123Y126498D01* +X242062Y126482D01* +X242004Y126456D01* +X241951Y126421D01* +X241904Y126379D01* +X241864Y126330D01* +X241833Y126275D01* +X241810Y126216D01* +X241797Y126154D01* +X241794Y126091D01* +X241800Y126028D01* +X241818Y125968D01* +X241859Y125838D01* +X241885Y125704D01* +X241898Y125568D01* +Y125432D01* +X241885Y125296D01* +X241859Y125162D01* +X241819Y125032D01* +X241802Y124971D01* +X241795Y124909D01* +X241799Y124846D01* +X241812Y124784D01* +X241834Y124726D01* +X241866Y124671D01* +X241905Y124622D01* +X241952Y124580D01* +X242005Y124546D01* +X242062Y124520D01* +X242123Y124504D01* +X242186Y124497D01* +X242247Y124500D01* +Y123596D01* +X240501Y122030D01* +Y123300D01* +X240607D01* +X240821Y123321D01* +X241031Y123362D01* +X241237Y123424D01* +X241294Y123450D01* +X241347Y123484D01* +X241394Y123526D01* +X241434Y123576D01* +X241466Y123630D01* +X241488Y123689D01* +X241501Y123751D01* +X241505Y123814D01* +X241498Y123877D01* +X241482Y123938D01* +X241456Y123996D01* +X241421Y124049D01* +X241379Y124096D01* +X241330Y124136D01* +X241275Y124167D01* +X241216Y124190D01* +X241154Y124203D01* +X241091Y124206D01* +X241028Y124200D01* +X240968Y124182D01* +X240838Y124141D01* +X240704Y124115D01* +X240568Y124102D01* +X240501D01* +Y126898D01* +X240568D01* +X240704Y126885D01* +X240838Y126859D01* +X240968Y126819D01* +X241029Y126802D01* +X241091Y126795D01* +X241154Y126799D01* +X241216Y126812D01* +X241274Y126834D01* +X241329Y126866D01* +X241378Y126905D01* +X241420Y126952D01* +X241454Y127005D01* +X241480Y127062D01* +X241496Y127123D01* +X241503Y127186D01* +X241500Y127249D01* +X241487Y127310D01* +X241464Y127369D01* +X241433Y127423D01* +X241393Y127472D01* +X241346Y127515D01* +X241294Y127549D01* +X241236Y127573D01* +X241031Y127638D01* +X240821Y127679D01* +X240607Y127700D01* +X240501D01* +Y130542D01* +G37* +G36* +X238753D02*X240501D01* +Y127700D01* +X240393D01* +X240179Y127679D01* +X239969Y127638D01* +X239763Y127576D01* +X239706Y127550D01* +X239653Y127516D01* +X239606Y127474D01* +X239566Y127424D01* +X239534Y127370D01* +X239512Y127311D01* +X239499Y127249D01* +X239495Y127186D01* +X239502Y127123D01* +X239518Y127062D01* +X239544Y127004D01* +X239579Y126951D01* +X239621Y126904D01* +X239670Y126864D01* +X239725Y126833D01* +X239784Y126810D01* +X239846Y126797D01* +X239909Y126794D01* +X239972Y126800D01* +X240032Y126818D01* +X240162Y126859D01* +X240296Y126885D01* +X240432Y126898D01* +X240501D01* +Y124102D01* +X240432D01* +X240296Y124115D01* +X240162Y124141D01* +X240032Y124181D01* +X239971Y124198D01* +X239909Y124205D01* +X239846Y124201D01* +X239784Y124188D01* +X239726Y124166D01* +X239671Y124134D01* +X239622Y124095D01* +X239580Y124048D01* +X239546Y123995D01* +X239520Y123938D01* +X239504Y123877D01* +X239497Y123814D01* +X239500Y123751D01* +X239513Y123690D01* +X239536Y123631D01* +X239567Y123577D01* +X239607Y123528D01* +X239654Y123485D01* +X239706Y123451D01* +X239764Y123427D01* +X239969Y123362D01* +X240179Y123321D01* +X240393Y123300D01* +X240501D01* +Y122030D01* +X238753Y120462D01* +Y124499D01* +X238814Y124495D01* +X238877Y124502D01* +X238938Y124518D01* +X238996Y124544D01* +X239049Y124579D01* +X239096Y124621D01* +X239136Y124670D01* +X239167Y124725D01* +X239190Y124784D01* +X239203Y124846D01* +X239206Y124909D01* +X239200Y124972D01* +X239182Y125032D01* +X239141Y125162D01* +X239115Y125296D01* +X239102Y125432D01* +Y125568D01* +X239115Y125704D01* +X239141Y125838D01* +X239181Y125968D01* +X239198Y126029D01* +X239205Y126091D01* +X239201Y126154D01* +X239188Y126216D01* +X239166Y126274D01* +X239134Y126329D01* +X239095Y126378D01* +X239048Y126420D01* +X238995Y126454D01* +X238938Y126480D01* +X238877Y126496D01* +X238814Y126503D01* +X238753Y126500D01* +Y130542D01* +G37* +G36* +X230500Y118918D02*X231579Y118921D01* +X231670Y118943D01* +X231758Y118979D01* +X231838Y119029D01* +X231910Y119090D01* +X231971Y119162D01* +X232021Y119242D01* +X232057Y119330D01* +X232079Y119421D01* +X232084Y119516D01* +X232079Y121579D01* +X232057Y121670D01* +X232021Y121758D01* +X231971Y121838D01* +X231910Y121910D01* +X231838Y121971D01* +X231758Y122021D01* +X231670Y122057D01* +X231579Y122079D01* +X231484Y122084D01* +X230500Y122082D01* +Y123918D01* +X231579Y123921D01* +X231670Y123943D01* +X231758Y123979D01* +X231838Y124029D01* +X231910Y124090D01* +X231971Y124162D01* +X232021Y124242D01* +X232057Y124330D01* +X232079Y124421D01* +X232084Y124516D01* +X232084Y124637D01* +X234492D01* +X234493Y124349D01* +X234515Y124258D01* +X234551Y124170D01* +X234601Y124090D01* +X234662Y124018D01* +X234734Y123957D01* +X234814Y123907D01* +X234902Y123871D01* +X234993Y123849D01* +X235088Y123844D01* +X237151Y123849D01* +X237242Y123871D01* +X237330Y123907D01* +X237410Y123957D01* +X237482Y124018D01* +X237543Y124090D01* +X237593Y124170D01* +X237629Y124258D01* +X237651Y124349D01* +X237656Y124444D01* +X237654Y125230D01* +X237725Y125345D01* +X237803Y125534D01* +X237851Y125733D01* +X237867Y125937D01* +X237851Y126141D01* +X237803Y126340D01* +X237725Y126529D01* +X237618Y126703D01* +X237485Y126859D01* +X237329Y126992D01* +X237155Y127099D01* +X236966Y127177D01* +X236767Y127225D01* +X236563Y127237D01* +X230500D01* +Y127855D01* +X231579Y127858D01* +X231670Y127880D01* +X231758Y127916D01* +X231838Y127966D01* +X231910Y128027D01* +X231971Y128099D01* +X232021Y128179D01* +X232057Y128267D01* +X232079Y128358D01* +X232084Y128453D01* +X232079Y130516D01* +X232073Y130542D01* +X234518D01* +X234515Y130535D01* +X234493Y130444D01* +X234488Y130349D01* +X234493Y128286D01* +X234515Y128195D01* +X234551Y128107D01* +X234601Y128027D01* +X234662Y127955D01* +X234734Y127894D01* +X234814Y127844D01* +X234902Y127808D01* +X234993Y127786D01* +X235088Y127780D01* +X237151Y127786D01* +X237242Y127808D01* +X237330Y127844D01* +X237410Y127894D01* +X237482Y127955D01* +X237543Y128027D01* +X237593Y128107D01* +X237629Y128195D01* +X237651Y128286D01* +X237656Y128380D01* +X237651Y130444D01* +X237629Y130535D01* +X237626Y130542D01* +X238753D01* +Y126500D01* +X238751Y126500D01* +X238690Y126487D01* +X238631Y126464D01* +X238577Y126433D01* +X238528Y126393D01* +X238485Y126346D01* +X238451Y126294D01* +X238427Y126236D01* +X238362Y126031D01* +X238321Y125821D01* +X238300Y125607D01* +Y125393D01* +X238321Y125179D01* +X238362Y124969D01* +X238424Y124763D01* +X238450Y124706D01* +X238484Y124653D01* +X238526Y124606D01* +X238576Y124566D01* +X238630Y124534D01* +X238689Y124512D01* +X238751Y124499D01* +X238753Y124499D01* +Y120462D01* +X230621Y113168D01* +X230500Y113144D01* +Y114981D01* +X231579Y114984D01* +X231670Y115006D01* +X231758Y115042D01* +X231838Y115092D01* +X231910Y115153D01* +X231971Y115225D01* +X232021Y115305D01* +X232057Y115393D01* +X232079Y115484D01* +X232084Y115579D01* +X232079Y117642D01* +X232057Y117733D01* +X232021Y117821D01* +X231971Y117901D01* +X231910Y117973D01* +X231838Y118034D01* +X231758Y118084D01* +X231670Y118120D01* +X231579Y118142D01* +X231484Y118147D01* +X230500Y118145D01* +Y118918D01* +G37* +G36* +Y127237D02*X230437D01* +X230250Y127226D01* +X230063Y127237D01* +X228552D01* +X228559Y127354D01* +X228545Y128691D01* +X228498Y128890D01* +X228545Y129088D01* +X228559Y129323D01* +X228546Y130542D01* +X228927D01* +X228921Y130516D01* +X228916Y130421D01* +X228921Y128358D01* +X228943Y128267D01* +X228979Y128179D01* +X229029Y128099D01* +X229090Y128027D01* +X229162Y127966D01* +X229242Y127916D01* +X229330Y127880D01* +X229421Y127858D01* +X229516Y127853D01* +X230500Y127855D01* +Y127237D01* +G37* +G36* +Y122082D02*X229421Y122079D01* +X229330Y122057D01* +X229296Y122043D01* +X228543Y122796D01* +X228498Y122984D01* +X228545Y123182D01* +X228559Y123417D01* +X228546Y124637D01* +X228920D01* +X228921Y124421D01* +X228943Y124330D01* +X228979Y124242D01* +X229029Y124162D01* +X229090Y124090D01* +X229162Y124029D01* +X229242Y123979D01* +X229330Y123943D01* +X229421Y123921D01* +X229516Y123916D01* +X230500Y123918D01* +Y122082D01* +G37* +G36* +X229516Y118916D02*X230500Y118918D01* +Y118145D01* +X229421Y118142D01* +X229330Y118120D01* +X229242Y118084D01* +X229162Y118034D01* +X229090Y117973D01* +X229029Y117901D01* +X228979Y117821D01* +X228943Y117733D01* +X228921Y117642D01* +X228916Y117547D01* +X228921Y115484D01* +X228943Y115393D01* +X228979Y115305D01* +X229029Y115225D01* +X229090Y115153D01* +X229162Y115092D01* +X229242Y115042D01* +X229330Y115006D01* +X229421Y114984D01* +X229516Y114979D01* +X230500Y114981D01* +Y113144D01* +X230469Y113138D01* +X230263Y113076D01* +X230206Y113050D01* +X230153Y113016D01* +X230106Y112974D01* +X230066Y112924D01* +X230034Y112870D01* +X230012Y112811D01* +X229999Y112749D01* +X229995Y112686D01* +X230002Y112623D01* +X230004Y112614D01* +X229322Y112002D01* +X229314Y112003D01* +X229251Y112000D01* +X229190Y111987D01* +X229131Y111964D01* +X229077Y111933D01* +X229028Y111893D01* +X228985Y111846D01* +X228951Y111794D01* +X228927Y111736D01* +X228888Y111613D01* +X228525Y111287D01* +X228545Y111371D01* +X228559Y111606D01* +X228545Y112943D01* +X228498Y113142D01* +X228545Y113340D01* +X228559Y113575D01* +X228545Y114912D01* +X228498Y115110D01* +X228545Y115308D01* +X228559Y115543D01* +X228545Y116880D01* +X228498Y117079D01* +X228545Y117277D01* +X228559Y117512D01* +X228545Y118849D01* +X228498Y119047D01* +X228545Y119243D01* +X228662Y119195D01* +X228861Y119147D01* +X228861Y119147D01* +X229054Y119132D01* +X229090Y119090D01* +X229162Y119029D01* +X229242Y118979D01* +X229330Y118943D01* +X229421Y118921D01* +X229516Y118916D01* +G37* +G36* +X223300Y151005D02*X223338Y151029D01* +X223410Y151090D01* +X223471Y151162D01* +X223521Y151242D01* +X223557Y151330D01* +X223579Y151421D01* +X223584Y151516D01* +X223579Y153579D01* +X223557Y153670D01* +X223521Y153758D01* +X223471Y153838D01* +X223410Y153910D01* +X223338Y153971D01* +X223258Y154021D01* +X223170Y154057D01* +X223079Y154079D01* +X222984Y154084D01* +X222000Y154082D01* +Y154855D01* +X223079Y154858D01* +X223170Y154880D01* +X223258Y154916D01* +X223338Y154966D01* +X223410Y155027D01* +X223471Y155099D01* +X223521Y155179D01* +X223557Y155267D01* +X223579Y155358D01* +X223584Y155452D01* +X223579Y157516D01* +X223557Y157607D01* +X223521Y157695D01* +X223471Y157775D01* +X223410Y157847D01* +X223338Y157908D01* +X223258Y157958D01* +X223170Y157994D01* +X223079Y158016D01* +X222984Y158022D01* +X222000Y158019D01* +Y162500D01* +X226000D01* +Y147671D01* +X223300Y147665D01* +Y151005D01* +G37* +G36* +X218247Y124637D02*X219566D01* +X219559Y124519D01* +X219573Y123182D01* +X219620Y122984D01* +X219573Y122786D01* +X219559Y122551D01* +X219573Y121214D01* +X219620Y121016D01* +X219573Y120817D01* +X219559Y120582D01* +X219573Y119245D01* +X219620Y119047D01* +X219573Y118849D01* +X219559Y118614D01* +X219573Y117277D01* +X219620Y117079D01* +X219573Y116880D01* +X219559Y116645D01* +X219573Y115308D01* +X219620Y115110D01* +X219573Y114912D01* +X219559Y114677D01* +X219573Y113340D01* +X219620Y113142D01* +X219573Y112943D01* +X219559Y112708D01* +X219573Y111371D01* +X219620Y111173D01* +X219573Y110975D01* +X219559Y110740D01* +X219567Y110000D01* +X218247D01* +Y117000D01* +X218249Y117000D01* +X218310Y117013D01* +X218369Y117036D01* +X218423Y117067D01* +X218472Y117107D01* +X218515Y117154D01* +X218549Y117206D01* +X218573Y117264D01* +X218638Y117469D01* +X218679Y117679D01* +X218700Y117893D01* +Y118107D01* +X218679Y118321D01* +X218638Y118531D01* +X218576Y118737D01* +X218550Y118794D01* +X218516Y118847D01* +X218474Y118894D01* +X218424Y118934D01* +X218370Y118966D01* +X218311Y118988D01* +X218249Y119001D01* +X218247Y119001D01* +Y124637D01* +G37* +G36* +X216501D02*X218247D01* +Y119001D01* +X218186Y119005D01* +X218123Y118998D01* +X218062Y118982D01* +X218004Y118956D01* +X217951Y118921D01* +X217904Y118879D01* +X217864Y118830D01* +X217833Y118775D01* +X217810Y118716D01* +X217797Y118654D01* +X217794Y118591D01* +X217800Y118528D01* +X217818Y118468D01* +X217859Y118338D01* +X217885Y118204D01* +X217898Y118068D01* +Y117932D01* +X217885Y117796D01* +X217859Y117662D01* +X217819Y117532D01* +X217802Y117471D01* +X217795Y117409D01* +X217799Y117346D01* +X217812Y117284D01* +X217834Y117226D01* +X217866Y117171D01* +X217905Y117122D01* +X217952Y117080D01* +X218005Y117046D01* +X218062Y117020D01* +X218123Y117004D01* +X218186Y116997D01* +X218247Y117000D01* +Y110000D01* +X216501D01* +Y115800D01* +X216607D01* +X216821Y115821D01* +X217031Y115862D01* +X217237Y115924D01* +X217294Y115950D01* +X217347Y115984D01* +X217394Y116026D01* +X217434Y116076D01* +X217466Y116130D01* +X217488Y116189D01* +X217501Y116251D01* +X217505Y116314D01* +X217498Y116377D01* +X217482Y116438D01* +X217456Y116496D01* +X217421Y116549D01* +X217379Y116596D01* +X217330Y116636D01* +X217275Y116667D01* +X217216Y116690D01* +X217154Y116703D01* +X217091Y116706D01* +X217028Y116700D01* +X216968Y116682D01* +X216838Y116641D01* +X216704Y116615D01* +X216568Y116602D01* +X216501D01* +Y119398D01* +X216568D01* +X216704Y119385D01* +X216838Y119359D01* +X216968Y119319D01* +X217029Y119302D01* +X217091Y119295D01* +X217154Y119299D01* +X217216Y119312D01* +X217274Y119334D01* +X217329Y119366D01* +X217378Y119405D01* +X217420Y119452D01* +X217454Y119505D01* +X217480Y119562D01* +X217496Y119623D01* +X217503Y119686D01* +X217500Y119749D01* +X217487Y119810D01* +X217464Y119869D01* +X217433Y119923D01* +X217393Y119972D01* +X217346Y120015D01* +X217294Y120049D01* +X217236Y120073D01* +X217031Y120138D01* +X216821Y120179D01* +X216607Y120200D01* +X216501D01* +Y124637D01* +G37* +G36* +X218247Y143428D02*X218502Y143534D01* +X218797Y143715D01* +X219060Y143940D01* +X219285Y144203D01* +X219358Y144322D01* +X219566D01* +X219559Y144204D01* +X219573Y142867D01* +X219620Y142669D01* +X219573Y142471D01* +X219559Y142236D01* +X219573Y140899D01* +X219620Y140701D01* +X219573Y140502D01* +X219559Y140267D01* +X219573Y138930D01* +X219620Y138732D01* +X219573Y138534D01* +X219559Y138299D01* +X219573Y136962D01* +X219620Y136764D01* +X219573Y136565D01* +X219559Y136330D01* +X219573Y134993D01* +X219620Y134795D01* +X219573Y134597D01* +X219559Y134362D01* +X219573Y133025D01* +X219620Y132827D01* +X219573Y132628D01* +X219559Y132393D01* +X219573Y131056D01* +X219620Y130858D01* +X219573Y130660D01* +X219559Y130425D01* +X219573Y129088D01* +X219620Y128890D01* +X219573Y128691D01* +X219559Y128456D01* +X219572Y127237D01* +X218247D01* +Y129000D01* +X218249Y129000D01* +X218310Y129013D01* +X218369Y129036D01* +X218423Y129067D01* +X218472Y129107D01* +X218515Y129154D01* +X218549Y129206D01* +X218573Y129264D01* +X218638Y129469D01* +X218679Y129679D01* +X218700Y129893D01* +Y130107D01* +X218679Y130321D01* +X218638Y130531D01* +X218576Y130737D01* +X218550Y130794D01* +X218516Y130847D01* +X218474Y130894D01* +X218424Y130934D01* +X218370Y130966D01* +X218311Y130988D01* +X218249Y131001D01* +X218247Y131001D01* +Y143428D01* +G37* +G36* +X216501Y143533D02*X216818Y143401D01* +X217155Y143320D01* +X217500Y143293D01* +X217845Y143320D01* +X218182Y143401D01* +X218247Y143428D01* +Y131001D01* +X218186Y131005D01* +X218123Y130998D01* +X218062Y130982D01* +X218004Y130956D01* +X217951Y130921D01* +X217904Y130879D01* +X217864Y130830D01* +X217833Y130775D01* +X217810Y130716D01* +X217797Y130654D01* +X217794Y130591D01* +X217800Y130528D01* +X217818Y130468D01* +X217859Y130338D01* +X217885Y130204D01* +X217898Y130068D01* +Y129932D01* +X217885Y129796D01* +X217859Y129662D01* +X217819Y129532D01* +X217802Y129471D01* +X217795Y129409D01* +X217799Y129346D01* +X217812Y129284D01* +X217834Y129226D01* +X217866Y129171D01* +X217905Y129122D01* +X217952Y129080D01* +X218005Y129046D01* +X218062Y129020D01* +X218123Y129004D01* +X218186Y128997D01* +X218247Y129000D01* +Y127237D01* +X216501D01* +Y127800D01* +X216607D01* +X216821Y127821D01* +X217031Y127862D01* +X217237Y127924D01* +X217294Y127950D01* +X217347Y127984D01* +X217394Y128026D01* +X217434Y128076D01* +X217466Y128130D01* +X217488Y128189D01* +X217501Y128251D01* +X217505Y128314D01* +X217498Y128377D01* +X217482Y128438D01* +X217456Y128496D01* +X217421Y128549D01* +X217379Y128596D01* +X217330Y128636D01* +X217275Y128667D01* +X217216Y128690D01* +X217154Y128703D01* +X217091Y128706D01* +X217028Y128700D01* +X216968Y128682D01* +X216838Y128641D01* +X216704Y128615D01* +X216568Y128602D01* +X216501D01* +Y131398D01* +X216568D01* +X216704Y131385D01* +X216838Y131359D01* +X216968Y131319D01* +X217029Y131302D01* +X217091Y131295D01* +X217154Y131299D01* +X217216Y131312D01* +X217274Y131334D01* +X217329Y131366D01* +X217378Y131405D01* +X217420Y131452D01* +X217454Y131505D01* +X217480Y131562D01* +X217496Y131623D01* +X217503Y131686D01* +X217500Y131749D01* +X217487Y131810D01* +X217464Y131869D01* +X217433Y131923D01* +X217393Y131972D01* +X217346Y132015D01* +X217294Y132049D01* +X217236Y132073D01* +X217031Y132138D01* +X216821Y132179D01* +X216607Y132200D01* +X216501D01* +Y143533D01* +G37* +G36* +X222000Y154082D02*X220921Y154079D01* +X220830Y154057D01* +X220742Y154021D01* +X220662Y153971D01* +X220590Y153910D01* +X220529Y153838D01* +X220479Y153758D01* +X220443Y153670D01* +X220421Y153579D01* +X220416Y153484D01* +X220421Y151421D01* +X220443Y151330D01* +X220479Y151242D01* +X220529Y151162D01* +X220590Y151090D01* +X220662Y151029D01* +X220700Y151005D01* +Y147629D01* +X220594Y147604D01* +X220376Y147514D01* +X220175Y147390D01* +X219995Y147237D01* +X219842Y147057D01* +X219759Y146922D01* +X219179D01* +X219060Y147060D01* +X218797Y147285D01* +X218502Y147466D01* +X218182Y147599D01* +X217845Y147680D01* +X217500Y147707D01* +X217155Y147680D01* +X216818Y147599D01* +X216501Y147467D01* +Y150307D01* +X216557Y150342D01* +X216737Y150495D01* +X216890Y150675D01* +X217014Y150876D01* +X217104Y151094D01* +X217159Y151324D01* +X217173Y151559D01* +X217159Y157794D01* +X217104Y158024D01* +X217014Y158242D01* +X216890Y158443D01* +X216737Y158623D01* +X216557Y158776D01* +X216501Y158811D01* +Y162500D01* +X222000D01* +Y158019D01* +X220921Y158016D01* +X220830Y157994D01* +X220742Y157958D01* +X220662Y157908D01* +X220590Y157847D01* +X220529Y157775D01* +X220479Y157695D01* +X220443Y157607D01* +X220421Y157516D01* +X220416Y157422D01* +X220421Y155358D01* +X220443Y155267D01* +X220479Y155179D01* +X220529Y155099D01* +X220590Y155027D01* +X220662Y154966D01* +X220742Y154916D01* +X220830Y154880D01* +X220921Y154858D01* +X221016Y154852D01* +X222000Y154855D01* +Y154082D01* +G37* +G36* +X216501Y158811D02*X216422Y158859D01* +Y162500D01* +X216501D01* +Y158811D01* +G37* +G36* +X214753Y123931D02*X215002Y124034D01* +X215297Y124215D01* +X215560Y124440D01* +X215729Y124637D01* +X216501D01* +Y120200D01* +X216393D01* +X216179Y120179D01* +X215969Y120138D01* +X215763Y120076D01* +X215706Y120050D01* +X215653Y120016D01* +X215606Y119974D01* +X215566Y119924D01* +X215534Y119870D01* +X215512Y119811D01* +X215499Y119749D01* +X215495Y119686D01* +X215502Y119623D01* +X215518Y119562D01* +X215544Y119504D01* +X215579Y119451D01* +X215621Y119404D01* +X215670Y119364D01* +X215725Y119333D01* +X215784Y119310D01* +X215846Y119297D01* +X215909Y119294D01* +X215972Y119300D01* +X216032Y119318D01* +X216162Y119359D01* +X216296Y119385D01* +X216432Y119398D01* +X216501D01* +Y116602D01* +X216432D01* +X216296Y116615D01* +X216162Y116641D01* +X216032Y116681D01* +X215971Y116698D01* +X215909Y116705D01* +X215846Y116701D01* +X215784Y116688D01* +X215726Y116666D01* +X215671Y116634D01* +X215622Y116595D01* +X215580Y116548D01* +X215546Y116495D01* +X215520Y116438D01* +X215504Y116377D01* +X215497Y116314D01* +X215500Y116251D01* +X215513Y116190D01* +X215536Y116131D01* +X215567Y116077D01* +X215607Y116028D01* +X215654Y115985D01* +X215706Y115951D01* +X215764Y115927D01* +X215969Y115862D01* +X216179Y115821D01* +X216393Y115800D01* +X216501D01* +Y110000D01* +X214753D01* +Y116999D01* +X214814Y116995D01* +X214877Y117002D01* +X214938Y117018D01* +X214996Y117044D01* +X215049Y117079D01* +X215096Y117121D01* +X215136Y117170D01* +X215167Y117225D01* +X215190Y117284D01* +X215203Y117346D01* +X215206Y117409D01* +X215200Y117472D01* +X215182Y117532D01* +X215141Y117662D01* +X215115Y117796D01* +X215102Y117932D01* +Y118068D01* +X215115Y118204D01* +X215141Y118338D01* +X215181Y118468D01* +X215198Y118529D01* +X215205Y118591D01* +X215201Y118654D01* +X215188Y118716D01* +X215166Y118774D01* +X215134Y118829D01* +X215095Y118878D01* +X215048Y118920D01* +X214995Y118954D01* +X214938Y118980D01* +X214877Y118996D01* +X214814Y119003D01* +X214753Y119000D01* +Y123931D01* +G37* +G36* +X216501Y147467D02*X216498Y147466D01* +X216203Y147285D01* +X215940Y147060D01* +X215715Y146797D01* +X215534Y146502D01* +X215401Y146182D01* +X215320Y145845D01* +X215293Y145500D01* +X215320Y145155D01* +X215401Y144818D01* +X215534Y144498D01* +X215715Y144203D01* +X215940Y143940D01* +X216203Y143715D01* +X216498Y143534D01* +X216501Y143533D01* +Y132200D01* +X216393D01* +X216179Y132179D01* +X215969Y132138D01* +X215763Y132076D01* +X215706Y132050D01* +X215653Y132016D01* +X215606Y131974D01* +X215566Y131924D01* +X215534Y131870D01* +X215512Y131811D01* +X215499Y131749D01* +X215495Y131686D01* +X215502Y131623D01* +X215518Y131562D01* +X215544Y131504D01* +X215579Y131451D01* +X215621Y131404D01* +X215670Y131364D01* +X215725Y131333D01* +X215784Y131310D01* +X215846Y131297D01* +X215909Y131294D01* +X215972Y131300D01* +X216032Y131318D01* +X216162Y131359D01* +X216296Y131385D01* +X216432Y131398D01* +X216501D01* +Y128602D01* +X216432D01* +X216296Y128615D01* +X216162Y128641D01* +X216032Y128681D01* +X215971Y128698D01* +X215909Y128705D01* +X215846Y128701D01* +X215784Y128688D01* +X215726Y128666D01* +X215671Y128634D01* +X215622Y128595D01* +X215580Y128548D01* +X215546Y128495D01* +X215520Y128438D01* +X215504Y128377D01* +X215497Y128314D01* +X215500Y128251D01* +X215513Y128190D01* +X215536Y128131D01* +X215567Y128077D01* +X215607Y128028D01* +X215654Y127985D01* +X215706Y127951D01* +X215764Y127927D01* +X215969Y127862D01* +X216179Y127821D01* +X216393Y127800D01* +X216501D01* +Y127237D01* +X215822D01* +X215785Y127297D01* +X215560Y127560D01* +X215297Y127785D01* +X215002Y127966D01* +X214753Y128069D01* +Y128999D01* +X214814Y128995D01* +X214877Y129002D01* +X214938Y129018D01* +X214996Y129044D01* +X215049Y129079D01* +X215096Y129121D01* +X215136Y129170D01* +X215167Y129225D01* +X215190Y129284D01* +X215203Y129346D01* +X215206Y129409D01* +X215200Y129472D01* +X215182Y129532D01* +X215141Y129662D01* +X215115Y129796D01* +X215102Y129932D01* +Y130068D01* +X215115Y130204D01* +X215141Y130338D01* +X215181Y130468D01* +X215198Y130529D01* +X215205Y130591D01* +X215201Y130654D01* +X215188Y130716D01* +X215166Y130774D01* +X215134Y130829D01* +X215095Y130878D01* +X215048Y130920D01* +X214995Y130954D01* +X214938Y130980D01* +X214877Y130996D01* +X214814Y131003D01* +X214753Y131000D01* +Y150061D01* +X215908Y150073D01* +X216138Y150128D01* +X216356Y150218D01* +X216501Y150307D01* +Y147467D01* +G37* +G36* +X214753Y128069D02*X214682Y128099D01* +X214345Y128180D01* +X214000Y128207D01* +X213655Y128180D01* +X213318Y128099D01* +X212998Y127966D01* +X212703Y127785D01* +X212440Y127560D01* +X212215Y127297D01* +X212034Y127002D01* +X211901Y126682D01* +X211820Y126345D01* +X211793Y126000D01* +X211820Y125655D01* +X211901Y125318D01* +X212034Y124998D01* +X212215Y124703D01* +X212440Y124440D01* +X212703Y124215D01* +X212998Y124034D01* +X213318Y123901D01* +X213655Y123820D01* +X214000Y123793D01* +X214345Y123820D01* +X214682Y123901D01* +X214753Y123931D01* +Y119000D01* +X214751Y119000D01* +X214690Y118987D01* +X214631Y118964D01* +X214577Y118933D01* +X214528Y118893D01* +X214485Y118846D01* +X214451Y118794D01* +X214427Y118736D01* +X214362Y118531D01* +X214321Y118321D01* +X214300Y118107D01* +Y117893D01* +X214321Y117679D01* +X214362Y117469D01* +X214424Y117263D01* +X214450Y117206D01* +X214484Y117153D01* +X214526Y117106D01* +X214576Y117066D01* +X214630Y117034D01* +X214689Y117012D01* +X214751Y116999D01* +X214753Y116999D01* +Y110000D01* +X205747D01* +Y143000D01* +X205749Y143000D01* +X205810Y143013D01* +X205869Y143036D01* +X205923Y143067D01* +X205972Y143107D01* +X206015Y143154D01* +X206049Y143206D01* +X206073Y143264D01* +X206138Y143469D01* +X206179Y143679D01* +X206200Y143893D01* +Y144107D01* +X206179Y144321D01* +X206138Y144531D01* +X206076Y144737D01* +X206050Y144794D01* +X206016Y144847D01* +X205974Y144894D01* +X205924Y144934D01* +X205870Y144966D01* +X205811Y144988D01* +X205749Y145001D01* +X205747Y145001D01* +Y150070D01* +X206066Y150073D01* +X206264Y150120D01* +X206462Y150073D01* +X206697Y150059D01* +X208034Y150073D01* +X208232Y150120D01* +X208431Y150073D01* +X208666Y150059D01* +X210003Y150073D01* +X210201Y150120D01* +X210399Y150073D01* +X210634Y150059D01* +X211971Y150073D01* +X212169Y150120D01* +X212368Y150073D01* +X212603Y150059D01* +X213940Y150073D01* +X214138Y150120D01* +X214336Y150073D01* +X214571Y150059D01* +X214753Y150061D01* +Y131000D01* +X214751Y131000D01* +X214690Y130987D01* +X214631Y130964D01* +X214577Y130933D01* +X214528Y130893D01* +X214485Y130846D01* +X214451Y130794D01* +X214427Y130736D01* +X214362Y130531D01* +X214321Y130321D01* +X214300Y130107D01* +Y129893D01* +X214321Y129679D01* +X214362Y129469D01* +X214424Y129263D01* +X214450Y129206D01* +X214484Y129153D01* +X214526Y129106D01* +X214576Y129066D01* +X214630Y129034D01* +X214689Y129012D01* +X214751Y128999D01* +X214753Y128999D01* +Y128069D01* +G37* +G36* +X205747Y110000D02*X204001D01* +Y141800D01* +X204107D01* +X204321Y141821D01* +X204531Y141862D01* +X204737Y141924D01* +X204794Y141950D01* +X204847Y141984D01* +X204894Y142026D01* +X204934Y142076D01* +X204966Y142130D01* +X204988Y142189D01* +X205001Y142251D01* +X205005Y142314D01* +X204998Y142377D01* +X204982Y142438D01* +X204956Y142496D01* +X204921Y142549D01* +X204879Y142596D01* +X204830Y142636D01* +X204775Y142667D01* +X204716Y142690D01* +X204654Y142703D01* +X204591Y142706D01* +X204528Y142700D01* +X204468Y142682D01* +X204338Y142641D01* +X204204Y142615D01* +X204068Y142602D01* +X204001D01* +Y145398D01* +X204068D01* +X204204Y145385D01* +X204338Y145359D01* +X204468Y145319D01* +X204529Y145302D01* +X204591Y145295D01* +X204654Y145299D01* +X204716Y145312D01* +X204774Y145334D01* +X204829Y145366D01* +X204878Y145405D01* +X204920Y145452D01* +X204954Y145505D01* +X204980Y145562D01* +X204996Y145623D01* +X205003Y145686D01* +X205000Y145749D01* +X204987Y145810D01* +X204964Y145869D01* +X204933Y145923D01* +X204893Y145972D01* +X204846Y146015D01* +X204794Y146049D01* +X204736Y146073D01* +X204531Y146138D01* +X204321Y146179D01* +X204107Y146200D01* +X204001D01* +Y150072D01* +X204097Y150073D01* +X204295Y150120D01* +X204494Y150073D01* +X204729Y150059D01* +X205747Y150070D01* +Y145001D01* +X205686Y145005D01* +X205623Y144998D01* +X205562Y144982D01* +X205504Y144956D01* +X205451Y144921D01* +X205404Y144879D01* +X205364Y144830D01* +X205333Y144775D01* +X205310Y144716D01* +X205297Y144654D01* +X205294Y144591D01* +X205300Y144528D01* +X205318Y144468D01* +X205359Y144338D01* +X205385Y144204D01* +X205398Y144068D01* +Y143932D01* +X205385Y143796D01* +X205359Y143662D01* +X205319Y143532D01* +X205302Y143471D01* +X205295Y143409D01* +X205299Y143346D01* +X205312Y143284D01* +X205334Y143226D01* +X205366Y143171D01* +X205405Y143122D01* +X205452Y143080D01* +X205505Y143046D01* +X205562Y143020D01* +X205623Y143004D01* +X205686Y142997D01* +X205747Y143000D01* +Y110000D01* +G37* +G36* +X204001D02*X202253D01* +Y142999D01* +X202314Y142995D01* +X202377Y143002D01* +X202438Y143018D01* +X202496Y143044D01* +X202549Y143079D01* +X202596Y143121D01* +X202636Y143170D01* +X202667Y143225D01* +X202690Y143284D01* +X202703Y143346D01* +X202706Y143409D01* +X202700Y143472D01* +X202682Y143532D01* +X202641Y143662D01* +X202615Y143796D01* +X202602Y143932D01* +Y144068D01* +X202615Y144204D01* +X202641Y144338D01* +X202681Y144468D01* +X202698Y144529D01* +X202705Y144591D01* +X202701Y144654D01* +X202688Y144716D01* +X202666Y144774D01* +X202634Y144829D01* +X202595Y144878D01* +X202548Y144920D01* +X202495Y144954D01* +X202438Y144980D01* +X202377Y144996D01* +X202314Y145003D01* +X202253Y145000D01* +Y150103D01* +X202327Y150120D01* +X202525Y150073D01* +X202760Y150059D01* +X204001Y150072D01* +Y146200D01* +X203893D01* +X203679Y146179D01* +X203469Y146138D01* +X203263Y146076D01* +X203206Y146050D01* +X203153Y146016D01* +X203106Y145974D01* +X203066Y145924D01* +X203034Y145870D01* +X203012Y145811D01* +X202999Y145749D01* +X202995Y145686D01* +X203002Y145623D01* +X203018Y145562D01* +X203044Y145504D01* +X203079Y145451D01* +X203121Y145404D01* +X203170Y145364D01* +X203225Y145333D01* +X203284Y145310D01* +X203346Y145297D01* +X203409Y145294D01* +X203472Y145300D01* +X203532Y145318D01* +X203662Y145359D01* +X203796Y145385D01* +X203932Y145398D01* +X204001D01* +Y142602D01* +X203932D01* +X203796Y142615D01* +X203662Y142641D01* +X203532Y142681D01* +X203471Y142698D01* +X203409Y142705D01* +X203346Y142701D01* +X203284Y142688D01* +X203226Y142666D01* +X203171Y142634D01* +X203122Y142595D01* +X203080Y142548D01* +X203046Y142495D01* +X203020Y142438D01* +X203004Y142377D01* +X202997Y142314D01* +X203000Y142251D01* +X203013Y142190D01* +X203036Y142131D01* +X203067Y142077D01* +X203107Y142028D01* +X203154Y141985D01* +X203206Y141951D01* +X203264Y141927D01* +X203469Y141862D01* +X203679Y141821D01* +X203893Y141800D01* +X204001D01* +Y110000D01* +G37* +G36* +X202253D02*X197250D01* +Y145000D01* +X197229Y145353D01* +X197146Y145697D01* +X197011Y146025D01* +X197000Y146043D01* +Y150061D01* +X198192Y150073D01* +X198390Y150120D01* +X198588Y150073D01* +X198823Y150059D01* +X200160Y150073D01* +X200358Y150120D01* +X200557Y150073D01* +X200792Y150059D01* +X202129Y150073D01* +X202253Y150103D01* +Y145000D01* +X202251Y145000D01* +X202190Y144987D01* +X202131Y144964D01* +X202077Y144933D01* +X202028Y144893D01* +X201985Y144846D01* +X201951Y144794D01* +X201927Y144736D01* +X201862Y144531D01* +X201821Y144321D01* +X201800Y144107D01* +Y143893D01* +X201821Y143679D01* +X201862Y143469D01* +X201924Y143263D01* +X201950Y143206D01* +X201984Y143153D01* +X202026Y143106D01* +X202076Y143066D01* +X202130Y143034D01* +X202189Y143012D01* +X202251Y142999D01* +X202253Y142999D01* +Y110000D01* +G37* +G36* +X222000Y178200D02*X245449D01* +X245500Y178196D01* +X245704Y178212D01* +X245704Y178212D01* +X245903Y178260D01* +X246092Y178338D01* +X246266Y178445D01* +X246422Y178578D01* +X246455Y178617D01* +X251038Y183200D01* +X252362D01* +X237617Y168455D01* +X237578Y168422D01* +X237445Y168266D01* +X237338Y168092D01* +X237260Y167903D01* +X237212Y167704D01* +X237212Y167704D01* +X237196Y167500D01* +X237200Y167449D01* +Y145038D01* +X237115Y144953D01* +X228552D01* +X228559Y145071D01* +X228545Y146408D01* +X228490Y146638D01* +X228400Y146856D01* +X228276Y147057D01* +X228123Y147237D01* +X227943Y147390D01* +X227742Y147514D01* +X227524Y147604D01* +X227294Y147659D01* +X227059Y147673D01* +X223300Y147665D01* +Y151005D01* +X223338Y151029D01* +X223410Y151090D01* +X223471Y151162D01* +X223521Y151242D01* +X223557Y151330D01* +X223579Y151421D01* +X223584Y151516D01* +X223579Y153579D01* +X223557Y153670D01* +X223521Y153758D01* +X223471Y153838D01* +X223410Y153910D01* +X223338Y153971D01* +X223258Y154021D01* +X223170Y154057D01* +X223079Y154079D01* +X222984Y154084D01* +X222000Y154082D01* +Y154855D01* +X223079Y154858D01* +X223170Y154880D01* +X223258Y154916D01* +X223338Y154966D01* +X223410Y155027D01* +X223471Y155099D01* +X223521Y155179D01* +X223557Y155267D01* +X223579Y155358D01* +X223584Y155452D01* +X223579Y157516D01* +X223557Y157607D01* +X223521Y157695D01* +X223471Y157775D01* +X223410Y157847D01* +X223338Y157908D01* +X223258Y157958D01* +X223170Y157994D01* +X223079Y158016D01* +X222984Y158022D01* +X222000Y158019D01* +Y178200D01* +G37* +G36* +X287500Y189000D02*X290200D01* +Y186538D01* +X287500Y183838D01* +Y189000D01* +G37* +G36* +Y180162D02*X292383Y185045D01* +X292422Y185078D01* +X292555Y185233D01* +X292555Y185234D01* +X292662Y185408D01* +X292740Y185597D01* +X292788Y185796D01* +X292804Y186000D01* +X292800Y186051D01* +Y189000D01* +X298500D01* +Y91500D01* +X287500D01* +Y152057D01* +X289985Y152064D01* +X290215Y152119D01* +X290433Y152209D01* +X290634Y152333D01* +X290814Y152486D01* +X290967Y152666D01* +X291091Y152867D01* +X291181Y153085D01* +X291236Y153315D01* +X291250Y153550D01* +X291236Y159685D01* +X291181Y159915D01* +X291091Y160133D01* +X290967Y160334D01* +X290814Y160514D01* +X290634Y160667D01* +X290433Y160791D01* +X290215Y160881D01* +X289985Y160936D01* +X289750Y160950D01* +X288800Y160947D01* +Y164560D01* +X289985Y164564D01* +X290215Y164619D01* +X290433Y164709D01* +X290634Y164833D01* +X290814Y164986D01* +X290967Y165166D01* +X291091Y165367D01* +X291181Y165585D01* +X291236Y165815D01* +X291250Y166050D01* +X291236Y172185D01* +X291181Y172415D01* +X291091Y172633D01* +X290967Y172834D01* +X290814Y173014D01* +X290634Y173167D01* +X290433Y173291D01* +X290215Y173381D01* +X289985Y173436D01* +X289750Y173450D01* +X287500Y173443D01* +Y180162D01* +G37* +G36* +X281750Y173450D02*X280800Y173447D01* +Y173462D01* +X287500Y180162D01* +Y173443D01* +X285015Y173436D01* +X284785Y173381D01* +X284567Y173291D01* +X284366Y173167D01* +X284186Y173014D01* +X284033Y172834D01* +X283909Y172633D01* +X283819Y172415D01* +X283764Y172185D01* +X283750Y171950D01* +X283764Y165815D01* +X283819Y165585D01* +X283909Y165367D01* +X284033Y165166D01* +X284186Y164986D01* +X284366Y164833D01* +X284567Y164709D01* +X284785Y164619D01* +X285015Y164564D01* +X285250Y164550D01* +X286200Y164553D01* +Y160940D01* +X285015Y160936D01* +X284785Y160881D01* +X284567Y160791D01* +X284366Y160667D01* +X284186Y160514D01* +X284033Y160334D01* +X283909Y160133D01* +X283819Y159915D01* +X283764Y159685D01* +X283750Y159450D01* +X283764Y153315D01* +X283819Y153085D01* +X283909Y152867D01* +X284033Y152666D01* +X284186Y152486D01* +X284366Y152333D01* +X284567Y152209D01* +X284785Y152119D01* +X285015Y152064D01* +X285250Y152050D01* +X287500Y152057D01* +Y91500D01* +X259500D01* +X258951Y91468D01* +X258415Y91339D01* +X257906Y91128D01* +X257436Y90840D01* +X257017Y90483D01* +X256660Y90064D01* +X256372Y89594D01* +X256309Y89441D01* +X256247D01* +Y154700D01* +X275761D01* +X275764Y153315D01* +X275819Y153085D01* +X275909Y152867D01* +X276033Y152666D01* +X276186Y152486D01* +X276366Y152333D01* +X276567Y152209D01* +X276785Y152119D01* +X277015Y152064D01* +X277250Y152050D01* +X281985Y152064D01* +X282215Y152119D01* +X282433Y152209D01* +X282634Y152333D01* +X282814Y152486D01* +X282967Y152666D01* +X283091Y152867D01* +X283181Y153085D01* +X283236Y153315D01* +X283250Y153550D01* +X283236Y159685D01* +X283181Y159915D01* +X283091Y160133D01* +X282967Y160334D01* +X282814Y160514D01* +X282634Y160667D01* +X282433Y160791D01* +X282215Y160881D01* +X281985Y160936D01* +X281750Y160950D01* +X277015Y160936D01* +X276785Y160881D01* +X276567Y160791D01* +X276366Y160667D01* +X276186Y160514D01* +X276033Y160334D01* +X275909Y160133D01* +X275819Y159915D01* +X275764Y159685D01* +X275750Y159450D01* +X275755Y157300D01* +X256247D01* +Y165500D01* +X256249Y165500D01* +X256310Y165513D01* +X256369Y165536D01* +X256423Y165567D01* +X256472Y165607D01* +X256515Y165654D01* +X256549Y165706D01* +X256573Y165764D01* +X256638Y165969D01* +X256679Y166179D01* +X256700Y166393D01* +Y166607D01* +X256679Y166821D01* +X256638Y167031D01* +X256576Y167237D01* +X256550Y167294D01* +X256516Y167347D01* +X256474Y167394D01* +X256424Y167434D01* +X256370Y167466D01* +X256311Y167488D01* +X256249Y167501D01* +X256247Y167501D01* +Y173408D01* +X258038Y175200D01* +X277449D01* +X277500Y175196D01* +X277704Y175212D01* +X277704Y175212D01* +X277903Y175260D01* +X278092Y175338D01* +X278266Y175445D01* +X278422Y175578D01* +X278455Y175617D01* +X284883Y182045D01* +X284922Y182078D01* +X285055Y182233D01* +X285055Y182234D01* +X285162Y182408D01* +X285240Y182597D01* +X285288Y182796D01* +X285304Y183000D01* +X285300Y183051D01* +Y189000D01* +X287500D01* +Y183838D01* +X278617Y174955D01* +X278578Y174922D01* +X278445Y174766D01* +X278338Y174592D01* +X278260Y174403D01* +X278212Y174204D01* +X278212Y174204D01* +X278196Y174000D01* +X278200Y173949D01* +Y173440D01* +X277015Y173436D01* +X276785Y173381D01* +X276567Y173291D01* +X276366Y173167D01* +X276186Y173014D01* +X276033Y172834D01* +X275909Y172633D01* +X275819Y172415D01* +X275764Y172185D01* +X275750Y171950D01* +X275764Y165815D01* +X275819Y165585D01* +X275909Y165367D01* +X276033Y165166D01* +X276186Y164986D01* +X276366Y164833D01* +X276567Y164709D01* +X276785Y164619D01* +X277015Y164564D01* +X277250Y164550D01* +X281985Y164564D01* +X282215Y164619D01* +X282433Y164709D01* +X282634Y164833D01* +X282814Y164986D01* +X282967Y165166D01* +X283091Y165367D01* +X283181Y165585D01* +X283236Y165815D01* +X283250Y166050D01* +X283236Y172185D01* +X283181Y172415D01* +X283091Y172633D01* +X282967Y172834D01* +X282814Y173014D01* +X282634Y173167D01* +X282433Y173291D01* +X282215Y173381D01* +X281985Y173436D01* +X281750Y173450D01* +G37* +G36* +X256247Y157300D02*X254501D01* +Y164300D01* +X254607D01* +X254821Y164321D01* +X255031Y164362D01* +X255237Y164424D01* +X255294Y164450D01* +X255347Y164484D01* +X255394Y164526D01* +X255434Y164576D01* +X255466Y164630D01* +X255488Y164689D01* +X255501Y164751D01* +X255505Y164814D01* +X255498Y164877D01* +X255482Y164938D01* +X255456Y164996D01* +X255421Y165049D01* +X255379Y165096D01* +X255330Y165136D01* +X255275Y165167D01* +X255216Y165190D01* +X255154Y165203D01* +X255091Y165206D01* +X255028Y165200D01* +X254968Y165182D01* +X254838Y165141D01* +X254704Y165115D01* +X254568Y165102D01* +X254501D01* +Y167898D01* +X254568D01* +X254704Y167885D01* +X254838Y167859D01* +X254968Y167819D01* +X255029Y167802D01* +X255091Y167795D01* +X255154Y167799D01* +X255216Y167812D01* +X255274Y167834D01* +X255329Y167866D01* +X255378Y167905D01* +X255420Y167952D01* +X255454Y168005D01* +X255480Y168062D01* +X255496Y168123D01* +X255503Y168186D01* +X255500Y168249D01* +X255487Y168310D01* +X255464Y168369D01* +X255433Y168423D01* +X255393Y168472D01* +X255346Y168515D01* +X255294Y168549D01* +X255236Y168573D01* +X255031Y168638D01* +X254821Y168679D01* +X254607Y168700D01* +X254501D01* +Y171662D01* +X256247Y173408D01* +Y167501D01* +X256186Y167505D01* +X256123Y167498D01* +X256062Y167482D01* +X256004Y167456D01* +X255951Y167421D01* +X255904Y167379D01* +X255864Y167330D01* +X255833Y167275D01* +X255810Y167216D01* +X255797Y167154D01* +X255794Y167091D01* +X255800Y167028D01* +X255818Y166968D01* +X255859Y166838D01* +X255885Y166704D01* +X255898Y166568D01* +Y166432D01* +X255885Y166296D01* +X255859Y166162D01* +X255819Y166032D01* +X255802Y165971D01* +X255795Y165909D01* +X255799Y165846D01* +X255812Y165784D01* +X255834Y165726D01* +X255866Y165671D01* +X255905Y165622D01* +X255952Y165580D01* +X256005Y165546D01* +X256062Y165520D01* +X256123Y165504D01* +X256186Y165497D01* +X256247Y165500D01* +Y157300D01* +G37* +G36* +Y89441D02*X254501D01* +Y154700D01* +X256247D01* +Y89441D01* +G37* +G36* +X254501Y157300D02*X252753D01* +Y165499D01* +X252814Y165495D01* +X252877Y165502D01* +X252938Y165518D01* +X252996Y165544D01* +X253049Y165579D01* +X253096Y165621D01* +X253136Y165670D01* +X253167Y165725D01* +X253190Y165784D01* +X253203Y165846D01* +X253206Y165909D01* +X253200Y165972D01* +X253182Y166032D01* +X253141Y166162D01* +X253115Y166296D01* +X253102Y166432D01* +Y166568D01* +X253115Y166704D01* +X253141Y166838D01* +X253181Y166968D01* +X253198Y167029D01* +X253205Y167091D01* +X253201Y167154D01* +X253188Y167216D01* +X253166Y167274D01* +X253134Y167329D01* +X253095Y167378D01* +X253048Y167420D01* +X252995Y167454D01* +X252938Y167480D01* +X252877Y167496D01* +X252814Y167503D01* +X252753Y167500D01* +Y169915D01* +X254501Y171662D01* +Y168700D01* +X254393D01* +X254179Y168679D01* +X253969Y168638D01* +X253763Y168576D01* +X253706Y168550D01* +X253653Y168516D01* +X253606Y168474D01* +X253566Y168424D01* +X253534Y168370D01* +X253512Y168311D01* +X253499Y168249D01* +X253495Y168186D01* +X253502Y168123D01* +X253518Y168062D01* +X253544Y168004D01* +X253579Y167951D01* +X253621Y167904D01* +X253670Y167864D01* +X253725Y167833D01* +X253784Y167810D01* +X253846Y167797D01* +X253909Y167794D01* +X253972Y167800D01* +X254032Y167818D01* +X254162Y167859D01* +X254296Y167885D01* +X254432Y167898D01* +X254501D01* +Y165102D01* +X254432D01* +X254296Y165115D01* +X254162Y165141D01* +X254032Y165181D01* +X253971Y165198D01* +X253909Y165205D01* +X253846Y165201D01* +X253784Y165188D01* +X253726Y165166D01* +X253671Y165134D01* +X253622Y165095D01* +X253580Y165048D01* +X253546Y164995D01* +X253520Y164938D01* +X253504Y164877D01* +X253497Y164814D01* +X253500Y164751D01* +X253513Y164690D01* +X253536Y164631D01* +X253567Y164577D01* +X253607Y164528D01* +X253654Y164485D01* +X253706Y164451D01* +X253764Y164427D01* +X253969Y164362D01* +X254179Y164321D01* +X254393Y164300D01* +X254501D01* +Y157300D01* +G37* +G36* +X252753D02*X248051D01* +X248000Y157304D01* +X247796Y157288D01* +X247597Y157240D01* +X247408Y157162D01* +X247234Y157055D01* +X247233Y157055D01* +X247078Y156922D01* +X247045Y156883D01* +X246117Y155955D01* +X246078Y155922D01* +X245945Y155766D01* +X245838Y155592D01* +X245800Y155500D01* +Y162962D01* +X252753Y169915D01* +Y167500D01* +X252751Y167500D01* +X252690Y167487D01* +X252631Y167464D01* +X252577Y167433D01* +X252528Y167393D01* +X252485Y167346D01* +X252451Y167294D01* +X252427Y167236D01* +X252362Y167031D01* +X252321Y166821D01* +X252300Y166607D01* +Y166393D01* +X252321Y166179D01* +X252362Y165969D01* +X252424Y165763D01* +X252450Y165706D01* +X252484Y165653D01* +X252526Y165606D01* +X252576Y165566D01* +X252630Y165534D01* +X252689Y165512D01* +X252751Y165499D01* +X252753Y165499D01* +Y157300D01* +G37* +G36* +X254501Y89441D02*X243747D01* +Y89500D01* +X243749Y89500D01* +X243810Y89513D01* +X243869Y89536D01* +X243923Y89567D01* +X243972Y89607D01* +X244015Y89654D01* +X244049Y89706D01* +X244073Y89764D01* +X244138Y89969D01* +X244179Y90179D01* +X244200Y90393D01* +Y90607D01* +X244179Y90821D01* +X244138Y91031D01* +X244076Y91237D01* +X244050Y91294D01* +X244016Y91347D01* +X243974Y91394D01* +X243924Y91434D01* +X243870Y91466D01* +X243811Y91488D01* +X243749Y91501D01* +X243747Y91501D01* +Y97078D01* +X244839D01* +X245062Y96818D01* +X245325Y96593D01* +X245620Y96412D01* +X245940Y96279D01* +X246277Y96198D01* +X246622Y96171D01* +X246967Y96198D01* +X247304Y96279D01* +X247624Y96412D01* +X247919Y96593D01* +X248182Y96818D01* +X248407Y97081D01* +X248588Y97376D01* +X248721Y97696D01* +X248802Y98033D01* +X248822Y98378D01* +X248802Y98723D01* +X248721Y99060D01* +X248588Y99380D01* +X248407Y99675D01* +X248182Y99938D01* +X247919Y100163D01* +X247624Y100344D01* +X247304Y100477D01* +X246967Y100558D01* +X246622Y100585D01* +X246277Y100558D01* +X245940Y100477D01* +X245620Y100344D01* +X245325Y100163D01* +X245062Y99938D01* +X244839Y99678D01* +X243747D01* +Y130542D01* +X246158D01* +X246158Y130542D01* +X246291D01* +X246342Y130538D01* +X246546Y130554D01* +X246546Y130554D01* +X246745Y130602D01* +X246934Y130680D01* +X247108Y130787D01* +X247264Y130920D01* +X247297Y130959D01* +X247883Y131545D01* +X247922Y131578D01* +X248055Y131733D01* +X248055Y131734D01* +X248162Y131908D01* +X248240Y132097D01* +X248288Y132296D01* +X248304Y132500D01* +X248300Y132551D01* +Y154462D01* +X248538Y154700D01* +X254501D01* +Y89441D01* +G37* +G36* +X243747Y99678D02*X242247D01* +Y124500D01* +X242249Y124500D01* +X242310Y124513D01* +X242369Y124536D01* +X242423Y124567D01* +X242472Y124607D01* +X242515Y124654D01* +X242549Y124706D01* +X242573Y124764D01* +X242638Y124969D01* +X242679Y125179D01* +X242700Y125393D01* +Y125607D01* +X242679Y125821D01* +X242638Y126031D01* +X242576Y126237D01* +X242550Y126294D01* +X242516Y126347D01* +X242474Y126394D01* +X242424Y126434D01* +X242370Y126466D01* +X242311Y126488D01* +X242249Y126501D01* +X242247Y126501D01* +Y130542D01* +X243747D01* +Y99678D01* +G37* +G36* +X242247D02*X240501D01* +Y123300D01* +X240607D01* +X240821Y123321D01* +X241031Y123362D01* +X241237Y123424D01* +X241294Y123450D01* +X241347Y123484D01* +X241394Y123526D01* +X241434Y123576D01* +X241466Y123630D01* +X241488Y123689D01* +X241501Y123751D01* +X241505Y123814D01* +X241498Y123877D01* +X241482Y123938D01* +X241456Y123996D01* +X241421Y124049D01* +X241379Y124096D01* +X241330Y124136D01* +X241275Y124167D01* +X241216Y124190D01* +X241154Y124203D01* +X241091Y124206D01* +X241028Y124200D01* +X240968Y124182D01* +X240838Y124141D01* +X240704Y124115D01* +X240568Y124102D01* +X240501D01* +Y126898D01* +X240568D01* +X240704Y126885D01* +X240838Y126859D01* +X240968Y126819D01* +X241029Y126802D01* +X241091Y126795D01* +X241154Y126799D01* +X241216Y126812D01* +X241274Y126834D01* +X241329Y126866D01* +X241378Y126905D01* +X241420Y126952D01* +X241454Y127005D01* +X241480Y127062D01* +X241496Y127123D01* +X241503Y127186D01* +X241500Y127249D01* +X241487Y127310D01* +X241464Y127369D01* +X241433Y127423D01* +X241393Y127472D01* +X241346Y127515D01* +X241294Y127549D01* +X241236Y127573D01* +X241031Y127638D01* +X240821Y127679D01* +X240607Y127700D01* +X240501D01* +Y130542D01* +X242247D01* +Y126501D01* +X242186Y126505D01* +X242123Y126498D01* +X242062Y126482D01* +X242004Y126456D01* +X241951Y126421D01* +X241904Y126379D01* +X241864Y126330D01* +X241833Y126275D01* +X241810Y126216D01* +X241797Y126154D01* +X241794Y126091D01* +X241800Y126028D01* +X241818Y125968D01* +X241859Y125838D01* +X241885Y125704D01* +X241898Y125568D01* +Y125432D01* +X241885Y125296D01* +X241859Y125162D01* +X241819Y125032D01* +X241802Y124971D01* +X241795Y124909D01* +X241799Y124846D01* +X241812Y124784D01* +X241834Y124726D01* +X241866Y124671D01* +X241905Y124622D01* +X241952Y124580D01* +X242005Y124546D01* +X242062Y124520D01* +X242123Y124504D01* +X242186Y124497D01* +X242247Y124500D01* +Y99678D01* +G37* +G36* +X240501D02*X238753D01* +Y124499D01* +X238814Y124495D01* +X238877Y124502D01* +X238938Y124518D01* +X238996Y124544D01* +X239049Y124579D01* +X239096Y124621D01* +X239136Y124670D01* +X239167Y124725D01* +X239190Y124784D01* +X239203Y124846D01* +X239206Y124909D01* +X239200Y124972D01* +X239182Y125032D01* +X239141Y125162D01* +X239115Y125296D01* +X239102Y125432D01* +Y125568D01* +X239115Y125704D01* +X239141Y125838D01* +X239181Y125968D01* +X239198Y126029D01* +X239205Y126091D01* +X239201Y126154D01* +X239188Y126216D01* +X239166Y126274D01* +X239134Y126329D01* +X239095Y126378D01* +X239048Y126420D01* +X238995Y126454D01* +X238938Y126480D01* +X238877Y126496D01* +X238814Y126503D01* +X238753Y126500D01* +Y130542D01* +X240501D01* +Y127700D01* +X240393D01* +X240179Y127679D01* +X239969Y127638D01* +X239763Y127576D01* +X239706Y127550D01* +X239653Y127516D01* +X239606Y127474D01* +X239566Y127424D01* +X239534Y127370D01* +X239512Y127311D01* +X239499Y127249D01* +X239495Y127186D01* +X239502Y127123D01* +X239518Y127062D01* +X239544Y127004D01* +X239579Y126951D01* +X239621Y126904D01* +X239670Y126864D01* +X239725Y126833D01* +X239784Y126810D01* +X239846Y126797D01* +X239909Y126794D01* +X239972Y126800D01* +X240032Y126818D01* +X240162Y126859D01* +X240296Y126885D01* +X240432Y126898D01* +X240501D01* +Y124102D01* +X240432D01* +X240296Y124115D01* +X240162Y124141D01* +X240032Y124181D01* +X239971Y124198D01* +X239909Y124205D01* +X239846Y124201D01* +X239784Y124188D01* +X239726Y124166D01* +X239671Y124134D01* +X239622Y124095D01* +X239580Y124048D01* +X239546Y123995D01* +X239520Y123938D01* +X239504Y123877D01* +X239497Y123814D01* +X239500Y123751D01* +X239513Y123690D01* +X239536Y123631D01* +X239567Y123577D01* +X239607Y123528D01* +X239654Y123485D01* +X239706Y123451D01* +X239764Y123427D01* +X239969Y123362D01* +X240179Y123321D01* +X240393Y123300D01* +X240501D01* +Y99678D01* +G37* +G36* +X238753D02*X232747D01* +Y110000D01* +X232749Y110000D01* +X232810Y110013D01* +X232869Y110036D01* +X232923Y110067D01* +X232972Y110107D01* +X233015Y110154D01* +X233049Y110206D01* +X233073Y110264D01* +X233138Y110469D01* +X233179Y110679D01* +X233200Y110893D01* +Y111107D01* +X233179Y111321D01* +X233138Y111531D01* +X233076Y111737D01* +X233050Y111794D01* +X233016Y111847D01* +X232974Y111894D01* +X232924Y111934D01* +X232870Y111966D01* +X232811Y111988D01* +X232749Y112001D01* +X232747Y112001D01* +Y124637D01* +X234492D01* +X234493Y124349D01* +X234515Y124258D01* +X234551Y124170D01* +X234601Y124090D01* +X234662Y124018D01* +X234734Y123957D01* +X234814Y123907D01* +X234902Y123871D01* +X234993Y123849D01* +X235088Y123844D01* +X237151Y123849D01* +X237242Y123871D01* +X237330Y123907D01* +X237410Y123957D01* +X237482Y124018D01* +X237543Y124090D01* +X237593Y124170D01* +X237629Y124258D01* +X237651Y124349D01* +X237656Y124444D01* +X237654Y125230D01* +X237725Y125345D01* +X237803Y125534D01* +X237851Y125733D01* +X237867Y125937D01* +X237851Y126141D01* +X237803Y126340D01* +X237725Y126529D01* +X237618Y126703D01* +X237485Y126859D01* +X237329Y126992D01* +X237155Y127099D01* +X236966Y127177D01* +X236767Y127225D01* +X236563Y127237D01* +X232747D01* +Y130542D01* +X234518D01* +X234515Y130535D01* +X234493Y130444D01* +X234488Y130349D01* +X234493Y128286D01* +X234515Y128195D01* +X234551Y128107D01* +X234601Y128027D01* +X234662Y127955D01* +X234734Y127894D01* +X234814Y127844D01* +X234902Y127808D01* +X234993Y127786D01* +X235088Y127780D01* +X237151Y127786D01* +X237242Y127808D01* +X237330Y127844D01* +X237410Y127894D01* +X237482Y127955D01* +X237543Y128027D01* +X237593Y128107D01* +X237629Y128195D01* +X237651Y128286D01* +X237656Y128380D01* +X237651Y130444D01* +X237629Y130535D01* +X237626Y130542D01* +X238753D01* +Y126500D01* +X238751Y126500D01* +X238690Y126487D01* +X238631Y126464D01* +X238577Y126433D01* +X238528Y126393D01* +X238485Y126346D01* +X238451Y126294D01* +X238427Y126236D01* +X238362Y126031D01* +X238321Y125821D01* +X238300Y125607D01* +Y125393D01* +X238321Y125179D01* +X238362Y124969D01* +X238424Y124763D01* +X238450Y124706D01* +X238484Y124653D01* +X238526Y124606D01* +X238576Y124566D01* +X238630Y124534D01* +X238689Y124512D01* +X238751Y124499D01* +X238753Y124499D01* +Y99678D01* +G37* +G36* +X232747Y127237D02*X230500D01* +Y127855D01* +X231579Y127858D01* +X231670Y127880D01* +X231758Y127916D01* +X231838Y127966D01* +X231910Y128027D01* +X231971Y128099D01* +X232021Y128179D01* +X232057Y128267D01* +X232079Y128358D01* +X232084Y128453D01* +X232079Y130516D01* +X232073Y130542D01* +X232747D01* +Y127237D01* +G37* +G36* +Y99678D02*X230500D01* +Y108856D01* +X230679Y108821D01* +X230893Y108800D01* +X231107D01* +X231321Y108821D01* +X231531Y108862D01* +X231737Y108924D01* +X231794Y108950D01* +X231847Y108984D01* +X231894Y109026D01* +X231934Y109076D01* +X231966Y109130D01* +X231988Y109189D01* +X232001Y109251D01* +X232005Y109314D01* +X231998Y109377D01* +X231982Y109438D01* +X231956Y109496D01* +X231921Y109549D01* +X231879Y109596D01* +X231830Y109636D01* +X231775Y109667D01* +X231716Y109690D01* +X231654Y109703D01* +X231591Y109706D01* +X231528Y109700D01* +X231468Y109682D01* +X231338Y109641D01* +X231204Y109615D01* +X231068Y109602D01* +X230932D01* +X230796Y109615D01* +X230662Y109641D01* +X230532Y109681D01* +X230500Y109690D01* +Y112308D01* +X230532Y112318D01* +X230662Y112359D01* +X230796Y112385D01* +X230932Y112398D01* +X231068D01* +X231204Y112385D01* +X231338Y112359D01* +X231468Y112319D01* +X231529Y112302D01* +X231591Y112295D01* +X231654Y112299D01* +X231716Y112312D01* +X231774Y112334D01* +X231829Y112366D01* +X231878Y112405D01* +X231920Y112452D01* +X231954Y112505D01* +X231980Y112562D01* +X231996Y112623D01* +X232003Y112686D01* +X232000Y112749D01* +X231987Y112810D01* +X231964Y112869D01* +X231933Y112923D01* +X231893Y112972D01* +X231846Y113015D01* +X231794Y113049D01* +X231736Y113073D01* +X231531Y113138D01* +X231321Y113179D01* +X231107Y113200D01* +X230893D01* +X230679Y113179D01* +X230500Y113144D01* +Y114981D01* +X231579Y114984D01* +X231670Y115006D01* +X231758Y115042D01* +X231838Y115092D01* +X231910Y115153D01* +X231971Y115225D01* +X232021Y115305D01* +X232057Y115393D01* +X232079Y115484D01* +X232084Y115579D01* +X232079Y117642D01* +X232057Y117733D01* +X232021Y117821D01* +X231971Y117901D01* +X231910Y117973D01* +X231838Y118034D01* +X231758Y118084D01* +X231670Y118120D01* +X231579Y118142D01* +X231484Y118147D01* +X230500Y118145D01* +Y118918D01* +X231579Y118921D01* +X231670Y118943D01* +X231758Y118979D01* +X231838Y119029D01* +X231910Y119090D01* +X231971Y119162D01* +X232021Y119242D01* +X232057Y119330D01* +X232079Y119421D01* +X232084Y119516D01* +X232079Y121579D01* +X232057Y121670D01* +X232021Y121758D01* +X231971Y121838D01* +X231910Y121910D01* +X231838Y121971D01* +X231758Y122021D01* +X231670Y122057D01* +X231579Y122079D01* +X231484Y122084D01* +X230500Y122082D01* +Y123918D01* +X231579Y123921D01* +X231670Y123943D01* +X231758Y123979D01* +X231838Y124029D01* +X231910Y124090D01* +X231971Y124162D01* +X232021Y124242D01* +X232057Y124330D01* +X232079Y124421D01* +X232084Y124516D01* +X232084Y124637D01* +X232747D01* +Y112001D01* +X232686Y112005D01* +X232623Y111998D01* +X232562Y111982D01* +X232504Y111956D01* +X232451Y111921D01* +X232404Y111879D01* +X232364Y111830D01* +X232333Y111775D01* +X232310Y111716D01* +X232297Y111654D01* +X232294Y111591D01* +X232300Y111528D01* +X232318Y111468D01* +X232359Y111338D01* +X232385Y111204D01* +X232398Y111068D01* +Y110932D01* +X232385Y110796D01* +X232359Y110662D01* +X232319Y110532D01* +X232302Y110471D01* +X232295Y110409D01* +X232299Y110346D01* +X232312Y110284D01* +X232334Y110226D01* +X232366Y110171D01* +X232405Y110122D01* +X232452Y110080D01* +X232505Y110046D01* +X232562Y110020D01* +X232623Y110004D01* +X232686Y109997D01* +X232747Y110000D01* +Y99678D01* +G37* +G36* +X243747Y89441D02*X241999D01* +Y91898D01* +X242068D01* +X242204Y91885D01* +X242338Y91859D01* +X242468Y91819D01* +X242529Y91802D01* +X242591Y91795D01* +X242654Y91799D01* +X242716Y91812D01* +X242774Y91834D01* +X242829Y91866D01* +X242878Y91905D01* +X242920Y91952D01* +X242954Y92005D01* +X242980Y92062D01* +X242996Y92123D01* +X243003Y92186D01* +X243000Y92249D01* +X242987Y92310D01* +X242964Y92369D01* +X242933Y92423D01* +X242893Y92472D01* +X242846Y92515D01* +X242794Y92549D01* +X242736Y92573D01* +X242531Y92638D01* +X242321Y92679D01* +X242107Y92700D01* +X241999D01* +Y97078D01* +X243747D01* +Y91501D01* +X243686Y91505D01* +X243623Y91498D01* +X243562Y91482D01* +X243504Y91456D01* +X243451Y91421D01* +X243404Y91379D01* +X243364Y91330D01* +X243333Y91275D01* +X243310Y91216D01* +X243297Y91154D01* +X243294Y91091D01* +X243300Y91028D01* +X243318Y90968D01* +X243359Y90838D01* +X243385Y90704D01* +X243398Y90568D01* +Y90432D01* +X243385Y90296D01* +X243359Y90162D01* +X243319Y90032D01* +X243302Y89971D01* +X243295Y89909D01* +X243299Y89846D01* +X243312Y89784D01* +X243334Y89726D01* +X243366Y89671D01* +X243405Y89622D01* +X243452Y89580D01* +X243505Y89546D01* +X243562Y89520D01* +X243623Y89504D01* +X243686Y89497D01* +X243747Y89500D01* +Y89441D01* +G37* +G36* +X241999D02*X240253D01* +Y89499D01* +X240314Y89495D01* +X240377Y89502D01* +X240438Y89518D01* +X240496Y89544D01* +X240549Y89579D01* +X240596Y89621D01* +X240636Y89670D01* +X240667Y89725D01* +X240690Y89784D01* +X240703Y89846D01* +X240706Y89909D01* +X240700Y89972D01* +X240682Y90032D01* +X240641Y90162D01* +X240615Y90296D01* +X240602Y90432D01* +Y90568D01* +X240615Y90704D01* +X240641Y90838D01* +X240681Y90968D01* +X240698Y91029D01* +X240705Y91091D01* +X240701Y91154D01* +X240688Y91216D01* +X240666Y91274D01* +X240634Y91329D01* +X240595Y91378D01* +X240548Y91420D01* +X240495Y91454D01* +X240438Y91480D01* +X240377Y91496D01* +X240314Y91503D01* +X240253Y91500D01* +Y97078D01* +X241999D01* +Y92700D01* +X241893D01* +X241679Y92679D01* +X241469Y92638D01* +X241263Y92576D01* +X241206Y92550D01* +X241153Y92516D01* +X241106Y92474D01* +X241066Y92424D01* +X241034Y92370D01* +X241012Y92311D01* +X240999Y92249D01* +X240995Y92186D01* +X241002Y92123D01* +X241018Y92062D01* +X241044Y92004D01* +X241079Y91951D01* +X241121Y91904D01* +X241170Y91864D01* +X241225Y91833D01* +X241284Y91810D01* +X241346Y91797D01* +X241409Y91794D01* +X241472Y91800D01* +X241532Y91818D01* +X241662Y91859D01* +X241796Y91885D01* +X241932Y91898D01* +X241999D01* +Y89441D01* +G37* +G36* +X240253D02*X230500D01* +Y97078D01* +X240253D01* +Y91500D01* +X240251Y91500D01* +X240190Y91487D01* +X240131Y91464D01* +X240077Y91433D01* +X240028Y91393D01* +X239985Y91346D01* +X239951Y91294D01* +X239927Y91236D01* +X239862Y91031D01* +X239821Y90821D01* +X239800Y90607D01* +Y90393D01* +X239821Y90179D01* +X239862Y89969D01* +X239924Y89763D01* +X239950Y89706D01* +X239984Y89653D01* +X240026Y89606D01* +X240076Y89566D01* +X240130Y89534D01* +X240189Y89512D01* +X240251Y89499D01* +X240253Y89499D01* +Y89441D01* +G37* +G36* +X230500Y127237D02*X230437D01* +X230250Y127226D01* +X230063Y127237D01* +X228552D01* +X228559Y127354D01* +X228545Y128691D01* +X228498Y128890D01* +X228545Y129088D01* +X228559Y129323D01* +X228546Y130542D01* +X228927D01* +X228921Y130516D01* +X228916Y130421D01* +X228921Y128358D01* +X228943Y128267D01* +X228979Y128179D01* +X229029Y128099D01* +X229090Y128027D01* +X229162Y127966D01* +X229242Y127916D01* +X229330Y127880D01* +X229421Y127858D01* +X229516Y127853D01* +X230500Y127855D01* +Y127237D01* +G37* +G36* +Y122082D02*X229421Y122079D01* +X229330Y122057D01* +X229296Y122043D01* +X228543Y122796D01* +X228498Y122984D01* +X228545Y123182D01* +X228559Y123417D01* +X228546Y124637D01* +X228920D01* +X228921Y124421D01* +X228943Y124330D01* +X228979Y124242D01* +X229029Y124162D01* +X229090Y124090D01* +X229162Y124029D01* +X229242Y123979D01* +X229330Y123943D01* +X229421Y123921D01* +X229516Y123916D01* +X230500Y123918D01* +Y122082D01* +G37* +G36* +X229253Y118975D02*X229330Y118943D01* +X229421Y118921D01* +X229516Y118916D01* +X230500Y118918D01* +Y118145D01* +X229421Y118142D01* +X229330Y118120D01* +X229253Y118088D01* +Y118975D01* +G37* +G36* +X230500Y99678D02*X229253D01* +Y109999D01* +X229314Y109995D01* +X229377Y110002D01* +X229438Y110018D01* +X229496Y110044D01* +X229549Y110079D01* +X229596Y110121D01* +X229636Y110170D01* +X229667Y110225D01* +X229690Y110284D01* +X229703Y110346D01* +X229706Y110409D01* +X229700Y110472D01* +X229682Y110532D01* +X229641Y110662D01* +X229615Y110796D01* +X229602Y110932D01* +Y111068D01* +X229615Y111204D01* +X229641Y111338D01* +X229681Y111468D01* +X229698Y111529D01* +X229705Y111591D01* +X229701Y111654D01* +X229688Y111716D01* +X229666Y111774D01* +X229634Y111829D01* +X229595Y111878D01* +X229548Y111920D01* +X229495Y111954D01* +X229438Y111980D01* +X229377Y111996D01* +X229314Y112003D01* +X229253Y112000D01* +Y115038D01* +X229330Y115006D01* +X229421Y114984D01* +X229516Y114979D01* +X230500Y114981D01* +Y113144D01* +X230469Y113138D01* +X230263Y113076D01* +X230206Y113050D01* +X230153Y113016D01* +X230106Y112974D01* +X230066Y112924D01* +X230034Y112870D01* +X230012Y112811D01* +X229999Y112749D01* +X229995Y112686D01* +X230002Y112623D01* +X230018Y112562D01* +X230044Y112504D01* +X230079Y112451D01* +X230121Y112404D01* +X230170Y112364D01* +X230225Y112333D01* +X230284Y112310D01* +X230346Y112297D01* +X230409Y112294D01* +X230472Y112300D01* +X230500Y112308D01* +Y109690D01* +X230471Y109698D01* +X230409Y109705D01* +X230346Y109701D01* +X230284Y109688D01* +X230226Y109666D01* +X230171Y109634D01* +X230122Y109595D01* +X230080Y109548D01* +X230046Y109495D01* +X230020Y109438D01* +X230004Y109377D01* +X229997Y109314D01* +X230000Y109251D01* +X230013Y109190D01* +X230036Y109131D01* +X230067Y109077D01* +X230107Y109028D01* +X230154Y108985D01* +X230206Y108951D01* +X230264Y108927D01* +X230469Y108862D01* +X230500Y108856D01* +Y99678D01* +G37* +G36* +X229253D02*X228552D01* +X228559Y99795D01* +X228545Y101132D01* +X228498Y101330D01* +X228545Y101529D01* +X228559Y101764D01* +X228545Y103101D01* +X228498Y103299D01* +X228545Y103497D01* +X228559Y103732D01* +X228545Y105069D01* +X228498Y105268D01* +X228545Y105466D01* +X228559Y105701D01* +X228545Y107038D01* +X228498Y107236D01* +X228545Y107434D01* +X228559Y107669D01* +X228545Y109006D01* +X228498Y109204D01* +X228545Y109403D01* +X228559Y109638D01* +X228545Y110975D01* +X228498Y111173D01* +X228545Y111371D01* +X228559Y111606D01* +X228545Y112943D01* +X228498Y113142D01* +X228545Y113340D01* +X228559Y113575D01* +X228545Y114912D01* +X228498Y115110D01* +X228545Y115308D01* +X228559Y115543D01* +X228545Y116880D01* +X228498Y117079D01* +X228545Y117277D01* +X228559Y117512D01* +X228545Y118849D01* +X228498Y119047D01* +X228545Y119243D01* +X228662Y119195D01* +X228861Y119147D01* +X228861Y119147D01* +X229054Y119132D01* +X229090Y119090D01* +X229162Y119029D01* +X229242Y118979D01* +X229253Y118975D01* +Y118088D01* +X229242Y118084D01* +X229162Y118034D01* +X229090Y117973D01* +X229029Y117901D01* +X228979Y117821D01* +X228943Y117733D01* +X228921Y117642D01* +X228916Y117547D01* +X228921Y115484D01* +X228943Y115393D01* +X228979Y115305D01* +X229029Y115225D01* +X229090Y115153D01* +X229162Y115092D01* +X229242Y115042D01* +X229253Y115038D01* +Y112000D01* +X229251Y112000D01* +X229190Y111987D01* +X229131Y111964D01* +X229077Y111933D01* +X229028Y111893D01* +X228985Y111846D01* +X228951Y111794D01* +X228927Y111736D01* +X228862Y111531D01* +X228821Y111321D01* +X228800Y111107D01* +Y110893D01* +X228821Y110679D01* +X228862Y110469D01* +X228924Y110263D01* +X228950Y110206D01* +X228984Y110153D01* +X229026Y110106D01* +X229076Y110066D01* +X229130Y110034D01* +X229189Y110012D01* +X229251Y109999D01* +X229253Y109999D01* +Y99678D01* +G37* +G36* +X230500Y89441D02*X222000D01* +Y89918D01* +X223079Y89921D01* +X223170Y89943D01* +X223258Y89979D01* +X223338Y90029D01* +X223410Y90090D01* +X223471Y90162D01* +X223521Y90242D01* +X223557Y90330D01* +X223579Y90421D01* +X223584Y90516D01* +X223579Y92579D01* +X223557Y92670D01* +X223521Y92758D01* +X223471Y92838D01* +X223410Y92910D01* +X223338Y92971D01* +X223258Y93021D01* +X223170Y93057D01* +X223079Y93079D01* +X222984Y93084D01* +X222000Y93082D01* +Y96329D01* +X227294Y96341D01* +X227524Y96396D01* +X227742Y96486D01* +X227943Y96610D01* +X228123Y96763D01* +X228276Y96943D01* +X228359Y97078D01* +X230500D01* +Y89441D01* +G37* +G36* +X218247Y143428D02*X218502Y143534D01* +X218797Y143715D01* +X219060Y143940D01* +X219285Y144203D01* +X219358Y144322D01* +X219566D01* +X219559Y144204D01* +X219573Y142867D01* +X219620Y142669D01* +X219573Y142471D01* +X219559Y142236D01* +X219573Y140899D01* +X219620Y140701D01* +X219573Y140502D01* +X219559Y140267D01* +X219573Y138930D01* +X219620Y138732D01* +X219573Y138534D01* +X219559Y138299D01* +X219573Y136962D01* +X219620Y136764D01* +X219573Y136565D01* +X219559Y136330D01* +X219573Y134993D01* +X219620Y134795D01* +X219573Y134597D01* +X219559Y134362D01* +X219573Y133025D01* +X219620Y132827D01* +X219573Y132628D01* +X219559Y132393D01* +X219573Y131056D01* +X219620Y130858D01* +X219573Y130660D01* +X219559Y130425D01* +X219573Y129088D01* +X219620Y128890D01* +X219573Y128691D01* +X219559Y128456D01* +X219572Y127237D01* +X218247D01* +Y129000D01* +X218249Y129000D01* +X218310Y129013D01* +X218369Y129036D01* +X218423Y129067D01* +X218472Y129107D01* +X218515Y129154D01* +X218549Y129206D01* +X218573Y129264D01* +X218638Y129469D01* +X218679Y129679D01* +X218700Y129893D01* +Y130107D01* +X218679Y130321D01* +X218638Y130531D01* +X218576Y130737D01* +X218550Y130794D01* +X218516Y130847D01* +X218474Y130894D01* +X218424Y130934D01* +X218370Y130966D01* +X218311Y130988D01* +X218249Y131001D01* +X218247Y131001D01* +Y143428D01* +G37* +G36* +X216501Y143533D02*X216818Y143401D01* +X217155Y143320D01* +X217500Y143293D01* +X217845Y143320D01* +X218182Y143401D01* +X218247Y143428D01* +Y131001D01* +X218186Y131005D01* +X218123Y130998D01* +X218062Y130982D01* +X218004Y130956D01* +X217951Y130921D01* +X217904Y130879D01* +X217864Y130830D01* +X217833Y130775D01* +X217810Y130716D01* +X217797Y130654D01* +X217794Y130591D01* +X217800Y130528D01* +X217818Y130468D01* +X217859Y130338D01* +X217885Y130204D01* +X217898Y130068D01* +Y129932D01* +X217885Y129796D01* +X217859Y129662D01* +X217819Y129532D01* +X217802Y129471D01* +X217795Y129409D01* +X217799Y129346D01* +X217812Y129284D01* +X217834Y129226D01* +X217866Y129171D01* +X217905Y129122D01* +X217952Y129080D01* +X218005Y129046D01* +X218062Y129020D01* +X218123Y129004D01* +X218186Y128997D01* +X218247Y129000D01* +Y127237D01* +X216501D01* +Y127800D01* +X216607D01* +X216821Y127821D01* +X217031Y127862D01* +X217237Y127924D01* +X217294Y127950D01* +X217347Y127984D01* +X217394Y128026D01* +X217434Y128076D01* +X217466Y128130D01* +X217488Y128189D01* +X217501Y128251D01* +X217505Y128314D01* +X217498Y128377D01* +X217482Y128438D01* +X217456Y128496D01* +X217421Y128549D01* +X217379Y128596D01* +X217330Y128636D01* +X217275Y128667D01* +X217216Y128690D01* +X217154Y128703D01* +X217091Y128706D01* +X217028Y128700D01* +X216968Y128682D01* +X216838Y128641D01* +X216704Y128615D01* +X216568Y128602D01* +X216501D01* +Y131398D01* +X216568D01* +X216704Y131385D01* +X216838Y131359D01* +X216968Y131319D01* +X217029Y131302D01* +X217091Y131295D01* +X217154Y131299D01* +X217216Y131312D01* +X217274Y131334D01* +X217329Y131366D01* +X217378Y131405D01* +X217420Y131452D01* +X217454Y131505D01* +X217480Y131562D01* +X217496Y131623D01* +X217503Y131686D01* +X217500Y131749D01* +X217487Y131810D01* +X217464Y131869D01* +X217433Y131923D01* +X217393Y131972D01* +X217346Y132015D01* +X217294Y132049D01* +X217236Y132073D01* +X217031Y132138D01* +X216821Y132179D01* +X216607Y132200D01* +X216501D01* +Y143533D01* +G37* +G36* +Y150307D02*X216557Y150342D01* +X216737Y150495D01* +X216890Y150675D01* +X217014Y150876D01* +X217104Y151094D01* +X217159Y151324D01* +X217173Y151559D01* +X217159Y157794D01* +X217104Y158024D01* +X217014Y158242D01* +X216890Y158443D01* +X216737Y158623D01* +X216557Y158776D01* +X216501Y158811D01* +Y178162D01* +X216538Y178200D01* +X222000D01* +Y158019D01* +X220921Y158016D01* +X220830Y157994D01* +X220742Y157958D01* +X220662Y157908D01* +X220590Y157847D01* +X220529Y157775D01* +X220479Y157695D01* +X220443Y157607D01* +X220421Y157516D01* +X220416Y157422D01* +X220421Y155358D01* +X220443Y155267D01* +X220479Y155179D01* +X220529Y155099D01* +X220590Y155027D01* +X220662Y154966D01* +X220742Y154916D01* +X220830Y154880D01* +X220921Y154858D01* +X221016Y154852D01* +X222000Y154855D01* +Y154082D01* +X220921Y154079D01* +X220830Y154057D01* +X220742Y154021D01* +X220662Y153971D01* +X220590Y153910D01* +X220529Y153838D01* +X220479Y153758D01* +X220443Y153670D01* +X220421Y153579D01* +X220416Y153484D01* +X220421Y151421D01* +X220443Y151330D01* +X220479Y151242D01* +X220529Y151162D01* +X220590Y151090D01* +X220662Y151029D01* +X220700Y151005D01* +Y147629D01* +X220594Y147604D01* +X220376Y147514D01* +X220175Y147390D01* +X219995Y147237D01* +X219842Y147057D01* +X219759Y146922D01* +X219179D01* +X219060Y147060D01* +X218797Y147285D01* +X218502Y147466D01* +X218182Y147599D01* +X217845Y147680D01* +X217500Y147707D01* +X217155Y147680D01* +X216818Y147599D01* +X216501Y147467D01* +Y150307D01* +G37* +G36* +X222000Y89441D02*X218247D01* +Y105500D01* +X218249Y105500D01* +X218310Y105513D01* +X218369Y105536D01* +X218423Y105567D01* +X218472Y105607D01* +X218515Y105654D01* +X218549Y105706D01* +X218573Y105764D01* +X218638Y105969D01* +X218679Y106179D01* +X218700Y106393D01* +Y106607D01* +X218679Y106821D01* +X218638Y107031D01* +X218576Y107237D01* +X218550Y107294D01* +X218516Y107347D01* +X218474Y107394D01* +X218424Y107434D01* +X218370Y107466D01* +X218311Y107488D01* +X218249Y107501D01* +X218247Y107501D01* +Y117000D01* +X218249Y117000D01* +X218310Y117013D01* +X218369Y117036D01* +X218423Y117067D01* +X218472Y117107D01* +X218515Y117154D01* +X218549Y117206D01* +X218573Y117264D01* +X218638Y117469D01* +X218679Y117679D01* +X218700Y117893D01* +Y118107D01* +X218679Y118321D01* +X218638Y118531D01* +X218576Y118737D01* +X218550Y118794D01* +X218516Y118847D01* +X218474Y118894D01* +X218424Y118934D01* +X218370Y118966D01* +X218311Y118988D01* +X218249Y119001D01* +X218247Y119001D01* +Y124637D01* +X219566D01* +X219559Y124519D01* +X219573Y123182D01* +X219620Y122984D01* +X219573Y122786D01* +X219559Y122551D01* +X219573Y121214D01* +X219620Y121016D01* +X219573Y120817D01* +X219559Y120582D01* +X219573Y119245D01* +X219620Y119047D01* +X219573Y118849D01* +X219559Y118614D01* +X219573Y117277D01* +X219620Y117079D01* +X219573Y116880D01* +X219559Y116645D01* +X219573Y115308D01* +X219620Y115110D01* +X219573Y114912D01* +X219559Y114677D01* +X219573Y113340D01* +X219620Y113142D01* +X219573Y112943D01* +X219559Y112708D01* +X219573Y111371D01* +X219620Y111173D01* +X219573Y110975D01* +X219559Y110740D01* +X219573Y109403D01* +X219620Y109205D01* +X219573Y109006D01* +X219559Y108771D01* +X219573Y107434D01* +X219620Y107236D01* +X219573Y107038D01* +X219559Y106803D01* +X219573Y105466D01* +X219620Y105268D01* +X219573Y105069D01* +X219559Y104834D01* +X219573Y103497D01* +X219620Y103299D01* +X219573Y103101D01* +X219559Y102866D01* +X219573Y101529D01* +X219620Y101331D01* +X219573Y101132D01* +X219559Y100897D01* +X219573Y99560D01* +X219620Y99362D01* +X219573Y99164D01* +X219559Y98929D01* +X219573Y97592D01* +X219628Y97362D01* +X219718Y97144D01* +X219842Y96943D01* +X219995Y96763D01* +X220175Y96610D01* +X220376Y96486D01* +X220594Y96396D01* +X220824Y96341D01* +X221059Y96327D01* +X222000Y96329D01* +Y93082D01* +X220921Y93079D01* +X220830Y93057D01* +X220742Y93021D01* +X220662Y92971D01* +X220590Y92910D01* +X220529Y92838D01* +X220479Y92758D01* +X220443Y92670D01* +X220421Y92579D01* +X220416Y92484D01* +X220421Y90421D01* +X220443Y90330D01* +X220479Y90242D01* +X220529Y90162D01* +X220590Y90090D01* +X220662Y90029D01* +X220742Y89979D01* +X220830Y89943D01* +X220921Y89921D01* +X221016Y89916D01* +X222000Y89918D01* +Y89441D01* +G37* +G36* +X218247D02*X217166D01* +X217159Y92676D01* +X217104Y92906D01* +X217014Y93124D01* +X216890Y93325D01* +X216737Y93505D01* +X216557Y93658D01* +X216501Y93693D01* +Y104300D01* +X216607D01* +X216821Y104321D01* +X217031Y104362D01* +X217237Y104424D01* +X217294Y104450D01* +X217347Y104484D01* +X217394Y104526D01* +X217434Y104576D01* +X217466Y104630D01* +X217488Y104689D01* +X217501Y104751D01* +X217505Y104814D01* +X217498Y104877D01* +X217482Y104938D01* +X217456Y104996D01* +X217421Y105049D01* +X217379Y105096D01* +X217330Y105136D01* +X217275Y105167D01* +X217216Y105190D01* +X217154Y105203D01* +X217091Y105206D01* +X217028Y105200D01* +X216968Y105182D01* +X216838Y105141D01* +X216704Y105115D01* +X216568Y105102D01* +X216501D01* +Y107898D01* +X216568D01* +X216704Y107885D01* +X216838Y107859D01* +X216968Y107819D01* +X217029Y107802D01* +X217091Y107795D01* +X217154Y107799D01* +X217216Y107812D01* +X217274Y107834D01* +X217329Y107866D01* +X217378Y107905D01* +X217420Y107952D01* +X217454Y108005D01* +X217480Y108062D01* +X217496Y108123D01* +X217503Y108186D01* +X217500Y108249D01* +X217487Y108310D01* +X217464Y108369D01* +X217433Y108423D01* +X217393Y108472D01* +X217346Y108515D01* +X217294Y108549D01* +X217236Y108573D01* +X217031Y108638D01* +X216821Y108679D01* +X216607Y108700D01* +X216501D01* +Y115800D01* +X216607D01* +X216821Y115821D01* +X217031Y115862D01* +X217237Y115924D01* +X217294Y115950D01* +X217347Y115984D01* +X217394Y116026D01* +X217434Y116076D01* +X217466Y116130D01* +X217488Y116189D01* +X217501Y116251D01* +X217505Y116314D01* +X217498Y116377D01* +X217482Y116438D01* +X217456Y116496D01* +X217421Y116549D01* +X217379Y116596D01* +X217330Y116636D01* +X217275Y116667D01* +X217216Y116690D01* +X217154Y116703D01* +X217091Y116706D01* +X217028Y116700D01* +X216968Y116682D01* +X216838Y116641D01* +X216704Y116615D01* +X216568Y116602D01* +X216501D01* +Y119398D01* +X216568D01* +X216704Y119385D01* +X216838Y119359D01* +X216968Y119319D01* +X217029Y119302D01* +X217091Y119295D01* +X217154Y119299D01* +X217216Y119312D01* +X217274Y119334D01* +X217329Y119366D01* +X217378Y119405D01* +X217420Y119452D01* +X217454Y119505D01* +X217480Y119562D01* +X217496Y119623D01* +X217503Y119686D01* +X217500Y119749D01* +X217487Y119810D01* +X217464Y119869D01* +X217433Y119923D01* +X217393Y119972D01* +X217346Y120015D01* +X217294Y120049D01* +X217236Y120073D01* +X217031Y120138D01* +X216821Y120179D01* +X216607Y120200D01* +X216501D01* +Y124637D01* +X218247D01* +Y119001D01* +X218186Y119005D01* +X218123Y118998D01* +X218062Y118982D01* +X218004Y118956D01* +X217951Y118921D01* +X217904Y118879D01* +X217864Y118830D01* +X217833Y118775D01* +X217810Y118716D01* +X217797Y118654D01* +X217794Y118591D01* +X217800Y118528D01* +X217818Y118468D01* +X217859Y118338D01* +X217885Y118204D01* +X217898Y118068D01* +Y117932D01* +X217885Y117796D01* +X217859Y117662D01* +X217819Y117532D01* +X217802Y117471D01* +X217795Y117409D01* +X217799Y117346D01* +X217812Y117284D01* +X217834Y117226D01* +X217866Y117171D01* +X217905Y117122D01* +X217952Y117080D01* +X218005Y117046D01* +X218062Y117020D01* +X218123Y117004D01* +X218186Y116997D01* +X218247Y117000D01* +Y107501D01* +X218186Y107505D01* +X218123Y107498D01* +X218062Y107482D01* +X218004Y107456D01* +X217951Y107421D01* +X217904Y107379D01* +X217864Y107330D01* +X217833Y107275D01* +X217810Y107216D01* +X217797Y107154D01* +X217794Y107091D01* +X217800Y107028D01* +X217818Y106968D01* +X217859Y106838D01* +X217885Y106704D01* +X217898Y106568D01* +Y106432D01* +X217885Y106296D01* +X217859Y106162D01* +X217819Y106032D01* +X217802Y105971D01* +X217795Y105909D01* +X217799Y105846D01* +X217812Y105784D01* +X217834Y105726D01* +X217866Y105671D01* +X217905Y105622D01* +X217952Y105580D01* +X218005Y105546D01* +X218062Y105520D01* +X218123Y105504D01* +X218186Y105497D01* +X218247Y105500D01* +Y89441D01* +G37* +G36* +X216501Y158811D02*X216422Y158859D01* +Y178084D01* +X216501Y178162D01* +Y158811D01* +G37* +G36* +X214753Y150061D02*X215908Y150073D01* +X216138Y150128D01* +X216356Y150218D01* +X216501Y150307D01* +Y147467D01* +X216498Y147466D01* +X216203Y147285D01* +X215940Y147060D01* +X215715Y146797D01* +X215534Y146502D01* +X215401Y146182D01* +X215320Y145845D01* +X215293Y145500D01* +X215320Y145155D01* +X215401Y144818D01* +X215534Y144498D01* +X215715Y144203D01* +X215940Y143940D01* +X216203Y143715D01* +X216498Y143534D01* +X216501Y143533D01* +Y132200D01* +X216393D01* +X216179Y132179D01* +X215969Y132138D01* +X215763Y132076D01* +X215706Y132050D01* +X215653Y132016D01* +X215606Y131974D01* +X215566Y131924D01* +X215534Y131870D01* +X215512Y131811D01* +X215499Y131749D01* +X215495Y131686D01* +X215502Y131623D01* +X215518Y131562D01* +X215544Y131504D01* +X215579Y131451D01* +X215621Y131404D01* +X215670Y131364D01* +X215725Y131333D01* +X215784Y131310D01* +X215846Y131297D01* +X215909Y131294D01* +X215972Y131300D01* +X216032Y131318D01* +X216162Y131359D01* +X216296Y131385D01* +X216432Y131398D01* +X216501D01* +Y128602D01* +X216432D01* +X216296Y128615D01* +X216162Y128641D01* +X216032Y128681D01* +X215971Y128698D01* +X215909Y128705D01* +X215846Y128701D01* +X215784Y128688D01* +X215726Y128666D01* +X215671Y128634D01* +X215622Y128595D01* +X215580Y128548D01* +X215546Y128495D01* +X215520Y128438D01* +X215504Y128377D01* +X215497Y128314D01* +X215500Y128251D01* +X215513Y128190D01* +X215536Y128131D01* +X215567Y128077D01* +X215607Y128028D01* +X215654Y127985D01* +X215706Y127951D01* +X215764Y127927D01* +X215969Y127862D01* +X216179Y127821D01* +X216393Y127800D01* +X216501D01* +Y127237D01* +X215822D01* +X215785Y127297D01* +X215560Y127560D01* +X215297Y127785D01* +X215002Y127966D01* +X214753Y128069D01* +Y128999D01* +X214814Y128995D01* +X214877Y129002D01* +X214938Y129018D01* +X214996Y129044D01* +X215049Y129079D01* +X215096Y129121D01* +X215136Y129170D01* +X215167Y129225D01* +X215190Y129284D01* +X215203Y129346D01* +X215206Y129409D01* +X215200Y129472D01* +X215182Y129532D01* +X215141Y129662D01* +X215115Y129796D01* +X215102Y129932D01* +Y130068D01* +X215115Y130204D01* +X215141Y130338D01* +X215181Y130468D01* +X215198Y130529D01* +X215205Y130591D01* +X215201Y130654D01* +X215188Y130716D01* +X215166Y130774D01* +X215134Y130829D01* +X215095Y130878D01* +X215048Y130920D01* +X214995Y130954D01* +X214938Y130980D01* +X214877Y130996D01* +X214814Y131003D01* +X214753Y131000D01* +Y150061D01* +G37* +G36* +X216501Y93693D02*X216356Y93782D01* +X216138Y93872D01* +X215908Y93927D01* +X215673Y93941D01* +X214753Y93931D01* +Y105499D01* +X214814Y105495D01* +X214877Y105502D01* +X214938Y105518D01* +X214996Y105544D01* +X215049Y105579D01* +X215096Y105621D01* +X215136Y105670D01* +X215167Y105725D01* +X215190Y105784D01* +X215203Y105846D01* +X215206Y105909D01* +X215200Y105972D01* +X215182Y106032D01* +X215141Y106162D01* +X215115Y106296D01* +X215102Y106432D01* +Y106568D01* +X215115Y106704D01* +X215141Y106838D01* +X215181Y106968D01* +X215198Y107029D01* +X215205Y107091D01* +X215201Y107154D01* +X215188Y107216D01* +X215166Y107274D01* +X215134Y107329D01* +X215095Y107378D01* +X215048Y107420D01* +X214995Y107454D01* +X214938Y107480D01* +X214877Y107496D01* +X214814Y107503D01* +X214753Y107500D01* +Y116999D01* +X214814Y116995D01* +X214877Y117002D01* +X214938Y117018D01* +X214996Y117044D01* +X215049Y117079D01* +X215096Y117121D01* +X215136Y117170D01* +X215167Y117225D01* +X215190Y117284D01* +X215203Y117346D01* +X215206Y117409D01* +X215200Y117472D01* +X215182Y117532D01* +X215141Y117662D01* +X215115Y117796D01* +X215102Y117932D01* +Y118068D01* +X215115Y118204D01* +X215141Y118338D01* +X215181Y118468D01* +X215198Y118529D01* +X215205Y118591D01* +X215201Y118654D01* +X215188Y118716D01* +X215166Y118774D01* +X215134Y118829D01* +X215095Y118878D01* +X215048Y118920D01* +X214995Y118954D01* +X214938Y118980D01* +X214877Y118996D01* +X214814Y119003D01* +X214753Y119000D01* +Y123931D01* +X215002Y124034D01* +X215297Y124215D01* +X215560Y124440D01* +X215729Y124637D01* +X216501D01* +Y120200D01* +X216393D01* +X216179Y120179D01* +X215969Y120138D01* +X215763Y120076D01* +X215706Y120050D01* +X215653Y120016D01* +X215606Y119974D01* +X215566Y119924D01* +X215534Y119870D01* +X215512Y119811D01* +X215499Y119749D01* +X215495Y119686D01* +X215502Y119623D01* +X215518Y119562D01* +X215544Y119504D01* +X215579Y119451D01* +X215621Y119404D01* +X215670Y119364D01* +X215725Y119333D01* +X215784Y119310D01* +X215846Y119297D01* +X215909Y119294D01* +X215972Y119300D01* +X216032Y119318D01* +X216162Y119359D01* +X216296Y119385D01* +X216432Y119398D01* +X216501D01* +Y116602D01* +X216432D01* +X216296Y116615D01* +X216162Y116641D01* +X216032Y116681D01* +X215971Y116698D01* +X215909Y116705D01* +X215846Y116701D01* +X215784Y116688D01* +X215726Y116666D01* +X215671Y116634D01* +X215622Y116595D01* +X215580Y116548D01* +X215546Y116495D01* +X215520Y116438D01* +X215504Y116377D01* +X215497Y116314D01* +X215500Y116251D01* +X215513Y116190D01* +X215536Y116131D01* +X215567Y116077D01* +X215607Y116028D01* +X215654Y115985D01* +X215706Y115951D01* +X215764Y115927D01* +X215969Y115862D01* +X216179Y115821D01* +X216393Y115800D01* +X216501D01* +Y108700D01* +X216393D01* +X216179Y108679D01* +X215969Y108638D01* +X215763Y108576D01* +X215706Y108550D01* +X215653Y108516D01* +X215606Y108474D01* +X215566Y108424D01* +X215534Y108370D01* +X215512Y108311D01* +X215499Y108249D01* +X215495Y108186D01* +X215502Y108123D01* +X215518Y108062D01* +X215544Y108004D01* +X215579Y107951D01* +X215621Y107904D01* +X215670Y107864D01* +X215725Y107833D01* +X215784Y107810D01* +X215846Y107797D01* +X215909Y107794D01* +X215972Y107800D01* +X216032Y107818D01* +X216162Y107859D01* +X216296Y107885D01* +X216432Y107898D01* +X216501D01* +Y105102D01* +X216432D01* +X216296Y105115D01* +X216162Y105141D01* +X216032Y105181D01* +X215971Y105198D01* +X215909Y105205D01* +X215846Y105201D01* +X215784Y105188D01* +X215726Y105166D01* +X215671Y105134D01* +X215622Y105095D01* +X215580Y105048D01* +X215546Y104995D01* +X215520Y104938D01* +X215504Y104877D01* +X215497Y104814D01* +X215500Y104751D01* +X215513Y104690D01* +X215536Y104631D01* +X215567Y104577D01* +X215607Y104528D01* +X215654Y104485D01* +X215706Y104451D01* +X215764Y104427D01* +X215969Y104362D01* +X216179Y104321D01* +X216393Y104300D01* +X216501D01* +Y93693D01* +G37* +G36* +X214753Y93931D02*X214336Y93927D01* +X214138Y93880D01* +X213939Y93927D01* +X213704Y93941D01* +X212367Y93927D01* +X212169Y93880D01* +X211971Y93927D01* +X211736Y93941D01* +X210399Y93927D01* +X210200Y93880D01* +X210002Y93927D01* +X209767Y93941D01* +X208430Y93927D01* +X208232Y93880D01* +X208034Y93927D01* +X207799Y93941D01* +X206462Y93927D01* +X206264Y93880D01* +X206065Y93927D01* +X205830Y93941D01* +X205747Y93940D01* +Y143000D01* +X205749Y143000D01* +X205810Y143013D01* +X205869Y143036D01* +X205923Y143067D01* +X205972Y143107D01* +X206015Y143154D01* +X206049Y143206D01* +X206073Y143264D01* +X206138Y143469D01* +X206179Y143679D01* +X206200Y143893D01* +Y144107D01* +X206179Y144321D01* +X206138Y144531D01* +X206076Y144737D01* +X206050Y144794D01* +X206016Y144847D01* +X205974Y144894D01* +X205924Y144934D01* +X205870Y144966D01* +X205811Y144988D01* +X205749Y145001D01* +X205747Y145001D01* +Y150070D01* +X206066Y150073D01* +X206264Y150120D01* +X206462Y150073D01* +X206697Y150059D01* +X208034Y150073D01* +X208232Y150120D01* +X208431Y150073D01* +X208666Y150059D01* +X210003Y150073D01* +X210201Y150120D01* +X210399Y150073D01* +X210634Y150059D01* +X211971Y150073D01* +X212169Y150120D01* +X212368Y150073D01* +X212603Y150059D01* +X213940Y150073D01* +X214138Y150120D01* +X214336Y150073D01* +X214571Y150059D01* +X214753Y150061D01* +Y131000D01* +X214751Y131000D01* +X214690Y130987D01* +X214631Y130964D01* +X214577Y130933D01* +X214528Y130893D01* +X214485Y130846D01* +X214451Y130794D01* +X214427Y130736D01* +X214362Y130531D01* +X214321Y130321D01* +X214300Y130107D01* +Y129893D01* +X214321Y129679D01* +X214362Y129469D01* +X214424Y129263D01* +X214450Y129206D01* +X214484Y129153D01* +X214526Y129106D01* +X214576Y129066D01* +X214630Y129034D01* +X214689Y129012D01* +X214751Y128999D01* +X214753Y128999D01* +Y128069D01* +X214682Y128099D01* +X214345Y128180D01* +X214000Y128207D01* +X213655Y128180D01* +X213318Y128099D01* +X212998Y127966D01* +X212703Y127785D01* +X212440Y127560D01* +X212215Y127297D01* +X212034Y127002D01* +X211901Y126682D01* +X211820Y126345D01* +X211793Y126000D01* +X211820Y125655D01* +X211901Y125318D01* +X212034Y124998D01* +X212215Y124703D01* +X212440Y124440D01* +X212703Y124215D01* +X212998Y124034D01* +X213318Y123901D01* +X213655Y123820D01* +X214000Y123793D01* +X214345Y123820D01* +X214682Y123901D01* +X214753Y123931D01* +Y119000D01* +X214751Y119000D01* +X214690Y118987D01* +X214631Y118964D01* +X214577Y118933D01* +X214528Y118893D01* +X214485Y118846D01* +X214451Y118794D01* +X214427Y118736D01* +X214362Y118531D01* +X214321Y118321D01* +X214300Y118107D01* +Y117893D01* +X214321Y117679D01* +X214362Y117469D01* +X214424Y117263D01* +X214450Y117206D01* +X214484Y117153D01* +X214526Y117106D01* +X214576Y117066D01* +X214630Y117034D01* +X214689Y117012D01* +X214751Y116999D01* +X214753Y116999D01* +Y107500D01* +X214751Y107500D01* +X214690Y107487D01* +X214631Y107464D01* +X214577Y107433D01* +X214528Y107393D01* +X214485Y107346D01* +X214451Y107294D01* +X214427Y107236D01* +X214362Y107031D01* +X214321Y106821D01* +X214300Y106607D01* +Y106393D01* +X214321Y106179D01* +X214362Y105969D01* +X214424Y105763D01* +X214450Y105706D01* +X214484Y105653D01* +X214526Y105606D01* +X214576Y105566D01* +X214630Y105534D01* +X214689Y105512D01* +X214751Y105499D01* +X214753Y105499D01* +Y93931D01* +G37* +G36* +X205747Y93940D02*X204493Y93927D01* +X204295Y93880D01* +X204097Y93927D01* +X204001Y93933D01* +Y141800D01* +X204107D01* +X204321Y141821D01* +X204531Y141862D01* +X204737Y141924D01* +X204794Y141950D01* +X204847Y141984D01* +X204894Y142026D01* +X204934Y142076D01* +X204966Y142130D01* +X204988Y142189D01* +X205001Y142251D01* +X205005Y142314D01* +X204998Y142377D01* +X204982Y142438D01* +X204956Y142496D01* +X204921Y142549D01* +X204879Y142596D01* +X204830Y142636D01* +X204775Y142667D01* +X204716Y142690D01* +X204654Y142703D01* +X204591Y142706D01* +X204528Y142700D01* +X204468Y142682D01* +X204338Y142641D01* +X204204Y142615D01* +X204068Y142602D01* +X204001D01* +Y145398D01* +X204068D01* +X204204Y145385D01* +X204338Y145359D01* +X204468Y145319D01* +X204529Y145302D01* +X204591Y145295D01* +X204654Y145299D01* +X204716Y145312D01* +X204774Y145334D01* +X204829Y145366D01* +X204878Y145405D01* +X204920Y145452D01* +X204954Y145505D01* +X204980Y145562D01* +X204996Y145623D01* +X205003Y145686D01* +X205000Y145749D01* +X204987Y145810D01* +X204964Y145869D01* +X204933Y145923D01* +X204893Y145972D01* +X204846Y146015D01* +X204794Y146049D01* +X204736Y146073D01* +X204531Y146138D01* +X204321Y146179D01* +X204107Y146200D01* +X204001D01* +Y150072D01* +X204097Y150073D01* +X204295Y150120D01* +X204494Y150073D01* +X204729Y150059D01* +X205747Y150070D01* +Y145001D01* +X205686Y145005D01* +X205623Y144998D01* +X205562Y144982D01* +X205504Y144956D01* +X205451Y144921D01* +X205404Y144879D01* +X205364Y144830D01* +X205333Y144775D01* +X205310Y144716D01* +X205297Y144654D01* +X205294Y144591D01* +X205300Y144528D01* +X205318Y144468D01* +X205359Y144338D01* +X205385Y144204D01* +X205398Y144068D01* +Y143932D01* +X205385Y143796D01* +X205359Y143662D01* +X205319Y143532D01* +X205302Y143471D01* +X205295Y143409D01* +X205299Y143346D01* +X205312Y143284D01* +X205334Y143226D01* +X205366Y143171D01* +X205405Y143122D01* +X205452Y143080D01* +X205505Y143046D01* +X205562Y143020D01* +X205623Y143004D01* +X205686Y142997D01* +X205747Y143000D01* +Y93940D01* +G37* +G36* +X204001Y93933D02*X203862Y93941D01* +X202525Y93927D01* +X202326Y93880D01* +X202253Y93897D01* +Y142999D01* +X202314Y142995D01* +X202377Y143002D01* +X202438Y143018D01* +X202496Y143044D01* +X202549Y143079D01* +X202596Y143121D01* +X202636Y143170D01* +X202667Y143225D01* +X202690Y143284D01* +X202703Y143346D01* +X202706Y143409D01* +X202700Y143472D01* +X202682Y143532D01* +X202641Y143662D01* +X202615Y143796D01* +X202602Y143932D01* +Y144068D01* +X202615Y144204D01* +X202641Y144338D01* +X202681Y144468D01* +X202698Y144529D01* +X202705Y144591D01* +X202701Y144654D01* +X202688Y144716D01* +X202666Y144774D01* +X202634Y144829D01* +X202595Y144878D01* +X202548Y144920D01* +X202495Y144954D01* +X202438Y144980D01* +X202377Y144996D01* +X202314Y145003D01* +X202253Y145000D01* +Y150103D01* +X202327Y150120D01* +X202525Y150073D01* +X202760Y150059D01* +X204001Y150072D01* +Y146200D01* +X203893D01* +X203679Y146179D01* +X203469Y146138D01* +X203263Y146076D01* +X203206Y146050D01* +X203153Y146016D01* +X203106Y145974D01* +X203066Y145924D01* +X203034Y145870D01* +X203012Y145811D01* +X202999Y145749D01* +X202995Y145686D01* +X203002Y145623D01* +X203018Y145562D01* +X203044Y145504D01* +X203079Y145451D01* +X203121Y145404D01* +X203170Y145364D01* +X203225Y145333D01* +X203284Y145310D01* +X203346Y145297D01* +X203409Y145294D01* +X203472Y145300D01* +X203532Y145318D01* +X203662Y145359D01* +X203796Y145385D01* +X203932Y145398D01* +X204001D01* +Y142602D01* +X203932D01* +X203796Y142615D01* +X203662Y142641D01* +X203532Y142681D01* +X203471Y142698D01* +X203409Y142705D01* +X203346Y142701D01* +X203284Y142688D01* +X203226Y142666D01* +X203171Y142634D01* +X203122Y142595D01* +X203080Y142548D01* +X203046Y142495D01* +X203020Y142438D01* +X203004Y142377D01* +X202997Y142314D01* +X203000Y142251D01* +X203013Y142190D01* +X203036Y142131D01* +X203067Y142077D01* +X203107Y142028D01* +X203154Y141985D01* +X203206Y141951D01* +X203264Y141927D01* +X203469Y141862D01* +X203679Y141821D01* +X203893Y141800D01* +X204001D01* +Y93933D01* +G37* +G36* +X202253Y93897D02*X202128Y93927D01* +X201893Y93941D01* +X200556Y93927D01* +X200358Y93880D01* +X200160Y93927D01* +X199925Y93941D01* +X198588Y93927D01* +X198389Y93880D01* +X198191Y93927D01* +X197956Y93941D01* +X196619Y93927D01* +X196421Y93880D01* +X196223Y93927D01* +X195988Y93941D01* +X195907Y93940D01* +X196025Y93989D01* +X196327Y94174D01* +X196596Y94404D01* +X196826Y94673D01* +X197011Y94975D01* +X197146Y95303D01* +X197229Y95647D01* +X197250Y96000D01* +Y145000D01* +X197229Y145353D01* +X197146Y145697D01* +X197011Y146025D01* +X196826Y146327D01* +X196737Y146431D01* +Y150066D01* +X196855Y150059D01* +X198192Y150073D01* +X198390Y150120D01* +X198588Y150073D01* +X198823Y150059D01* +X200160Y150073D01* +X200358Y150120D01* +X200557Y150073D01* +X200792Y150059D01* +X202129Y150073D01* +X202253Y150103D01* +Y145000D01* +X202251Y145000D01* +X202190Y144987D01* +X202131Y144964D01* +X202077Y144933D01* +X202028Y144893D01* +X201985Y144846D01* +X201951Y144794D01* +X201927Y144736D01* +X201862Y144531D01* +X201821Y144321D01* +X201800Y144107D01* +Y143893D01* +X201821Y143679D01* +X201862Y143469D01* +X201924Y143263D01* +X201950Y143206D01* +X201984Y143153D01* +X202026Y143106D01* +X202076Y143066D01* +X202130Y143034D01* +X202189Y143012D01* +X202251Y142999D01* +X202253Y142999D01* +Y93897D01* +G37* +G36* +X345500Y89792D02*X345760Y89684D01* +X346372Y89537D01* +X347000Y89488D01* +X347628Y89537D01* +X348240Y89684D01* +X348822Y89925D01* +X349358Y90254D01* +X349837Y90663D01* +X350246Y91142D01* +X350575Y91678D01* +X350816Y92260D01* +X350963Y92872D01* +X351000Y93500D01* +X350963Y94128D01* +X350816Y94740D01* +X350575Y95322D01* +X350246Y95858D01* +X349837Y96337D01* +X349358Y96746D01* +X349250Y96812D01* +Y101162D01* +X350501Y101165D01* +X350746Y101224D01* +X350979Y101320D01* +X351193Y101452D01* +X351385Y101615D01* +X351548Y101807D01* +X351680Y102021D01* +X351776Y102254D01* +X351835Y102499D01* +X351850Y102750D01* +X351846Y105700D01* +X357862D01* +X357866Y104483D01* +X357928Y104223D01* +X358031Y103976D01* +X358170Y103748D01* +X358344Y103544D01* +X358548Y103370D01* +X358776Y103231D01* +X359023Y103128D01* +X359283Y103066D01* +X359550Y103050D01* +X364500Y103063D01* +Y102748D01* +X359315Y102736D01* +X359085Y102681D01* +X358867Y102591D01* +X358666Y102467D01* +X358486Y102314D01* +X358333Y102134D01* +X358209Y101933D01* +X358119Y101715D01* +X358064Y101485D01* +X358050Y101250D01* +X358064Y96515D01* +X358119Y96285D01* +X358209Y96067D01* +X358333Y95866D01* +X358486Y95686D01* +X358666Y95533D01* +X358867Y95409D01* +X359085Y95319D01* +X359315Y95264D01* +X359550Y95250D01* +X361200Y95254D01* +Y93783D01* +X360940Y93560D01* +X360715Y93297D01* +X360534Y93002D01* +X360401Y92682D01* +X360320Y92345D01* +X360293Y92000D01* +X360320Y91655D01* +X360401Y91318D01* +X360534Y90998D01* +X360715Y90703D01* +X360940Y90440D01* +X361203Y90215D01* +X361498Y90034D01* +X361818Y89901D01* +X362155Y89820D01* +X362500Y89793D01* +X362845Y89820D01* +X363182Y89901D01* +X363502Y90034D01* +X363797Y90215D01* +X364060Y90440D01* +X364285Y90703D01* +X364466Y90998D01* +X364500Y91080D01* +Y85500D01* +X345500D01* +Y89792D01* +G37* +G36* +X350250Y116350D02*X345500Y116340D01* +Y120755D01* +X351407Y120759D01* +X351560Y120796D01* +X351705Y120856D01* +X351840Y120938D01* +X351959Y121041D01* +X352062Y121160D01* +X352144Y121295D01* +X352204Y121440D01* +X352241Y121593D01* +X352250Y121750D01* +X352241Y133407D01* +X352204Y133560D01* +X352144Y133705D01* +X352062Y133840D01* +X351959Y133959D01* +X351840Y134062D01* +X351705Y134144D01* +X351560Y134204D01* +X351407Y134241D01* +X351250Y134250D01* +X345500Y134245D01* +Y135755D01* +X351407Y135759D01* +X351560Y135796D01* +X351705Y135856D01* +X351840Y135938D01* +X351959Y136041D01* +X352062Y136160D01* +X352144Y136295D01* +X352204Y136440D01* +X352241Y136593D01* +X352250Y136750D01* +X352241Y148407D01* +X352204Y148560D01* +X352144Y148705D01* +X352062Y148840D01* +X351959Y148959D01* +X351840Y149062D01* +X351705Y149144D01* +X351560Y149204D01* +X351407Y149241D01* +X351250Y149250D01* +X345500Y149245D01* +Y186500D01* +X364500D01* +Y110948D01* +X359283Y110934D01* +X359023Y110872D01* +X358776Y110769D01* +X358548Y110630D01* +X358344Y110456D01* +X358170Y110252D01* +X358031Y110024D01* +X357928Y109777D01* +X357866Y109517D01* +X357850Y109250D01* +X357853Y108300D01* +X351843D01* +X351835Y115001D01* +X351776Y115246D01* +X351680Y115479D01* +X351548Y115693D01* +X351385Y115885D01* +X351193Y116048D01* +X350979Y116180D01* +X350746Y116276D01* +X350501Y116335D01* +X350250Y116350D01* +G37* +G36* +X345500Y116340D02*X343499Y116335D01* +X343254Y116276D01* +X343021Y116180D01* +X342807Y116048D01* +X342615Y115885D01* +X342452Y115693D01* +X342320Y115479D01* +X342224Y115246D01* +X342165Y115001D01* +X342150Y114750D01* +X342165Y102499D01* +X342224Y102254D01* +X342320Y102021D01* +X342452Y101807D01* +X342615Y101615D01* +X342807Y101452D01* +X343021Y101320D01* +X343254Y101224D01* +X343499Y101165D01* +X343750Y101150D01* +X344750Y101152D01* +Y96812D01* +X344642Y96746D01* +X344163Y96337D01* +X343754Y95858D01* +X343425Y95322D01* +X343184Y94740D01* +X343037Y94128D01* +X342988Y93500D01* +X343037Y92872D01* +X343184Y92260D01* +X343425Y91678D01* +X343754Y91142D01* +X344163Y90663D01* +X344642Y90254D01* +X345178Y89925D01* +X345500Y89792D01* +Y85500D01* +X330500D01* +Y89792D01* +X330822Y89925D01* +X331358Y90254D01* +X331837Y90663D01* +X332246Y91142D01* +X332575Y91678D01* +X332816Y92260D01* +X332963Y92872D01* +X333000Y93500D01* +X332963Y94128D01* +X332816Y94740D01* +X332575Y95322D01* +X332246Y95858D01* +X331837Y96337D01* +X331358Y96746D01* +X331250Y96812D01* +Y101162D01* +X332501Y101165D01* +X332746Y101224D01* +X332979Y101320D01* +X333193Y101452D01* +X333385Y101615D01* +X333548Y101807D01* +X333680Y102021D01* +X333776Y102254D01* +X333835Y102499D01* +X333850Y102750D01* +X333835Y115001D01* +X333776Y115246D01* +X333680Y115479D01* +X333548Y115693D01* +X333385Y115885D01* +X333193Y116048D01* +X332979Y116180D01* +X332746Y116276D01* +X332501Y116335D01* +X332250Y116350D01* +X330500Y116346D01* +Y120755D01* +X336407Y120759D01* +X336560Y120796D01* +X336705Y120856D01* +X336840Y120938D01* +X336959Y121041D01* +X337062Y121160D01* +X337144Y121295D01* +X337204Y121440D01* +X337241Y121593D01* +X337250Y121750D01* +X337241Y133407D01* +X337204Y133560D01* +X337144Y133705D01* +X337062Y133840D01* +X336959Y133959D01* +X336840Y134062D01* +X336705Y134144D01* +X336560Y134204D01* +X336407Y134241D01* +X336250Y134250D01* +X330500Y134245D01* +Y135755D01* +X336407Y135759D01* +X336560Y135796D01* +X336705Y135856D01* +X336840Y135938D01* +X336959Y136041D01* +X337062Y136160D01* +X337144Y136295D01* +X337204Y136440D01* +X337241Y136593D01* +X337250Y136750D01* +X337241Y148407D01* +X337204Y148560D01* +X337144Y148705D01* +X337062Y148840D01* +X336959Y148959D01* +X336840Y149062D01* +X336705Y149144D01* +X336560Y149204D01* +X336407Y149241D01* +X336250Y149250D01* +X330500Y149245D01* +Y186500D01* +X345500D01* +Y149245D01* +X339593Y149241D01* +X339440Y149204D01* +X339295Y149144D01* +X339160Y149062D01* +X339041Y148959D01* +X338938Y148840D01* +X338856Y148705D01* +X338796Y148560D01* +X338759Y148407D01* +X338750Y148250D01* +X338759Y136593D01* +X338796Y136440D01* +X338856Y136295D01* +X338938Y136160D01* +X339041Y136041D01* +X339160Y135938D01* +X339295Y135856D01* +X339440Y135796D01* +X339593Y135759D01* +X339750Y135750D01* +X345500Y135755D01* +Y134245D01* +X339593Y134241D01* +X339440Y134204D01* +X339295Y134144D01* +X339160Y134062D01* +X339041Y133959D01* +X338938Y133840D01* +X338856Y133705D01* +X338796Y133560D01* +X338759Y133407D01* +X338750Y133250D01* +X338759Y121593D01* +X338796Y121440D01* +X338856Y121295D01* +X338938Y121160D01* +X339041Y121041D01* +X339160Y120938D01* +X339295Y120856D01* +X339440Y120796D01* +X339593Y120759D01* +X339750Y120750D01* +X345500Y120755D01* +Y116340D01* +G37* +G36* +X315000Y186500D02*X330500D01* +Y149245D01* +X324593Y149241D01* +X324440Y149204D01* +X324295Y149144D01* +X324160Y149062D01* +X324041Y148959D01* +X323938Y148840D01* +X323856Y148705D01* +X323796Y148560D01* +X323759Y148407D01* +X323750Y148250D01* +X323759Y136593D01* +X323796Y136440D01* +X323856Y136295D01* +X323938Y136160D01* +X324041Y136041D01* +X324160Y135938D01* +X324295Y135856D01* +X324440Y135796D01* +X324593Y135759D01* +X324750Y135750D01* +X330500Y135755D01* +Y134245D01* +X324593Y134241D01* +X324440Y134204D01* +X324295Y134144D01* +X324160Y134062D01* +X324041Y133959D01* +X323938Y133840D01* +X323856Y133705D01* +X323796Y133560D01* +X323759Y133407D01* +X323750Y133250D01* +X323759Y121593D01* +X323796Y121440D01* +X323856Y121295D01* +X323938Y121160D01* +X324041Y121041D01* +X324160Y120938D01* +X324295Y120856D01* +X324440Y120796D01* +X324593Y120759D01* +X324750Y120750D01* +X330500Y120755D01* +Y116346D01* +X325499Y116335D01* +X325254Y116276D01* +X325021Y116180D01* +X324807Y116048D01* +X324615Y115885D01* +X324452Y115693D01* +X324320Y115479D01* +X324224Y115246D01* +X324165Y115001D01* +X324150Y114750D01* +X324165Y102499D01* +X324224Y102254D01* +X324320Y102021D01* +X324452Y101807D01* +X324615Y101615D01* +X324807Y101452D01* +X325021Y101320D01* +X325254Y101224D01* +X325499Y101165D01* +X325750Y101150D01* +X326750Y101152D01* +Y96812D01* +X326642Y96746D01* +X326163Y96337D01* +X325754Y95858D01* +X325425Y95322D01* +X325209Y94800D01* +X318538D01* +X317077Y96261D01* +X318185Y96264D01* +X318415Y96319D01* +X318633Y96409D01* +X318834Y96533D01* +X319014Y96686D01* +X319167Y96866D01* +X319291Y97067D01* +X319381Y97285D01* +X319436Y97515D01* +X319450Y97750D01* +X319436Y102485D01* +X319381Y102715D01* +X319291Y102933D01* +X319167Y103134D01* +X319014Y103314D01* +X318834Y103467D01* +X318633Y103591D01* +X318415Y103681D01* +X318185Y103736D01* +X317950Y103750D01* +X315000Y103743D01* +Y104257D01* +X318185Y104264D01* +X318415Y104319D01* +X318633Y104409D01* +X318834Y104533D01* +X319014Y104686D01* +X319167Y104866D01* +X319291Y105067D01* +X319381Y105285D01* +X319436Y105515D01* +X319450Y105750D01* +X319436Y110485D01* +X319381Y110715D01* +X319291Y110933D01* +X319167Y111134D01* +X319014Y111314D01* +X318834Y111467D01* +X318633Y111591D01* +X318415Y111681D01* +X318185Y111736D01* +X317950Y111750D01* +X315000Y111743D01* +Y186500D01* +G37* +G36* +X330500Y85500D02*X315000D01* +Y94662D01* +X317045Y92617D01* +X317078Y92578D01* +X317233Y92445D01* +X317234Y92445D01* +X317342Y92379D01* +X317408Y92338D01* +X317597Y92260D01* +X317796Y92212D01* +X317796Y92212D01* +X318000Y92196D01* +X318051Y92200D01* +X325209D01* +X325425Y91678D01* +X325754Y91142D01* +X326163Y90663D01* +X326642Y90254D01* +X327178Y89925D01* +X327760Y89684D01* +X328372Y89537D01* +X329000Y89488D01* +X329628Y89537D01* +X330240Y89684D01* +X330500Y89792D01* +Y85500D01* +G37* +G36* +X315000D02*X303300D01* +Y88000D01* +X303288Y88204D01* +X303240Y88403D01* +X303162Y88592D01* +X303055Y88766D01* +X302922Y88922D01* +X302867Y88969D01* +X302839Y89085D01* +X302628Y89594D01* +X302340Y90064D01* +X301983Y90483D01* +X301564Y90840D01* +X301094Y91128D01* +X300585Y91339D01* +X300500Y91359D01* +Y186500D01* +X315000D01* +Y111743D01* +X311815Y111736D01* +X311585Y111681D01* +X311367Y111591D01* +X311166Y111467D01* +X310986Y111314D01* +X310833Y111134D01* +X310709Y110933D01* +X310619Y110715D01* +X310564Y110485D01* +X310550Y110250D01* +X310564Y105515D01* +X310619Y105285D01* +X310709Y105067D01* +X310833Y104866D01* +X310986Y104686D01* +X311166Y104533D01* +X311367Y104409D01* +X311585Y104319D01* +X311815Y104264D01* +X312050Y104250D01* +X315000Y104257D01* +Y103743D01* +X311815Y103736D01* +X311585Y103681D01* +X311367Y103591D01* +X311166Y103467D01* +X310986Y103314D01* +X310833Y103134D01* +X310709Y102933D01* +X310619Y102715D01* +X310564Y102485D01* +X310550Y102250D01* +X310564Y97515D01* +X310619Y97285D01* +X310709Y97067D01* +X310833Y96866D01* +X310986Y96686D01* +X311166Y96533D01* +X311367Y96409D01* +X311585Y96319D01* +X311815Y96264D01* +X312050Y96250D01* +X313722Y96254D01* +X313760Y96097D01* +X313838Y95908D01* +X313879Y95842D01* +X313945Y95734D01* +X313945Y95733D01* +X314078Y95578D01* +X314117Y95545D01* +X315000Y94662D01* +Y85500D01* +G37* +G36* +X221623Y59716D02*X221634Y59715D01* +X228815Y59721D01* +X228907Y59743D01* +X228994Y59779D01* +X229075Y59828D01* +X229147Y59889D01* +X229208Y59961D01* +X229257Y60042D01* +X229293Y60129D01* +X229315Y60221D01* +X229321Y60315D01* +X229320Y61771D01* +X243479D01* +X243481Y60221D01* +X243503Y60129D01* +X243539Y60042D01* +X243588Y59961D01* +X243649Y59889D01* +X243721Y59828D01* +X243802Y59779D01* +X243889Y59743D01* +X243981Y59721D01* +X244075Y59715D01* +X251256Y59721D01* +X251348Y59743D01* +X251435Y59779D01* +X251516Y59828D01* +X251588Y59889D01* +X251649Y59961D01* +X251698Y60042D01* +X251734Y60129D01* +X251756Y60221D01* +X251762Y60315D01* +X251756Y65921D01* +X251734Y66013D01* +X251698Y66100D01* +X251649Y66181D01* +X251588Y66253D01* +X251516Y66314D01* +X251435Y66363D01* +X251348Y66399D01* +X251256Y66421D01* +X251162Y66427D01* +X249300Y66426D01* +Y68000D01* +X257750D01* +Y11932D01* +X257568Y11750D01* +X240880D01* +Y14406D01* +X240901Y14318D01* +X241034Y13998D01* +X241215Y13703D01* +X241440Y13440D01* +X241703Y13215D01* +X241998Y13034D01* +X242318Y12901D01* +X242655Y12820D01* +X243000Y12793D01* +X243345Y12820D01* +X243682Y12901D01* +X244002Y13034D01* +X244297Y13215D01* +X244560Y13440D01* +X244785Y13703D01* +X244966Y13998D01* +X245099Y14318D01* +X245180Y14655D01* +X245200Y15000D01* +X245180Y15345D01* +X245099Y15682D01* +X244966Y16002D01* +X244785Y16297D01* +X244560Y16560D01* +X244300Y16783D01* +Y18775D01* +X244563Y18776D01* +X244655Y18798D01* +X244742Y18834D01* +X244823Y18883D01* +X244895Y18944D01* +X244956Y19016D01* +X245005Y19097D01* +X245041Y19184D01* +X245063Y19276D01* +X245069Y19370D01* +X245068Y20796D01* +X245077Y20759D01* +X245113Y20672D01* +X245162Y20591D01* +X245223Y20519D01* +X245295Y20458D01* +X245376Y20409D01* +X245463Y20373D01* +X245555Y20351D01* +X245649Y20345D01* +X246200Y20346D01* +Y16783D01* +X245940Y16560D01* +X245715Y16297D01* +X245534Y16002D01* +X245401Y15682D01* +X245320Y15345D01* +X245293Y15000D01* +X245320Y14655D01* +X245401Y14318D01* +X245534Y13998D01* +X245715Y13703D01* +X245940Y13440D01* +X246203Y13215D01* +X246498Y13034D01* +X246818Y12901D01* +X247155Y12820D01* +X247500Y12793D01* +X247845Y12820D01* +X248182Y12901D01* +X248502Y13034D01* +X248797Y13215D01* +X249060Y13440D01* +X249285Y13703D01* +X249466Y13998D01* +X249599Y14318D01* +X249680Y14655D01* +X249700Y15000D01* +X249680Y15345D01* +X249599Y15682D01* +X249466Y16002D01* +X249285Y16297D01* +X249060Y16560D01* +X248800Y16783D01* +Y20350D01* +X248893Y20351D01* +X248985Y20373D01* +X249072Y20409D01* +X249153Y20458D01* +X249225Y20519D01* +X249286Y20591D01* +X249335Y20672D01* +X249371Y20759D01* +X249384Y20812D01* +X249386Y19276D01* +X249408Y19184D01* +X249444Y19097D01* +X249493Y19016D01* +X249554Y18944D01* +X249626Y18883D01* +X249707Y18834D01* +X249794Y18798D01* +X249886Y18776D01* +X249980Y18770D01* +X250700Y18771D01* +Y16783D01* +X250440Y16560D01* +X250215Y16297D01* +X250034Y16002D01* +X249901Y15682D01* +X249820Y15345D01* +X249793Y15000D01* +X249820Y14655D01* +X249901Y14318D01* +X250034Y13998D01* +X250215Y13703D01* +X250440Y13440D01* +X250703Y13215D01* +X250998Y13034D01* +X251318Y12901D01* +X251655Y12820D01* +X252000Y12793D01* +X252345Y12820D01* +X252682Y12901D01* +X253002Y13034D01* +X253297Y13215D01* +X253560Y13440D01* +X253785Y13703D01* +X253966Y13998D01* +X254099Y14318D01* +X254180Y14655D01* +X254200Y15000D01* +X254180Y15345D01* +X254099Y15682D01* +X253966Y16002D01* +X253785Y16297D01* +X253560Y16560D01* +X253300Y16783D01* +Y18794D01* +X253316Y18798D01* +X253403Y18834D01* +X253484Y18883D01* +X253556Y18944D01* +X253617Y19016D01* +X253666Y19097D01* +X253702Y19184D01* +X253724Y19276D01* +X253730Y19370D01* +X253724Y25370D01* +X253702Y25462D01* +X253666Y25549D01* +X253617Y25630D01* +X253556Y25702D01* +X253484Y25763D01* +X253403Y25812D01* +X253316Y25848D01* +X253300Y25852D01* +Y27449D01* +X253304Y27500D01* +X253288Y27704D01* +X253288Y27704D01* +X253240Y27903D01* +X253162Y28092D01* +X253055Y28266D01* +X252922Y28422D01* +X252883Y28455D01* +X221623Y59716D01* +G37* +G36* +X216500Y84750D02*Y85189D01* +X216557Y85224D01* +X216737Y85377D01* +X216890Y85557D01* +X217014Y85758D01* +X217104Y85976D01* +X217110Y86000D01* +X220855D01* +X220921Y85984D01* +X221016Y85978D01* +X223079Y85984D01* +X223145Y86000D01* +X253818D01* +X252568Y84750D01* +X216500D01* +G37* +G36* +X256747Y80000D02*X257750D01* +Y67500D01* +X256747D01* +Y77000D01* +X256749Y77000D01* +X256810Y77013D01* +X256869Y77036D01* +X256923Y77067D01* +X256972Y77107D01* +X257015Y77154D01* +X257049Y77206D01* +X257073Y77264D01* +X257138Y77469D01* +X257179Y77679D01* +X257200Y77893D01* +Y78107D01* +X257179Y78321D01* +X257138Y78531D01* +X257076Y78737D01* +X257050Y78794D01* +X257016Y78847D01* +X256974Y78894D01* +X256924Y78934D01* +X256870Y78966D01* +X256811Y78988D01* +X256749Y79001D01* +X256747Y79001D01* +Y80000D01* +G37* +G36* +X255001Y79398D02*X255068D01* +X255204Y79385D01* +X255338Y79359D01* +X255468Y79319D01* +X255529Y79302D01* +X255591Y79295D01* +X255654Y79299D01* +X255716Y79312D01* +X255774Y79334D01* +X255829Y79366D01* +X255878Y79405D01* +X255920Y79452D01* +X255954Y79505D01* +X255980Y79562D01* +X255996Y79623D01* +X256003Y79686D01* +X256000Y79749D01* +X255987Y79810D01* +X255964Y79869D01* +X255933Y79923D01* +X255893Y79972D01* +X255862Y80000D01* +X256747D01* +Y79001D01* +X256686Y79005D01* +X256623Y78998D01* +X256562Y78982D01* +X256504Y78956D01* +X256451Y78921D01* +X256404Y78879D01* +X256364Y78830D01* +X256333Y78775D01* +X256310Y78716D01* +X256297Y78654D01* +X256294Y78591D01* +X256300Y78528D01* +X256318Y78468D01* +X256359Y78338D01* +X256385Y78204D01* +X256398Y78068D01* +Y77932D01* +X256385Y77796D01* +X256359Y77662D01* +X256319Y77532D01* +X256302Y77471D01* +X256295Y77409D01* +X256299Y77346D01* +X256312Y77284D01* +X256334Y77226D01* +X256366Y77171D01* +X256405Y77122D01* +X256452Y77080D01* +X256505Y77046D01* +X256562Y77020D01* +X256623Y77004D01* +X256686Y76997D01* +X256747Y77000D01* +Y67500D01* +X255001D01* +Y75800D01* +X255107D01* +X255321Y75821D01* +X255531Y75862D01* +X255737Y75924D01* +X255794Y75950D01* +X255847Y75984D01* +X255894Y76026D01* +X255934Y76076D01* +X255966Y76130D01* +X255988Y76189D01* +X256001Y76251D01* +X256005Y76314D01* +X255998Y76377D01* +X255982Y76438D01* +X255956Y76496D01* +X255921Y76549D01* +X255879Y76596D01* +X255830Y76636D01* +X255775Y76667D01* +X255716Y76690D01* +X255654Y76703D01* +X255591Y76706D01* +X255528Y76700D01* +X255468Y76682D01* +X255338Y76641D01* +X255204Y76615D01* +X255068Y76602D01* +X255001D01* +Y79398D01* +G37* +G36* +X253253Y80000D02*X254135D01* +X254106Y79974D01* +X254066Y79924D01* +X254034Y79870D01* +X254012Y79811D01* +X253999Y79749D01* +X253995Y79686D01* +X254002Y79623D01* +X254018Y79562D01* +X254044Y79504D01* +X254079Y79451D01* +X254121Y79404D01* +X254170Y79364D01* +X254225Y79333D01* +X254284Y79310D01* +X254346Y79297D01* +X254409Y79294D01* +X254472Y79300D01* +X254532Y79318D01* +X254662Y79359D01* +X254796Y79385D01* +X254932Y79398D01* +X255001D01* +Y76602D01* +X254932D01* +X254796Y76615D01* +X254662Y76641D01* +X254532Y76681D01* +X254471Y76698D01* +X254409Y76705D01* +X254346Y76701D01* +X254284Y76688D01* +X254226Y76666D01* +X254171Y76634D01* +X254122Y76595D01* +X254080Y76548D01* +X254046Y76495D01* +X254020Y76438D01* +X254004Y76377D01* +X253997Y76314D01* +X254000Y76251D01* +X254013Y76190D01* +X254036Y76131D01* +X254067Y76077D01* +X254107Y76028D01* +X254154Y75985D01* +X254206Y75951D01* +X254264Y75927D01* +X254469Y75862D01* +X254679Y75821D01* +X254893Y75800D01* +X255001D01* +Y67500D01* +X253253D01* +Y76999D01* +X253314Y76995D01* +X253377Y77002D01* +X253438Y77018D01* +X253496Y77044D01* +X253549Y77079D01* +X253596Y77121D01* +X253636Y77170D01* +X253667Y77225D01* +X253690Y77284D01* +X253703Y77346D01* +X253706Y77409D01* +X253700Y77472D01* +X253682Y77532D01* +X253641Y77662D01* +X253615Y77796D01* +X253602Y77932D01* +Y78068D01* +X253615Y78204D01* +X253641Y78338D01* +X253681Y78468D01* +X253698Y78529D01* +X253705Y78591D01* +X253701Y78654D01* +X253688Y78716D01* +X253666Y78774D01* +X253634Y78829D01* +X253595Y78878D01* +X253548Y78920D01* +X253495Y78954D01* +X253438Y78980D01* +X253377Y78996D01* +X253314Y79003D01* +X253253Y79000D01* +Y80000D01* +G37* +G36* +X234750Y78450D02*X230015Y78436D01* +X229785Y78381D01* +X229567Y78291D01* +X229366Y78167D01* +X229186Y78014D01* +X229033Y77834D01* +X228909Y77633D01* +X228819Y77415D01* +X228764Y77185D01* +X228750Y76950D01* +X228754Y75300D01* +X217538D01* +X216422Y76416D01* +Y80000D01* +X253253D01* +Y79000D01* +X253251Y79000D01* +X253190Y78987D01* +X253131Y78964D01* +X253077Y78933D01* +X253028Y78893D01* +X252985Y78846D01* +X252951Y78794D01* +X252927Y78736D01* +X252862Y78531D01* +X252821Y78321D01* +X252800Y78107D01* +Y77893D01* +X252821Y77679D01* +X252862Y77469D01* +X252924Y77263D01* +X252950Y77206D01* +X252984Y77153D01* +X253026Y77106D01* +X253076Y77066D01* +X253130Y77034D01* +X253189Y77012D01* +X253251Y76999D01* +X253253Y76999D01* +Y67500D01* +X249300D01* +Y73949D01* +X249304Y74000D01* +X249300Y74051D01* +Y75717D01* +X249560Y75940D01* +X249785Y76203D01* +X249966Y76498D01* +X250099Y76818D01* +X250180Y77155D01* +X250200Y77500D01* +X250180Y77845D01* +X250099Y78182D01* +X249966Y78502D01* +X249785Y78797D01* +X249560Y79060D01* +X249297Y79285D01* +X249002Y79466D01* +X248682Y79599D01* +X248345Y79680D01* +X248000Y79707D01* +X247655Y79680D01* +X247318Y79599D01* +X246998Y79466D01* +X246703Y79285D01* +X246440Y79060D01* +X246215Y78797D01* +X246034Y78502D01* +X245901Y78182D01* +X245820Y77845D01* +X245793Y77500D01* +X245820Y77155D01* +X245901Y76818D01* +X246034Y76498D01* +X246215Y76203D01* +X246440Y75940D01* +X246700Y75717D01* +Y75300D01* +X244240D01* +X244236Y77185D01* +X244181Y77415D01* +X244091Y77633D01* +X243967Y77834D01* +X243814Y78014D01* +X243634Y78167D01* +X243433Y78291D01* +X243215Y78381D01* +X242985Y78436D01* +X242750Y78450D01* +X238015Y78436D01* +X237785Y78381D01* +X237567Y78291D01* +X237366Y78167D01* +X237186Y78014D01* +X237033Y77834D01* +X236909Y77633D01* +X236819Y77415D01* +X236764Y77185D01* +X236750Y76950D01* +X236764Y70815D01* +X236819Y70585D01* +X236909Y70367D01* +X237033Y70166D01* +X237186Y69986D01* +X237366Y69833D01* +X237567Y69709D01* +X237785Y69619D01* +X238015Y69564D01* +X238250Y69550D01* +X242985Y69564D01* +X243215Y69619D01* +X243433Y69709D01* +X243634Y69833D01* +X243814Y69986D01* +X243967Y70166D01* +X244091Y70367D01* +X244181Y70585D01* +X244236Y70815D01* +X244250Y71050D01* +X244246Y72700D01* +X246700D01* +Y67500D01* +X214500D01* +Y74662D01* +X216045Y73117D01* +X216078Y73078D01* +X216233Y72945D01* +X216234Y72945D01* +X216342Y72879D01* +X216408Y72838D01* +X216597Y72760D01* +X216796Y72712D01* +X216796Y72712D01* +X217000Y72696D01* +X217051Y72700D01* +X228760D01* +X228764Y70815D01* +X228819Y70585D01* +X228909Y70367D01* +X229033Y70166D01* +X229186Y69986D01* +X229366Y69833D01* +X229567Y69709D01* +X229785Y69619D01* +X230015Y69564D01* +X230250Y69550D01* +X234985Y69564D01* +X235215Y69619D01* +X235433Y69709D01* +X235634Y69833D01* +X235814Y69986D01* +X235967Y70166D01* +X236091Y70367D01* +X236181Y70585D01* +X236236Y70815D01* +X236250Y71050D01* +X236236Y77185D01* +X236181Y77415D01* +X236091Y77633D01* +X235967Y77834D01* +X235814Y78014D01* +X235634Y78167D01* +X235433Y78291D01* +X235215Y78381D01* +X234985Y78436D01* +X234750Y78450D01* +G37* +G36* +X255678Y81000D02*X257750D01* +Y78500D01* +X257144D01* +X257138Y78531D01* +X257076Y78737D01* +X257050Y78794D01* +X257016Y78847D01* +X256974Y78894D01* +X256924Y78934D01* +X256870Y78966D01* +X256811Y78988D01* +X256749Y79001D01* +X256686Y79005D01* +X256623Y78998D01* +X256562Y78982D01* +X256504Y78956D01* +X256451Y78921D01* +X256404Y78879D01* +X256364Y78830D01* +X256333Y78775D01* +X256310Y78716D01* +X256297Y78654D01* +X256294Y78591D01* +X256300Y78528D01* +X256308Y78500D01* +X254999D01* +Y79398D01* +X255068D01* +X255204Y79385D01* +X255338Y79359D01* +X255468Y79319D01* +X255529Y79302D01* +X255591Y79295D01* +X255654Y79299D01* +X255716Y79312D01* +X255774Y79334D01* +X255829Y79366D01* +X255878Y79405D01* +X255920Y79452D01* +X255954Y79505D01* +X255980Y79562D01* +X255996Y79623D01* +X256003Y79686D01* +X256000Y79749D01* +X255987Y79810D01* +X255964Y79869D01* +X255933Y79923D01* +X255893Y79972D01* +X255846Y80015D01* +X255794Y80049D01* +X255736Y80073D01* +X255531Y80138D01* +X255321Y80179D01* +X255107Y80200D01* +X254999D01* +Y80478D01* +X255025Y80489D01* +X255327Y80674D01* +X255596Y80904D01* +X255678Y81000D01* +G37* +G36* +X254999Y78500D02*X253690D01* +X253698Y78529D01* +X253705Y78591D01* +X253701Y78654D01* +X253688Y78716D01* +X253666Y78774D01* +X253634Y78829D01* +X253595Y78878D01* +X253548Y78920D01* +X253495Y78954D01* +X253438Y78980D01* +X253377Y78996D01* +X253314Y79003D01* +X253251Y79000D01* +X253190Y78987D01* +X253131Y78964D01* +X253077Y78933D01* +X253028Y78893D01* +X252985Y78846D01* +X252951Y78794D01* +X252927Y78736D01* +X252862Y78531D01* +X252856Y78500D01* +X249967D01* +X249966Y78502D01* +X249785Y78797D01* +X249560Y79060D01* +X249297Y79285D01* +X249002Y79466D01* +X248682Y79599D01* +X248345Y79680D01* +X248000Y79707D01* +X247655Y79680D01* +X247318Y79599D01* +X246998Y79466D01* +X246703Y79285D01* +X246440Y79060D01* +X246215Y78797D01* +X246034Y78502D01* +X246033Y78500D01* +X216422D01* +Y80250D01* +X253912D01* +X254000Y80243D01* +X254353Y80271D01* +X254353Y80271D01* +X254697Y80354D01* +X254999Y80478D01* +Y80200D01* +X254893D01* +X254679Y80179D01* +X254469Y80138D01* +X254263Y80076D01* +X254206Y80050D01* +X254153Y80016D01* +X254106Y79974D01* +X254066Y79924D01* +X254034Y79870D01* +X254012Y79811D01* +X253999Y79749D01* +X253995Y79686D01* +X254002Y79623D01* +X254018Y79562D01* +X254044Y79504D01* +X254079Y79451D01* +X254121Y79404D01* +X254170Y79364D01* +X254225Y79333D01* +X254284Y79310D01* +X254346Y79297D01* +X254409Y79294D01* +X254472Y79300D01* +X254532Y79318D01* +X254662Y79359D01* +X254796Y79385D01* +X254932Y79398D01* +X254999D01* +Y78500D01* +G37* +G36* +X243747Y92500D02*X257000D01* +Y90462D01* +X256660Y90064D01* +X256372Y89594D01* +X256161Y89085D01* +X256032Y88549D01* +X256004Y88186D01* +X253318Y85500D01* +X243747D01* +Y89500D01* +X243749Y89500D01* +X243810Y89513D01* +X243869Y89536D01* +X243923Y89567D01* +X243972Y89607D01* +X244015Y89654D01* +X244049Y89706D01* +X244073Y89764D01* +X244138Y89969D01* +X244179Y90179D01* +X244200Y90393D01* +Y90607D01* +X244179Y90821D01* +X244138Y91031D01* +X244076Y91237D01* +X244050Y91294D01* +X244016Y91347D01* +X243974Y91394D01* +X243924Y91434D01* +X243870Y91466D01* +X243811Y91488D01* +X243749Y91501D01* +X243747Y91501D01* +Y92500D01* +G37* +G36* +X242001Y91898D02*X242068D01* +X242204Y91885D01* +X242338Y91859D01* +X242468Y91819D01* +X242529Y91802D01* +X242591Y91795D01* +X242654Y91799D01* +X242716Y91812D01* +X242774Y91834D01* +X242829Y91866D01* +X242878Y91905D01* +X242920Y91952D01* +X242954Y92005D01* +X242980Y92062D01* +X242996Y92123D01* +X243003Y92186D01* +X243000Y92249D01* +X242987Y92310D01* +X242964Y92369D01* +X242933Y92423D01* +X242893Y92472D01* +X242862Y92500D01* +X243747D01* +Y91501D01* +X243686Y91505D01* +X243623Y91498D01* +X243562Y91482D01* +X243504Y91456D01* +X243451Y91421D01* +X243404Y91379D01* +X243364Y91330D01* +X243333Y91275D01* +X243310Y91216D01* +X243297Y91154D01* +X243294Y91091D01* +X243300Y91028D01* +X243318Y90968D01* +X243359Y90838D01* +X243385Y90704D01* +X243398Y90568D01* +Y90432D01* +X243385Y90296D01* +X243359Y90162D01* +X243319Y90032D01* +X243302Y89971D01* +X243295Y89909D01* +X243299Y89846D01* +X243312Y89784D01* +X243334Y89726D01* +X243366Y89671D01* +X243405Y89622D01* +X243452Y89580D01* +X243505Y89546D01* +X243562Y89520D01* +X243623Y89504D01* +X243686Y89497D01* +X243747Y89500D01* +Y85500D01* +X242001D01* +Y88300D01* +X242107D01* +X242321Y88321D01* +X242531Y88362D01* +X242737Y88424D01* +X242794Y88450D01* +X242847Y88484D01* +X242894Y88526D01* +X242934Y88576D01* +X242966Y88630D01* +X242988Y88689D01* +X243001Y88751D01* +X243005Y88814D01* +X242998Y88877D01* +X242982Y88938D01* +X242956Y88996D01* +X242921Y89049D01* +X242879Y89096D01* +X242830Y89136D01* +X242775Y89167D01* +X242716Y89190D01* +X242654Y89203D01* +X242591Y89206D01* +X242528Y89200D01* +X242468Y89182D01* +X242338Y89141D01* +X242204Y89115D01* +X242068Y89102D01* +X242001D01* +Y91898D01* +G37* +G36* +X240253Y92500D02*X241135D01* +X241106Y92474D01* +X241066Y92424D01* +X241034Y92370D01* +X241012Y92311D01* +X240999Y92249D01* +X240995Y92186D01* +X241002Y92123D01* +X241018Y92062D01* +X241044Y92004D01* +X241079Y91951D01* +X241121Y91904D01* +X241170Y91864D01* +X241225Y91833D01* +X241284Y91810D01* +X241346Y91797D01* +X241409Y91794D01* +X241472Y91800D01* +X241532Y91818D01* +X241662Y91859D01* +X241796Y91885D01* +X241932Y91898D01* +X242001D01* +Y89102D01* +X241932D01* +X241796Y89115D01* +X241662Y89141D01* +X241532Y89181D01* +X241471Y89198D01* +X241409Y89205D01* +X241346Y89201D01* +X241284Y89188D01* +X241226Y89166D01* +X241171Y89134D01* +X241122Y89095D01* +X241080Y89048D01* +X241046Y88995D01* +X241020Y88938D01* +X241004Y88877D01* +X240997Y88814D01* +X241000Y88751D01* +X241013Y88690D01* +X241036Y88631D01* +X241067Y88577D01* +X241107Y88528D01* +X241154Y88485D01* +X241206Y88451D01* +X241264Y88427D01* +X241469Y88362D01* +X241679Y88321D01* +X241893Y88300D01* +X242001D01* +Y85500D01* +X240253D01* +Y89499D01* +X240314Y89495D01* +X240377Y89502D01* +X240438Y89518D01* +X240496Y89544D01* +X240549Y89579D01* +X240596Y89621D01* +X240636Y89670D01* +X240667Y89725D01* +X240690Y89784D01* +X240703Y89846D01* +X240706Y89909D01* +X240700Y89972D01* +X240682Y90032D01* +X240641Y90162D01* +X240615Y90296D01* +X240602Y90432D01* +Y90568D01* +X240615Y90704D01* +X240641Y90838D01* +X240681Y90968D01* +X240698Y91029D01* +X240705Y91091D01* +X240701Y91154D01* +X240688Y91216D01* +X240666Y91274D01* +X240634Y91329D01* +X240595Y91378D01* +X240548Y91420D01* +X240495Y91454D01* +X240438Y91480D01* +X240377Y91496D01* +X240314Y91503D01* +X240253Y91500D01* +Y92500D01* +G37* +G36* +X221016Y85978D02*X223079Y85984D01* +X223170Y86006D01* +X223258Y86042D01* +X223338Y86092D01* +X223410Y86153D01* +X223471Y86225D01* +X223521Y86305D01* +X223557Y86393D01* +X223579Y86484D01* +X223584Y86578D01* +X223579Y88642D01* +X223557Y88733D01* +X223521Y88821D01* +X223471Y88901D01* +X223410Y88973D01* +X223338Y89034D01* +X223258Y89084D01* +X223170Y89120D01* +X223079Y89142D01* +X222984Y89148D01* +X220921Y89142D01* +X220830Y89120D01* +X220742Y89084D01* +X220662Y89034D01* +X220590Y88973D01* +X220529Y88901D01* +X220505Y88863D01* +X217168D01* +X217159Y92500D01* +X220416D01* +X220416Y92484D01* +X220421Y90421D01* +X220443Y90330D01* +X220479Y90242D01* +X220529Y90162D01* +X220590Y90090D01* +X220662Y90029D01* +X220742Y89979D01* +X220830Y89943D01* +X220921Y89921D01* +X221016Y89916D01* +X223079Y89921D01* +X223170Y89943D01* +X223258Y89979D01* +X223338Y90029D01* +X223410Y90090D01* +X223471Y90162D01* +X223521Y90242D01* +X223557Y90330D01* +X223579Y90421D01* +X223584Y90516D01* +X223579Y92500D01* +X240253D01* +Y91500D01* +X240251Y91500D01* +X240190Y91487D01* +X240131Y91464D01* +X240077Y91433D01* +X240028Y91393D01* +X239985Y91346D01* +X239951Y91294D01* +X239927Y91236D01* +X239862Y91031D01* +X239821Y90821D01* +X239800Y90607D01* +Y90393D01* +X239821Y90179D01* +X239862Y89969D01* +X239924Y89763D01* +X239950Y89706D01* +X239984Y89653D01* +X240026Y89606D01* +X240076Y89566D01* +X240130Y89534D01* +X240189Y89512D01* +X240251Y89499D01* +X240253Y89499D01* +Y85500D01* +X216842D01* +X216890Y85557D01* +X217014Y85758D01* +X217104Y85976D01* +X217159Y86206D01* +X217162Y86263D01* +X220505D01* +X220529Y86225D01* +X220590Y86153D01* +X220662Y86092D01* +X220742Y86042D01* +X220830Y86006D01* +X220921Y85984D01* +X221016Y85978D01* +G37* +G36* +X239000Y136448D02*Y133142D01* +X228552D01* +X228559Y133260D01* +X228545Y134597D01* +X228498Y134795D01* +X228545Y134993D01* +X228559Y135228D01* +X228546Y136448D01* +X239000D01* +G37* +G36* +X365613Y358000D02*X373550D01* +X375500Y356050D01* +Y328750D01* +X374238D01* +X374236Y329685D01* +X374181Y329915D01* +X374091Y330133D01* +X373967Y330334D01* +X373814Y330514D01* +X373634Y330667D01* +X373433Y330791D01* +X373215Y330881D01* +X372985Y330936D01* +X372750Y330950D01* +X368015Y330936D01* +X367785Y330881D01* +X367567Y330791D01* +X367366Y330667D01* +X367186Y330514D01* +X367033Y330334D01* +X366909Y330133D01* +X366819Y329915D01* +X366764Y329685D01* +X366750Y329450D01* +X366764Y323315D01* +X366819Y323085D01* +X366909Y322867D01* +X367033Y322666D01* +X367186Y322486D01* +X367366Y322333D01* +X367567Y322209D01* +X367785Y322119D01* +X368015Y322064D01* +X368250Y322050D01* +X372985Y322064D01* +X373215Y322119D01* +X373433Y322209D01* +X373634Y322333D01* +X373814Y322486D01* +X373967Y322666D01* +X374091Y322867D01* +X374181Y323085D01* +X374236Y323315D01* +X374250Y323550D01* +X374248Y324250D01* +X375500D01* +Y317450D01* +X373050Y315000D01* +X365613D01* +Y322320D01* +X365634Y322333D01* +X365814Y322486D01* +X365967Y322666D01* +X366091Y322867D01* +X366181Y323085D01* +X366236Y323315D01* +X366250Y323550D01* +X366236Y329685D01* +X366181Y329915D01* +X366091Y330133D01* +X365967Y330334D01* +X365814Y330514D01* +X365634Y330667D01* +X365613Y330680D01* +Y337353D01* +X365656Y337360D01* +X365768Y337397D01* +X365873Y337452D01* +X365968Y337522D01* +X366051Y337606D01* +X366119Y337702D01* +X366170Y337808D01* +X366318Y338216D01* +X366422Y338637D01* +X366484Y339067D01* +X366505Y339500D01* +X366484Y339933D01* +X366422Y340363D01* +X366318Y340784D01* +X366175Y341194D01* +X366122Y341300D01* +X366053Y341396D01* +X365970Y341481D01* +X365875Y341551D01* +X365769Y341606D01* +X365657Y341643D01* +X365613Y341651D01* +Y345130D01* +X365683Y345159D01* +X365884Y345283D01* +X366064Y345436D01* +X366217Y345616D01* +X366341Y345817D01* +X366431Y346035D01* +X366486Y346265D01* +X366500Y346500D01* +X366486Y352735D01* +X366431Y352965D01* +X366341Y353183D01* +X366217Y353384D01* +X366064Y353564D01* +X365884Y353717D01* +X365683Y353841D01* +X365613Y353870D01* +Y358000D01* +G37* +G36* +Y330680D02*X365433Y330791D01* +X365215Y330881D01* +X364985Y330936D01* +X364750Y330950D01* +X362500Y330943D01* +Y335025D01* +X362863Y335078D01* +X363284Y335182D01* +X363694Y335325D01* +X363800Y335378D01* +X363896Y335447D01* +X363981Y335530D01* +X364051Y335625D01* +X364106Y335731D01* +X364143Y335843D01* +X364163Y335960D01* +X364164Y336079D01* +X364146Y336196D01* +X364110Y336309D01* +X364057Y336415D01* +X363988Y336512D01* +X363905Y336596D01* +X363809Y336667D01* +X363704Y336721D01* +X363592Y336759D01* +X363475Y336778D01* +X363356Y336779D01* +X363239Y336761D01* +X363126Y336723D01* +X362855Y336624D01* +X362575Y336556D01* +X362500Y336545D01* +Y342455D01* +X362575Y342444D01* +X362855Y342376D01* +X363128Y342280D01* +X363239Y342242D01* +X363356Y342225D01* +X363474Y342225D01* +X363591Y342245D01* +X363703Y342282D01* +X363807Y342336D01* +X363902Y342406D01* +X363985Y342491D01* +X364054Y342587D01* +X364107Y342692D01* +X364143Y342805D01* +X364160Y342921D01* +X364159Y343039D01* +X364140Y343156D01* +X364103Y343268D01* +X364048Y343373D01* +X363978Y343468D01* +X363894Y343551D01* +X363798Y343619D01* +X363692Y343670D01* +X363284Y343818D01* +X362863Y343922D01* +X362500Y343975D01* +Y345008D01* +X365235Y345014D01* +X365465Y345069D01* +X365613Y345130D01* +Y341651D01* +X365540Y341663D01* +X365421Y341664D01* +X365304Y341646D01* +X365191Y341610D01* +X365085Y341557D01* +X364988Y341488D01* +X364904Y341405D01* +X364833Y341309D01* +X364779Y341204D01* +X364741Y341092D01* +X364722Y340975D01* +X364721Y340856D01* +X364739Y340739D01* +X364777Y340626D01* +X364876Y340355D01* +X364944Y340075D01* +X364986Y339789D01* +X365000Y339500D01* +X364986Y339211D01* +X364944Y338925D01* +X364876Y338645D01* +X364780Y338372D01* +X364742Y338261D01* +X364725Y338144D01* +X364725Y338026D01* +X364745Y337909D01* +X364782Y337797D01* +X364836Y337693D01* +X364906Y337598D01* +X364991Y337515D01* +X365087Y337446D01* +X365192Y337393D01* +X365305Y337357D01* +X365421Y337340D01* +X365539Y337341D01* +X365613Y337353D01* +Y330680D01* +G37* +G36* +Y315000D02*X362500D01* +Y322057D01* +X364985Y322064D01* +X365215Y322119D01* +X365433Y322209D01* +X365613Y322320D01* +Y315000D01* +G37* +G36* +X362500Y358000D02*X365613D01* +Y353870D01* +X365465Y353931D01* +X365235Y353986D01* +X365000Y354000D01* +X362500Y353994D01* +Y358000D01* +G37* +G36* +Y315000D02*X340838D01* +X357883Y332045D01* +X357922Y332078D01* +X358055Y332233D01* +X358055Y332234D01* +X358162Y332408D01* +X358240Y332597D01* +X358288Y332796D01* +X358304Y333000D01* +X358300Y333051D01* +Y337371D01* +X358343Y337357D01* +X358460Y337337D01* +X358579Y337336D01* +X358696Y337354D01* +X358809Y337390D01* +X358915Y337443D01* +X359012Y337512D01* +X359096Y337595D01* +X359167Y337691D01* +X359221Y337796D01* +X359259Y337908D01* +X359278Y338025D01* +X359279Y338144D01* +X359261Y338261D01* +X359223Y338374D01* +X359124Y338645D01* +X359056Y338925D01* +X359014Y339211D01* +X359000Y339500D01* +X359014Y339789D01* +X359056Y340075D01* +X359124Y340355D01* +X359220Y340628D01* +X359258Y340739D01* +X359275Y340856D01* +X359275Y340974D01* +X359255Y341091D01* +X359218Y341203D01* +X359164Y341307D01* +X359094Y341402D01* +X359009Y341485D01* +X358913Y341554D01* +X358808Y341607D01* +X358695Y341643D01* +X358579Y341660D01* +X358461Y341659D01* +X358344Y341640D01* +X358300Y341625D01* +Y343962D01* +X359339Y345001D01* +X362500Y345008D01* +Y343975D01* +X362433Y343984D01* +X362000Y344005D01* +X361567Y343984D01* +X361137Y343922D01* +X360716Y343818D01* +X360306Y343675D01* +X360200Y343622D01* +X360104Y343553D01* +X360019Y343470D01* +X359949Y343375D01* +X359894Y343269D01* +X359857Y343157D01* +X359837Y343040D01* +X359836Y342921D01* +X359854Y342804D01* +X359890Y342691D01* +X359943Y342585D01* +X360012Y342488D01* +X360095Y342404D01* +X360191Y342333D01* +X360296Y342279D01* +X360408Y342241D01* +X360525Y342222D01* +X360644Y342221D01* +X360761Y342239D01* +X360874Y342277D01* +X361145Y342376D01* +X361425Y342444D01* +X361711Y342486D01* +X362000Y342500D01* +X362289Y342486D01* +X362500Y342455D01* +Y336545D01* +X362289Y336514D01* +X362000Y336500D01* +X361711Y336514D01* +X361425Y336556D01* +X361145Y336624D01* +X360872Y336720D01* +X360761Y336758D01* +X360644Y336775D01* +X360526Y336775D01* +X360409Y336755D01* +X360297Y336718D01* +X360193Y336664D01* +X360098Y336594D01* +X360015Y336509D01* +X359946Y336413D01* +X359893Y336308D01* +X359857Y336195D01* +X359840Y336079D01* +X359841Y335961D01* +X359860Y335844D01* +X359897Y335732D01* +X359952Y335627D01* +X360022Y335532D01* +X360106Y335449D01* +X360202Y335381D01* +X360308Y335330D01* +X360716Y335182D01* +X361137Y335078D01* +X361567Y335016D01* +X362000Y334995D01* +X362433Y335016D01* +X362500Y335025D01* +Y330943D01* +X360015Y330936D01* +X359785Y330881D01* +X359567Y330791D01* +X359366Y330667D01* +X359186Y330514D01* +X359033Y330334D01* +X358909Y330133D01* +X358819Y329915D01* +X358764Y329685D01* +X358750Y329450D01* +X358764Y323315D01* +X358819Y323085D01* +X358909Y322867D01* +X359033Y322666D01* +X359186Y322486D01* +X359366Y322333D01* +X359567Y322209D01* +X359785Y322119D01* +X360015Y322064D01* +X360250Y322050D01* +X362500Y322057D01* +Y315000D01* +G37* +G36* +X285613Y337353D02*X285656Y337360D01* +X285700Y337375D01* +Y333038D01* +X285613Y332952D01* +Y337353D01* +G37* +G36* +X357513Y346851D02*X356117Y345455D01* +X356078Y345422D01* +X355945Y345266D01* +X355838Y345092D01* +X355760Y344903D01* +X355712Y344704D01* +X355712Y344704D01* +X355696Y344500D01* +X355700Y344449D01* +Y341629D01* +X355657Y341643D01* +X355540Y341663D01* +X355421Y341664D01* +X355304Y341646D01* +X355191Y341610D01* +X355085Y341557D01* +X354988Y341488D01* +X354904Y341405D01* +X354833Y341309D01* +X354779Y341204D01* +X354741Y341092D01* +X354722Y340975D01* +X354721Y340856D01* +X354739Y340739D01* +X354777Y340626D01* +X354876Y340355D01* +X354944Y340075D01* +X354986Y339789D01* +X355000Y339500D01* +X354986Y339211D01* +X354944Y338925D01* +X354876Y338645D01* +X354780Y338372D01* +X354742Y338261D01* +X354725Y338144D01* +X354725Y338026D01* +X354745Y337909D01* +X354782Y337797D01* +X354836Y337693D01* +X354906Y337598D01* +X354991Y337515D01* +X355087Y337446D01* +X355192Y337393D01* +X355305Y337357D01* +X355421Y337340D01* +X355539Y337341D01* +X355656Y337360D01* +X355700Y337375D01* +Y333538D01* +X352002Y329840D01* +Y334995D01* +X352433Y335016D01* +X352863Y335078D01* +X353284Y335182D01* +X353694Y335325D01* +X353800Y335378D01* +X353896Y335447D01* +X353981Y335530D01* +X354051Y335625D01* +X354106Y335731D01* +X354143Y335843D01* +X354163Y335960D01* +X354164Y336079D01* +X354146Y336196D01* +X354110Y336309D01* +X354057Y336415D01* +X353988Y336512D01* +X353905Y336596D01* +X353809Y336667D01* +X353704Y336721D01* +X353592Y336759D01* +X353475Y336778D01* +X353356Y336779D01* +X353239Y336761D01* +X353126Y336723D01* +X352855Y336624D01* +X352575Y336556D01* +X352289Y336514D01* +X352002Y336500D01* +Y342500D01* +X352289Y342486D01* +X352575Y342444D01* +X352855Y342376D01* +X353128Y342280D01* +X353239Y342242D01* +X353356Y342225D01* +X353474Y342225D01* +X353591Y342245D01* +X353703Y342282D01* +X353807Y342336D01* +X353902Y342406D01* +X353985Y342491D01* +X354054Y342587D01* +X354107Y342692D01* +X354143Y342805D01* +X354160Y342921D01* +X354159Y343039D01* +X354140Y343156D01* +X354103Y343268D01* +X354048Y343373D01* +X353978Y343468D01* +X353894Y343551D01* +X353798Y343619D01* +X353692Y343670D01* +X353284Y343818D01* +X352863Y343922D01* +X352433Y343984D01* +X352002Y344005D01* +Y344986D01* +X352706Y345042D01* +X353395Y345207D01* +X354049Y345478D01* +X354653Y345848D01* +X355192Y346308D01* +X355652Y346847D01* +X356022Y347451D01* +X356293Y348105D01* +X356458Y348794D01* +X356500Y349500D01* +X356458Y350206D01* +X356293Y350895D01* +X356022Y351549D01* +X355652Y352153D01* +X355192Y352692D01* +X354653Y353152D01* +X354049Y353522D01* +X353395Y353793D01* +X352706Y353958D01* +X352002Y354014D01* +Y358000D01* +X362500D01* +Y353994D01* +X358765Y353986D01* +X358535Y353931D01* +X358317Y353841D01* +X358116Y353717D01* +X357936Y353564D01* +X357783Y353384D01* +X357659Y353183D01* +X357569Y352965D01* +X357514Y352735D01* +X357500Y352500D01* +X357513Y346851D01* +G37* +G36* +X352002Y354014D02*X352000Y354014D01* +X351294Y353958D01* +X350605Y353793D01* +X349951Y353522D01* +X349347Y353152D01* +X348808Y352692D01* +X348348Y352153D01* +X347978Y351549D01* +X347707Y350895D01* +X347542Y350206D01* +X347486Y349500D01* +X347542Y348794D01* +X347707Y348105D01* +X347978Y347451D01* +X348029Y347368D01* +X346117Y345455D01* +X346078Y345422D01* +X345945Y345266D01* +X345838Y345092D01* +X345760Y344903D01* +X345712Y344704D01* +X345712Y344704D01* +X345696Y344500D01* +X345700Y344449D01* +Y341629D01* +X345657Y341643D01* +X345540Y341663D01* +X345421Y341664D01* +X345304Y341646D01* +X345191Y341610D01* +X345085Y341557D01* +X344988Y341488D01* +X344904Y341405D01* +X344833Y341309D01* +X344779Y341204D01* +X344741Y341092D01* +X344722Y340975D01* +X344721Y340856D01* +X344739Y340739D01* +X344777Y340626D01* +X344876Y340355D01* +X344944Y340075D01* +X344986Y339789D01* +X345000Y339500D01* +X344986Y339211D01* +X344944Y338925D01* +X344876Y338645D01* +X344780Y338372D01* +X344742Y338261D01* +X344725Y338144D01* +X344725Y338026D01* +X344745Y337909D01* +X344782Y337797D01* +X344836Y337693D01* +X344906Y337598D01* +X344991Y337515D01* +X345087Y337446D01* +X345192Y337393D01* +X345305Y337357D01* +X345421Y337340D01* +X345539Y337341D01* +X345656Y337360D01* +X345700Y337375D01* +Y333538D01* +X342002Y329840D01* +Y334995D01* +X342433Y335016D01* +X342863Y335078D01* +X343284Y335182D01* +X343694Y335325D01* +X343800Y335378D01* +X343896Y335447D01* +X343981Y335530D01* +X344051Y335625D01* +X344106Y335731D01* +X344143Y335843D01* +X344163Y335960D01* +X344164Y336079D01* +X344146Y336196D01* +X344110Y336309D01* +X344057Y336415D01* +X343988Y336512D01* +X343905Y336596D01* +X343809Y336667D01* +X343704Y336721D01* +X343592Y336759D01* +X343475Y336778D01* +X343356Y336779D01* +X343239Y336761D01* +X343126Y336723D01* +X342855Y336624D01* +X342575Y336556D01* +X342289Y336514D01* +X342002Y336500D01* +Y342500D01* +X342289Y342486D01* +X342575Y342444D01* +X342855Y342376D01* +X343128Y342280D01* +X343239Y342242D01* +X343356Y342225D01* +X343474Y342225D01* +X343591Y342245D01* +X343703Y342282D01* +X343807Y342336D01* +X343902Y342406D01* +X343985Y342491D01* +X344054Y342587D01* +X344107Y342692D01* +X344143Y342805D01* +X344160Y342921D01* +X344159Y343039D01* +X344140Y343156D01* +X344103Y343268D01* +X344048Y343373D01* +X343978Y343468D01* +X343894Y343551D01* +X343798Y343619D01* +X343692Y343670D01* +X343284Y343818D01* +X342863Y343922D01* +X342433Y343984D01* +X342002Y344005D01* +Y344986D01* +X342706Y345042D01* +X343395Y345207D01* +X344049Y345478D01* +X344653Y345848D01* +X345192Y346308D01* +X345652Y346847D01* +X346022Y347451D01* +X346293Y348105D01* +X346458Y348794D01* +X346500Y349500D01* +X346458Y350206D01* +X346293Y350895D01* +X346022Y351549D01* +X345652Y352153D01* +X345192Y352692D01* +X344653Y353152D01* +X344049Y353522D01* +X343395Y353793D01* +X342706Y353958D01* +X342002Y354014D01* +Y358000D01* +X352002D01* +Y354014D01* +G37* +G36* +Y329840D02*X342002Y319840D01* +Y326163D01* +X347883Y332045D01* +X347922Y332078D01* +X348055Y332233D01* +X348055Y332234D01* +X348162Y332408D01* +X348240Y332597D01* +X348288Y332796D01* +X348304Y333000D01* +X348300Y333051D01* +Y337371D01* +X348343Y337357D01* +X348460Y337337D01* +X348579Y337336D01* +X348696Y337354D01* +X348809Y337390D01* +X348915Y337443D01* +X349012Y337512D01* +X349096Y337595D01* +X349167Y337691D01* +X349221Y337796D01* +X349259Y337908D01* +X349278Y338025D01* +X349279Y338144D01* +X349261Y338261D01* +X349223Y338374D01* +X349124Y338645D01* +X349056Y338925D01* +X349014Y339211D01* +X349000Y339500D01* +X349014Y339789D01* +X349056Y340075D01* +X349124Y340355D01* +X349220Y340628D01* +X349258Y340739D01* +X349275Y340856D01* +X349275Y340974D01* +X349255Y341091D01* +X349218Y341203D01* +X349164Y341307D01* +X349094Y341402D01* +X349009Y341485D01* +X348913Y341554D01* +X348808Y341607D01* +X348695Y341643D01* +X348579Y341660D01* +X348461Y341659D01* +X348344Y341640D01* +X348300Y341625D01* +Y343962D01* +X349868Y345529D01* +X349951Y345478D01* +X350605Y345207D01* +X351294Y345042D01* +X352000Y344986D01* +X352002Y344986D01* +Y344005D01* +X352000Y344005D01* +X351567Y343984D01* +X351137Y343922D01* +X350716Y343818D01* +X350306Y343675D01* +X350200Y343622D01* +X350104Y343553D01* +X350019Y343470D01* +X349949Y343375D01* +X349894Y343269D01* +X349857Y343157D01* +X349837Y343040D01* +X349836Y342921D01* +X349854Y342804D01* +X349890Y342691D01* +X349943Y342585D01* +X350012Y342488D01* +X350095Y342404D01* +X350191Y342333D01* +X350296Y342279D01* +X350408Y342241D01* +X350525Y342222D01* +X350644Y342221D01* +X350761Y342239D01* +X350874Y342277D01* +X351145Y342376D01* +X351425Y342444D01* +X351711Y342486D01* +X352000Y342500D01* +X352002Y342500D01* +Y336500D01* +X352000Y336500D01* +X351711Y336514D01* +X351425Y336556D01* +X351145Y336624D01* +X350872Y336720D01* +X350761Y336758D01* +X350644Y336775D01* +X350526Y336775D01* +X350409Y336755D01* +X350297Y336718D01* +X350193Y336664D01* +X350098Y336594D01* +X350015Y336509D01* +X349946Y336413D01* +X349893Y336308D01* +X349857Y336195D01* +X349840Y336079D01* +X349841Y335961D01* +X349860Y335844D01* +X349897Y335732D01* +X349952Y335627D01* +X350022Y335532D01* +X350106Y335449D01* +X350202Y335381D01* +X350308Y335330D01* +X350716Y335182D01* +X351137Y335078D01* +X351567Y335016D01* +X352000Y334995D01* +X352002Y334995D01* +Y329840D01* +G37* +G36* +X342002Y354014D02*X342000Y354014D01* +X341294Y353958D01* +X340605Y353793D01* +X339951Y353522D01* +X339347Y353152D01* +X338808Y352692D01* +X338348Y352153D01* +X337978Y351549D01* +X337707Y350895D01* +X337542Y350206D01* +X337486Y349500D01* +X337542Y348794D01* +X337707Y348105D01* +X337978Y347451D01* +X338029Y347368D01* +X336117Y345455D01* +X336078Y345422D01* +X335945Y345266D01* +X335838Y345092D01* +X335760Y344903D01* +X335712Y344704D01* +X335712Y344704D01* +X335696Y344500D01* +X335700Y344449D01* +Y341629D01* +X335657Y341643D01* +X335540Y341663D01* +X335421Y341664D01* +X335304Y341646D01* +X335191Y341610D01* +X335085Y341557D01* +X334988Y341488D01* +X334904Y341405D01* +X334833Y341309D01* +X334779Y341204D01* +X334741Y341092D01* +X334722Y340975D01* +X334721Y340856D01* +X334739Y340739D01* +X334777Y340626D01* +X334876Y340355D01* +X334944Y340075D01* +X334986Y339789D01* +X335000Y339500D01* +X334986Y339211D01* +X334944Y338925D01* +X334876Y338645D01* +X334780Y338372D01* +X334742Y338261D01* +X334725Y338144D01* +X334725Y338026D01* +X334745Y337909D01* +X334782Y337797D01* +X334836Y337693D01* +X334906Y337598D01* +X334991Y337515D01* +X335087Y337446D01* +X335192Y337393D01* +X335305Y337357D01* +X335421Y337340D01* +X335539Y337341D01* +X335656Y337360D01* +X335700Y337375D01* +Y333538D01* +X332002Y329840D01* +Y334995D01* +X332433Y335016D01* +X332863Y335078D01* +X333284Y335182D01* +X333694Y335325D01* +X333800Y335378D01* +X333896Y335447D01* +X333981Y335530D01* +X334051Y335625D01* +X334106Y335731D01* +X334143Y335843D01* +X334163Y335960D01* +X334164Y336079D01* +X334146Y336196D01* +X334110Y336309D01* +X334057Y336415D01* +X333988Y336512D01* +X333905Y336596D01* +X333809Y336667D01* +X333704Y336721D01* +X333592Y336759D01* +X333475Y336778D01* +X333356Y336779D01* +X333239Y336761D01* +X333126Y336723D01* +X332855Y336624D01* +X332575Y336556D01* +X332289Y336514D01* +X332002Y336500D01* +Y342500D01* +X332289Y342486D01* +X332575Y342444D01* +X332855Y342376D01* +X333128Y342280D01* +X333239Y342242D01* +X333356Y342225D01* +X333474Y342225D01* +X333591Y342245D01* +X333703Y342282D01* +X333807Y342336D01* +X333902Y342406D01* +X333985Y342491D01* +X334054Y342587D01* +X334107Y342692D01* +X334143Y342805D01* +X334160Y342921D01* +X334159Y343039D01* +X334140Y343156D01* +X334103Y343268D01* +X334048Y343373D01* +X333978Y343468D01* +X333894Y343551D01* +X333798Y343619D01* +X333692Y343670D01* +X333284Y343818D01* +X332863Y343922D01* +X332433Y343984D01* +X332002Y344005D01* +Y344986D01* +X332706Y345042D01* +X333395Y345207D01* +X334049Y345478D01* +X334653Y345848D01* +X335192Y346308D01* +X335652Y346847D01* +X336022Y347451D01* +X336293Y348105D01* +X336458Y348794D01* +X336500Y349500D01* +X336458Y350206D01* +X336293Y350895D01* +X336022Y351549D01* +X335652Y352153D01* +X335192Y352692D01* +X334653Y353152D01* +X334049Y353522D01* +X333395Y353793D01* +X332706Y353958D01* +X332002Y354014D01* +Y358000D01* +X342002D01* +Y354014D01* +G37* +G36* +Y329840D02*X332002Y319840D01* +Y326163D01* +X337883Y332045D01* +X337922Y332078D01* +X338055Y332233D01* +X338055Y332234D01* +X338162Y332408D01* +X338240Y332597D01* +X338288Y332796D01* +X338304Y333000D01* +X338300Y333051D01* +Y337371D01* +X338343Y337357D01* +X338460Y337337D01* +X338579Y337336D01* +X338696Y337354D01* +X338809Y337390D01* +X338915Y337443D01* +X339012Y337512D01* +X339096Y337595D01* +X339167Y337691D01* +X339221Y337796D01* +X339259Y337908D01* +X339278Y338025D01* +X339279Y338144D01* +X339261Y338261D01* +X339223Y338374D01* +X339124Y338645D01* +X339056Y338925D01* +X339014Y339211D01* +X339000Y339500D01* +X339014Y339789D01* +X339056Y340075D01* +X339124Y340355D01* +X339220Y340628D01* +X339258Y340739D01* +X339275Y340856D01* +X339275Y340974D01* +X339255Y341091D01* +X339218Y341203D01* +X339164Y341307D01* +X339094Y341402D01* +X339009Y341485D01* +X338913Y341554D01* +X338808Y341607D01* +X338695Y341643D01* +X338579Y341660D01* +X338461Y341659D01* +X338344Y341640D01* +X338300Y341625D01* +Y343962D01* +X339868Y345529D01* +X339951Y345478D01* +X340605Y345207D01* +X341294Y345042D01* +X342000Y344986D01* +X342002Y344986D01* +Y344005D01* +X342000Y344005D01* +X341567Y343984D01* +X341137Y343922D01* +X340716Y343818D01* +X340306Y343675D01* +X340200Y343622D01* +X340104Y343553D01* +X340019Y343470D01* +X339949Y343375D01* +X339894Y343269D01* +X339857Y343157D01* +X339837Y343040D01* +X339836Y342921D01* +X339854Y342804D01* +X339890Y342691D01* +X339943Y342585D01* +X340012Y342488D01* +X340095Y342404D01* +X340191Y342333D01* +X340296Y342279D01* +X340408Y342241D01* +X340525Y342222D01* +X340644Y342221D01* +X340761Y342239D01* +X340874Y342277D01* +X341145Y342376D01* +X341425Y342444D01* +X341711Y342486D01* +X342000Y342500D01* +X342002Y342500D01* +Y336500D01* +X342000Y336500D01* +X341711Y336514D01* +X341425Y336556D01* +X341145Y336624D01* +X340872Y336720D01* +X340761Y336758D01* +X340644Y336775D01* +X340526Y336775D01* +X340409Y336755D01* +X340297Y336718D01* +X340193Y336664D01* +X340098Y336594D01* +X340015Y336509D01* +X339946Y336413D01* +X339893Y336308D01* +X339857Y336195D01* +X339840Y336079D01* +X339841Y335961D01* +X339860Y335844D01* +X339897Y335732D01* +X339952Y335627D01* +X340022Y335532D01* +X340106Y335449D01* +X340202Y335381D01* +X340308Y335330D01* +X340716Y335182D01* +X341137Y335078D01* +X341567Y335016D01* +X342000Y334995D01* +X342002Y334995D01* +Y329840D01* +G37* +G36* +Y319840D02*X337162Y315000D01* +X332002D01* +Y316163D01* +X342002Y326163D01* +Y319840D01* +G37* +G36* +X332002Y354014D02*X332000Y354014D01* +X331294Y353958D01* +X330605Y353793D01* +X329951Y353522D01* +X329347Y353152D01* +X328808Y352692D01* +X328348Y352153D01* +X327978Y351549D01* +X327707Y350895D01* +X327542Y350206D01* +X327486Y349500D01* +X327542Y348794D01* +X327707Y348105D01* +X327978Y347451D01* +X328029Y347368D01* +X326117Y345455D01* +X326078Y345422D01* +X325945Y345266D01* +X325838Y345092D01* +X325760Y344903D01* +X325712Y344704D01* +X325712Y344704D01* +X325696Y344500D01* +X325700Y344449D01* +Y341629D01* +X325657Y341643D01* +X325540Y341663D01* +X325421Y341664D01* +X325304Y341646D01* +X325191Y341610D01* +X325085Y341557D01* +X324988Y341488D01* +X324904Y341405D01* +X324833Y341309D01* +X324779Y341204D01* +X324741Y341092D01* +X324722Y340975D01* +X324721Y340856D01* +X324739Y340739D01* +X324777Y340626D01* +X324876Y340355D01* +X324944Y340075D01* +X324986Y339789D01* +X325000Y339500D01* +X324986Y339211D01* +X324944Y338925D01* +X324876Y338645D01* +X324780Y338372D01* +X324742Y338261D01* +X324725Y338144D01* +X324725Y338026D01* +X324745Y337909D01* +X324782Y337797D01* +X324836Y337693D01* +X324906Y337598D01* +X324991Y337515D01* +X325087Y337446D01* +X325192Y337393D01* +X325305Y337357D01* +X325421Y337340D01* +X325539Y337341D01* +X325656Y337360D01* +X325700Y337375D01* +Y333538D01* +X322002Y329840D01* +Y334995D01* +X322433Y335016D01* +X322863Y335078D01* +X323284Y335182D01* +X323694Y335325D01* +X323800Y335378D01* +X323896Y335447D01* +X323981Y335530D01* +X324051Y335625D01* +X324106Y335731D01* +X324143Y335843D01* +X324163Y335960D01* +X324164Y336079D01* +X324146Y336196D01* +X324110Y336309D01* +X324057Y336415D01* +X323988Y336512D01* +X323905Y336596D01* +X323809Y336667D01* +X323704Y336721D01* +X323592Y336759D01* +X323475Y336778D01* +X323356Y336779D01* +X323239Y336761D01* +X323126Y336723D01* +X322855Y336624D01* +X322575Y336556D01* +X322289Y336514D01* +X322002Y336500D01* +Y342500D01* +X322289Y342486D01* +X322575Y342444D01* +X322855Y342376D01* +X323128Y342280D01* +X323239Y342242D01* +X323356Y342225D01* +X323474Y342225D01* +X323591Y342245D01* +X323703Y342282D01* +X323807Y342336D01* +X323902Y342406D01* +X323985Y342491D01* +X324054Y342587D01* +X324107Y342692D01* +X324143Y342805D01* +X324160Y342921D01* +X324159Y343039D01* +X324140Y343156D01* +X324103Y343268D01* +X324048Y343373D01* +X323978Y343468D01* +X323894Y343551D01* +X323798Y343619D01* +X323692Y343670D01* +X323284Y343818D01* +X322863Y343922D01* +X322433Y343984D01* +X322002Y344005D01* +Y344986D01* +X322706Y345042D01* +X323395Y345207D01* +X324049Y345478D01* +X324653Y345848D01* +X325192Y346308D01* +X325652Y346847D01* +X326022Y347451D01* +X326293Y348105D01* +X326458Y348794D01* +X326500Y349500D01* +X326458Y350206D01* +X326293Y350895D01* +X326022Y351549D01* +X325652Y352153D01* +X325192Y352692D01* +X324653Y353152D01* +X324049Y353522D01* +X323395Y353793D01* +X322706Y353958D01* +X322002Y354014D01* +Y358000D01* +X332002D01* +Y354014D01* +G37* +G36* +Y329840D02*X322002Y319840D01* +Y326163D01* +X327883Y332045D01* +X327922Y332078D01* +X328055Y332233D01* +X328055Y332234D01* +X328162Y332408D01* +X328240Y332597D01* +X328288Y332796D01* +X328304Y333000D01* +X328300Y333051D01* +Y337371D01* +X328343Y337357D01* +X328460Y337337D01* +X328579Y337336D01* +X328696Y337354D01* +X328809Y337390D01* +X328915Y337443D01* +X329012Y337512D01* +X329096Y337595D01* +X329167Y337691D01* +X329221Y337796D01* +X329259Y337908D01* +X329278Y338025D01* +X329279Y338144D01* +X329261Y338261D01* +X329223Y338374D01* +X329124Y338645D01* +X329056Y338925D01* +X329014Y339211D01* +X329000Y339500D01* +X329014Y339789D01* +X329056Y340075D01* +X329124Y340355D01* +X329220Y340628D01* +X329258Y340739D01* +X329275Y340856D01* +X329275Y340974D01* +X329255Y341091D01* +X329218Y341203D01* +X329164Y341307D01* +X329094Y341402D01* +X329009Y341485D01* +X328913Y341554D01* +X328808Y341607D01* +X328695Y341643D01* +X328579Y341660D01* +X328461Y341659D01* +X328344Y341640D01* +X328300Y341625D01* +Y343962D01* +X329868Y345529D01* +X329951Y345478D01* +X330605Y345207D01* +X331294Y345042D01* +X332000Y344986D01* +X332002Y344986D01* +Y344005D01* +X332000Y344005D01* +X331567Y343984D01* +X331137Y343922D01* +X330716Y343818D01* +X330306Y343675D01* +X330200Y343622D01* +X330104Y343553D01* +X330019Y343470D01* +X329949Y343375D01* +X329894Y343269D01* +X329857Y343157D01* +X329837Y343040D01* +X329836Y342921D01* +X329854Y342804D01* +X329890Y342691D01* +X329943Y342585D01* +X330012Y342488D01* +X330095Y342404D01* +X330191Y342333D01* +X330296Y342279D01* +X330408Y342241D01* +X330525Y342222D01* +X330644Y342221D01* +X330761Y342239D01* +X330874Y342277D01* +X331145Y342376D01* +X331425Y342444D01* +X331711Y342486D01* +X332000Y342500D01* +X332002Y342500D01* +Y336500D01* +X332000Y336500D01* +X331711Y336514D01* +X331425Y336556D01* +X331145Y336624D01* +X330872Y336720D01* +X330761Y336758D01* +X330644Y336775D01* +X330526Y336775D01* +X330409Y336755D01* +X330297Y336718D01* +X330193Y336664D01* +X330098Y336594D01* +X330015Y336509D01* +X329946Y336413D01* +X329893Y336308D01* +X329857Y336195D01* +X329840Y336079D01* +X329841Y335961D01* +X329860Y335844D01* +X329897Y335732D01* +X329952Y335627D01* +X330022Y335532D01* +X330106Y335449D01* +X330202Y335381D01* +X330308Y335330D01* +X330716Y335182D01* +X331137Y335078D01* +X331567Y335016D01* +X332000Y334995D01* +X332002Y334995D01* +Y329840D01* +G37* +G36* +Y319840D02*X327162Y315000D01* +X322002D01* +Y316163D01* +X332002Y326163D01* +Y319840D01* +G37* +G36* +Y315000D02*X330838D01* +X332002Y316163D01* +Y315000D01* +G37* +G36* +X322002Y354014D02*X322000Y354014D01* +X321294Y353958D01* +X320605Y353793D01* +X319951Y353522D01* +X319347Y353152D01* +X318808Y352692D01* +X318348Y352153D01* +X317978Y351549D01* +X317707Y350895D01* +X317542Y350206D01* +X317486Y349500D01* +X317542Y348794D01* +X317707Y348105D01* +X317978Y347451D01* +X318219Y347058D01* +X316117Y344955D01* +X316078Y344922D01* +X315945Y344766D01* +X315838Y344592D01* +X315760Y344403D01* +X315712Y344204D01* +X315712Y344204D01* +X315696Y344000D01* +X315700Y343949D01* +Y341629D01* +X315657Y341643D01* +X315540Y341663D01* +X315421Y341664D01* +X315304Y341646D01* +X315191Y341610D01* +X315085Y341557D01* +X314988Y341488D01* +X314904Y341405D01* +X314833Y341309D01* +X314779Y341204D01* +X314741Y341092D01* +X314722Y340975D01* +X314721Y340856D01* +X314739Y340739D01* +X314777Y340626D01* +X314876Y340355D01* +X314944Y340075D01* +X314986Y339789D01* +X315000Y339500D01* +X314986Y339211D01* +X314944Y338925D01* +X314876Y338645D01* +X314780Y338372D01* +X314742Y338261D01* +X314725Y338144D01* +X314725Y338026D01* +X314745Y337909D01* +X314782Y337797D01* +X314836Y337693D01* +X314906Y337598D01* +X314991Y337515D01* +X315087Y337446D01* +X315192Y337393D01* +X315305Y337357D01* +X315421Y337340D01* +X315539Y337341D01* +X315656Y337360D01* +X315700Y337375D01* +Y336038D01* +X312002Y332340D01* +Y334995D01* +X312433Y335016D01* +X312863Y335078D01* +X313284Y335182D01* +X313694Y335325D01* +X313800Y335378D01* +X313896Y335447D01* +X313981Y335530D01* +X314051Y335625D01* +X314106Y335731D01* +X314143Y335843D01* +X314163Y335960D01* +X314164Y336079D01* +X314146Y336196D01* +X314110Y336309D01* +X314057Y336415D01* +X313988Y336512D01* +X313905Y336596D01* +X313809Y336667D01* +X313704Y336721D01* +X313592Y336759D01* +X313475Y336778D01* +X313356Y336779D01* +X313239Y336761D01* +X313126Y336723D01* +X312855Y336624D01* +X312575Y336556D01* +X312289Y336514D01* +X312002Y336500D01* +Y342500D01* +X312289Y342486D01* +X312575Y342444D01* +X312855Y342376D01* +X313128Y342280D01* +X313239Y342242D01* +X313356Y342225D01* +X313474Y342225D01* +X313591Y342245D01* +X313703Y342282D01* +X313807Y342336D01* +X313902Y342406D01* +X313985Y342491D01* +X314054Y342587D01* +X314107Y342692D01* +X314143Y342805D01* +X314160Y342921D01* +X314159Y343039D01* +X314140Y343156D01* +X314103Y343268D01* +X314048Y343373D01* +X313978Y343468D01* +X313894Y343551D01* +X313798Y343619D01* +X313692Y343670D01* +X313284Y343818D01* +X312863Y343922D01* +X312433Y343984D01* +X312002Y344005D01* +Y344986D01* +X312706Y345042D01* +X313395Y345207D01* +X314049Y345478D01* +X314653Y345848D01* +X315192Y346308D01* +X315652Y346847D01* +X316022Y347451D01* +X316293Y348105D01* +X316458Y348794D01* +X316500Y349500D01* +X316458Y350206D01* +X316293Y350895D01* +X316022Y351549D01* +X315652Y352153D01* +X315192Y352692D01* +X314653Y353152D01* +X314049Y353522D01* +X313395Y353793D01* +X312706Y353958D01* +X312002Y354014D01* +Y358000D01* +X322002D01* +Y354014D01* +G37* +G36* +Y329840D02*X312002Y319840D01* +Y328663D01* +X317883Y334545D01* +X317922Y334578D01* +X318055Y334733D01* +X318055Y334734D01* +X318162Y334908D01* +X318240Y335097D01* +X318288Y335296D01* +X318304Y335500D01* +X318300Y335551D01* +Y337371D01* +X318343Y337357D01* +X318460Y337337D01* +X318579Y337336D01* +X318696Y337354D01* +X318809Y337390D01* +X318915Y337443D01* +X319012Y337512D01* +X319096Y337595D01* +X319167Y337691D01* +X319221Y337796D01* +X319259Y337908D01* +X319278Y338025D01* +X319279Y338144D01* +X319261Y338261D01* +X319223Y338374D01* +X319124Y338645D01* +X319056Y338925D01* +X319014Y339211D01* +X319000Y339500D01* +X319014Y339789D01* +X319056Y340075D01* +X319124Y340355D01* +X319220Y340628D01* +X319258Y340739D01* +X319275Y340856D01* +X319275Y340974D01* +X319255Y341091D01* +X319218Y341203D01* +X319164Y341307D01* +X319094Y341402D01* +X319009Y341485D01* +X318913Y341554D01* +X318808Y341607D01* +X318695Y341643D01* +X318579Y341660D01* +X318461Y341659D01* +X318344Y341640D01* +X318300Y341625D01* +Y343462D01* +X320209Y345371D01* +X320605Y345207D01* +X321294Y345042D01* +X322000Y344986D01* +X322002Y344986D01* +Y344005D01* +X322000Y344005D01* +X321567Y343984D01* +X321137Y343922D01* +X320716Y343818D01* +X320306Y343675D01* +X320200Y343622D01* +X320104Y343553D01* +X320019Y343470D01* +X319949Y343375D01* +X319894Y343269D01* +X319857Y343157D01* +X319837Y343040D01* +X319836Y342921D01* +X319854Y342804D01* +X319890Y342691D01* +X319943Y342585D01* +X320012Y342488D01* +X320095Y342404D01* +X320191Y342333D01* +X320296Y342279D01* +X320408Y342241D01* +X320525Y342222D01* +X320644Y342221D01* +X320761Y342239D01* +X320874Y342277D01* +X321145Y342376D01* +X321425Y342444D01* +X321711Y342486D01* +X322000Y342500D01* +X322002Y342500D01* +Y336500D01* +X322000Y336500D01* +X321711Y336514D01* +X321425Y336556D01* +X321145Y336624D01* +X320872Y336720D01* +X320761Y336758D01* +X320644Y336775D01* +X320526Y336775D01* +X320409Y336755D01* +X320297Y336718D01* +X320193Y336664D01* +X320098Y336594D01* +X320015Y336509D01* +X319946Y336413D01* +X319893Y336308D01* +X319857Y336195D01* +X319840Y336079D01* +X319841Y335961D01* +X319860Y335844D01* +X319897Y335732D01* +X319952Y335627D01* +X320022Y335532D01* +X320106Y335449D01* +X320202Y335381D01* +X320308Y335330D01* +X320716Y335182D01* +X321137Y335078D01* +X321567Y335016D01* +X322000Y334995D01* +X322002Y334995D01* +Y329840D01* +G37* +G36* +Y319840D02*X317162Y315000D01* +X312002D01* +Y316163D01* +X322002Y326163D01* +Y319840D01* +G37* +G36* +Y315000D02*X320838D01* +X322002Y316163D01* +Y315000D01* +G37* +G36* +X312002Y354014D02*X312000Y354014D01* +X311294Y353958D01* +X310605Y353793D01* +X309951Y353522D01* +X309347Y353152D01* +X308808Y352692D01* +X308348Y352153D01* +X307978Y351549D01* +X307707Y350895D01* +X307542Y350206D01* +X307486Y349500D01* +X307542Y348794D01* +X307707Y348105D01* +X307871Y347709D01* +X306117Y345955D01* +X306078Y345922D01* +X305945Y345766D01* +X305838Y345592D01* +X305760Y345403D01* +X305712Y345204D01* +X305712Y345204D01* +X305696Y345000D01* +X305700Y344949D01* +Y341629D01* +X305657Y341643D01* +X305540Y341663D01* +X305421Y341664D01* +X305304Y341646D01* +X305191Y341610D01* +X305085Y341557D01* +X304988Y341488D01* +X304904Y341405D01* +X304833Y341309D01* +X304779Y341204D01* +X304741Y341092D01* +X304722Y340975D01* +X304721Y340856D01* +X304739Y340739D01* +X304777Y340626D01* +X304876Y340355D01* +X304944Y340075D01* +X304986Y339789D01* +X305000Y339500D01* +X304986Y339211D01* +X304944Y338925D01* +X304876Y338645D01* +X304780Y338372D01* +X304742Y338261D01* +X304725Y338144D01* +X304725Y338026D01* +X304745Y337909D01* +X304782Y337797D01* +X304836Y337693D01* +X304906Y337598D01* +X304991Y337515D01* +X305087Y337446D01* +X305192Y337393D01* +X305305Y337357D01* +X305421Y337340D01* +X305539Y337341D01* +X305656Y337360D01* +X305700Y337375D01* +Y337038D01* +X302002Y333340D01* +Y334995D01* +X302433Y335016D01* +X302863Y335078D01* +X303284Y335182D01* +X303694Y335325D01* +X303800Y335378D01* +X303896Y335447D01* +X303981Y335530D01* +X304051Y335625D01* +X304106Y335731D01* +X304143Y335843D01* +X304163Y335960D01* +X304164Y336079D01* +X304146Y336196D01* +X304110Y336309D01* +X304057Y336415D01* +X303988Y336512D01* +X303905Y336596D01* +X303809Y336667D01* +X303704Y336721D01* +X303592Y336759D01* +X303475Y336778D01* +X303356Y336779D01* +X303239Y336761D01* +X303126Y336723D01* +X302855Y336624D01* +X302575Y336556D01* +X302289Y336514D01* +X302002Y336500D01* +Y342500D01* +X302289Y342486D01* +X302575Y342444D01* +X302855Y342376D01* +X303128Y342280D01* +X303239Y342242D01* +X303356Y342225D01* +X303474Y342225D01* +X303591Y342245D01* +X303703Y342282D01* +X303807Y342336D01* +X303902Y342406D01* +X303985Y342491D01* +X304054Y342587D01* +X304107Y342692D01* +X304143Y342805D01* +X304160Y342921D01* +X304159Y343039D01* +X304140Y343156D01* +X304103Y343268D01* +X304048Y343373D01* +X303978Y343468D01* +X303894Y343551D01* +X303798Y343619D01* +X303692Y343670D01* +X303284Y343818D01* +X302863Y343922D01* +X302433Y343984D01* +X302002Y344005D01* +Y344986D01* +X302706Y345042D01* +X303395Y345207D01* +X304049Y345478D01* +X304653Y345848D01* +X305192Y346308D01* +X305652Y346847D01* +X306022Y347451D01* +X306293Y348105D01* +X306458Y348794D01* +X306500Y349500D01* +X306458Y350206D01* +X306293Y350895D01* +X306022Y351549D01* +X305652Y352153D01* +X305192Y352692D01* +X304653Y353152D01* +X304049Y353522D01* +X303395Y353793D01* +X302706Y353958D01* +X302002Y354014D01* +Y358000D01* +X312002D01* +Y354014D01* +G37* +G36* +Y332340D02*X302002Y322340D01* +Y329663D01* +X307883Y335545D01* +X307922Y335578D01* +X308055Y335733D01* +X308055Y335734D01* +X308162Y335908D01* +X308240Y336097D01* +X308288Y336296D01* +X308304Y336500D01* +X308300Y336551D01* +Y337371D01* +X308343Y337357D01* +X308460Y337337D01* +X308579Y337336D01* +X308696Y337354D01* +X308809Y337390D01* +X308915Y337443D01* +X309012Y337512D01* +X309096Y337595D01* +X309167Y337691D01* +X309221Y337796D01* +X309259Y337908D01* +X309278Y338025D01* +X309279Y338144D01* +X309261Y338261D01* +X309223Y338374D01* +X309124Y338645D01* +X309056Y338925D01* +X309014Y339211D01* +X309000Y339500D01* +X309014Y339789D01* +X309056Y340075D01* +X309124Y340355D01* +X309220Y340628D01* +X309258Y340739D01* +X309275Y340856D01* +X309275Y340974D01* +X309255Y341091D01* +X309218Y341203D01* +X309164Y341307D01* +X309094Y341402D01* +X309009Y341485D01* +X308913Y341554D01* +X308808Y341607D01* +X308695Y341643D01* +X308579Y341660D01* +X308461Y341659D01* +X308344Y341640D01* +X308300Y341625D01* +Y344462D01* +X309558Y345719D01* +X309951Y345478D01* +X310605Y345207D01* +X311294Y345042D01* +X312000Y344986D01* +X312002Y344986D01* +Y344005D01* +X312000Y344005D01* +X311567Y343984D01* +X311137Y343922D01* +X310716Y343818D01* +X310306Y343675D01* +X310200Y343622D01* +X310104Y343553D01* +X310019Y343470D01* +X309949Y343375D01* +X309894Y343269D01* +X309857Y343157D01* +X309837Y343040D01* +X309836Y342921D01* +X309854Y342804D01* +X309890Y342691D01* +X309943Y342585D01* +X310012Y342488D01* +X310095Y342404D01* +X310191Y342333D01* +X310296Y342279D01* +X310408Y342241D01* +X310525Y342222D01* +X310644Y342221D01* +X310761Y342239D01* +X310874Y342277D01* +X311145Y342376D01* +X311425Y342444D01* +X311711Y342486D01* +X312000Y342500D01* +X312002Y342500D01* +Y336500D01* +X312000Y336500D01* +X311711Y336514D01* +X311425Y336556D01* +X311145Y336624D01* +X310872Y336720D01* +X310761Y336758D01* +X310644Y336775D01* +X310526Y336775D01* +X310409Y336755D01* +X310297Y336718D01* +X310193Y336664D01* +X310098Y336594D01* +X310015Y336509D01* +X309946Y336413D01* +X309893Y336308D01* +X309857Y336195D01* +X309840Y336079D01* +X309841Y335961D01* +X309860Y335844D01* +X309897Y335732D01* +X309952Y335627D01* +X310022Y335532D01* +X310106Y335449D01* +X310202Y335381D01* +X310308Y335330D01* +X310716Y335182D01* +X311137Y335078D01* +X311567Y335016D01* +X312000Y334995D01* +X312002Y334995D01* +Y332340D01* +G37* +G36* +Y319840D02*X307162Y315000D01* +X302002D01* +Y318663D01* +X312002Y328663D01* +Y319840D01* +G37* +G36* +Y315000D02*X310838D01* +X312002Y316163D01* +Y315000D01* +G37* +G36* +X302002Y354014D02*X302000Y354014D01* +X301294Y353958D01* +X300605Y353793D01* +X299951Y353522D01* +X299347Y353152D01* +X298808Y352692D01* +X298348Y352153D01* +X297978Y351549D01* +X297707Y350895D01* +X297542Y350206D01* +X297486Y349500D01* +X297542Y348794D01* +X297707Y348105D01* +X297871Y347709D01* +X296117Y345955D01* +X296078Y345922D01* +X295945Y345766D01* +X295838Y345592D01* +X295760Y345403D01* +X295712Y345204D01* +X295712Y345204D01* +X295696Y345000D01* +X295700Y344949D01* +Y341629D01* +X295657Y341643D01* +X295540Y341663D01* +X295421Y341664D01* +X295304Y341646D01* +X295191Y341610D01* +X295085Y341557D01* +X294988Y341488D01* +X294904Y341405D01* +X294833Y341309D01* +X294779Y341204D01* +X294741Y341092D01* +X294722Y340975D01* +X294721Y340856D01* +X294739Y340739D01* +X294777Y340626D01* +X294876Y340355D01* +X294944Y340075D01* +X294986Y339789D01* +X295000Y339500D01* +X294986Y339211D01* +X294944Y338925D01* +X294876Y338645D01* +X294780Y338372D01* +X294742Y338261D01* +X294725Y338144D01* +X294725Y338026D01* +X294745Y337909D01* +X294782Y337797D01* +X294836Y337693D01* +X294906Y337598D01* +X294991Y337515D01* +X295087Y337446D01* +X295192Y337393D01* +X295305Y337357D01* +X295421Y337340D01* +X295539Y337341D01* +X295656Y337360D01* +X295700Y337375D01* +Y334038D01* +X292002Y330340D01* +Y334995D01* +X292433Y335016D01* +X292863Y335078D01* +X293284Y335182D01* +X293694Y335325D01* +X293800Y335378D01* +X293896Y335447D01* +X293981Y335530D01* +X294051Y335625D01* +X294106Y335731D01* +X294143Y335843D01* +X294163Y335960D01* +X294164Y336079D01* +X294146Y336196D01* +X294110Y336309D01* +X294057Y336415D01* +X293988Y336512D01* +X293905Y336596D01* +X293809Y336667D01* +X293704Y336721D01* +X293592Y336759D01* +X293475Y336778D01* +X293356Y336779D01* +X293239Y336761D01* +X293126Y336723D01* +X292855Y336624D01* +X292575Y336556D01* +X292289Y336514D01* +X292002Y336500D01* +Y342500D01* +X292289Y342486D01* +X292575Y342444D01* +X292855Y342376D01* +X293128Y342280D01* +X293239Y342242D01* +X293356Y342225D01* +X293474Y342225D01* +X293591Y342245D01* +X293703Y342282D01* +X293807Y342336D01* +X293902Y342406D01* +X293985Y342491D01* +X294054Y342587D01* +X294107Y342692D01* +X294143Y342805D01* +X294160Y342921D01* +X294159Y343039D01* +X294140Y343156D01* +X294103Y343268D01* +X294048Y343373D01* +X293978Y343468D01* +X293894Y343551D01* +X293798Y343619D01* +X293692Y343670D01* +X293284Y343818D01* +X292863Y343922D01* +X292433Y343984D01* +X292002Y344005D01* +Y344986D01* +X292706Y345042D01* +X293395Y345207D01* +X294049Y345478D01* +X294653Y345848D01* +X295192Y346308D01* +X295652Y346847D01* +X296022Y347451D01* +X296293Y348105D01* +X296458Y348794D01* +X296500Y349500D01* +X296458Y350206D01* +X296293Y350895D01* +X296022Y351549D01* +X295652Y352153D01* +X295192Y352692D01* +X294653Y353152D01* +X294049Y353522D01* +X293395Y353793D01* +X292706Y353958D01* +X292002Y354014D01* +Y358000D01* +X302002D01* +Y354014D01* +G37* +G36* +Y333340D02*X292002Y323340D01* +Y326663D01* +X297883Y332545D01* +X297922Y332578D01* +X298055Y332733D01* +X298055Y332734D01* +X298162Y332908D01* +X298240Y333097D01* +X298288Y333296D01* +X298304Y333500D01* +X298300Y333551D01* +Y337371D01* +X298343Y337357D01* +X298460Y337337D01* +X298579Y337336D01* +X298696Y337354D01* +X298809Y337390D01* +X298915Y337443D01* +X299012Y337512D01* +X299096Y337595D01* +X299167Y337691D01* +X299221Y337796D01* +X299259Y337908D01* +X299278Y338025D01* +X299279Y338144D01* +X299261Y338261D01* +X299223Y338374D01* +X299124Y338645D01* +X299056Y338925D01* +X299014Y339211D01* +X299000Y339500D01* +X299014Y339789D01* +X299056Y340075D01* +X299124Y340355D01* +X299220Y340628D01* +X299258Y340739D01* +X299275Y340856D01* +X299275Y340974D01* +X299255Y341091D01* +X299218Y341203D01* +X299164Y341307D01* +X299094Y341402D01* +X299009Y341485D01* +X298913Y341554D01* +X298808Y341607D01* +X298695Y341643D01* +X298579Y341660D01* +X298461Y341659D01* +X298344Y341640D01* +X298300Y341625D01* +Y344462D01* +X299558Y345719D01* +X299951Y345478D01* +X300605Y345207D01* +X301294Y345042D01* +X302000Y344986D01* +X302002Y344986D01* +Y344005D01* +X302000Y344005D01* +X301567Y343984D01* +X301137Y343922D01* +X300716Y343818D01* +X300306Y343675D01* +X300200Y343622D01* +X300104Y343553D01* +X300019Y343470D01* +X299949Y343375D01* +X299894Y343269D01* +X299857Y343157D01* +X299837Y343040D01* +X299836Y342921D01* +X299854Y342804D01* +X299890Y342691D01* +X299943Y342585D01* +X300012Y342488D01* +X300095Y342404D01* +X300191Y342333D01* +X300296Y342279D01* +X300408Y342241D01* +X300525Y342222D01* +X300644Y342221D01* +X300761Y342239D01* +X300874Y342277D01* +X301145Y342376D01* +X301425Y342444D01* +X301711Y342486D01* +X302000Y342500D01* +X302002Y342500D01* +Y336500D01* +X302000Y336500D01* +X301711Y336514D01* +X301425Y336556D01* +X301145Y336624D01* +X300872Y336720D01* +X300761Y336758D01* +X300644Y336775D01* +X300526Y336775D01* +X300409Y336755D01* +X300297Y336718D01* +X300193Y336664D01* +X300098Y336594D01* +X300015Y336509D01* +X299946Y336413D01* +X299893Y336308D01* +X299857Y336195D01* +X299840Y336079D01* +X299841Y335961D01* +X299860Y335844D01* +X299897Y335732D01* +X299952Y335627D01* +X300022Y335532D01* +X300106Y335449D01* +X300202Y335381D01* +X300308Y335330D01* +X300716Y335182D01* +X301137Y335078D01* +X301567Y335016D01* +X302000Y334995D01* +X302002Y334995D01* +Y333340D01* +G37* +G36* +Y322340D02*X294662Y315000D01* +X292002D01* +Y319663D01* +X302002Y329663D01* +Y322340D01* +G37* +G36* +Y315000D02*X298338D01* +X302002Y318663D01* +Y315000D01* +G37* +G36* +X292002Y354014D02*X292000Y354014D01* +X291294Y353958D01* +X290605Y353793D01* +X289951Y353522D01* +X289347Y353152D01* +X288808Y352692D01* +X288348Y352153D01* +X287978Y351549D01* +X287707Y350895D01* +X287542Y350206D01* +X287486Y349500D01* +X287542Y348794D01* +X287707Y348105D01* +X287871Y347709D01* +X286117Y345955D01* +X286078Y345922D01* +X285945Y345766D01* +X285838Y345592D01* +X285760Y345403D01* +X285712Y345204D01* +X285712Y345204D01* +X285696Y345000D01* +X285700Y344949D01* +Y341629D01* +X285657Y341643D01* +X285613Y341651D01* +Y347353D01* +X285656Y347360D01* +X285768Y347397D01* +X285873Y347452D01* +X285968Y347522D01* +X286051Y347606D01* +X286119Y347702D01* +X286170Y347808D01* +X286318Y348216D01* +X286422Y348637D01* +X286484Y349067D01* +X286505Y349500D01* +X286484Y349933D01* +X286422Y350363D01* +X286318Y350784D01* +X286175Y351194D01* +X286122Y351300D01* +X286053Y351396D01* +X285970Y351481D01* +X285875Y351551D01* +X285769Y351606D01* +X285657Y351643D01* +X285613Y351651D01* +Y358000D01* +X292002D01* +Y354014D01* +G37* +G36* +Y330340D02*X285613Y323952D01* +Y329275D01* +X287883Y331545D01* +X287922Y331578D01* +X288055Y331733D01* +X288055Y331734D01* +X288162Y331908D01* +X288240Y332097D01* +X288288Y332296D01* +X288304Y332500D01* +X288300Y332551D01* +Y337371D01* +X288343Y337357D01* +X288460Y337337D01* +X288579Y337336D01* +X288696Y337354D01* +X288809Y337390D01* +X288915Y337443D01* +X289012Y337512D01* +X289096Y337595D01* +X289167Y337691D01* +X289221Y337796D01* +X289259Y337908D01* +X289278Y338025D01* +X289279Y338144D01* +X289261Y338261D01* +X289223Y338374D01* +X289124Y338645D01* +X289056Y338925D01* +X289014Y339211D01* +X289000Y339500D01* +X289014Y339789D01* +X289056Y340075D01* +X289124Y340355D01* +X289220Y340628D01* +X289258Y340739D01* +X289275Y340856D01* +X289275Y340974D01* +X289255Y341091D01* +X289218Y341203D01* +X289164Y341307D01* +X289094Y341402D01* +X289009Y341485D01* +X288913Y341554D01* +X288808Y341607D01* +X288695Y341643D01* +X288579Y341660D01* +X288461Y341659D01* +X288344Y341640D01* +X288300Y341625D01* +Y344462D01* +X289558Y345719D01* +X289951Y345478D01* +X290605Y345207D01* +X291294Y345042D01* +X292000Y344986D01* +X292002Y344986D01* +Y344005D01* +X292000Y344005D01* +X291567Y343984D01* +X291137Y343922D01* +X290716Y343818D01* +X290306Y343675D01* +X290200Y343622D01* +X290104Y343553D01* +X290019Y343470D01* +X289949Y343375D01* +X289894Y343269D01* +X289857Y343157D01* +X289837Y343040D01* +X289836Y342921D01* +X289854Y342804D01* +X289890Y342691D01* +X289943Y342585D01* +X290012Y342488D01* +X290095Y342404D01* +X290191Y342333D01* +X290296Y342279D01* +X290408Y342241D01* +X290525Y342222D01* +X290644Y342221D01* +X290761Y342239D01* +X290874Y342277D01* +X291145Y342376D01* +X291425Y342444D01* +X291711Y342486D01* +X292000Y342500D01* +X292002Y342500D01* +Y336500D01* +X292000Y336500D01* +X291711Y336514D01* +X291425Y336556D01* +X291145Y336624D01* +X290872Y336720D01* +X290761Y336758D01* +X290644Y336775D01* +X290526Y336775D01* +X290409Y336755D01* +X290297Y336718D01* +X290193Y336664D01* +X290098Y336594D01* +X290015Y336509D01* +X289946Y336413D01* +X289893Y336308D01* +X289857Y336195D01* +X289840Y336079D01* +X289841Y335961D01* +X289860Y335844D01* +X289897Y335732D01* +X289952Y335627D01* +X290022Y335532D01* +X290106Y335449D01* +X290202Y335381D01* +X290308Y335330D01* +X290716Y335182D01* +X291137Y335078D01* +X291567Y335016D01* +X292000Y334995D01* +X292002Y334995D01* +Y330340D01* +G37* +G36* +Y323340D02*X285613Y316952D01* +Y320275D01* +X292002Y326663D01* +Y323340D01* +G37* +G36* +Y315000D02*X287338D01* +X292002Y319663D01* +Y315000D01* +G37* +G36* +X285613Y341651D02*X285540Y341663D01* +X285421Y341664D01* +X285304Y341646D01* +X285191Y341610D01* +X285085Y341557D01* +X284988Y341488D01* +X284904Y341405D01* +X284833Y341309D01* +X284779Y341204D01* +X284741Y341092D01* +X284722Y340975D01* +X284721Y340856D01* +X284739Y340739D01* +X284777Y340626D01* +X284876Y340355D01* +X284944Y340075D01* +X284986Y339789D01* +X285000Y339500D01* +X284986Y339211D01* +X284944Y338925D01* +X284876Y338645D01* +X284780Y338372D01* +X284742Y338261D01* +X284725Y338144D01* +X284725Y338026D01* +X284745Y337909D01* +X284782Y337797D01* +X284836Y337693D01* +X284906Y337598D01* +X284991Y337515D01* +X285087Y337446D01* +X285192Y337393D01* +X285305Y337357D01* +X285421Y337340D01* +X285539Y337341D01* +X285613Y337353D01* +Y332952D01* +X282002Y329340D01* +Y334995D01* +X282433Y335016D01* +X282863Y335078D01* +X283284Y335182D01* +X283694Y335325D01* +X283800Y335378D01* +X283896Y335447D01* +X283981Y335530D01* +X284051Y335625D01* +X284106Y335731D01* +X284143Y335843D01* +X284163Y335960D01* +X284164Y336079D01* +X284146Y336196D01* +X284110Y336309D01* +X284057Y336415D01* +X283988Y336512D01* +X283905Y336596D01* +X283809Y336667D01* +X283704Y336721D01* +X283592Y336759D01* +X283475Y336778D01* +X283356Y336779D01* +X283239Y336761D01* +X283126Y336723D01* +X282855Y336624D01* +X282575Y336556D01* +X282289Y336514D01* +X282002Y336500D01* +Y342500D01* +X282289Y342486D01* +X282575Y342444D01* +X282855Y342376D01* +X283128Y342280D01* +X283239Y342242D01* +X283356Y342225D01* +X283474Y342225D01* +X283591Y342245D01* +X283703Y342282D01* +X283807Y342336D01* +X283902Y342406D01* +X283985Y342491D01* +X284054Y342587D01* +X284107Y342692D01* +X284143Y342805D01* +X284160Y342921D01* +X284159Y343039D01* +X284140Y343156D01* +X284103Y343268D01* +X284048Y343373D01* +X283978Y343468D01* +X283894Y343551D01* +X283798Y343619D01* +X283692Y343670D01* +X283284Y343818D01* +X282863Y343922D01* +X282433Y343984D01* +X282002Y344005D01* +Y344995D01* +X282433Y345016D01* +X282863Y345078D01* +X283284Y345182D01* +X283694Y345325D01* +X283800Y345378D01* +X283896Y345447D01* +X283981Y345530D01* +X284051Y345625D01* +X284106Y345731D01* +X284143Y345843D01* +X284163Y345960D01* +X284164Y346079D01* +X284146Y346196D01* +X284110Y346309D01* +X284057Y346415D01* +X283988Y346512D01* +X283905Y346596D01* +X283809Y346667D01* +X283704Y346721D01* +X283592Y346759D01* +X283475Y346778D01* +X283356Y346779D01* +X283239Y346761D01* +X283126Y346723D01* +X282855Y346624D01* +X282575Y346556D01* +X282289Y346514D01* +X282002Y346500D01* +Y352500D01* +X282289Y352486D01* +X282575Y352444D01* +X282855Y352376D01* +X283128Y352280D01* +X283239Y352242D01* +X283356Y352225D01* +X283474Y352225D01* +X283591Y352245D01* +X283703Y352282D01* +X283807Y352336D01* +X283902Y352406D01* +X283985Y352491D01* +X284054Y352587D01* +X284107Y352692D01* +X284143Y352805D01* +X284160Y352921D01* +X284159Y353039D01* +X284140Y353156D01* +X284103Y353268D01* +X284048Y353373D01* +X283978Y353468D01* +X283894Y353551D01* +X283798Y353619D01* +X283692Y353670D01* +X283284Y353818D01* +X282863Y353922D01* +X282433Y353984D01* +X282002Y354005D01* +Y358000D01* +X285613D01* +Y351651D01* +X285540Y351663D01* +X285421Y351664D01* +X285304Y351646D01* +X285191Y351610D01* +X285085Y351557D01* +X284988Y351488D01* +X284904Y351405D01* +X284833Y351309D01* +X284779Y351204D01* +X284741Y351092D01* +X284722Y350975D01* +X284721Y350856D01* +X284739Y350739D01* +X284777Y350626D01* +X284876Y350355D01* +X284944Y350075D01* +X284986Y349789D01* +X285000Y349500D01* +X284986Y349211D01* +X284944Y348925D01* +X284876Y348645D01* +X284780Y348372D01* +X284742Y348261D01* +X284725Y348144D01* +X284725Y348026D01* +X284745Y347909D01* +X284782Y347797D01* +X284836Y347693D01* +X284906Y347598D01* +X284991Y347515D01* +X285087Y347446D01* +X285192Y347393D01* +X285305Y347357D01* +X285421Y347340D01* +X285539Y347341D01* +X285613Y347353D01* +Y341651D01* +G37* +G36* +Y323952D02*X282002Y320340D01* +Y325663D01* +X285613Y329275D01* +Y323952D01* +G37* +G36* +Y316952D02*X283662Y315000D01* +X282002D01* +Y316663D01* +X285613Y320275D01* +Y316952D01* +G37* +G36* +X282002Y329340D02*X278387Y325725D01* +Y337349D01* +X278460Y337337D01* +X278579Y337336D01* +X278696Y337354D01* +X278809Y337390D01* +X278915Y337443D01* +X279012Y337512D01* +X279096Y337595D01* +X279167Y337691D01* +X279221Y337796D01* +X279259Y337908D01* +X279278Y338025D01* +X279279Y338144D01* +X279261Y338261D01* +X279223Y338374D01* +X279124Y338645D01* +X279056Y338925D01* +X279014Y339211D01* +X279000Y339500D01* +X279014Y339789D01* +X279056Y340075D01* +X279124Y340355D01* +X279220Y340628D01* +X279258Y340739D01* +X279275Y340856D01* +X279275Y340974D01* +X279255Y341091D01* +X279218Y341203D01* +X279164Y341307D01* +X279094Y341402D01* +X279009Y341485D01* +X278913Y341554D01* +X278808Y341607D01* +X278695Y341643D01* +X278579Y341660D01* +X278461Y341659D01* +X278387Y341647D01* +Y347349D01* +X278460Y347337D01* +X278579Y347336D01* +X278696Y347354D01* +X278809Y347390D01* +X278915Y347443D01* +X279012Y347512D01* +X279096Y347595D01* +X279167Y347691D01* +X279221Y347796D01* +X279259Y347908D01* +X279278Y348025D01* +X279279Y348144D01* +X279261Y348261D01* +X279223Y348374D01* +X279124Y348645D01* +X279056Y348925D01* +X279014Y349211D01* +X279000Y349500D01* +X279014Y349789D01* +X279056Y350075D01* +X279124Y350355D01* +X279220Y350628D01* +X279258Y350739D01* +X279275Y350856D01* +X279275Y350974D01* +X279255Y351091D01* +X279218Y351203D01* +X279164Y351307D01* +X279094Y351402D01* +X279009Y351485D01* +X278913Y351554D01* +X278808Y351607D01* +X278695Y351643D01* +X278579Y351660D01* +X278461Y351659D01* +X278387Y351647D01* +Y358000D01* +X282002D01* +Y354005D01* +X282000Y354005D01* +X281567Y353984D01* +X281137Y353922D01* +X280716Y353818D01* +X280306Y353675D01* +X280200Y353622D01* +X280104Y353553D01* +X280019Y353470D01* +X279949Y353375D01* +X279894Y353269D01* +X279857Y353157D01* +X279837Y353040D01* +X279836Y352921D01* +X279854Y352804D01* +X279890Y352691D01* +X279943Y352585D01* +X280012Y352488D01* +X280095Y352404D01* +X280191Y352333D01* +X280296Y352279D01* +X280408Y352241D01* +X280525Y352222D01* +X280644Y352221D01* +X280761Y352239D01* +X280874Y352277D01* +X281145Y352376D01* +X281425Y352444D01* +X281711Y352486D01* +X282000Y352500D01* +X282002Y352500D01* +Y346500D01* +X282000Y346500D01* +X281711Y346514D01* +X281425Y346556D01* +X281145Y346624D01* +X280872Y346720D01* +X280761Y346758D01* +X280644Y346775D01* +X280526Y346775D01* +X280409Y346755D01* +X280297Y346718D01* +X280193Y346664D01* +X280098Y346594D01* +X280015Y346509D01* +X279946Y346413D01* +X279893Y346308D01* +X279857Y346195D01* +X279840Y346079D01* +X279841Y345961D01* +X279860Y345844D01* +X279897Y345732D01* +X279952Y345627D01* +X280022Y345532D01* +X280106Y345449D01* +X280202Y345381D01* +X280308Y345330D01* +X280716Y345182D01* +X281137Y345078D01* +X281567Y345016D01* +X282000Y344995D01* +X282002Y344995D01* +Y344005D01* +X282000Y344005D01* +X281567Y343984D01* +X281137Y343922D01* +X280716Y343818D01* +X280306Y343675D01* +X280200Y343622D01* +X280104Y343553D01* +X280019Y343470D01* +X279949Y343375D01* +X279894Y343269D01* +X279857Y343157D01* +X279837Y343040D01* +X279836Y342921D01* +X279854Y342804D01* +X279890Y342691D01* +X279943Y342585D01* +X280012Y342488D01* +X280095Y342404D01* +X280191Y342333D01* +X280296Y342279D01* +X280408Y342241D01* +X280525Y342222D01* +X280644Y342221D01* +X280761Y342239D01* +X280874Y342277D01* +X281145Y342376D01* +X281425Y342444D01* +X281711Y342486D01* +X282000Y342500D01* +X282002Y342500D01* +Y336500D01* +X282000Y336500D01* +X281711Y336514D01* +X281425Y336556D01* +X281145Y336624D01* +X280872Y336720D01* +X280761Y336758D01* +X280644Y336775D01* +X280526Y336775D01* +X280409Y336755D01* +X280297Y336718D01* +X280193Y336664D01* +X280098Y336594D01* +X280015Y336509D01* +X279946Y336413D01* +X279893Y336308D01* +X279857Y336195D01* +X279840Y336079D01* +X279841Y335961D01* +X279860Y335844D01* +X279897Y335732D01* +X279952Y335627D01* +X280022Y335532D01* +X280106Y335449D01* +X280202Y335381D01* +X280308Y335330D01* +X280716Y335182D01* +X281137Y335078D01* +X281567Y335016D01* +X282000Y334995D01* +X282002Y334995D01* +Y329340D01* +G37* +G36* +X278387Y325725D02*X275613Y322952D01* +Y337353D01* +X275656Y337360D01* +X275768Y337397D01* +X275873Y337452D01* +X275968Y337522D01* +X276051Y337606D01* +X276119Y337702D01* +X276170Y337808D01* +X276318Y338216D01* +X276422Y338637D01* +X276484Y339067D01* +X276505Y339500D01* +X276484Y339933D01* +X276422Y340363D01* +X276318Y340784D01* +X276175Y341194D01* +X276122Y341300D01* +X276053Y341396D01* +X275970Y341481D01* +X275875Y341551D01* +X275769Y341606D01* +X275657Y341643D01* +X275613Y341651D01* +Y346802D01* +X275652Y346847D01* +X276022Y347451D01* +X276293Y348105D01* +X276458Y348794D01* +X276500Y349500D01* +X276458Y350206D01* +X276293Y350895D01* +X276022Y351549D01* +X275652Y352153D01* +X275613Y352198D01* +Y358000D01* +X278387D01* +Y351647D01* +X278344Y351640D01* +X278232Y351603D01* +X278127Y351548D01* +X278032Y351478D01* +X277949Y351394D01* +X277881Y351298D01* +X277830Y351192D01* +X277682Y350784D01* +X277578Y350363D01* +X277516Y349933D01* +X277495Y349500D01* +X277516Y349067D01* +X277578Y348637D01* +X277682Y348216D01* +X277825Y347806D01* +X277878Y347700D01* +X277947Y347604D01* +X278030Y347519D01* +X278125Y347449D01* +X278231Y347394D01* +X278343Y347357D01* +X278387Y347349D01* +Y341647D01* +X278344Y341640D01* +X278232Y341603D01* +X278127Y341548D01* +X278032Y341478D01* +X277949Y341394D01* +X277881Y341298D01* +X277830Y341192D01* +X277682Y340784D01* +X277578Y340363D01* +X277516Y339933D01* +X277495Y339500D01* +X277516Y339067D01* +X277578Y338637D01* +X277682Y338216D01* +X277825Y337806D01* +X277878Y337700D01* +X277947Y337604D01* +X278030Y337519D01* +X278125Y337449D01* +X278231Y337394D01* +X278343Y337357D01* +X278387Y337349D01* +Y325725D01* +G37* +G36* +X282002Y320340D02*X276662Y315000D01* +X275613D01* +Y319275D01* +X282002Y325663D01* +Y320340D01* +G37* +G36* +Y315000D02*X280338D01* +X282002Y316663D01* +Y315000D01* +G37* +G36* +X275613Y352198D02*X275192Y352692D01* +X274653Y353152D01* +X274049Y353522D01* +X273395Y353793D01* +X272706Y353958D01* +X272002Y354014D01* +Y358000D01* +X275613D01* +Y352198D01* +G37* +G36* +Y322952D02*X272002Y319340D01* +Y334995D01* +X272433Y335016D01* +X272863Y335078D01* +X273284Y335182D01* +X273694Y335325D01* +X273800Y335378D01* +X273896Y335447D01* +X273981Y335530D01* +X274051Y335625D01* +X274106Y335731D01* +X274143Y335843D01* +X274163Y335960D01* +X274164Y336079D01* +X274146Y336196D01* +X274110Y336309D01* +X274057Y336415D01* +X273988Y336512D01* +X273905Y336596D01* +X273809Y336667D01* +X273704Y336721D01* +X273592Y336759D01* +X273475Y336778D01* +X273356Y336779D01* +X273239Y336761D01* +X273126Y336723D01* +X272855Y336624D01* +X272575Y336556D01* +X272289Y336514D01* +X272002Y336500D01* +Y342500D01* +X272289Y342486D01* +X272575Y342444D01* +X272855Y342376D01* +X273128Y342280D01* +X273239Y342242D01* +X273356Y342225D01* +X273474Y342225D01* +X273591Y342245D01* +X273703Y342282D01* +X273807Y342336D01* +X273902Y342406D01* +X273985Y342491D01* +X274054Y342587D01* +X274107Y342692D01* +X274143Y342805D01* +X274160Y342921D01* +X274159Y343039D01* +X274140Y343156D01* +X274103Y343268D01* +X274048Y343373D01* +X273978Y343468D01* +X273894Y343551D01* +X273798Y343619D01* +X273692Y343670D01* +X273284Y343818D01* +X272863Y343922D01* +X272433Y343984D01* +X272002Y344005D01* +Y344986D01* +X272706Y345042D01* +X273395Y345207D01* +X274049Y345478D01* +X274653Y345848D01* +X275192Y346308D01* +X275613Y346802D01* +Y341651D01* +X275540Y341663D01* +X275421Y341664D01* +X275304Y341646D01* +X275191Y341610D01* +X275085Y341557D01* +X274988Y341488D01* +X274904Y341405D01* +X274833Y341309D01* +X274779Y341204D01* +X274741Y341092D01* +X274722Y340975D01* +X274721Y340856D01* +X274739Y340739D01* +X274777Y340626D01* +X274876Y340355D01* +X274944Y340075D01* +X274986Y339789D01* +X275000Y339500D01* +X274986Y339211D01* +X274944Y338925D01* +X274876Y338645D01* +X274780Y338372D01* +X274742Y338261D01* +X274725Y338144D01* +X274725Y338026D01* +X274745Y337909D01* +X274782Y337797D01* +X274836Y337693D01* +X274906Y337598D01* +X274991Y337515D01* +X275087Y337446D01* +X275192Y337393D01* +X275305Y337357D01* +X275421Y337340D01* +X275539Y337341D01* +X275613Y337353D01* +Y322952D01* +G37* +G36* +Y315000D02*X272002D01* +Y315663D01* +X275613Y319275D01* +Y315000D01* +G37* +G36* +X265613Y337353D02*X265656Y337360D01* +X265700Y337375D01* +Y333538D01* +X265613Y333452D01* +Y337353D01* +G37* +G36* +X272002Y354014D02*X272000Y354014D01* +X271294Y353958D01* +X270605Y353793D01* +X269951Y353522D01* +X269347Y353152D01* +X268808Y352692D01* +X268348Y352153D01* +X267978Y351549D01* +X267707Y350895D01* +X267542Y350206D01* +X267486Y349500D01* +X267542Y348794D01* +X267707Y348105D01* +X267871Y347709D01* +X266117Y345955D01* +X266078Y345922D01* +X265945Y345766D01* +X265838Y345592D01* +X265760Y345403D01* +X265712Y345204D01* +X265712Y345204D01* +X265696Y345000D01* +X265700Y344949D01* +Y341629D01* +X265657Y341643D01* +X265613Y341651D01* +Y347353D01* +X265656Y347360D01* +X265768Y347397D01* +X265873Y347452D01* +X265968Y347522D01* +X266051Y347606D01* +X266119Y347702D01* +X266170Y347808D01* +X266318Y348216D01* +X266422Y348637D01* +X266484Y349067D01* +X266505Y349500D01* +X266484Y349933D01* +X266422Y350363D01* +X266318Y350784D01* +X266175Y351194D01* +X266122Y351300D01* +X266053Y351396D01* +X265970Y351481D01* +X265875Y351551D01* +X265769Y351606D01* +X265657Y351643D01* +X265613Y351651D01* +Y358000D01* +X272002D01* +Y354014D01* +G37* +G36* +X265613Y341651D02*X265540Y341663D01* +X265421Y341664D01* +X265304Y341646D01* +X265191Y341610D01* +X265085Y341557D01* +X264988Y341488D01* +X264904Y341405D01* +X264833Y341309D01* +X264779Y341204D01* +X264741Y341092D01* +X264722Y340975D01* +X264721Y340856D01* +X264739Y340739D01* +X264777Y340626D01* +X264876Y340355D01* +X264944Y340075D01* +X264986Y339789D01* +X265000Y339500D01* +X264986Y339211D01* +X264944Y338925D01* +X264876Y338645D01* +X264780Y338372D01* +X264742Y338261D01* +X264725Y338144D01* +X264725Y338026D01* +X264745Y337909D01* +X264782Y337797D01* +X264836Y337693D01* +X264906Y337598D01* +X264991Y337515D01* +X265087Y337446D01* +X265192Y337393D01* +X265305Y337357D01* +X265421Y337340D01* +X265539Y337341D01* +X265613Y337353D01* +Y333452D01* +X262002Y329840D01* +Y334995D01* +X262433Y335016D01* +X262863Y335078D01* +X263284Y335182D01* +X263694Y335325D01* +X263800Y335378D01* +X263896Y335447D01* +X263981Y335530D01* +X264051Y335625D01* +X264106Y335731D01* +X264143Y335843D01* +X264163Y335960D01* +X264164Y336079D01* +X264146Y336196D01* +X264110Y336309D01* +X264057Y336415D01* +X263988Y336512D01* +X263905Y336596D01* +X263809Y336667D01* +X263704Y336721D01* +X263592Y336759D01* +X263475Y336778D01* +X263356Y336779D01* +X263239Y336761D01* +X263126Y336723D01* +X262855Y336624D01* +X262575Y336556D01* +X262289Y336514D01* +X262002Y336500D01* +Y342500D01* +X262289Y342486D01* +X262575Y342444D01* +X262855Y342376D01* +X263128Y342280D01* +X263239Y342242D01* +X263356Y342225D01* +X263474Y342225D01* +X263591Y342245D01* +X263703Y342282D01* +X263807Y342336D01* +X263902Y342406D01* +X263985Y342491D01* +X264054Y342587D01* +X264107Y342692D01* +X264143Y342805D01* +X264160Y342921D01* +X264159Y343039D01* +X264140Y343156D01* +X264103Y343268D01* +X264048Y343373D01* +X263978Y343468D01* +X263894Y343551D01* +X263798Y343619D01* +X263692Y343670D01* +X263284Y343818D01* +X262863Y343922D01* +X262433Y343984D01* +X262002Y344005D01* +Y344995D01* +X262433Y345016D01* +X262863Y345078D01* +X263284Y345182D01* +X263694Y345325D01* +X263800Y345378D01* +X263896Y345447D01* +X263981Y345530D01* +X264051Y345625D01* +X264106Y345731D01* +X264143Y345843D01* +X264163Y345960D01* +X264164Y346079D01* +X264146Y346196D01* +X264110Y346309D01* +X264057Y346415D01* +X263988Y346512D01* +X263905Y346596D01* +X263809Y346667D01* +X263704Y346721D01* +X263592Y346759D01* +X263475Y346778D01* +X263356Y346779D01* +X263239Y346761D01* +X263126Y346723D01* +X262855Y346624D01* +X262575Y346556D01* +X262289Y346514D01* +X262002Y346500D01* +Y352500D01* +X262289Y352486D01* +X262575Y352444D01* +X262855Y352376D01* +X263128Y352280D01* +X263239Y352242D01* +X263356Y352225D01* +X263474Y352225D01* +X263591Y352245D01* +X263703Y352282D01* +X263807Y352336D01* +X263902Y352406D01* +X263985Y352491D01* +X264054Y352587D01* +X264107Y352692D01* +X264143Y352805D01* +X264160Y352921D01* +X264159Y353039D01* +X264140Y353156D01* +X264103Y353268D01* +X264048Y353373D01* +X263978Y353468D01* +X263894Y353551D01* +X263798Y353619D01* +X263692Y353670D01* +X263284Y353818D01* +X262863Y353922D01* +X262433Y353984D01* +X262002Y354005D01* +Y358000D01* +X265613D01* +Y351651D01* +X265540Y351663D01* +X265421Y351664D01* +X265304Y351646D01* +X265191Y351610D01* +X265085Y351557D01* +X264988Y351488D01* +X264904Y351405D01* +X264833Y351309D01* +X264779Y351204D01* +X264741Y351092D01* +X264722Y350975D01* +X264721Y350856D01* +X264739Y350739D01* +X264777Y350626D01* +X264876Y350355D01* +X264944Y350075D01* +X264986Y349789D01* +X265000Y349500D01* +X264986Y349211D01* +X264944Y348925D01* +X264876Y348645D01* +X264780Y348372D01* +X264742Y348261D01* +X264725Y348144D01* +X264725Y348026D01* +X264745Y347909D01* +X264782Y347797D01* +X264836Y347693D01* +X264906Y347598D01* +X264991Y347515D01* +X265087Y347446D01* +X265192Y347393D01* +X265305Y347357D01* +X265421Y347340D01* +X265539Y347341D01* +X265613Y347353D01* +Y341651D01* +G37* +G36* +X272002Y319340D02*X269462Y316800D01* +X262002D01* +Y326163D01* +X267883Y332045D01* +X267922Y332078D01* +X268055Y332233D01* +X268055Y332234D01* +X268162Y332408D01* +X268240Y332597D01* +X268288Y332796D01* +X268304Y333000D01* +X268300Y333051D01* +Y337371D01* +X268343Y337357D01* +X268460Y337337D01* +X268579Y337336D01* +X268696Y337354D01* +X268809Y337390D01* +X268915Y337443D01* +X269012Y337512D01* +X269096Y337595D01* +X269167Y337691D01* +X269221Y337796D01* +X269259Y337908D01* +X269278Y338025D01* +X269279Y338144D01* +X269261Y338261D01* +X269223Y338374D01* +X269124Y338645D01* +X269056Y338925D01* +X269014Y339211D01* +X269000Y339500D01* +X269014Y339789D01* +X269056Y340075D01* +X269124Y340355D01* +X269220Y340628D01* +X269258Y340739D01* +X269275Y340856D01* +X269275Y340974D01* +X269255Y341091D01* +X269218Y341203D01* +X269164Y341307D01* +X269094Y341402D01* +X269009Y341485D01* +X268913Y341554D01* +X268808Y341607D01* +X268695Y341643D01* +X268579Y341660D01* +X268461Y341659D01* +X268344Y341640D01* +X268300Y341625D01* +Y344462D01* +X269558Y345719D01* +X269951Y345478D01* +X270605Y345207D01* +X271294Y345042D01* +X272000Y344986D01* +X272002Y344986D01* +Y344005D01* +X272000Y344005D01* +X271567Y343984D01* +X271137Y343922D01* +X270716Y343818D01* +X270306Y343675D01* +X270200Y343622D01* +X270104Y343553D01* +X270019Y343470D01* +X269949Y343375D01* +X269894Y343269D01* +X269857Y343157D01* +X269837Y343040D01* +X269836Y342921D01* +X269854Y342804D01* +X269890Y342691D01* +X269943Y342585D01* +X270012Y342488D01* +X270095Y342404D01* +X270191Y342333D01* +X270296Y342279D01* +X270408Y342241D01* +X270525Y342222D01* +X270644Y342221D01* +X270761Y342239D01* +X270874Y342277D01* +X271145Y342376D01* +X271425Y342444D01* +X271711Y342486D01* +X272000Y342500D01* +X272002Y342500D01* +Y336500D01* +X272000Y336500D01* +X271711Y336514D01* +X271425Y336556D01* +X271145Y336624D01* +X270872Y336720D01* +X270761Y336758D01* +X270644Y336775D01* +X270526Y336775D01* +X270409Y336755D01* +X270297Y336718D01* +X270193Y336664D01* +X270098Y336594D01* +X270015Y336509D01* +X269946Y336413D01* +X269893Y336308D01* +X269857Y336195D01* +X269840Y336079D01* +X269841Y335961D01* +X269860Y335844D01* +X269897Y335732D01* +X269952Y335627D01* +X270022Y335532D01* +X270106Y335449D01* +X270202Y335381D01* +X270308Y335330D01* +X270716Y335182D01* +X271137Y335078D01* +X271567Y335016D01* +X272000Y334995D01* +X272002Y334995D01* +Y319340D01* +G37* +G36* +Y315000D02*X271338D01* +X272002Y315663D01* +Y315000D01* +G37* +G36* +X262002Y329840D02*X258387Y326225D01* +Y337349D01* +X258460Y337337D01* +X258579Y337336D01* +X258696Y337354D01* +X258809Y337390D01* +X258915Y337443D01* +X259012Y337512D01* +X259096Y337595D01* +X259167Y337691D01* +X259221Y337796D01* +X259259Y337908D01* +X259278Y338025D01* +X259279Y338144D01* +X259261Y338261D01* +X259223Y338374D01* +X259124Y338645D01* +X259056Y338925D01* +X259014Y339211D01* +X259000Y339500D01* +X259014Y339789D01* +X259056Y340075D01* +X259124Y340355D01* +X259220Y340628D01* +X259258Y340739D01* +X259275Y340856D01* +X259275Y340974D01* +X259255Y341091D01* +X259218Y341203D01* +X259164Y341307D01* +X259094Y341402D01* +X259009Y341485D01* +X258913Y341554D01* +X258808Y341607D01* +X258695Y341643D01* +X258579Y341660D01* +X258461Y341659D01* +X258387Y341647D01* +Y347349D01* +X258460Y347337D01* +X258579Y347336D01* +X258696Y347354D01* +X258809Y347390D01* +X258915Y347443D01* +X259012Y347512D01* +X259096Y347595D01* +X259167Y347691D01* +X259221Y347796D01* +X259259Y347908D01* +X259278Y348025D01* +X259279Y348144D01* +X259261Y348261D01* +X259223Y348374D01* +X259124Y348645D01* +X259056Y348925D01* +X259014Y349211D01* +X259000Y349500D01* +X259014Y349789D01* +X259056Y350075D01* +X259124Y350355D01* +X259220Y350628D01* +X259258Y350739D01* +X259275Y350856D01* +X259275Y350974D01* +X259255Y351091D01* +X259218Y351203D01* +X259164Y351307D01* +X259094Y351402D01* +X259009Y351485D01* +X258913Y351554D01* +X258808Y351607D01* +X258695Y351643D01* +X258579Y351660D01* +X258461Y351659D01* +X258387Y351647D01* +Y358000D01* +X262002D01* +Y354005D01* +X262000Y354005D01* +X261567Y353984D01* +X261137Y353922D01* +X260716Y353818D01* +X260306Y353675D01* +X260200Y353622D01* +X260104Y353553D01* +X260019Y353470D01* +X259949Y353375D01* +X259894Y353269D01* +X259857Y353157D01* +X259837Y353040D01* +X259836Y352921D01* +X259854Y352804D01* +X259890Y352691D01* +X259943Y352585D01* +X260012Y352488D01* +X260095Y352404D01* +X260191Y352333D01* +X260296Y352279D01* +X260408Y352241D01* +X260525Y352222D01* +X260644Y352221D01* +X260761Y352239D01* +X260874Y352277D01* +X261145Y352376D01* +X261425Y352444D01* +X261711Y352486D01* +X262000Y352500D01* +X262002Y352500D01* +Y346500D01* +X262000Y346500D01* +X261711Y346514D01* +X261425Y346556D01* +X261145Y346624D01* +X260872Y346720D01* +X260761Y346758D01* +X260644Y346775D01* +X260526Y346775D01* +X260409Y346755D01* +X260297Y346718D01* +X260193Y346664D01* +X260098Y346594D01* +X260015Y346509D01* +X259946Y346413D01* +X259893Y346308D01* +X259857Y346195D01* +X259840Y346079D01* +X259841Y345961D01* +X259860Y345844D01* +X259897Y345732D01* +X259952Y345627D01* +X260022Y345532D01* +X260106Y345449D01* +X260202Y345381D01* +X260308Y345330D01* +X260716Y345182D01* +X261137Y345078D01* +X261567Y345016D01* +X262000Y344995D01* +X262002Y344995D01* +Y344005D01* +X262000Y344005D01* +X261567Y343984D01* +X261137Y343922D01* +X260716Y343818D01* +X260306Y343675D01* +X260200Y343622D01* +X260104Y343553D01* +X260019Y343470D01* +X259949Y343375D01* +X259894Y343269D01* +X259857Y343157D01* +X259837Y343040D01* +X259836Y342921D01* +X259854Y342804D01* +X259890Y342691D01* +X259943Y342585D01* +X260012Y342488D01* +X260095Y342404D01* +X260191Y342333D01* +X260296Y342279D01* +X260408Y342241D01* +X260525Y342222D01* +X260644Y342221D01* +X260761Y342239D01* +X260874Y342277D01* +X261145Y342376D01* +X261425Y342444D01* +X261711Y342486D01* +X262000Y342500D01* +X262002Y342500D01* +Y336500D01* +X262000Y336500D01* +X261711Y336514D01* +X261425Y336556D01* +X261145Y336624D01* +X260872Y336720D01* +X260761Y336758D01* +X260644Y336775D01* +X260526Y336775D01* +X260409Y336755D01* +X260297Y336718D01* +X260193Y336664D01* +X260098Y336594D01* +X260015Y336509D01* +X259946Y336413D01* +X259893Y336308D01* +X259857Y336195D01* +X259840Y336079D01* +X259841Y335961D01* +X259860Y335844D01* +X259897Y335732D01* +X259952Y335627D01* +X260022Y335532D01* +X260106Y335449D01* +X260202Y335381D01* +X260308Y335330D01* +X260716Y335182D01* +X261137Y335078D01* +X261567Y335016D01* +X262000Y334995D01* +X262002Y334995D01* +Y329840D01* +G37* +G36* +X258387Y326225D02*X255613Y323452D01* +Y337353D01* +X255656Y337360D01* +X255768Y337397D01* +X255873Y337452D01* +X255968Y337522D01* +X256051Y337606D01* +X256119Y337702D01* +X256170Y337808D01* +X256318Y338216D01* +X256422Y338637D01* +X256484Y339067D01* +X256505Y339500D01* +X256484Y339933D01* +X256422Y340363D01* +X256318Y340784D01* +X256175Y341194D01* +X256122Y341300D01* +X256053Y341396D01* +X255970Y341481D01* +X255875Y341551D01* +X255769Y341606D01* +X255657Y341643D01* +X255613Y341651D01* +Y347353D01* +X255656Y347360D01* +X255768Y347397D01* +X255873Y347452D01* +X255968Y347522D01* +X256051Y347606D01* +X256119Y347702D01* +X256170Y347808D01* +X256318Y348216D01* +X256422Y348637D01* +X256484Y349067D01* +X256505Y349500D01* +X256484Y349933D01* +X256422Y350363D01* +X256318Y350784D01* +X256175Y351194D01* +X256122Y351300D01* +X256053Y351396D01* +X255970Y351481D01* +X255875Y351551D01* +X255769Y351606D01* +X255657Y351643D01* +X255613Y351651D01* +Y358000D01* +X258387D01* +Y351647D01* +X258344Y351640D01* +X258232Y351603D01* +X258127Y351548D01* +X258032Y351478D01* +X257949Y351394D01* +X257881Y351298D01* +X257830Y351192D01* +X257682Y350784D01* +X257578Y350363D01* +X257516Y349933D01* +X257495Y349500D01* +X257516Y349067D01* +X257578Y348637D01* +X257682Y348216D01* +X257825Y347806D01* +X257878Y347700D01* +X257947Y347604D01* +X258030Y347519D01* +X258125Y347449D01* +X258231Y347394D01* +X258343Y347357D01* +X258387Y347349D01* +Y341647D01* +X258344Y341640D01* +X258232Y341603D01* +X258127Y341548D01* +X258032Y341478D01* +X257949Y341394D01* +X257881Y341298D01* +X257830Y341192D01* +X257682Y340784D01* +X257578Y340363D01* +X257516Y339933D01* +X257495Y339500D01* +X257516Y339067D01* +X257578Y338637D01* +X257682Y338216D01* +X257825Y337806D01* +X257878Y337700D01* +X257947Y337604D01* +X258030Y337519D01* +X258125Y337449D01* +X258231Y337394D01* +X258343Y337357D01* +X258387Y337349D01* +Y326225D01* +G37* +G36* +X262002Y316800D02*X255613D01* +Y319775D01* +X262002Y326163D01* +Y316800D01* +G37* +G36* +X255613Y323452D02*X253500Y321338D01* +Y335257D01* +X253694Y335325D01* +X253800Y335378D01* +X253896Y335447D01* +X253981Y335530D01* +X254051Y335625D01* +X254106Y335731D01* +X254143Y335843D01* +X254163Y335960D01* +X254164Y336079D01* +X254146Y336196D01* +X254110Y336309D01* +X254057Y336415D01* +X253988Y336512D01* +X253905Y336596D01* +X253809Y336667D01* +X253704Y336721D01* +X253592Y336759D01* +X253500Y336774D01* +Y342230D01* +X253591Y342245D01* +X253703Y342282D01* +X253807Y342336D01* +X253902Y342406D01* +X253985Y342491D01* +X254054Y342587D01* +X254107Y342692D01* +X254143Y342805D01* +X254160Y342921D01* +X254159Y343039D01* +X254140Y343156D01* +X254103Y343268D01* +X254048Y343373D01* +X253978Y343468D01* +X253894Y343551D01* +X253798Y343619D01* +X253692Y343670D01* +X253500Y343740D01* +Y345257D01* +X253694Y345325D01* +X253800Y345378D01* +X253896Y345447D01* +X253981Y345530D01* +X254051Y345625D01* +X254106Y345731D01* +X254143Y345843D01* +X254163Y345960D01* +X254164Y346079D01* +X254146Y346196D01* +X254110Y346309D01* +X254057Y346415D01* +X253988Y346512D01* +X253905Y346596D01* +X253809Y346667D01* +X253704Y346721D01* +X253592Y346759D01* +X253500Y346774D01* +Y352230D01* +X253591Y352245D01* +X253703Y352282D01* +X253807Y352336D01* +X253902Y352406D01* +X253985Y352491D01* +X254054Y352587D01* +X254107Y352692D01* +X254143Y352805D01* +X254160Y352921D01* +X254159Y353039D01* +X254140Y353156D01* +X254103Y353268D01* +X254048Y353373D01* +X253978Y353468D01* +X253894Y353551D01* +X253798Y353619D01* +X253692Y353670D01* +X253500Y353740D01* +Y358000D01* +X255613D01* +Y351651D01* +X255540Y351663D01* +X255421Y351664D01* +X255304Y351646D01* +X255191Y351610D01* +X255085Y351557D01* +X254988Y351488D01* +X254904Y351405D01* +X254833Y351309D01* +X254779Y351204D01* +X254741Y351092D01* +X254722Y350975D01* +X254721Y350856D01* +X254739Y350739D01* +X254777Y350626D01* +X254876Y350355D01* +X254944Y350075D01* +X254986Y349789D01* +X255000Y349500D01* +X254986Y349211D01* +X254944Y348925D01* +X254876Y348645D01* +X254780Y348372D01* +X254742Y348261D01* +X254725Y348144D01* +X254725Y348026D01* +X254745Y347909D01* +X254782Y347797D01* +X254836Y347693D01* +X254906Y347598D01* +X254991Y347515D01* +X255087Y347446D01* +X255192Y347393D01* +X255305Y347357D01* +X255421Y347340D01* +X255539Y347341D01* +X255613Y347353D01* +Y341651D01* +X255540Y341663D01* +X255421Y341664D01* +X255304Y341646D01* +X255191Y341610D01* +X255085Y341557D01* +X254988Y341488D01* +X254904Y341405D01* +X254833Y341309D01* +X254779Y341204D01* +X254741Y341092D01* +X254722Y340975D01* +X254721Y340856D01* +X254739Y340739D01* +X254777Y340626D01* +X254876Y340355D01* +X254944Y340075D01* +X254986Y339789D01* +X255000Y339500D01* +X254986Y339211D01* +X254944Y338925D01* +X254876Y338645D01* +X254780Y338372D01* +X254742Y338261D01* +X254725Y338144D01* +X254725Y338026D01* +X254745Y337909D01* +X254782Y337797D01* +X254836Y337693D01* +X254906Y337598D01* +X254991Y337515D01* +X255087Y337446D01* +X255192Y337393D01* +X255305Y337357D01* +X255421Y337340D01* +X255539Y337341D01* +X255613Y337353D01* +Y323452D01* +G37* +G36* +Y316800D02*X253500D01* +Y318196D01* +X253704Y318212D01* +X253704Y318212D01* +X253903Y318260D01* +X254092Y318338D01* +X254266Y318445D01* +X254422Y318578D01* +X254455Y318617D01* +X255613Y319775D01* +Y316800D01* +G37* +G36* +X103000Y341950D02*X100050Y339000D01* +X90989D01* +Y342479D01* +X91000Y342478D01* +X92098Y342565D01* +X93170Y342822D01* +X94188Y343244D01* +X95127Y343819D01* +X95965Y344535D01* +X96681Y345373D01* +X97256Y346312D01* +X97678Y347330D01* +X97935Y348402D01* +X98000Y349500D01* +X97935Y350598D01* +X97678Y351670D01* +X97256Y352688D01* +X96681Y353627D01* +X95965Y354465D01* +X95127Y355181D01* +X94188Y355756D01* +X93170Y356178D01* +X92098Y356435D01* +X91000Y356522D01* +X90989Y356521D01* +Y391500D01* +X382000D01* +Y359303D01* +X381840Y359564D01* +X381483Y359983D01* +X381192Y360231D01* +X380975Y360475D01* +X377572Y363878D01* +X377483Y363983D01* +X377064Y364340D01* +X376594Y364628D01* +X376085Y364839D01* +X375549Y364968D01* +X375549Y364968D01* +X375000Y365011D01* +X374863Y365000D01* +X245137D01* +X245000Y365011D01* +X244863Y365000D01* +X111637D01* +X111500Y365011D01* +X110951Y364968D01* +X110415Y364839D01* +X109906Y364628D01* +X109436Y364340D01* +X109017Y363983D01* +X108928Y363878D01* +X104122Y359072D01* +X104017Y358983D01* +X103660Y358564D01* +X103372Y358094D01* +X103161Y357585D01* +X103032Y357049D01* +X103032Y357049D01* +X102989Y356500D01* +X103000Y356363D01* +Y341950D01* +G37* +G36* +X90989Y339000D02*X90637D01* +X90500Y339011D01* +X89951Y338968D01* +X89415Y338839D01* +X88906Y338628D01* +X88436Y338340D01* +X88017Y337983D01* +X87928Y337878D01* +X86964Y336914D01* +X87201Y337485D01* +X87440Y338480D01* +X87500Y339500D01* +X87440Y340520D01* +X87201Y341515D01* +X86809Y342460D01* +X86275Y343332D01* +X85610Y344110D01* +X84832Y344775D01* +X83960Y345309D01* +X83015Y345701D01* +X82020Y345940D01* +X81000Y346020D01* +X79980Y345940D01* +X78985Y345701D01* +X78040Y345309D01* +X77168Y344775D01* +X76390Y344110D01* +X75725Y343332D01* +X75191Y342460D01* +X74799Y341515D01* +X74560Y340520D01* +X74480Y339500D01* +X74560Y338480D01* +X74799Y337485D01* +X75191Y336540D01* +X75725Y335668D01* +X76390Y334890D01* +X77168Y334225D01* +X78040Y333691D01* +X78985Y333299D01* +X79980Y333060D01* +X81000Y332980D01* +X82020Y333060D01* +X83015Y333299D01* +X83586Y333536D01* +X77122Y327072D01* +X77017Y326983D01* +X76660Y326564D01* +X76372Y326094D01* +X76161Y325585D01* +X76032Y325049D01* +X76032Y325049D01* +X75989Y324500D01* +X76000Y324363D01* +Y321500D01* +X76032Y320951D01* +X76078Y320760D01* +X74971Y319653D01* +X74904Y319596D01* +X74674Y319327D01* +X74489Y319025D01* +X74354Y318697D01* +X74271Y318353D01* +X74271Y318353D01* +X74243Y318000D01* +X74250Y317912D01* +Y315713D01* +X71233Y315707D01* +X70897Y315626D01* +X70577Y315494D01* +X70281Y315313D01* +X70018Y315088D01* +X69793Y314825D01* +X69612Y314529D01* +X69480Y314209D01* +X69429Y314000D01* +X66641D01* +X66590Y314209D01* +X66458Y314529D01* +X66364Y314683D01* +Y335977D01* +X66386Y335989D01* +X66480Y336061D01* +X66561Y336146D01* +X66626Y336245D01* +X66894Y336746D01* +X67112Y337271D01* +X67284Y337813D01* +X67407Y338368D01* +X67481Y338932D01* +X67506Y339500D01* +X67481Y340068D01* +X67407Y340632D01* +X67284Y341187D01* +X67112Y341729D01* +X66894Y342254D01* +X66632Y342758D01* +X66565Y342857D01* +X66483Y342943D01* +X66389Y343015D01* +X66364Y343029D01* +Y371199D01* +X66674Y371009D01* +X68055Y370437D01* +X69509Y370088D01* +X71000Y369971D01* +X72491Y370088D01* +X73945Y370437D01* +X75326Y371009D01* +X76601Y371791D01* +X77738Y372762D01* +X78709Y373899D01* +X79491Y375174D01* +X80063Y376555D01* +X80412Y378009D01* +X80500Y379500D01* +X80412Y380991D01* +X80063Y382445D01* +X79491Y383826D01* +X78709Y385101D01* +X77738Y386238D01* +X76601Y387209D01* +X75326Y387991D01* +X73945Y388563D01* +X72491Y388912D01* +X71000Y389029D01* +X69509Y388912D01* +X68055Y388563D01* +X66674Y387991D01* +X66364Y387801D01* +Y391500D01* +X90989D01* +Y356521D01* +X89902Y356435D01* +X88830Y356178D01* +X87812Y355756D01* +X86873Y355181D01* +X86035Y354465D01* +X85319Y353627D01* +X84744Y352688D01* +X84322Y351670D01* +X84065Y350598D01* +X83978Y349500D01* +X84065Y348402D01* +X84322Y347330D01* +X84744Y346312D01* +X85319Y345373D01* +X86035Y344535D01* +X86873Y343819D01* +X87812Y343244D01* +X88830Y342822D01* +X89902Y342565D01* +X90989Y342479D01* +Y339000D01* +G37* +G36* +X66364Y314683D02*X66277Y314825D01* +X66052Y315088D01* +X65789Y315313D01* +X65493Y315494D01* +X65173Y315626D01* +X64837Y315707D01* +X64491Y315728D01* +X61002Y315721D01* +Y332994D01* +X61568Y333019D01* +X62132Y333093D01* +X62687Y333216D01* +X63229Y333388D01* +X63754Y333606D01* +X64258Y333868D01* +X64357Y333935D01* +X64443Y334017D01* +X64515Y334111D01* +X64572Y334216D01* +X64611Y334328D01* +X64633Y334445D01* +X64636Y334563D01* +X64620Y334681D01* +X64586Y334795D01* +X64535Y334902D01* +X64468Y335000D01* +X64386Y335086D01* +X64291Y335158D01* +X64187Y335215D01* +X64075Y335255D01* +X63958Y335276D01* +X63839Y335279D01* +X63722Y335263D01* +X63608Y335230D01* +X63502Y335176D01* +X63117Y334970D01* +X62713Y334803D01* +X62296Y334671D01* +X61870Y334576D01* +X61437Y334519D01* +X61002Y334500D01* +Y344500D01* +X61437Y344481D01* +X61870Y344424D01* +X62296Y344329D01* +X62713Y344197D01* +X63117Y344030D01* +X63504Y343828D01* +X63609Y343775D01* +X63723Y343741D01* +X63840Y343726D01* +X63958Y343729D01* +X64074Y343750D01* +X64185Y343789D01* +X64289Y343846D01* +X64382Y343917D01* +X64464Y344003D01* +X64531Y344100D01* +X64582Y344207D01* +X64615Y344320D01* +X64631Y344437D01* +X64628Y344555D01* +X64606Y344671D01* +X64567Y344782D01* +X64511Y344886D01* +X64439Y344980D01* +X64354Y345061D01* +X64255Y345126D01* +X63754Y345394D01* +X63229Y345612D01* +X62687Y345784D01* +X62132Y345907D01* +X61568Y345981D01* +X61002Y346006D01* +Y391500D01* +X66364D01* +Y387801D01* +X65399Y387209D01* +X64262Y386238D01* +X63291Y385101D01* +X62509Y383826D01* +X61937Y382445D01* +X61588Y380991D01* +X61471Y379500D01* +X61588Y378009D01* +X61937Y376555D01* +X62509Y375174D01* +X63291Y373899D01* +X64262Y372762D01* +X65399Y371791D01* +X66364Y371199D01* +Y343029D01* +X66284Y343072D01* +X66172Y343111D01* +X66055Y343133D01* +X65937Y343136D01* +X65819Y343120D01* +X65705Y343086D01* +X65598Y343035D01* +X65500Y342968D01* +X65414Y342886D01* +X65342Y342791D01* +X65285Y342687D01* +X65245Y342575D01* +X65224Y342458D01* +X65221Y342339D01* +X65237Y342222D01* +X65270Y342108D01* +X65324Y342002D01* +X65530Y341617D01* +X65697Y341213D01* +X65829Y340796D01* +X65924Y340370D01* +X65981Y339937D01* +X66000Y339500D01* +X65981Y339063D01* +X65924Y338630D01* +X65829Y338204D01* +X65697Y337787D01* +X65530Y337383D01* +X65328Y336996D01* +X65275Y336891D01* +X65241Y336777D01* +X65226Y336660D01* +X65229Y336542D01* +X65250Y336426D01* +X65289Y336315D01* +X65346Y336211D01* +X65417Y336118D01* +X65503Y336036D01* +X65600Y335969D01* +X65707Y335918D01* +X65820Y335885D01* +X65937Y335869D01* +X66055Y335872D01* +X66171Y335894D01* +X66282Y335933D01* +X66364Y335977D01* +Y314683D01* +G37* +G36* +X61002Y315721D02*X55636Y315710D01* +Y335971D01* +X55716Y335928D01* +X55828Y335889D01* +X55945Y335867D01* +X56063Y335864D01* +X56181Y335880D01* +X56295Y335914D01* +X56402Y335965D01* +X56500Y336032D01* +X56586Y336114D01* +X56658Y336209D01* +X56715Y336313D01* +X56755Y336425D01* +X56776Y336542D01* +X56779Y336661D01* +X56763Y336778D01* +X56730Y336892D01* +X56676Y336998D01* +X56470Y337383D01* +X56303Y337787D01* +X56171Y338204D01* +X56076Y338630D01* +X56019Y339063D01* +X56000Y339500D01* +X56019Y339937D01* +X56076Y340370D01* +X56171Y340796D01* +X56303Y341213D01* +X56470Y341617D01* +X56672Y342004D01* +X56725Y342109D01* +X56759Y342223D01* +X56774Y342340D01* +X56771Y342458D01* +X56750Y342574D01* +X56711Y342685D01* +X56654Y342789D01* +X56583Y342882D01* +X56497Y342964D01* +X56400Y343031D01* +X56293Y343082D01* +X56180Y343115D01* +X56063Y343131D01* +X55945Y343128D01* +X55829Y343106D01* +X55718Y343067D01* +X55636Y343023D01* +Y391500D01* +X61002D01* +Y346006D01* +X61000Y346006D01* +X60432Y345981D01* +X59868Y345907D01* +X59313Y345784D01* +X58771Y345612D01* +X58246Y345394D01* +X57742Y345132D01* +X57643Y345065D01* +X57557Y344983D01* +X57485Y344889D01* +X57428Y344784D01* +X57389Y344672D01* +X57367Y344555D01* +X57364Y344437D01* +X57380Y344319D01* +X57414Y344205D01* +X57465Y344098D01* +X57532Y344000D01* +X57614Y343914D01* +X57709Y343842D01* +X57813Y343785D01* +X57925Y343745D01* +X58042Y343724D01* +X58161Y343721D01* +X58278Y343737D01* +X58392Y343770D01* +X58498Y343824D01* +X58883Y344030D01* +X59287Y344197D01* +X59704Y344329D01* +X60130Y344424D01* +X60563Y344481D01* +X61000Y344500D01* +X61002Y344500D01* +Y334500D01* +X61000Y334500D01* +X60563Y334519D01* +X60130Y334576D01* +X59704Y334671D01* +X59287Y334803D01* +X58883Y334970D01* +X58496Y335172D01* +X58391Y335225D01* +X58277Y335259D01* +X58160Y335274D01* +X58042Y335271D01* +X57926Y335250D01* +X57815Y335211D01* +X57711Y335154D01* +X57617Y335083D01* +X57536Y334997D01* +X57469Y334900D01* +X57418Y334793D01* +X57385Y334680D01* +X57369Y334563D01* +X57372Y334445D01* +X57394Y334329D01* +X57433Y334218D01* +X57489Y334114D01* +X57561Y334020D01* +X57646Y333939D01* +X57745Y333874D01* +X58246Y333606D01* +X58771Y333388D01* +X59313Y333216D01* +X59868Y333093D01* +X60432Y333019D01* +X61000Y332994D01* +X61002Y332994D01* +Y315721D01* +G37* +G36* +X55636Y315710D02*X55506Y315710D01* +X55424Y315729D01* +X55071Y315757D01* +X54983Y315750D01* +X46364D01* +Y335977D01* +X46386Y335989D01* +X46480Y336061D01* +X46561Y336146D01* +X46626Y336245D01* +X46894Y336746D01* +X47112Y337271D01* +X47284Y337813D01* +X47407Y338368D01* +X47481Y338932D01* +X47506Y339500D01* +X47481Y340068D01* +X47407Y340632D01* +X47284Y341187D01* +X47112Y341729D01* +X46894Y342254D01* +X46632Y342758D01* +X46565Y342857D01* +X46483Y342943D01* +X46389Y343015D01* +X46364Y343029D01* +Y391500D01* +X55636D01* +Y343023D01* +X55614Y343011D01* +X55520Y342939D01* +X55439Y342854D01* +X55374Y342755D01* +X55106Y342254D01* +X54888Y341729D01* +X54716Y341187D01* +X54593Y340632D01* +X54519Y340068D01* +X54494Y339500D01* +X54519Y338932D01* +X54593Y338368D01* +X54716Y337813D01* +X54888Y337271D01* +X55106Y336746D01* +X55368Y336242D01* +X55435Y336143D01* +X55517Y336057D01* +X55611Y335985D01* +X55636Y335971D01* +Y315710D01* +G37* +G36* +X46364Y315750D02*X46183D01* +X46180Y316845D01* +X46099Y317182D01* +X45966Y317502D01* +X45785Y317797D01* +X45560Y318060D01* +X45297Y318285D01* +X45002Y318466D01* +X44682Y318599D01* +X44345Y318680D01* +X44000Y318700D01* +X41002Y318690D01* +Y332994D01* +X41568Y333019D01* +X42132Y333093D01* +X42687Y333216D01* +X43229Y333388D01* +X43754Y333606D01* +X44258Y333868D01* +X44357Y333935D01* +X44443Y334017D01* +X44515Y334111D01* +X44572Y334216D01* +X44611Y334328D01* +X44633Y334445D01* +X44636Y334563D01* +X44620Y334681D01* +X44586Y334795D01* +X44535Y334902D01* +X44468Y335000D01* +X44386Y335086D01* +X44291Y335158D01* +X44187Y335215D01* +X44075Y335255D01* +X43958Y335276D01* +X43839Y335279D01* +X43722Y335263D01* +X43608Y335230D01* +X43502Y335176D01* +X43117Y334970D01* +X42713Y334803D01* +X42296Y334671D01* +X41870Y334576D01* +X41437Y334519D01* +X41002Y334500D01* +Y344500D01* +X41437Y344481D01* +X41870Y344424D01* +X42296Y344329D01* +X42713Y344197D01* +X43117Y344030D01* +X43504Y343828D01* +X43609Y343775D01* +X43723Y343741D01* +X43840Y343726D01* +X43958Y343729D01* +X44074Y343750D01* +X44185Y343789D01* +X44289Y343846D01* +X44382Y343917D01* +X44464Y344003D01* +X44531Y344100D01* +X44582Y344207D01* +X44615Y344320D01* +X44631Y344437D01* +X44628Y344555D01* +X44606Y344671D01* +X44567Y344782D01* +X44511Y344886D01* +X44439Y344980D01* +X44354Y345061D01* +X44255Y345126D01* +X43754Y345394D01* +X43229Y345612D01* +X42687Y345784D01* +X42132Y345907D01* +X41568Y345981D01* +X41002Y346006D01* +Y391500D01* +X46364D01* +Y343029D01* +X46284Y343072D01* +X46172Y343111D01* +X46055Y343133D01* +X45937Y343136D01* +X45819Y343120D01* +X45705Y343086D01* +X45598Y343035D01* +X45500Y342968D01* +X45414Y342886D01* +X45342Y342791D01* +X45285Y342687D01* +X45245Y342575D01* +X45224Y342458D01* +X45221Y342339D01* +X45237Y342222D01* +X45270Y342108D01* +X45324Y342002D01* +X45530Y341617D01* +X45697Y341213D01* +X45829Y340796D01* +X45924Y340370D01* +X45981Y339937D01* +X46000Y339500D01* +X45981Y339063D01* +X45924Y338630D01* +X45829Y338204D01* +X45697Y337787D01* +X45530Y337383D01* +X45328Y336996D01* +X45275Y336891D01* +X45241Y336777D01* +X45226Y336660D01* +X45229Y336542D01* +X45250Y336426D01* +X45289Y336315D01* +X45346Y336211D01* +X45417Y336118D01* +X45503Y336036D01* +X45600Y335969D01* +X45707Y335918D01* +X45820Y335885D01* +X45937Y335869D01* +X46055Y335872D01* +X46171Y335894D01* +X46282Y335933D01* +X46364Y335977D01* +Y315750D01* +G37* +G36* +X41002Y318690D02*X37655Y318680D01* +X37318Y318599D01* +X36998Y318466D01* +X36703Y318285D01* +X36440Y318060D01* +X36215Y317797D01* +X36034Y317502D01* +X35901Y317182D01* +X35820Y316845D01* +X35800Y316500D01* +X35808Y314000D01* +X35636D01* +Y335971D01* +X35716Y335928D01* +X35828Y335889D01* +X35945Y335867D01* +X36063Y335864D01* +X36181Y335880D01* +X36295Y335914D01* +X36402Y335965D01* +X36500Y336032D01* +X36586Y336114D01* +X36658Y336209D01* +X36715Y336313D01* +X36755Y336425D01* +X36776Y336542D01* +X36779Y336661D01* +X36763Y336778D01* +X36730Y336892D01* +X36676Y336998D01* +X36470Y337383D01* +X36303Y337787D01* +X36171Y338204D01* +X36076Y338630D01* +X36019Y339063D01* +X36000Y339500D01* +X36019Y339937D01* +X36076Y340370D01* +X36171Y340796D01* +X36303Y341213D01* +X36470Y341617D01* +X36672Y342004D01* +X36725Y342109D01* +X36759Y342223D01* +X36774Y342340D01* +X36771Y342458D01* +X36750Y342574D01* +X36711Y342685D01* +X36654Y342789D01* +X36583Y342882D01* +X36497Y342964D01* +X36400Y343031D01* +X36293Y343082D01* +X36180Y343115D01* +X36063Y343131D01* +X35945Y343128D01* +X35829Y343106D01* +X35718Y343067D01* +X35636Y343023D01* +Y371199D01* +X36601Y371791D01* +X37738Y372762D01* +X38709Y373899D01* +X39491Y375174D01* +X40063Y376555D01* +X40412Y378009D01* +X40500Y379500D01* +X40412Y380991D01* +X40063Y382445D01* +X39491Y383826D01* +X38709Y385101D01* +X37738Y386238D01* +X36601Y387209D01* +X35636Y387801D01* +Y391500D01* +X41002D01* +Y346006D01* +X41000Y346006D01* +X40432Y345981D01* +X39868Y345907D01* +X39313Y345784D01* +X38771Y345612D01* +X38246Y345394D01* +X37742Y345132D01* +X37643Y345065D01* +X37557Y344983D01* +X37485Y344889D01* +X37428Y344784D01* +X37389Y344672D01* +X37367Y344555D01* +X37364Y344437D01* +X37380Y344319D01* +X37414Y344205D01* +X37465Y344098D01* +X37532Y344000D01* +X37614Y343914D01* +X37709Y343842D01* +X37813Y343785D01* +X37925Y343745D01* +X38042Y343724D01* +X38161Y343721D01* +X38278Y343737D01* +X38392Y343770D01* +X38498Y343824D01* +X38883Y344030D01* +X39287Y344197D01* +X39704Y344329D01* +X40130Y344424D01* +X40563Y344481D01* +X41000Y344500D01* +X41002Y344500D01* +Y334500D01* +X41000Y334500D01* +X40563Y334519D01* +X40130Y334576D01* +X39704Y334671D01* +X39287Y334803D01* +X38883Y334970D01* +X38496Y335172D01* +X38391Y335225D01* +X38277Y335259D01* +X38160Y335274D01* +X38042Y335271D01* +X37926Y335250D01* +X37815Y335211D01* +X37711Y335154D01* +X37618Y335083D01* +X37536Y334997D01* +X37469Y334900D01* +X37418Y334793D01* +X37385Y334680D01* +X37369Y334563D01* +X37372Y334445D01* +X37394Y334329D01* +X37433Y334218D01* +X37489Y334114D01* +X37561Y334020D01* +X37646Y333939D01* +X37745Y333874D01* +X38246Y333606D01* +X38771Y333388D01* +X39313Y333216D01* +X39868Y333093D01* +X40432Y333019D01* +X41000Y332994D01* +X41002Y332994D01* +Y318690D01* +G37* +G36* +X35636Y387801D02*X35326Y387991D01* +X33945Y388563D01* +X32491Y388912D01* +X31000Y389029D01* +X30985Y389028D01* +Y391500D01* +X35636D01* +Y387801D01* +G37* +G36* +Y314000D02*X30985D01* +Y369972D01* +X31000Y369971D01* +X32491Y370088D01* +X33945Y370437D01* +X35326Y371009D01* +X35636Y371199D01* +Y343023D01* +X35614Y343011D01* +X35520Y342939D01* +X35439Y342854D01* +X35374Y342755D01* +X35106Y342254D01* +X34888Y341729D01* +X34716Y341187D01* +X34593Y340632D01* +X34519Y340068D01* +X34494Y339500D01* +X34519Y338932D01* +X34593Y338368D01* +X34716Y337813D01* +X34888Y337271D01* +X35106Y336746D01* +X35368Y336242D01* +X35435Y336143D01* +X35517Y336057D01* +X35611Y335985D01* +X35636Y335971D01* +Y314000D01* +G37* +G36* +X30985D02*X20990D01* +Y316507D01* +X24735Y316514D01* +X24965Y316569D01* +X25183Y316659D01* +X25384Y316783D01* +X25564Y316936D01* +X25717Y317116D01* +X25841Y317317D01* +X25931Y317535D01* +X25986Y317765D01* +X26000Y318000D01* +X25986Y324235D01* +X25931Y324465D01* +X25841Y324683D01* +X25717Y324884D01* +X25564Y325064D01* +X25384Y325217D01* +X25183Y325341D01* +X24965Y325431D01* +X24735Y325486D01* +X24500Y325500D01* +Y334021D01* +X24832Y334225D01* +X25610Y334890D01* +X26275Y335668D01* +X26809Y336540D01* +X27201Y337485D01* +X27440Y338480D01* +X27500Y339500D01* +X27440Y340520D01* +X27201Y341515D01* +X26809Y342460D01* +X26275Y343332D01* +X25610Y344110D01* +X24832Y344775D01* +X23960Y345309D01* +X23015Y345701D01* +X22020Y345940D01* +X21000Y346020D01* +X20990Y346019D01* +Y391500D01* +X30985D01* +Y389028D01* +X29509Y388912D01* +X28055Y388563D01* +X26674Y387991D01* +X25399Y387209D01* +X24262Y386238D01* +X23291Y385101D01* +X22509Y383826D01* +X21937Y382445D01* +X21588Y380991D01* +X21471Y379500D01* +X21588Y378009D01* +X21937Y376555D01* +X22509Y375174D01* +X23291Y373899D01* +X24262Y372762D01* +X25399Y371791D01* +X26674Y371009D01* +X28055Y370437D01* +X29509Y370088D01* +X30985Y369972D01* +Y314000D01* +G37* +G36* +X20990D02*X10989D01* +Y342479D01* +X11000Y342478D01* +X12098Y342565D01* +X13170Y342822D01* +X14188Y343244D01* +X15127Y343819D01* +X15965Y344535D01* +X16681Y345373D01* +X17256Y346312D01* +X17678Y347330D01* +X17935Y348402D01* +X18000Y349500D01* +X17935Y350598D01* +X17678Y351670D01* +X17256Y352688D01* +X16681Y353627D01* +X15965Y354465D01* +X15127Y355181D01* +X14188Y355756D01* +X13170Y356178D01* +X12098Y356435D01* +X11000Y356522D01* +X10989Y356521D01* +Y391500D01* +X20990D01* +Y346019D01* +X19980Y345940D01* +X18985Y345701D01* +X18040Y345309D01* +X17168Y344775D01* +X16390Y344110D01* +X15725Y343332D01* +X15191Y342460D01* +X14799Y341515D01* +X14560Y340520D01* +X14480Y339500D01* +X14560Y338480D01* +X14799Y337485D01* +X15191Y336540D01* +X15725Y335668D01* +X16390Y334890D01* +X17168Y334225D01* +X17500Y334021D01* +Y325487D01* +X17265Y325486D01* +X17035Y325431D01* +X16817Y325341D01* +X16616Y325217D01* +X16436Y325064D01* +X16283Y324884D01* +X16159Y324683D01* +X16069Y324465D01* +X16014Y324235D01* +X16000Y324000D01* +X16014Y317765D01* +X16069Y317535D01* +X16159Y317317D01* +X16283Y317116D01* +X16436Y316936D01* +X16616Y316783D01* +X16817Y316659D01* +X17035Y316569D01* +X17265Y316514D01* +X17500Y316500D01* +X20990Y316507D01* +Y314000D01* +G37* +G36* +X10989D02*X3000D01* +Y391500D01* +X10989D01* +Y356521D01* +X9902Y356435D01* +X8830Y356178D01* +X7812Y355756D01* +X6873Y355181D01* +X6035Y354465D01* +X5319Y353627D01* +X4744Y352688D01* +X4322Y351670D01* +X4065Y350598D01* +X3978Y349500D01* +X4065Y348402D01* +X4322Y347330D01* +X4744Y346312D01* +X5319Y345373D01* +X6035Y344535D01* +X6873Y343819D01* +X7812Y343244D01* +X8830Y342822D01* +X9902Y342565D01* +X10989Y342479D01* +Y314000D01* +G37* +G36* +X252002Y358000D02*X255000D01* +Y351496D01* +X254988Y351488D01* +X254904Y351405D01* +X254833Y351309D01* +X254779Y351204D01* +X254741Y351092D01* +X254722Y350975D01* +X254721Y350856D01* +X254739Y350739D01* +X254777Y350626D01* +X254876Y350355D01* +X254944Y350075D01* +X254986Y349789D01* +X255000Y349500D01* +X254986Y349211D01* +X254944Y348925D01* +X254876Y348645D01* +X254780Y348372D01* +X254742Y348261D01* +X254725Y348144D01* +X254725Y348026D01* +X254745Y347909D01* +X254782Y347797D01* +X254836Y347693D01* +X254906Y347598D01* +X254991Y347515D01* +X255000Y347508D01* +Y341496D01* +X254988Y341488D01* +X254904Y341405D01* +X254833Y341309D01* +X254779Y341204D01* +X254741Y341092D01* +X254722Y340975D01* +X254721Y340856D01* +X254739Y340739D01* +X254777Y340626D01* +X254876Y340355D01* +X254944Y340075D01* +X254986Y339789D01* +X255000Y339500D01* +X254986Y339211D01* +X254944Y338925D01* +X254876Y338645D01* +X254780Y338372D01* +X254742Y338261D01* +X254725Y338144D01* +X254725Y338026D01* +X254745Y337909D01* +X254782Y337797D01* +X254836Y337693D01* +X254906Y337598D01* +X254991Y337515D01* +X255000Y337508D01* +Y322838D01* +X252962Y320800D01* +X252002D01* +Y334995D01* +X252433Y335016D01* +X252863Y335078D01* +X253284Y335182D01* +X253694Y335325D01* +X253800Y335378D01* +X253896Y335447D01* +X253981Y335530D01* +X254051Y335625D01* +X254106Y335731D01* +X254143Y335843D01* +X254163Y335960D01* +X254164Y336079D01* +X254146Y336196D01* +X254110Y336309D01* +X254057Y336415D01* +X253988Y336512D01* +X253905Y336596D01* +X253809Y336667D01* +X253704Y336721D01* +X253592Y336759D01* +X253475Y336778D01* +X253356Y336779D01* +X253239Y336761D01* +X253126Y336723D01* +X252855Y336624D01* +X252575Y336556D01* +X252289Y336514D01* +X252002Y336500D01* +Y342500D01* +X252289Y342486D01* +X252575Y342444D01* +X252855Y342376D01* +X253128Y342280D01* +X253239Y342242D01* +X253356Y342225D01* +X253474Y342225D01* +X253591Y342245D01* +X253703Y342282D01* +X253807Y342336D01* +X253902Y342406D01* +X253985Y342491D01* +X254054Y342587D01* +X254107Y342692D01* +X254143Y342805D01* +X254160Y342921D01* +X254159Y343039D01* +X254140Y343156D01* +X254103Y343268D01* +X254048Y343373D01* +X253978Y343468D01* +X253894Y343551D01* +X253798Y343619D01* +X253692Y343670D01* +X253284Y343818D01* +X252863Y343922D01* +X252433Y343984D01* +X252002Y344005D01* +Y344995D01* +X252433Y345016D01* +X252863Y345078D01* +X253284Y345182D01* +X253694Y345325D01* +X253800Y345378D01* +X253896Y345447D01* +X253981Y345530D01* +X254051Y345625D01* +X254106Y345731D01* +X254143Y345843D01* +X254163Y345960D01* +X254164Y346079D01* +X254146Y346196D01* +X254110Y346309D01* +X254057Y346415D01* +X253988Y346512D01* +X253905Y346596D01* +X253809Y346667D01* +X253704Y346721D01* +X253592Y346759D01* +X253475Y346778D01* +X253356Y346779D01* +X253239Y346761D01* +X253126Y346723D01* +X252855Y346624D01* +X252575Y346556D01* +X252289Y346514D01* +X252002Y346500D01* +Y352500D01* +X252289Y352486D01* +X252575Y352444D01* +X252855Y352376D01* +X253128Y352280D01* +X253239Y352242D01* +X253356Y352225D01* +X253474Y352225D01* +X253591Y352245D01* +X253703Y352282D01* +X253807Y352336D01* +X253902Y352406D01* +X253985Y352491D01* +X254054Y352587D01* +X254107Y352692D01* +X254143Y352805D01* +X254160Y352921D01* +X254159Y353039D01* +X254140Y353156D01* +X254103Y353268D01* +X254048Y353373D01* +X253978Y353468D01* +X253894Y353551D01* +X253798Y353619D01* +X253692Y353670D01* +X253284Y353818D01* +X252863Y353922D01* +X252433Y353984D01* +X252002Y354005D01* +Y358000D01* +G37* +G36* +X248387D02*X252002D01* +Y354005D01* +X252000Y354005D01* +X251567Y353984D01* +X251137Y353922D01* +X250716Y353818D01* +X250306Y353675D01* +X250200Y353622D01* +X250104Y353553D01* +X250019Y353470D01* +X249949Y353375D01* +X249894Y353269D01* +X249857Y353157D01* +X249837Y353040D01* +X249836Y352921D01* +X249854Y352804D01* +X249890Y352691D01* +X249943Y352585D01* +X250012Y352488D01* +X250095Y352404D01* +X250191Y352333D01* +X250296Y352279D01* +X250408Y352241D01* +X250525Y352222D01* +X250644Y352221D01* +X250761Y352239D01* +X250874Y352277D01* +X251145Y352376D01* +X251425Y352444D01* +X251711Y352486D01* +X252000Y352500D01* +X252002Y352500D01* +Y346500D01* +X252000Y346500D01* +X251711Y346514D01* +X251425Y346556D01* +X251145Y346624D01* +X250872Y346720D01* +X250761Y346758D01* +X250644Y346775D01* +X250526Y346775D01* +X250409Y346755D01* +X250297Y346718D01* +X250193Y346664D01* +X250098Y346594D01* +X250015Y346509D01* +X249946Y346413D01* +X249893Y346308D01* +X249857Y346195D01* +X249840Y346079D01* +X249841Y345961D01* +X249860Y345844D01* +X249897Y345732D01* +X249952Y345627D01* +X250022Y345532D01* +X250106Y345449D01* +X250202Y345381D01* +X250308Y345330D01* +X250716Y345182D01* +X251137Y345078D01* +X251567Y345016D01* +X252000Y344995D01* +X252002Y344995D01* +Y344005D01* +X252000Y344005D01* +X251567Y343984D01* +X251137Y343922D01* +X250716Y343818D01* +X250306Y343675D01* +X250200Y343622D01* +X250104Y343553D01* +X250019Y343470D01* +X249949Y343375D01* +X249894Y343269D01* +X249857Y343157D01* +X249837Y343040D01* +X249836Y342921D01* +X249854Y342804D01* +X249890Y342691D01* +X249943Y342585D01* +X250012Y342488D01* +X250095Y342404D01* +X250191Y342333D01* +X250296Y342279D01* +X250408Y342241D01* +X250525Y342222D01* +X250644Y342221D01* +X250761Y342239D01* +X250874Y342277D01* +X251145Y342376D01* +X251425Y342444D01* +X251711Y342486D01* +X252000Y342500D01* +X252002Y342500D01* +Y336500D01* +X252000Y336500D01* +X251711Y336514D01* +X251425Y336556D01* +X251145Y336624D01* +X250872Y336720D01* +X250761Y336758D01* +X250644Y336775D01* +X250526Y336775D01* +X250409Y336755D01* +X250297Y336718D01* +X250193Y336664D01* +X250098Y336594D01* +X250015Y336509D01* +X249946Y336413D01* +X249893Y336308D01* +X249857Y336195D01* +X249840Y336079D01* +X249841Y335961D01* +X249860Y335844D01* +X249897Y335732D01* +X249952Y335627D01* +X250022Y335532D01* +X250106Y335449D01* +X250202Y335381D01* +X250308Y335330D01* +X250716Y335182D01* +X251137Y335078D01* +X251567Y335016D01* +X252000Y334995D01* +X252002Y334995D01* +Y320800D01* +X248387D01* +Y337349D01* +X248460Y337337D01* +X248579Y337336D01* +X248696Y337354D01* +X248809Y337390D01* +X248915Y337443D01* +X249012Y337512D01* +X249096Y337595D01* +X249167Y337691D01* +X249221Y337796D01* +X249259Y337908D01* +X249278Y338025D01* +X249279Y338144D01* +X249261Y338261D01* +X249223Y338374D01* +X249124Y338645D01* +X249056Y338925D01* +X249014Y339211D01* +X249000Y339500D01* +X249014Y339789D01* +X249056Y340075D01* +X249124Y340355D01* +X249220Y340628D01* +X249258Y340739D01* +X249275Y340856D01* +X249275Y340974D01* +X249255Y341091D01* +X249218Y341203D01* +X249164Y341307D01* +X249094Y341402D01* +X249009Y341485D01* +X248913Y341554D01* +X248808Y341607D01* +X248695Y341643D01* +X248579Y341660D01* +X248461Y341659D01* +X248387Y341647D01* +Y347349D01* +X248460Y347337D01* +X248579Y347336D01* +X248696Y347354D01* +X248809Y347390D01* +X248915Y347443D01* +X249012Y347512D01* +X249096Y347595D01* +X249167Y347691D01* +X249221Y347796D01* +X249259Y347908D01* +X249278Y348025D01* +X249279Y348144D01* +X249261Y348261D01* +X249223Y348374D01* +X249124Y348645D01* +X249056Y348925D01* +X249014Y349211D01* +X249000Y349500D01* +X249014Y349789D01* +X249056Y350075D01* +X249124Y350355D01* +X249220Y350628D01* +X249258Y350739D01* +X249275Y350856D01* +X249275Y350974D01* +X249255Y351091D01* +X249218Y351203D01* +X249164Y351307D01* +X249094Y351402D01* +X249009Y351485D01* +X248913Y351554D01* +X248808Y351607D01* +X248695Y351643D01* +X248579Y351660D01* +X248461Y351659D01* +X248387Y351647D01* +Y358000D01* +G37* +G36* +X241993Y344987D02*X242000Y344986D01* +X242706Y345042D01* +X243395Y345207D01* +X244049Y345478D01* +X244653Y345848D01* +X245192Y346308D01* +X245652Y346847D01* +X246022Y347451D01* +X246293Y348105D01* +X246458Y348794D01* +X246500Y349500D01* +X246458Y350206D01* +X246293Y350895D01* +X246022Y351549D01* +X245652Y352153D01* +X245250Y352624D01* +Y356800D01* +X246450Y358000D01* +X248387D01* +Y351647D01* +X248344Y351640D01* +X248232Y351603D01* +X248127Y351548D01* +X248032Y351478D01* +X247949Y351394D01* +X247881Y351298D01* +X247830Y351192D01* +X247682Y350784D01* +X247578Y350363D01* +X247516Y349933D01* +X247495Y349500D01* +X247516Y349067D01* +X247578Y348637D01* +X247682Y348216D01* +X247825Y347806D01* +X247878Y347700D01* +X247947Y347604D01* +X248030Y347519D01* +X248125Y347449D01* +X248231Y347394D01* +X248343Y347357D01* +X248387Y347349D01* +Y341647D01* +X248344Y341640D01* +X248232Y341603D01* +X248127Y341548D01* +X248032Y341478D01* +X247949Y341394D01* +X247881Y341298D01* +X247830Y341192D01* +X247682Y340784D01* +X247578Y340363D01* +X247516Y339933D01* +X247495Y339500D01* +X247516Y339067D01* +X247578Y338637D01* +X247682Y338216D01* +X247825Y337806D01* +X247878Y337700D01* +X247947Y337604D01* +X248030Y337519D01* +X248125Y337449D01* +X248231Y337394D01* +X248343Y337357D01* +X248387Y337349D01* +Y320800D01* +X241993D01* +Y334987D01* +X242000Y334986D01* +X242706Y335042D01* +X243395Y335207D01* +X244049Y335478D01* +X244653Y335848D01* +X245192Y336308D01* +X245652Y336847D01* +X246022Y337451D01* +X246293Y338105D01* +X246458Y338794D01* +X246500Y339500D01* +X246458Y340206D01* +X246293Y340895D01* +X246022Y341549D01* +X245652Y342153D01* +X245192Y342692D01* +X244653Y343152D01* +X244049Y343522D01* +X243395Y343793D01* +X242706Y343958D01* +X242000Y344014D01* +X241993Y344013D01* +Y344987D01* +G37* +G36* +X235613Y358000D02*X238250D01* +Y351993D01* +X237978Y351549D01* +X237707Y350895D01* +X237542Y350206D01* +X237486Y349500D01* +X237542Y348794D01* +X237707Y348105D01* +X237978Y347451D01* +X238348Y346847D01* +X238808Y346308D01* +X239347Y345848D01* +X239951Y345478D01* +X240605Y345207D01* +X241294Y345042D01* +X241993Y344987D01* +Y344013D01* +X241294Y343958D01* +X240605Y343793D01* +X239951Y343522D01* +X239347Y343152D01* +X238808Y342692D01* +X238348Y342153D01* +X237978Y341549D01* +X237707Y340895D01* +X237542Y340206D01* +X237486Y339500D01* +X237542Y338794D01* +X237707Y338105D01* +X237978Y337451D01* +X238348Y336847D01* +X238808Y336308D01* +X239347Y335848D01* +X239951Y335478D01* +X240605Y335207D01* +X241294Y335042D01* +X241993Y334987D01* +Y320800D01* +X235613D01* +Y337353D01* +X235656Y337360D01* +X235768Y337397D01* +X235873Y337452D01* +X235968Y337522D01* +X236051Y337606D01* +X236119Y337702D01* +X236170Y337808D01* +X236318Y338216D01* +X236422Y338637D01* +X236484Y339067D01* +X236505Y339500D01* +X236484Y339933D01* +X236422Y340363D01* +X236318Y340784D01* +X236175Y341194D01* +X236122Y341300D01* +X236053Y341396D01* +X235970Y341481D01* +X235875Y341551D01* +X235769Y341606D01* +X235657Y341643D01* +X235613Y341651D01* +Y347353D01* +X235656Y347360D01* +X235768Y347397D01* +X235873Y347452D01* +X235968Y347522D01* +X236051Y347606D01* +X236119Y347702D01* +X236170Y347808D01* +X236318Y348216D01* +X236422Y348637D01* +X236484Y349067D01* +X236505Y349500D01* +X236484Y349933D01* +X236422Y350363D01* +X236318Y350784D01* +X236175Y351194D01* +X236122Y351300D01* +X236053Y351396D01* +X235970Y351481D01* +X235875Y351551D01* +X235769Y351606D01* +X235657Y351643D01* +X235613Y351651D01* +Y358000D01* +G37* +G36* +X232002D02*X235613D01* +Y351651D01* +X235540Y351663D01* +X235421Y351664D01* +X235304Y351646D01* +X235191Y351610D01* +X235085Y351557D01* +X234988Y351488D01* +X234904Y351405D01* +X234833Y351309D01* +X234779Y351204D01* +X234741Y351092D01* +X234722Y350975D01* +X234721Y350856D01* +X234739Y350739D01* +X234777Y350626D01* +X234876Y350355D01* +X234944Y350075D01* +X234986Y349789D01* +X235000Y349500D01* +X234986Y349211D01* +X234944Y348925D01* +X234876Y348645D01* +X234780Y348372D01* +X234742Y348261D01* +X234725Y348144D01* +X234725Y348026D01* +X234745Y347909D01* +X234782Y347797D01* +X234836Y347693D01* +X234906Y347598D01* +X234991Y347515D01* +X235087Y347446D01* +X235192Y347393D01* +X235305Y347357D01* +X235421Y347340D01* +X235539Y347341D01* +X235613Y347353D01* +Y341651D01* +X235540Y341663D01* +X235421Y341664D01* +X235304Y341646D01* +X235191Y341610D01* +X235085Y341557D01* +X234988Y341488D01* +X234904Y341405D01* +X234833Y341309D01* +X234779Y341204D01* +X234741Y341092D01* +X234722Y340975D01* +X234721Y340856D01* +X234739Y340739D01* +X234777Y340626D01* +X234876Y340355D01* +X234944Y340075D01* +X234986Y339789D01* +X235000Y339500D01* +X234986Y339211D01* +X234944Y338925D01* +X234876Y338645D01* +X234780Y338372D01* +X234742Y338261D01* +X234725Y338144D01* +X234725Y338026D01* +X234745Y337909D01* +X234782Y337797D01* +X234836Y337693D01* +X234906Y337598D01* +X234991Y337515D01* +X235087Y337446D01* +X235192Y337393D01* +X235305Y337357D01* +X235421Y337340D01* +X235539Y337341D01* +X235613Y337353D01* +Y320800D01* +X232002D01* +Y334995D01* +X232433Y335016D01* +X232863Y335078D01* +X233284Y335182D01* +X233694Y335325D01* +X233800Y335378D01* +X233896Y335447D01* +X233981Y335530D01* +X234051Y335625D01* +X234106Y335731D01* +X234143Y335843D01* +X234163Y335960D01* +X234164Y336079D01* +X234146Y336196D01* +X234110Y336309D01* +X234057Y336415D01* +X233988Y336512D01* +X233905Y336596D01* +X233809Y336667D01* +X233704Y336721D01* +X233592Y336759D01* +X233475Y336778D01* +X233356Y336779D01* +X233239Y336761D01* +X233126Y336723D01* +X232855Y336624D01* +X232575Y336556D01* +X232289Y336514D01* +X232002Y336500D01* +Y342500D01* +X232289Y342486D01* +X232575Y342444D01* +X232855Y342376D01* +X233128Y342280D01* +X233239Y342242D01* +X233356Y342225D01* +X233474Y342225D01* +X233591Y342245D01* +X233703Y342282D01* +X233807Y342336D01* +X233902Y342406D01* +X233985Y342491D01* +X234054Y342587D01* +X234107Y342692D01* +X234143Y342805D01* +X234160Y342921D01* +X234159Y343039D01* +X234140Y343156D01* +X234103Y343268D01* +X234048Y343373D01* +X233978Y343468D01* +X233894Y343551D01* +X233798Y343619D01* +X233692Y343670D01* +X233284Y343818D01* +X232863Y343922D01* +X232433Y343984D01* +X232002Y344005D01* +Y344995D01* +X232433Y345016D01* +X232863Y345078D01* +X233284Y345182D01* +X233694Y345325D01* +X233800Y345378D01* +X233896Y345447D01* +X233981Y345530D01* +X234051Y345625D01* +X234106Y345731D01* +X234143Y345843D01* +X234163Y345960D01* +X234164Y346079D01* +X234146Y346196D01* +X234110Y346309D01* +X234057Y346415D01* +X233988Y346512D01* +X233905Y346596D01* +X233809Y346667D01* +X233704Y346721D01* +X233592Y346759D01* +X233475Y346778D01* +X233356Y346779D01* +X233239Y346761D01* +X233126Y346723D01* +X232855Y346624D01* +X232575Y346556D01* +X232289Y346514D01* +X232002Y346500D01* +Y352500D01* +X232289Y352486D01* +X232575Y352444D01* +X232855Y352376D01* +X233128Y352280D01* +X233239Y352242D01* +X233356Y352225D01* +X233474Y352225D01* +X233591Y352245D01* +X233703Y352282D01* +X233807Y352336D01* +X233902Y352406D01* +X233985Y352491D01* +X234054Y352587D01* +X234107Y352692D01* +X234143Y352805D01* +X234160Y352921D01* +X234159Y353039D01* +X234140Y353156D01* +X234103Y353268D01* +X234048Y353373D01* +X233978Y353468D01* +X233894Y353551D01* +X233798Y353619D01* +X233692Y353670D01* +X233284Y353818D01* +X232863Y353922D01* +X232433Y353984D01* +X232002Y354005D01* +Y358000D01* +G37* +G36* +X228387D02*X232002D01* +Y354005D01* +X232000Y354005D01* +X231567Y353984D01* +X231137Y353922D01* +X230716Y353818D01* +X230306Y353675D01* +X230200Y353622D01* +X230104Y353553D01* +X230019Y353470D01* +X229949Y353375D01* +X229894Y353269D01* +X229857Y353157D01* +X229837Y353040D01* +X229836Y352921D01* +X229854Y352804D01* +X229890Y352691D01* +X229943Y352585D01* +X230012Y352488D01* +X230095Y352404D01* +X230191Y352333D01* +X230296Y352279D01* +X230408Y352241D01* +X230525Y352222D01* +X230644Y352221D01* +X230761Y352239D01* +X230874Y352277D01* +X231145Y352376D01* +X231425Y352444D01* +X231711Y352486D01* +X232000Y352500D01* +X232002Y352500D01* +Y346500D01* +X232000Y346500D01* +X231711Y346514D01* +X231425Y346556D01* +X231145Y346624D01* +X230872Y346720D01* +X230761Y346758D01* +X230644Y346775D01* +X230526Y346775D01* +X230409Y346755D01* +X230297Y346718D01* +X230193Y346664D01* +X230098Y346594D01* +X230015Y346509D01* +X229946Y346413D01* +X229893Y346308D01* +X229857Y346195D01* +X229840Y346079D01* +X229841Y345961D01* +X229860Y345844D01* +X229897Y345732D01* +X229952Y345627D01* +X230022Y345532D01* +X230106Y345449D01* +X230202Y345381D01* +X230308Y345330D01* +X230716Y345182D01* +X231137Y345078D01* +X231567Y345016D01* +X232000Y344995D01* +X232002Y344995D01* +Y344005D01* +X232000Y344005D01* +X231567Y343984D01* +X231137Y343922D01* +X230716Y343818D01* +X230306Y343675D01* +X230200Y343622D01* +X230104Y343553D01* +X230019Y343470D01* +X229949Y343375D01* +X229894Y343269D01* +X229857Y343157D01* +X229837Y343040D01* +X229836Y342921D01* +X229854Y342804D01* +X229890Y342691D01* +X229943Y342585D01* +X230012Y342488D01* +X230095Y342404D01* +X230191Y342333D01* +X230296Y342279D01* +X230408Y342241D01* +X230525Y342222D01* +X230644Y342221D01* +X230761Y342239D01* +X230874Y342277D01* +X231145Y342376D01* +X231425Y342444D01* +X231711Y342486D01* +X232000Y342500D01* +X232002Y342500D01* +Y336500D01* +X232000Y336500D01* +X231711Y336514D01* +X231425Y336556D01* +X231145Y336624D01* +X230872Y336720D01* +X230761Y336758D01* +X230644Y336775D01* +X230526Y336775D01* +X230409Y336755D01* +X230297Y336718D01* +X230193Y336664D01* +X230098Y336594D01* +X230015Y336509D01* +X229946Y336413D01* +X229893Y336308D01* +X229857Y336195D01* +X229840Y336079D01* +X229841Y335961D01* +X229860Y335844D01* +X229897Y335732D01* +X229952Y335627D01* +X230022Y335532D01* +X230106Y335449D01* +X230202Y335381D01* +X230308Y335330D01* +X230716Y335182D01* +X231137Y335078D01* +X231567Y335016D01* +X232000Y334995D01* +X232002Y334995D01* +Y320800D01* +X228387D01* +Y337349D01* +X228460Y337337D01* +X228579Y337336D01* +X228696Y337354D01* +X228809Y337390D01* +X228915Y337443D01* +X229012Y337512D01* +X229096Y337595D01* +X229167Y337691D01* +X229221Y337796D01* +X229259Y337908D01* +X229278Y338025D01* +X229279Y338144D01* +X229261Y338261D01* +X229223Y338374D01* +X229124Y338645D01* +X229056Y338925D01* +X229014Y339211D01* +X229000Y339500D01* +X229014Y339789D01* +X229056Y340075D01* +X229124Y340355D01* +X229220Y340628D01* +X229258Y340739D01* +X229275Y340856D01* +X229275Y340974D01* +X229255Y341091D01* +X229218Y341203D01* +X229164Y341307D01* +X229094Y341402D01* +X229009Y341485D01* +X228913Y341554D01* +X228808Y341607D01* +X228695Y341643D01* +X228579Y341660D01* +X228461Y341659D01* +X228387Y341647D01* +Y347349D01* +X228460Y347337D01* +X228579Y347336D01* +X228696Y347354D01* +X228809Y347390D01* +X228915Y347443D01* +X229012Y347512D01* +X229096Y347595D01* +X229167Y347691D01* +X229221Y347796D01* +X229259Y347908D01* +X229278Y348025D01* +X229279Y348144D01* +X229261Y348261D01* +X229223Y348374D01* +X229124Y348645D01* +X229056Y348925D01* +X229014Y349211D01* +X229000Y349500D01* +X229014Y349789D01* +X229056Y350075D01* +X229124Y350355D01* +X229220Y350628D01* +X229258Y350739D01* +X229275Y350856D01* +X229275Y350974D01* +X229255Y351091D01* +X229218Y351203D01* +X229164Y351307D01* +X229094Y351402D01* +X229009Y351485D01* +X228913Y351554D01* +X228808Y351607D01* +X228695Y351643D01* +X228579Y351660D01* +X228461Y351659D01* +X228387Y351647D01* +Y358000D01* +G37* +G36* +X225613D02*X228387D01* +Y351647D01* +X228344Y351640D01* +X228232Y351603D01* +X228127Y351548D01* +X228032Y351478D01* +X227949Y351394D01* +X227881Y351298D01* +X227830Y351192D01* +X227682Y350784D01* +X227578Y350363D01* +X227516Y349933D01* +X227495Y349500D01* +X227516Y349067D01* +X227578Y348637D01* +X227682Y348216D01* +X227825Y347806D01* +X227878Y347700D01* +X227947Y347604D01* +X228030Y347519D01* +X228125Y347449D01* +X228231Y347394D01* +X228343Y347357D01* +X228387Y347349D01* +Y341647D01* +X228344Y341640D01* +X228232Y341603D01* +X228127Y341548D01* +X228032Y341478D01* +X227949Y341394D01* +X227881Y341298D01* +X227830Y341192D01* +X227682Y340784D01* +X227578Y340363D01* +X227516Y339933D01* +X227495Y339500D01* +X227516Y339067D01* +X227578Y338637D01* +X227682Y338216D01* +X227825Y337806D01* +X227878Y337700D01* +X227947Y337604D01* +X228030Y337519D01* +X228125Y337449D01* +X228231Y337394D01* +X228343Y337357D01* +X228387Y337349D01* +Y320800D01* +X225613D01* +Y337353D01* +X225656Y337360D01* +X225768Y337397D01* +X225873Y337452D01* +X225968Y337522D01* +X226051Y337606D01* +X226119Y337702D01* +X226170Y337808D01* +X226318Y338216D01* +X226422Y338637D01* +X226484Y339067D01* +X226505Y339500D01* +X226484Y339933D01* +X226422Y340363D01* +X226318Y340784D01* +X226175Y341194D01* +X226122Y341300D01* +X226053Y341396D01* +X225970Y341481D01* +X225875Y341551D01* +X225769Y341606D01* +X225657Y341643D01* +X225613Y341651D01* +Y347353D01* +X225656Y347360D01* +X225768Y347397D01* +X225873Y347452D01* +X225968Y347522D01* +X226051Y347606D01* +X226119Y347702D01* +X226170Y347808D01* +X226318Y348216D01* +X226422Y348637D01* +X226484Y349067D01* +X226505Y349500D01* +X226484Y349933D01* +X226422Y350363D01* +X226318Y350784D01* +X226175Y351194D01* +X226122Y351300D01* +X226053Y351396D01* +X225970Y351481D01* +X225875Y351551D01* +X225769Y351606D01* +X225657Y351643D01* +X225613Y351651D01* +Y358000D01* +G37* +G36* +Y320800D02*X224603D01* +Y337345D01* +X224766Y337445D01* +X224922Y337578D01* +X224924Y337580D01* +X224991Y337515D01* +X225087Y337446D01* +X225192Y337393D01* +X225305Y337357D01* +X225421Y337340D01* +X225539Y337341D01* +X225613Y337353D01* +Y320800D01* +G37* +G36* +X224603Y358000D02*X225613D01* +Y351651D01* +X225540Y351663D01* +X225421Y351664D01* +X225304Y351646D01* +X225191Y351610D01* +X225085Y351557D01* +X224988Y351488D01* +X224904Y351405D01* +X224833Y351309D01* +X224779Y351204D01* +X224741Y351092D01* +X224722Y350975D01* +X224721Y350856D01* +X224739Y350739D01* +X224777Y350626D01* +X224876Y350355D01* +X224944Y350075D01* +X224986Y349789D01* +X225000Y349500D01* +X224986Y349211D01* +X224944Y348925D01* +X224876Y348645D01* +X224780Y348372D01* +X224742Y348261D01* +X224725Y348144D01* +X224725Y348026D01* +X224745Y347909D01* +X224782Y347797D01* +X224836Y347693D01* +X224906Y347598D01* +X224991Y347515D01* +X225087Y347446D01* +X225192Y347393D01* +X225305Y347357D01* +X225421Y347340D01* +X225539Y347341D01* +X225613Y347353D01* +Y341651D01* +X225540Y341663D01* +X225421Y341664D01* +X225304Y341646D01* +X225191Y341610D01* +X225085Y341557D01* +X224988Y341488D01* +X224904Y341405D01* +X224833Y341309D01* +X224779Y341204D01* +X224741Y341092D01* +X224722Y340975D01* +X224721Y340856D01* +X224739Y340739D01* +X224777Y340626D01* +X224819Y340510D01* +X224766Y340555D01* +X224603Y340655D01* +Y358000D01* +G37* +G36* +X222002D02*X224603D01* +Y340655D01* +X224592Y340662D01* +X224403Y340740D01* +X224204Y340788D01* +X224000Y340804D01* +X223796Y340788D01* +X223597Y340740D01* +X223408Y340662D01* +X223234Y340555D01* +X223078Y340422D01* +X222945Y340266D01* +X222838Y340092D01* +X222760Y339903D01* +X222712Y339704D01* +X222700Y339500D01* +Y338500D01* +X222712Y338296D01* +X222760Y338097D01* +X222838Y337908D01* +X222945Y337734D01* +X223078Y337578D01* +X223234Y337445D01* +X223408Y337338D01* +X223597Y337260D01* +X223796Y337212D01* +X224000Y337196D01* +X224204Y337212D01* +X224403Y337260D01* +X224592Y337338D01* +X224603Y337345D01* +Y320800D01* +X222002D01* +Y334995D01* +X222433Y335016D01* +X222863Y335078D01* +X223284Y335182D01* +X223694Y335325D01* +X223800Y335378D01* +X223896Y335447D01* +X223981Y335530D01* +X224051Y335625D01* +X224106Y335731D01* +X224143Y335843D01* +X224163Y335960D01* +X224164Y336079D01* +X224146Y336196D01* +X224110Y336309D01* +X224057Y336415D01* +X223988Y336512D01* +X223905Y336596D01* +X223809Y336667D01* +X223704Y336721D01* +X223592Y336759D01* +X223475Y336778D01* +X223356Y336779D01* +X223239Y336761D01* +X223126Y336723D01* +X222855Y336624D01* +X222575Y336556D01* +X222289Y336514D01* +X222002Y336500D01* +Y342500D01* +X222289Y342486D01* +X222575Y342444D01* +X222855Y342376D01* +X223128Y342280D01* +X223239Y342242D01* +X223356Y342225D01* +X223474Y342225D01* +X223591Y342245D01* +X223703Y342282D01* +X223807Y342336D01* +X223902Y342406D01* +X223985Y342491D01* +X224054Y342587D01* +X224107Y342692D01* +X224143Y342805D01* +X224160Y342921D01* +X224159Y343039D01* +X224140Y343156D01* +X224103Y343268D01* +X224048Y343373D01* +X223978Y343468D01* +X223894Y343551D01* +X223798Y343619D01* +X223692Y343670D01* +X223284Y343818D01* +X222863Y343922D01* +X222433Y343984D01* +X222002Y344005D01* +Y344995D01* +X222433Y345016D01* +X222863Y345078D01* +X223284Y345182D01* +X223694Y345325D01* +X223800Y345378D01* +X223896Y345447D01* +X223981Y345530D01* +X224051Y345625D01* +X224106Y345731D01* +X224143Y345843D01* +X224163Y345960D01* +X224164Y346079D01* +X224146Y346196D01* +X224110Y346309D01* +X224057Y346415D01* +X223988Y346512D01* +X223905Y346596D01* +X223809Y346667D01* +X223704Y346721D01* +X223592Y346759D01* +X223475Y346778D01* +X223356Y346779D01* +X223239Y346761D01* +X223126Y346723D01* +X222855Y346624D01* +X222575Y346556D01* +X222289Y346514D01* +X222002Y346500D01* +Y352500D01* +X222289Y352486D01* +X222575Y352444D01* +X222855Y352376D01* +X223128Y352280D01* +X223239Y352242D01* +X223356Y352225D01* +X223474Y352225D01* +X223591Y352245D01* +X223703Y352282D01* +X223807Y352336D01* +X223902Y352406D01* +X223985Y352491D01* +X224054Y352587D01* +X224107Y352692D01* +X224143Y352805D01* +X224160Y352921D01* +X224159Y353039D01* +X224140Y353156D01* +X224103Y353268D01* +X224048Y353373D01* +X223978Y353468D01* +X223894Y353551D01* +X223798Y353619D01* +X223692Y353670D01* +X223284Y353818D01* +X222863Y353922D01* +X222433Y353984D01* +X222002Y354005D01* +Y358000D01* +G37* +G36* +X218387D02*X222002D01* +Y354005D01* +X222000Y354005D01* +X221567Y353984D01* +X221137Y353922D01* +X220716Y353818D01* +X220306Y353675D01* +X220200Y353622D01* +X220104Y353553D01* +X220019Y353470D01* +X219949Y353375D01* +X219894Y353269D01* +X219857Y353157D01* +X219837Y353040D01* +X219836Y352921D01* +X219854Y352804D01* +X219890Y352691D01* +X219943Y352585D01* +X220012Y352488D01* +X220095Y352404D01* +X220191Y352333D01* +X220296Y352279D01* +X220408Y352241D01* +X220525Y352222D01* +X220644Y352221D01* +X220761Y352239D01* +X220874Y352277D01* +X221145Y352376D01* +X221425Y352444D01* +X221711Y352486D01* +X222000Y352500D01* +X222002Y352500D01* +Y346500D01* +X222000Y346500D01* +X221711Y346514D01* +X221425Y346556D01* +X221145Y346624D01* +X220872Y346720D01* +X220761Y346758D01* +X220644Y346775D01* +X220526Y346775D01* +X220409Y346755D01* +X220297Y346718D01* +X220193Y346664D01* +X220098Y346594D01* +X220015Y346509D01* +X219946Y346413D01* +X219893Y346308D01* +X219857Y346195D01* +X219840Y346079D01* +X219841Y345961D01* +X219860Y345844D01* +X219897Y345732D01* +X219952Y345627D01* +X220022Y345532D01* +X220106Y345449D01* +X220202Y345381D01* +X220308Y345330D01* +X220716Y345182D01* +X221137Y345078D01* +X221567Y345016D01* +X222000Y344995D01* +X222002Y344995D01* +Y344005D01* +X222000Y344005D01* +X221567Y343984D01* +X221137Y343922D01* +X220716Y343818D01* +X220306Y343675D01* +X220200Y343622D01* +X220104Y343553D01* +X220019Y343470D01* +X219949Y343375D01* +X219894Y343269D01* +X219857Y343157D01* +X219837Y343040D01* +X219836Y342921D01* +X219854Y342804D01* +X219890Y342691D01* +X219943Y342585D01* +X220012Y342488D01* +X220095Y342404D01* +X220191Y342333D01* +X220296Y342279D01* +X220408Y342241D01* +X220525Y342222D01* +X220644Y342221D01* +X220761Y342239D01* +X220874Y342277D01* +X221145Y342376D01* +X221425Y342444D01* +X221711Y342486D01* +X222000Y342500D01* +X222002Y342500D01* +Y336500D01* +X222000Y336500D01* +X221711Y336514D01* +X221425Y336556D01* +X221145Y336624D01* +X220872Y336720D01* +X220761Y336758D01* +X220644Y336775D01* +X220526Y336775D01* +X220409Y336755D01* +X220297Y336718D01* +X220193Y336664D01* +X220098Y336594D01* +X220015Y336509D01* +X219946Y336413D01* +X219893Y336308D01* +X219857Y336195D01* +X219840Y336079D01* +X219841Y335961D01* +X219860Y335844D01* +X219897Y335732D01* +X219952Y335627D01* +X220022Y335532D01* +X220106Y335449D01* +X220202Y335381D01* +X220308Y335330D01* +X220716Y335182D01* +X221137Y335078D01* +X221567Y335016D01* +X222000Y334995D01* +X222002Y334995D01* +Y320800D01* +X219551D01* +X219500Y320804D01* +X219296Y320788D01* +X219097Y320740D01* +X218908Y320662D01* +X218734Y320555D01* +X218733Y320555D01* +X218578Y320422D01* +X218545Y320383D01* +X218387Y320225D01* +Y337349D01* +X218460Y337337D01* +X218579Y337336D01* +X218696Y337354D01* +X218809Y337390D01* +X218915Y337443D01* +X219012Y337512D01* +X219096Y337595D01* +X219167Y337691D01* +X219221Y337796D01* +X219259Y337908D01* +X219278Y338025D01* +X219279Y338144D01* +X219261Y338261D01* +X219223Y338374D01* +X219124Y338645D01* +X219056Y338925D01* +X219014Y339211D01* +X219000Y339500D01* +X219014Y339789D01* +X219056Y340075D01* +X219124Y340355D01* +X219220Y340628D01* +X219258Y340739D01* +X219275Y340856D01* +X219275Y340974D01* +X219255Y341091D01* +X219218Y341203D01* +X219164Y341307D01* +X219094Y341402D01* +X219009Y341485D01* +X218913Y341554D01* +X218808Y341607D01* +X218695Y341643D01* +X218579Y341660D01* +X218461Y341659D01* +X218387Y341647D01* +Y347349D01* +X218460Y347337D01* +X218579Y347336D01* +X218696Y347354D01* +X218809Y347390D01* +X218915Y347443D01* +X219012Y347512D01* +X219096Y347595D01* +X219167Y347691D01* +X219221Y347796D01* +X219259Y347908D01* +X219278Y348025D01* +X219279Y348144D01* +X219261Y348261D01* +X219223Y348374D01* +X219124Y348645D01* +X219056Y348925D01* +X219014Y349211D01* +X219000Y349500D01* +X219014Y349789D01* +X219056Y350075D01* +X219124Y350355D01* +X219220Y350628D01* +X219258Y350739D01* +X219275Y350856D01* +X219275Y350974D01* +X219255Y351091D01* +X219218Y351203D01* +X219164Y351307D01* +X219094Y351402D01* +X219009Y351485D01* +X218913Y351554D01* +X218808Y351607D01* +X218695Y351643D01* +X218579Y351660D01* +X218461Y351659D01* +X218387Y351647D01* +Y358000D01* +G37* +G36* +X215613D02*X218387D01* +Y351647D01* +X218344Y351640D01* +X218232Y351603D01* +X218127Y351548D01* +X218032Y351478D01* +X217949Y351394D01* +X217881Y351298D01* +X217830Y351192D01* +X217682Y350784D01* +X217578Y350363D01* +X217516Y349933D01* +X217495Y349500D01* +X217516Y349067D01* +X217578Y348637D01* +X217682Y348216D01* +X217825Y347806D01* +X217878Y347700D01* +X217947Y347604D01* +X218030Y347519D01* +X218125Y347449D01* +X218231Y347394D01* +X218343Y347357D01* +X218387Y347349D01* +Y341647D01* +X218344Y341640D01* +X218232Y341603D01* +X218127Y341548D01* +X218032Y341478D01* +X217949Y341394D01* +X217881Y341298D01* +X217830Y341192D01* +X217682Y340784D01* +X217578Y340363D01* +X217516Y339933D01* +X217495Y339500D01* +X217516Y339067D01* +X217578Y338637D01* +X217682Y338216D01* +X217825Y337806D01* +X217878Y337700D01* +X217947Y337604D01* +X218030Y337519D01* +X218125Y337449D01* +X218231Y337394D01* +X218343Y337357D01* +X218387Y337349D01* +Y320225D01* +X216617Y318455D01* +X216578Y318422D01* +X216445Y318266D01* +X216338Y318092D01* +X216260Y317903D01* +X216212Y317704D01* +X216212Y317704D01* +X216196Y317500D01* +X216200Y317449D01* +Y266500D01* +X215613D01* +Y337353D01* +X215656Y337360D01* +X215768Y337397D01* +X215873Y337452D01* +X215968Y337522D01* +X216051Y337606D01* +X216119Y337702D01* +X216170Y337808D01* +X216318Y338216D01* +X216422Y338637D01* +X216484Y339067D01* +X216505Y339500D01* +X216484Y339933D01* +X216422Y340363D01* +X216318Y340784D01* +X216175Y341194D01* +X216122Y341300D01* +X216053Y341396D01* +X215970Y341481D01* +X215875Y341551D01* +X215769Y341606D01* +X215657Y341643D01* +X215613Y341651D01* +Y347353D01* +X215656Y347360D01* +X215768Y347397D01* +X215873Y347452D01* +X215968Y347522D01* +X216051Y347606D01* +X216119Y347702D01* +X216170Y347808D01* +X216318Y348216D01* +X216422Y348637D01* +X216484Y349067D01* +X216505Y349500D01* +X216484Y349933D01* +X216422Y350363D01* +X216318Y350784D01* +X216175Y351194D01* +X216122Y351300D01* +X216053Y351396D01* +X215970Y351481D01* +X215875Y351551D01* +X215769Y351606D01* +X215657Y351643D01* +X215613Y351651D01* +Y358000D01* +G37* +G36* +Y266500D02*X214603D01* +Y337345D01* +X214766Y337445D01* +X214922Y337578D01* +X214924Y337580D01* +X214991Y337515D01* +X215087Y337446D01* +X215192Y337393D01* +X215305Y337357D01* +X215421Y337340D01* +X215539Y337341D01* +X215613Y337353D01* +Y266500D01* +G37* +G36* +X214603Y358000D02*X215613D01* +Y351651D01* +X215540Y351663D01* +X215421Y351664D01* +X215304Y351646D01* +X215191Y351610D01* +X215085Y351557D01* +X214988Y351488D01* +X214904Y351405D01* +X214833Y351309D01* +X214779Y351204D01* +X214741Y351092D01* +X214722Y350975D01* +X214721Y350856D01* +X214739Y350739D01* +X214777Y350626D01* +X214876Y350355D01* +X214944Y350075D01* +X214986Y349789D01* +X215000Y349500D01* +X214986Y349211D01* +X214944Y348925D01* +X214876Y348645D01* +X214780Y348372D01* +X214742Y348261D01* +X214725Y348144D01* +X214725Y348026D01* +X214745Y347909D01* +X214782Y347797D01* +X214836Y347693D01* +X214906Y347598D01* +X214991Y347515D01* +X215087Y347446D01* +X215192Y347393D01* +X215305Y347357D01* +X215421Y347340D01* +X215539Y347341D01* +X215613Y347353D01* +Y341651D01* +X215540Y341663D01* +X215421Y341664D01* +X215304Y341646D01* +X215191Y341610D01* +X215085Y341557D01* +X214988Y341488D01* +X214904Y341405D01* +X214833Y341309D01* +X214779Y341204D01* +X214741Y341092D01* +X214722Y340975D01* +X214721Y340856D01* +X214739Y340739D01* +X214777Y340626D01* +X214819Y340510D01* +X214766Y340555D01* +X214603Y340655D01* +Y358000D01* +G37* +G36* +X212002D02*X214603D01* +Y340655D01* +X214592Y340662D01* +X214403Y340740D01* +X214204Y340788D01* +X214000Y340804D01* +X213796Y340788D01* +X213597Y340740D01* +X213408Y340662D01* +X213234Y340555D01* +X213078Y340422D01* +X212945Y340266D01* +X212838Y340092D01* +X212760Y339903D01* +X212712Y339704D01* +X212700Y339500D01* +Y338500D01* +X212712Y338296D01* +X212760Y338097D01* +X212838Y337908D01* +X212945Y337734D01* +X213078Y337578D01* +X213234Y337445D01* +X213408Y337338D01* +X213597Y337260D01* +X213796Y337212D01* +X214000Y337196D01* +X214204Y337212D01* +X214403Y337260D01* +X214592Y337338D01* +X214603Y337345D01* +Y266500D01* +X212002D01* +Y334995D01* +X212433Y335016D01* +X212863Y335078D01* +X213284Y335182D01* +X213694Y335325D01* +X213800Y335378D01* +X213896Y335447D01* +X213981Y335530D01* +X214051Y335625D01* +X214106Y335731D01* +X214143Y335843D01* +X214163Y335960D01* +X214164Y336079D01* +X214146Y336196D01* +X214110Y336309D01* +X214057Y336415D01* +X213988Y336512D01* +X213905Y336596D01* +X213809Y336667D01* +X213704Y336721D01* +X213592Y336759D01* +X213475Y336778D01* +X213356Y336779D01* +X213239Y336761D01* +X213126Y336723D01* +X212855Y336624D01* +X212575Y336556D01* +X212289Y336514D01* +X212002Y336500D01* +Y342500D01* +X212289Y342486D01* +X212575Y342444D01* +X212855Y342376D01* +X213128Y342280D01* +X213239Y342242D01* +X213356Y342225D01* +X213474Y342225D01* +X213591Y342245D01* +X213703Y342282D01* +X213807Y342336D01* +X213902Y342406D01* +X213985Y342491D01* +X214054Y342587D01* +X214107Y342692D01* +X214143Y342805D01* +X214160Y342921D01* +X214159Y343039D01* +X214140Y343156D01* +X214103Y343268D01* +X214048Y343373D01* +X213978Y343468D01* +X213894Y343551D01* +X213798Y343619D01* +X213692Y343670D01* +X213284Y343818D01* +X212863Y343922D01* +X212433Y343984D01* +X212002Y344005D01* +Y344995D01* +X212433Y345016D01* +X212863Y345078D01* +X213284Y345182D01* +X213694Y345325D01* +X213800Y345378D01* +X213896Y345447D01* +X213981Y345530D01* +X214051Y345625D01* +X214106Y345731D01* +X214143Y345843D01* +X214163Y345960D01* +X214164Y346079D01* +X214146Y346196D01* +X214110Y346309D01* +X214057Y346415D01* +X213988Y346512D01* +X213905Y346596D01* +X213809Y346667D01* +X213704Y346721D01* +X213592Y346759D01* +X213475Y346778D01* +X213356Y346779D01* +X213239Y346761D01* +X213126Y346723D01* +X212855Y346624D01* +X212575Y346556D01* +X212289Y346514D01* +X212002Y346500D01* +Y352500D01* +X212289Y352486D01* +X212575Y352444D01* +X212855Y352376D01* +X213128Y352280D01* +X213239Y352242D01* +X213356Y352225D01* +X213474Y352225D01* +X213591Y352245D01* +X213703Y352282D01* +X213807Y352336D01* +X213902Y352406D01* +X213985Y352491D01* +X214054Y352587D01* +X214107Y352692D01* +X214143Y352805D01* +X214160Y352921D01* +X214159Y353039D01* +X214140Y353156D01* +X214103Y353268D01* +X214048Y353373D01* +X213978Y353468D01* +X213894Y353551D01* +X213798Y353619D01* +X213692Y353670D01* +X213284Y353818D01* +X212863Y353922D01* +X212433Y353984D01* +X212002Y354005D01* +Y358000D01* +G37* +G36* +X208387D02*X212002D01* +Y354005D01* +X212000Y354005D01* +X211567Y353984D01* +X211137Y353922D01* +X210716Y353818D01* +X210306Y353675D01* +X210200Y353622D01* +X210104Y353553D01* +X210019Y353470D01* +X209949Y353375D01* +X209894Y353269D01* +X209857Y353157D01* +X209837Y353040D01* +X209836Y352921D01* +X209854Y352804D01* +X209890Y352691D01* +X209943Y352585D01* +X210012Y352488D01* +X210095Y352404D01* +X210191Y352333D01* +X210296Y352279D01* +X210408Y352241D01* +X210525Y352222D01* +X210644Y352221D01* +X210761Y352239D01* +X210874Y352277D01* +X211145Y352376D01* +X211425Y352444D01* +X211711Y352486D01* +X212000Y352500D01* +X212002Y352500D01* +Y346500D01* +X212000Y346500D01* +X211711Y346514D01* +X211425Y346556D01* +X211145Y346624D01* +X210872Y346720D01* +X210761Y346758D01* +X210644Y346775D01* +X210526Y346775D01* +X210409Y346755D01* +X210297Y346718D01* +X210193Y346664D01* +X210098Y346594D01* +X210015Y346509D01* +X209946Y346413D01* +X209893Y346308D01* +X209857Y346195D01* +X209840Y346079D01* +X209841Y345961D01* +X209860Y345844D01* +X209897Y345732D01* +X209952Y345627D01* +X210022Y345532D01* +X210106Y345449D01* +X210202Y345381D01* +X210308Y345330D01* +X210716Y345182D01* +X211137Y345078D01* +X211567Y345016D01* +X212000Y344995D01* +X212002Y344995D01* +Y344005D01* +X212000Y344005D01* +X211567Y343984D01* +X211137Y343922D01* +X210716Y343818D01* +X210306Y343675D01* +X210200Y343622D01* +X210104Y343553D01* +X210019Y343470D01* +X209949Y343375D01* +X209894Y343269D01* +X209857Y343157D01* +X209837Y343040D01* +X209836Y342921D01* +X209854Y342804D01* +X209890Y342691D01* +X209943Y342585D01* +X210012Y342488D01* +X210095Y342404D01* +X210191Y342333D01* +X210296Y342279D01* +X210408Y342241D01* +X210525Y342222D01* +X210644Y342221D01* +X210761Y342239D01* +X210874Y342277D01* +X211145Y342376D01* +X211425Y342444D01* +X211711Y342486D01* +X212000Y342500D01* +X212002Y342500D01* +Y336500D01* +X212000Y336500D01* +X211711Y336514D01* +X211425Y336556D01* +X211145Y336624D01* +X210872Y336720D01* +X210761Y336758D01* +X210644Y336775D01* +X210526Y336775D01* +X210409Y336755D01* +X210297Y336718D01* +X210193Y336664D01* +X210098Y336594D01* +X210015Y336509D01* +X209946Y336413D01* +X209893Y336308D01* +X209857Y336195D01* +X209840Y336079D01* +X209841Y335961D01* +X209860Y335844D01* +X209897Y335732D01* +X209952Y335627D01* +X210022Y335532D01* +X210106Y335449D01* +X210202Y335381D01* +X210308Y335330D01* +X210716Y335182D01* +X211137Y335078D01* +X211567Y335016D01* +X212000Y334995D01* +X212002Y334995D01* +Y266500D01* +X209942D01* +X209936Y268485D01* +X209881Y268715D01* +X209791Y268933D01* +X209667Y269134D01* +X209514Y269314D01* +X209334Y269467D01* +X209133Y269591D01* +X208915Y269681D01* +X208685Y269736D01* +X208450Y269750D01* +X208387Y269750D01* +Y337349D01* +X208460Y337337D01* +X208579Y337336D01* +X208696Y337354D01* +X208809Y337390D01* +X208915Y337443D01* +X209012Y337512D01* +X209096Y337595D01* +X209167Y337691D01* +X209221Y337796D01* +X209259Y337908D01* +X209278Y338025D01* +X209279Y338144D01* +X209261Y338261D01* +X209223Y338374D01* +X209124Y338645D01* +X209056Y338925D01* +X209014Y339211D01* +X209000Y339500D01* +X209014Y339789D01* +X209056Y340075D01* +X209124Y340355D01* +X209220Y340628D01* +X209258Y340739D01* +X209275Y340856D01* +X209275Y340974D01* +X209255Y341091D01* +X209218Y341203D01* +X209164Y341307D01* +X209094Y341402D01* +X209009Y341485D01* +X208913Y341554D01* +X208808Y341607D01* +X208695Y341643D01* +X208579Y341660D01* +X208461Y341659D01* +X208387Y341647D01* +Y347349D01* +X208460Y347337D01* +X208579Y347336D01* +X208696Y347354D01* +X208809Y347390D01* +X208915Y347443D01* +X209012Y347512D01* +X209096Y347595D01* +X209167Y347691D01* +X209221Y347796D01* +X209259Y347908D01* +X209278Y348025D01* +X209279Y348144D01* +X209261Y348261D01* +X209223Y348374D01* +X209124Y348645D01* +X209056Y348925D01* +X209014Y349211D01* +X209000Y349500D01* +X209014Y349789D01* +X209056Y350075D01* +X209124Y350355D01* +X209220Y350628D01* +X209258Y350739D01* +X209275Y350856D01* +X209275Y350974D01* +X209255Y351091D01* +X209218Y351203D01* +X209164Y351307D01* +X209094Y351402D01* +X209009Y351485D01* +X208913Y351554D01* +X208808Y351607D01* +X208695Y351643D01* +X208579Y351660D01* +X208461Y351659D01* +X208387Y351647D01* +Y358000D01* +G37* +G36* +X205613D02*X208387D01* +Y351647D01* +X208344Y351640D01* +X208232Y351603D01* +X208127Y351548D01* +X208032Y351478D01* +X207949Y351394D01* +X207881Y351298D01* +X207830Y351192D01* +X207682Y350784D01* +X207578Y350363D01* +X207516Y349933D01* +X207495Y349500D01* +X207516Y349067D01* +X207578Y348637D01* +X207682Y348216D01* +X207825Y347806D01* +X207878Y347700D01* +X207947Y347604D01* +X208030Y347519D01* +X208125Y347449D01* +X208231Y347394D01* +X208343Y347357D01* +X208387Y347349D01* +Y341647D01* +X208344Y341640D01* +X208232Y341603D01* +X208127Y341548D01* +X208032Y341478D01* +X207949Y341394D01* +X207881Y341298D01* +X207830Y341192D01* +X207682Y340784D01* +X207578Y340363D01* +X207516Y339933D01* +X207495Y339500D01* +X207516Y339067D01* +X207578Y338637D01* +X207682Y338216D01* +X207825Y337806D01* +X207878Y337700D01* +X207947Y337604D01* +X208030Y337519D01* +X208125Y337449D01* +X208231Y337394D01* +X208343Y337357D01* +X208387Y337349D01* +Y269750D01* +X205613Y269744D01* +Y337353D01* +X205656Y337360D01* +X205768Y337397D01* +X205873Y337452D01* +X205968Y337522D01* +X206051Y337606D01* +X206119Y337702D01* +X206170Y337808D01* +X206318Y338216D01* +X206422Y338637D01* +X206484Y339067D01* +X206505Y339500D01* +X206484Y339933D01* +X206422Y340363D01* +X206318Y340784D01* +X206175Y341194D01* +X206122Y341300D01* +X206053Y341396D01* +X205970Y341481D01* +X205875Y341551D01* +X205769Y341606D01* +X205657Y341643D01* +X205613Y341651D01* +Y346802D01* +X205652Y346847D01* +X206022Y347451D01* +X206293Y348105D01* +X206458Y348794D01* +X206500Y349500D01* +X206458Y350206D01* +X206293Y350895D01* +X206022Y351549D01* +X205652Y352153D01* +X205613Y352198D01* +Y358000D01* +G37* +G36* +Y269744D02*X202315Y269736D01* +X202085Y269681D01* +X202002Y269646D01* +Y334995D01* +X202433Y335016D01* +X202863Y335078D01* +X203284Y335182D01* +X203694Y335325D01* +X203800Y335378D01* +X203896Y335447D01* +X203981Y335530D01* +X204051Y335625D01* +X204106Y335731D01* +X204143Y335843D01* +X204163Y335960D01* +X204164Y336079D01* +X204146Y336196D01* +X204110Y336309D01* +X204057Y336415D01* +X203988Y336512D01* +X203905Y336596D01* +X203809Y336667D01* +X203704Y336721D01* +X203592Y336759D01* +X203475Y336778D01* +X203356Y336779D01* +X203239Y336761D01* +X203126Y336723D01* +X202855Y336624D01* +X202575Y336556D01* +X202289Y336514D01* +X202002Y336500D01* +Y342500D01* +X202289Y342486D01* +X202575Y342444D01* +X202855Y342376D01* +X203128Y342280D01* +X203239Y342242D01* +X203356Y342225D01* +X203474Y342225D01* +X203591Y342245D01* +X203703Y342282D01* +X203807Y342336D01* +X203902Y342406D01* +X203985Y342491D01* +X204054Y342587D01* +X204107Y342692D01* +X204143Y342805D01* +X204160Y342921D01* +X204159Y343039D01* +X204140Y343156D01* +X204103Y343268D01* +X204048Y343373D01* +X203978Y343468D01* +X203894Y343551D01* +X203798Y343619D01* +X203692Y343670D01* +X203284Y343818D01* +X202863Y343922D01* +X202433Y343984D01* +X202002Y344005D01* +Y344986D01* +X202706Y345042D01* +X203395Y345207D01* +X204049Y345478D01* +X204653Y345848D01* +X205192Y346308D01* +X205613Y346802D01* +Y341651D01* +X205540Y341663D01* +X205421Y341664D01* +X205304Y341646D01* +X205191Y341610D01* +X205085Y341557D01* +X204988Y341488D01* +X204904Y341405D01* +X204833Y341309D01* +X204779Y341204D01* +X204741Y341092D01* +X204722Y340975D01* +X204721Y340856D01* +X204739Y340739D01* +X204777Y340626D01* +X204876Y340355D01* +X204944Y340075D01* +X204986Y339789D01* +X205000Y339500D01* +X204986Y339211D01* +X204944Y338925D01* +X204876Y338645D01* +X204780Y338372D01* +X204742Y338261D01* +X204725Y338144D01* +X204725Y338026D01* +X204745Y337909D01* +X204782Y337797D01* +X204836Y337693D01* +X204906Y337598D01* +X204991Y337515D01* +X205087Y337446D01* +X205192Y337393D01* +X205305Y337357D01* +X205421Y337340D01* +X205539Y337341D01* +X205613Y337353D01* +Y269744D01* +G37* +G36* +X202002Y358000D02*X205613D01* +Y352198D01* +X205192Y352692D01* +X204653Y353152D01* +X204049Y353522D01* +X203395Y353793D01* +X202706Y353958D01* +X202002Y354014D01* +Y358000D01* +G37* +G36* +Y269646D02*X201867Y269591D01* +X201666Y269467D01* +X201486Y269314D01* +X201333Y269134D01* +X201209Y268933D01* +X201119Y268715D01* +X201064Y268485D01* +X201050Y268250D01* +X201055Y266500D01* +X196300D01* +Y331462D01* +X197883Y333045D01* +X197922Y333078D01* +X198055Y333233D01* +X198055Y333234D01* +X198162Y333408D01* +X198240Y333597D01* +X198288Y333796D01* +X198304Y334000D01* +X198300Y334051D01* +Y337371D01* +X198343Y337357D01* +X198460Y337337D01* +X198579Y337336D01* +X198696Y337354D01* +X198809Y337390D01* +X198915Y337443D01* +X199012Y337512D01* +X199096Y337595D01* +X199167Y337691D01* +X199221Y337796D01* +X199259Y337908D01* +X199278Y338025D01* +X199279Y338144D01* +X199261Y338261D01* +X199223Y338374D01* +X199124Y338645D01* +X199056Y338925D01* +X199014Y339211D01* +X199000Y339500D01* +X199014Y339789D01* +X199056Y340075D01* +X199124Y340355D01* +X199220Y340628D01* +X199258Y340739D01* +X199275Y340856D01* +X199275Y340974D01* +X199255Y341091D01* +X199218Y341203D01* +X199164Y341307D01* +X199094Y341402D01* +X199009Y341485D01* +X198913Y341554D01* +X198808Y341607D01* +X198695Y341643D01* +X198579Y341660D01* +X198461Y341659D01* +X198344Y341640D01* +X198300Y341625D01* +Y343962D01* +X199868Y345529D01* +X199951Y345478D01* +X200605Y345207D01* +X201294Y345042D01* +X202000Y344986D01* +X202002Y344986D01* +Y344005D01* +X202000Y344005D01* +X201567Y343984D01* +X201137Y343922D01* +X200716Y343818D01* +X200306Y343675D01* +X200200Y343622D01* +X200104Y343553D01* +X200019Y343470D01* +X199949Y343375D01* +X199894Y343269D01* +X199857Y343157D01* +X199837Y343040D01* +X199836Y342921D01* +X199854Y342804D01* +X199890Y342691D01* +X199943Y342585D01* +X200012Y342488D01* +X200095Y342404D01* +X200191Y342333D01* +X200296Y342279D01* +X200408Y342241D01* +X200525Y342222D01* +X200644Y342221D01* +X200761Y342239D01* +X200874Y342277D01* +X201145Y342376D01* +X201425Y342444D01* +X201711Y342486D01* +X202000Y342500D01* +X202002Y342500D01* +Y336500D01* +X202000Y336500D01* +X201711Y336514D01* +X201425Y336556D01* +X201145Y336624D01* +X200872Y336720D01* +X200761Y336758D01* +X200644Y336775D01* +X200526Y336775D01* +X200409Y336755D01* +X200297Y336718D01* +X200193Y336664D01* +X200098Y336594D01* +X200015Y336509D01* +X199946Y336413D01* +X199893Y336308D01* +X199857Y336195D01* +X199840Y336079D01* +X199841Y335961D01* +X199860Y335844D01* +X199897Y335732D01* +X199952Y335627D01* +X200022Y335532D01* +X200106Y335449D01* +X200202Y335381D01* +X200308Y335330D01* +X200716Y335182D01* +X201137Y335078D01* +X201567Y335016D01* +X202000Y334995D01* +X202002Y334995D01* +Y269646D01* +G37* +G36* +X198029Y347368D02*X196117Y345455D01* +X196078Y345422D01* +X195945Y345266D01* +X195838Y345092D01* +X195760Y344903D01* +X195712Y344704D01* +X195712Y344704D01* +X195696Y344500D01* +X195700Y344449D01* +Y341629D01* +X195657Y341643D01* +X195540Y341663D01* +X195421Y341664D01* +X195304Y341646D01* +X195191Y341610D01* +X195085Y341557D01* +X194988Y341488D01* +X194904Y341405D01* +X194833Y341309D01* +X194779Y341204D01* +X194741Y341092D01* +X194722Y340975D01* +X194721Y340856D01* +X194739Y340739D01* +X194777Y340626D01* +X194876Y340355D01* +X194944Y340075D01* +X194986Y339789D01* +X195000Y339500D01* +X194986Y339211D01* +X194944Y338925D01* +X194876Y338645D01* +X194780Y338372D01* +X194742Y338261D01* +X194725Y338144D01* +X194725Y338026D01* +X194745Y337909D01* +X194782Y337797D01* +X194836Y337693D01* +X194906Y337598D01* +X194991Y337515D01* +X195087Y337446D01* +X195192Y337393D01* +X195305Y337357D01* +X195421Y337340D01* +X195539Y337341D01* +X195656Y337360D01* +X195700Y337375D01* +Y334538D01* +X194117Y332955D01* +X194078Y332922D01* +X193945Y332766D01* +X193838Y332592D01* +X193760Y332403D01* +X193712Y332204D01* +X193712Y332204D01* +X193696Y332000D01* +X193700Y331949D01* +Y266500D01* +X192002D01* +Y334995D01* +X192433Y335016D01* +X192863Y335078D01* +X193284Y335182D01* +X193694Y335325D01* +X193800Y335378D01* +X193896Y335447D01* +X193981Y335530D01* +X194051Y335625D01* +X194106Y335731D01* +X194143Y335843D01* +X194163Y335960D01* +X194164Y336079D01* +X194146Y336196D01* +X194110Y336309D01* +X194057Y336415D01* +X193988Y336512D01* +X193905Y336596D01* +X193809Y336667D01* +X193704Y336721D01* +X193592Y336759D01* +X193475Y336778D01* +X193356Y336779D01* +X193239Y336761D01* +X193126Y336723D01* +X192855Y336624D01* +X192575Y336556D01* +X192289Y336514D01* +X192002Y336500D01* +Y342500D01* +X192289Y342486D01* +X192575Y342444D01* +X192855Y342376D01* +X193128Y342280D01* +X193239Y342242D01* +X193356Y342225D01* +X193474Y342225D01* +X193591Y342245D01* +X193703Y342282D01* +X193807Y342336D01* +X193902Y342406D01* +X193985Y342491D01* +X194054Y342587D01* +X194107Y342692D01* +X194143Y342805D01* +X194160Y342921D01* +X194159Y343039D01* +X194140Y343156D01* +X194103Y343268D01* +X194048Y343373D01* +X193978Y343468D01* +X193894Y343551D01* +X193798Y343619D01* +X193692Y343670D01* +X193284Y343818D01* +X192863Y343922D01* +X192433Y343984D01* +X192002Y344005D01* +Y344986D01* +X192706Y345042D01* +X193395Y345207D01* +X194049Y345478D01* +X194653Y345848D01* +X195192Y346308D01* +X195652Y346847D01* +X196022Y347451D01* +X196293Y348105D01* +X196458Y348794D01* +X196500Y349500D01* +X196458Y350206D01* +X196293Y350895D01* +X196022Y351549D01* +X195652Y352153D01* +X195192Y352692D01* +X194653Y353152D01* +X194049Y353522D01* +X193395Y353793D01* +X192706Y353958D01* +X192002Y354014D01* +Y358000D01* +X202002D01* +Y354014D01* +X202000Y354014D01* +X201294Y353958D01* +X200605Y353793D01* +X199951Y353522D01* +X199347Y353152D01* +X198808Y352692D01* +X198348Y352153D01* +X197978Y351549D01* +X197707Y350895D01* +X197542Y350206D01* +X197486Y349500D01* +X197542Y348794D01* +X197707Y348105D01* +X197978Y347451D01* +X198029Y347368D01* +G37* +G36* +X192002Y354014D02*X192000Y354014D01* +X191294Y353958D01* +X190605Y353793D01* +X189951Y353522D01* +X189347Y353152D01* +X188808Y352692D01* +X188348Y352153D01* +X187978Y351549D01* +X187707Y350895D01* +X187542Y350206D01* +X187486Y349500D01* +X187542Y348794D01* +X187707Y348105D01* +X187978Y347451D01* +X188029Y347368D01* +X186117Y345455D01* +X186078Y345422D01* +X185945Y345266D01* +X185838Y345092D01* +X185760Y344903D01* +X185712Y344704D01* +X185712Y344704D01* +X185696Y344500D01* +X185700Y344449D01* +Y341629D01* +X185657Y341643D01* +X185540Y341663D01* +X185421Y341664D01* +X185304Y341646D01* +X185191Y341610D01* +X185085Y341557D01* +X184988Y341488D01* +X184904Y341405D01* +X184833Y341309D01* +X184779Y341204D01* +X184741Y341092D01* +X184722Y340975D01* +X184721Y340856D01* +X184739Y340739D01* +X184777Y340626D01* +X184876Y340355D01* +X184944Y340075D01* +X184986Y339789D01* +X185000Y339500D01* +X184986Y339211D01* +X184944Y338925D01* +X184876Y338645D01* +X184780Y338372D01* +X184742Y338261D01* +X184725Y338144D01* +X184725Y338026D01* +X184745Y337909D01* +X184782Y337797D01* +X184836Y337693D01* +X184906Y337598D01* +X184991Y337515D01* +X185087Y337446D01* +X185192Y337393D01* +X185305Y337357D01* +X185421Y337340D01* +X185539Y337341D01* +X185656Y337360D01* +X185700Y337375D01* +Y334538D01* +X184117Y332955D01* +X184078Y332922D01* +X183945Y332766D01* +X183838Y332592D01* +X183760Y332403D01* +X183712Y332204D01* +X183712Y332204D01* +X183696Y332000D01* +X183700Y331949D01* +Y266500D01* +X182002D01* +Y334995D01* +X182433Y335016D01* +X182863Y335078D01* +X183284Y335182D01* +X183694Y335325D01* +X183800Y335378D01* +X183896Y335447D01* +X183981Y335530D01* +X184051Y335625D01* +X184106Y335731D01* +X184143Y335843D01* +X184163Y335960D01* +X184164Y336079D01* +X184146Y336196D01* +X184110Y336309D01* +X184057Y336415D01* +X183988Y336512D01* +X183905Y336596D01* +X183809Y336667D01* +X183704Y336721D01* +X183592Y336759D01* +X183475Y336778D01* +X183356Y336779D01* +X183239Y336761D01* +X183126Y336723D01* +X182855Y336624D01* +X182575Y336556D01* +X182289Y336514D01* +X182002Y336500D01* +Y342500D01* +X182289Y342486D01* +X182575Y342444D01* +X182855Y342376D01* +X183128Y342280D01* +X183239Y342242D01* +X183356Y342225D01* +X183474Y342225D01* +X183591Y342245D01* +X183703Y342282D01* +X183807Y342336D01* +X183902Y342406D01* +X183985Y342491D01* +X184054Y342587D01* +X184107Y342692D01* +X184143Y342805D01* +X184160Y342921D01* +X184159Y343039D01* +X184140Y343156D01* +X184103Y343268D01* +X184048Y343373D01* +X183978Y343468D01* +X183894Y343551D01* +X183798Y343619D01* +X183692Y343670D01* +X183284Y343818D01* +X182863Y343922D01* +X182433Y343984D01* +X182002Y344005D01* +Y344986D01* +X182706Y345042D01* +X183395Y345207D01* +X184049Y345478D01* +X184653Y345848D01* +X185192Y346308D01* +X185652Y346847D01* +X186022Y347451D01* +X186293Y348105D01* +X186458Y348794D01* +X186500Y349500D01* +X186458Y350206D01* +X186293Y350895D01* +X186022Y351549D01* +X185652Y352153D01* +X185192Y352692D01* +X184653Y353152D01* +X184049Y353522D01* +X183395Y353793D01* +X182706Y353958D01* +X182002Y354014D01* +Y358000D01* +X192002D01* +Y354014D01* +G37* +G36* +Y266500D02*X186300D01* +Y331462D01* +X187883Y333045D01* +X187922Y333078D01* +X188055Y333233D01* +X188055Y333234D01* +X188162Y333408D01* +X188240Y333597D01* +X188288Y333796D01* +X188304Y334000D01* +X188300Y334051D01* +Y337371D01* +X188343Y337357D01* +X188460Y337337D01* +X188579Y337336D01* +X188696Y337354D01* +X188809Y337390D01* +X188915Y337443D01* +X189012Y337512D01* +X189096Y337595D01* +X189167Y337691D01* +X189221Y337796D01* +X189259Y337908D01* +X189278Y338025D01* +X189279Y338144D01* +X189261Y338261D01* +X189223Y338374D01* +X189124Y338645D01* +X189056Y338925D01* +X189014Y339211D01* +X189000Y339500D01* +X189014Y339789D01* +X189056Y340075D01* +X189124Y340355D01* +X189220Y340628D01* +X189258Y340739D01* +X189275Y340856D01* +X189275Y340974D01* +X189255Y341091D01* +X189218Y341203D01* +X189164Y341307D01* +X189094Y341402D01* +X189009Y341485D01* +X188913Y341554D01* +X188808Y341607D01* +X188695Y341643D01* +X188579Y341660D01* +X188461Y341659D01* +X188344Y341640D01* +X188300Y341625D01* +Y343962D01* +X189868Y345529D01* +X189951Y345478D01* +X190605Y345207D01* +X191294Y345042D01* +X192000Y344986D01* +X192002Y344986D01* +Y344005D01* +X192000Y344005D01* +X191567Y343984D01* +X191137Y343922D01* +X190716Y343818D01* +X190306Y343675D01* +X190200Y343622D01* +X190104Y343553D01* +X190019Y343470D01* +X189949Y343375D01* +X189894Y343269D01* +X189857Y343157D01* +X189837Y343040D01* +X189836Y342921D01* +X189854Y342804D01* +X189890Y342691D01* +X189943Y342585D01* +X190012Y342488D01* +X190095Y342404D01* +X190191Y342333D01* +X190296Y342279D01* +X190408Y342241D01* +X190525Y342222D01* +X190644Y342221D01* +X190761Y342239D01* +X190874Y342277D01* +X191145Y342376D01* +X191425Y342444D01* +X191711Y342486D01* +X192000Y342500D01* +X192002Y342500D01* +Y336500D01* +X192000Y336500D01* +X191711Y336514D01* +X191425Y336556D01* +X191145Y336624D01* +X190872Y336720D01* +X190761Y336758D01* +X190644Y336775D01* +X190526Y336775D01* +X190409Y336755D01* +X190297Y336718D01* +X190193Y336664D01* +X190098Y336594D01* +X190015Y336509D01* +X189946Y336413D01* +X189893Y336308D01* +X189857Y336195D01* +X189840Y336079D01* +X189841Y335961D01* +X189860Y335844D01* +X189897Y335732D01* +X189952Y335627D01* +X190022Y335532D01* +X190106Y335449D01* +X190202Y335381D01* +X190308Y335330D01* +X190716Y335182D01* +X191137Y335078D01* +X191567Y335016D01* +X192000Y334995D01* +X192002Y334995D01* +Y266500D01* +G37* +G36* +X182002Y354014D02*X182000Y354014D01* +X181294Y353958D01* +X180605Y353793D01* +X179951Y353522D01* +X179347Y353152D01* +X178808Y352692D01* +X178348Y352153D01* +X177978Y351549D01* +X177707Y350895D01* +X177542Y350206D01* +X177486Y349500D01* +X177542Y348794D01* +X177707Y348105D01* +X177978Y347451D01* +X178029Y347368D01* +X176117Y345455D01* +X176078Y345422D01* +X175945Y345266D01* +X175838Y345092D01* +X175760Y344903D01* +X175712Y344704D01* +X175712Y344704D01* +X175696Y344500D01* +X175700Y344449D01* +Y341629D01* +X175657Y341643D01* +X175540Y341663D01* +X175421Y341664D01* +X175304Y341646D01* +X175191Y341610D01* +X175085Y341557D01* +X174988Y341488D01* +X174904Y341405D01* +X174833Y341309D01* +X174779Y341204D01* +X174741Y341092D01* +X174722Y340975D01* +X174721Y340856D01* +X174739Y340739D01* +X174777Y340626D01* +X174876Y340355D01* +X174944Y340075D01* +X174986Y339789D01* +X175000Y339500D01* +X174986Y339211D01* +X174944Y338925D01* +X174876Y338645D01* +X174780Y338372D01* +X174742Y338261D01* +X174725Y338144D01* +X174725Y338026D01* +X174745Y337909D01* +X174782Y337797D01* +X174836Y337693D01* +X174906Y337598D01* +X174991Y337515D01* +X175087Y337446D01* +X175192Y337393D01* +X175305Y337357D01* +X175421Y337340D01* +X175539Y337341D01* +X175656Y337360D01* +X175700Y337375D01* +Y334538D01* +X174117Y332955D01* +X174078Y332922D01* +X173945Y332766D01* +X173838Y332592D01* +X173760Y332403D01* +X173712Y332204D01* +X173712Y332204D01* +X173696Y332000D01* +X173700Y331949D01* +Y266500D01* +X172002D01* +Y334995D01* +X172433Y335016D01* +X172863Y335078D01* +X173284Y335182D01* +X173694Y335325D01* +X173800Y335378D01* +X173896Y335447D01* +X173981Y335530D01* +X174051Y335625D01* +X174106Y335731D01* +X174143Y335843D01* +X174163Y335960D01* +X174164Y336079D01* +X174146Y336196D01* +X174110Y336309D01* +X174057Y336415D01* +X173988Y336512D01* +X173905Y336596D01* +X173809Y336667D01* +X173704Y336721D01* +X173592Y336759D01* +X173475Y336778D01* +X173356Y336779D01* +X173239Y336761D01* +X173126Y336723D01* +X172855Y336624D01* +X172575Y336556D01* +X172289Y336514D01* +X172002Y336500D01* +Y342500D01* +X172289Y342486D01* +X172575Y342444D01* +X172855Y342376D01* +X173128Y342280D01* +X173239Y342242D01* +X173356Y342225D01* +X173474Y342225D01* +X173591Y342245D01* +X173703Y342282D01* +X173807Y342336D01* +X173902Y342406D01* +X173985Y342491D01* +X174054Y342587D01* +X174107Y342692D01* +X174143Y342805D01* +X174160Y342921D01* +X174159Y343039D01* +X174140Y343156D01* +X174103Y343268D01* +X174048Y343373D01* +X173978Y343468D01* +X173894Y343551D01* +X173798Y343619D01* +X173692Y343670D01* +X173284Y343818D01* +X172863Y343922D01* +X172433Y343984D01* +X172002Y344005D01* +Y344986D01* +X172706Y345042D01* +X173395Y345207D01* +X174049Y345478D01* +X174653Y345848D01* +X175192Y346308D01* +X175652Y346847D01* +X176022Y347451D01* +X176293Y348105D01* +X176458Y348794D01* +X176500Y349500D01* +X176458Y350206D01* +X176293Y350895D01* +X176022Y351549D01* +X175652Y352153D01* +X175192Y352692D01* +X174653Y353152D01* +X174049Y353522D01* +X173395Y353793D01* +X172706Y353958D01* +X172002Y354014D01* +Y358000D01* +X182002D01* +Y354014D01* +G37* +G36* +Y266500D02*X176300D01* +Y331462D01* +X177883Y333045D01* +X177922Y333078D01* +X178055Y333233D01* +X178055Y333234D01* +X178162Y333408D01* +X178240Y333597D01* +X178288Y333796D01* +X178304Y334000D01* +X178300Y334051D01* +Y337371D01* +X178343Y337357D01* +X178460Y337337D01* +X178579Y337336D01* +X178696Y337354D01* +X178809Y337390D01* +X178915Y337443D01* +X179012Y337512D01* +X179096Y337595D01* +X179167Y337691D01* +X179221Y337796D01* +X179259Y337908D01* +X179278Y338025D01* +X179279Y338144D01* +X179261Y338261D01* +X179223Y338374D01* +X179124Y338645D01* +X179056Y338925D01* +X179014Y339211D01* +X179000Y339500D01* +X179014Y339789D01* +X179056Y340075D01* +X179124Y340355D01* +X179220Y340628D01* +X179258Y340739D01* +X179275Y340856D01* +X179275Y340974D01* +X179255Y341091D01* +X179218Y341203D01* +X179164Y341307D01* +X179094Y341402D01* +X179009Y341485D01* +X178913Y341554D01* +X178808Y341607D01* +X178695Y341643D01* +X178579Y341660D01* +X178461Y341659D01* +X178344Y341640D01* +X178300Y341625D01* +Y343962D01* +X179868Y345529D01* +X179951Y345478D01* +X180605Y345207D01* +X181294Y345042D01* +X182000Y344986D01* +X182002Y344986D01* +Y344005D01* +X182000Y344005D01* +X181567Y343984D01* +X181137Y343922D01* +X180716Y343818D01* +X180306Y343675D01* +X180200Y343622D01* +X180104Y343553D01* +X180019Y343470D01* +X179949Y343375D01* +X179894Y343269D01* +X179857Y343157D01* +X179837Y343040D01* +X179836Y342921D01* +X179854Y342804D01* +X179890Y342691D01* +X179943Y342585D01* +X180012Y342488D01* +X180095Y342404D01* +X180191Y342333D01* +X180296Y342279D01* +X180408Y342241D01* +X180525Y342222D01* +X180644Y342221D01* +X180761Y342239D01* +X180874Y342277D01* +X181145Y342376D01* +X181425Y342444D01* +X181711Y342486D01* +X182000Y342500D01* +X182002Y342500D01* +Y336500D01* +X182000Y336500D01* +X181711Y336514D01* +X181425Y336556D01* +X181145Y336624D01* +X180872Y336720D01* +X180761Y336758D01* +X180644Y336775D01* +X180526Y336775D01* +X180409Y336755D01* +X180297Y336718D01* +X180193Y336664D01* +X180098Y336594D01* +X180015Y336509D01* +X179946Y336413D01* +X179893Y336308D01* +X179857Y336195D01* +X179840Y336079D01* +X179841Y335961D01* +X179860Y335844D01* +X179897Y335732D01* +X179952Y335627D01* +X180022Y335532D01* +X180106Y335449D01* +X180202Y335381D01* +X180308Y335330D01* +X180716Y335182D01* +X181137Y335078D01* +X181567Y335016D01* +X182000Y334995D01* +X182002Y334995D01* +Y266500D01* +G37* +G36* +X172002Y354014D02*X172000Y354014D01* +X171294Y353958D01* +X170605Y353793D01* +X169951Y353522D01* +X169347Y353152D01* +X168808Y352692D01* +X168348Y352153D01* +X167978Y351549D01* +X167707Y350895D01* +X167542Y350206D01* +X167486Y349500D01* +X167542Y348794D01* +X167707Y348105D01* +X167978Y347451D01* +X168029Y347368D01* +X166117Y345455D01* +X166078Y345422D01* +X165945Y345266D01* +X165838Y345092D01* +X165760Y344903D01* +X165712Y344704D01* +X165712Y344704D01* +X165696Y344500D01* +X165700Y344449D01* +Y341629D01* +X165657Y341643D01* +X165540Y341663D01* +X165421Y341664D01* +X165304Y341646D01* +X165191Y341610D01* +X165085Y341557D01* +X164988Y341488D01* +X164904Y341405D01* +X164833Y341309D01* +X164779Y341204D01* +X164741Y341092D01* +X164722Y340975D01* +X164721Y340856D01* +X164739Y340739D01* +X164777Y340626D01* +X164876Y340355D01* +X164944Y340075D01* +X164986Y339789D01* +X165000Y339500D01* +X164986Y339211D01* +X164944Y338925D01* +X164876Y338645D01* +X164780Y338372D01* +X164742Y338261D01* +X164725Y338144D01* +X164725Y338026D01* +X164745Y337909D01* +X164782Y337797D01* +X164836Y337693D01* +X164906Y337598D01* +X164991Y337515D01* +X165087Y337446D01* +X165192Y337393D01* +X165305Y337357D01* +X165421Y337340D01* +X165539Y337341D01* +X165656Y337360D01* +X165700Y337375D01* +Y334538D01* +X164117Y332955D01* +X164078Y332922D01* +X163945Y332766D01* +X163838Y332592D01* +X163760Y332403D01* +X163712Y332204D01* +X163712Y332204D01* +X163696Y332000D01* +X163700Y331949D01* +Y266500D01* +X162002D01* +Y334995D01* +X162433Y335016D01* +X162863Y335078D01* +X163284Y335182D01* +X163694Y335325D01* +X163800Y335378D01* +X163896Y335447D01* +X163981Y335530D01* +X164051Y335625D01* +X164106Y335731D01* +X164143Y335843D01* +X164163Y335960D01* +X164164Y336079D01* +X164146Y336196D01* +X164110Y336309D01* +X164057Y336415D01* +X163988Y336512D01* +X163905Y336596D01* +X163809Y336667D01* +X163704Y336721D01* +X163592Y336759D01* +X163475Y336778D01* +X163356Y336779D01* +X163239Y336761D01* +X163126Y336723D01* +X162855Y336624D01* +X162575Y336556D01* +X162289Y336514D01* +X162002Y336500D01* +Y342500D01* +X162289Y342486D01* +X162575Y342444D01* +X162855Y342376D01* +X163128Y342280D01* +X163239Y342242D01* +X163356Y342225D01* +X163474Y342225D01* +X163591Y342245D01* +X163703Y342282D01* +X163807Y342336D01* +X163902Y342406D01* +X163985Y342491D01* +X164054Y342587D01* +X164107Y342692D01* +X164143Y342805D01* +X164160Y342921D01* +X164159Y343039D01* +X164140Y343156D01* +X164103Y343268D01* +X164048Y343373D01* +X163978Y343468D01* +X163894Y343551D01* +X163798Y343619D01* +X163692Y343670D01* +X163284Y343818D01* +X162863Y343922D01* +X162433Y343984D01* +X162002Y344005D01* +Y344986D01* +X162706Y345042D01* +X163395Y345207D01* +X164049Y345478D01* +X164653Y345848D01* +X165192Y346308D01* +X165652Y346847D01* +X166022Y347451D01* +X166293Y348105D01* +X166458Y348794D01* +X166500Y349500D01* +X166458Y350206D01* +X166293Y350895D01* +X166022Y351549D01* +X165652Y352153D01* +X165192Y352692D01* +X164653Y353152D01* +X164049Y353522D01* +X163395Y353793D01* +X162706Y353958D01* +X162002Y354014D01* +Y358000D01* +X172002D01* +Y354014D01* +G37* +G36* +Y266500D02*X166300D01* +Y331462D01* +X167883Y333045D01* +X167922Y333078D01* +X168055Y333233D01* +X168055Y333234D01* +X168162Y333408D01* +X168240Y333597D01* +X168288Y333796D01* +X168304Y334000D01* +X168300Y334051D01* +Y337371D01* +X168343Y337357D01* +X168460Y337337D01* +X168579Y337336D01* +X168696Y337354D01* +X168809Y337390D01* +X168915Y337443D01* +X169012Y337512D01* +X169096Y337595D01* +X169167Y337691D01* +X169221Y337796D01* +X169259Y337908D01* +X169278Y338025D01* +X169279Y338144D01* +X169261Y338261D01* +X169223Y338374D01* +X169124Y338645D01* +X169056Y338925D01* +X169014Y339211D01* +X169000Y339500D01* +X169014Y339789D01* +X169056Y340075D01* +X169124Y340355D01* +X169220Y340628D01* +X169258Y340739D01* +X169275Y340856D01* +X169275Y340974D01* +X169255Y341091D01* +X169218Y341203D01* +X169164Y341307D01* +X169094Y341402D01* +X169009Y341485D01* +X168913Y341554D01* +X168808Y341607D01* +X168695Y341643D01* +X168579Y341660D01* +X168461Y341659D01* +X168344Y341640D01* +X168300Y341625D01* +Y343962D01* +X169868Y345529D01* +X169951Y345478D01* +X170605Y345207D01* +X171294Y345042D01* +X172000Y344986D01* +X172002Y344986D01* +Y344005D01* +X172000Y344005D01* +X171567Y343984D01* +X171137Y343922D01* +X170716Y343818D01* +X170306Y343675D01* +X170200Y343622D01* +X170104Y343553D01* +X170019Y343470D01* +X169949Y343375D01* +X169894Y343269D01* +X169857Y343157D01* +X169837Y343040D01* +X169836Y342921D01* +X169854Y342804D01* +X169890Y342691D01* +X169943Y342585D01* +X170012Y342488D01* +X170095Y342404D01* +X170191Y342333D01* +X170296Y342279D01* +X170408Y342241D01* +X170525Y342222D01* +X170644Y342221D01* +X170761Y342239D01* +X170874Y342277D01* +X171145Y342376D01* +X171425Y342444D01* +X171711Y342486D01* +X172000Y342500D01* +X172002Y342500D01* +Y336500D01* +X172000Y336500D01* +X171711Y336514D01* +X171425Y336556D01* +X171145Y336624D01* +X170872Y336720D01* +X170761Y336758D01* +X170644Y336775D01* +X170526Y336775D01* +X170409Y336755D01* +X170297Y336718D01* +X170193Y336664D01* +X170098Y336594D01* +X170015Y336509D01* +X169946Y336413D01* +X169893Y336308D01* +X169857Y336195D01* +X169840Y336079D01* +X169841Y335961D01* +X169860Y335844D01* +X169897Y335732D01* +X169952Y335627D01* +X170022Y335532D01* +X170106Y335449D01* +X170202Y335381D01* +X170308Y335330D01* +X170716Y335182D01* +X171137Y335078D01* +X171567Y335016D01* +X172000Y334995D01* +X172002Y334995D01* +Y266500D01* +G37* +G36* +X162002Y354014D02*X162000Y354014D01* +X161294Y353958D01* +X160605Y353793D01* +X159951Y353522D01* +X159347Y353152D01* +X158808Y352692D01* +X158348Y352153D01* +X157978Y351549D01* +X157707Y350895D01* +X157542Y350206D01* +X157486Y349500D01* +X157542Y348794D01* +X157707Y348105D01* +X157978Y347451D01* +X158029Y347368D01* +X156117Y345455D01* +X156078Y345422D01* +X155945Y345266D01* +X155838Y345092D01* +X155760Y344903D01* +X155712Y344704D01* +X155712Y344704D01* +X155696Y344500D01* +X155700Y344449D01* +Y341629D01* +X155657Y341643D01* +X155540Y341663D01* +X155421Y341664D01* +X155304Y341646D01* +X155191Y341610D01* +X155085Y341557D01* +X154988Y341488D01* +X154904Y341405D01* +X154833Y341309D01* +X154779Y341204D01* +X154741Y341092D01* +X154722Y340975D01* +X154721Y340856D01* +X154739Y340739D01* +X154777Y340626D01* +X154876Y340355D01* +X154944Y340075D01* +X154986Y339789D01* +X155000Y339500D01* +X154986Y339211D01* +X154944Y338925D01* +X154876Y338645D01* +X154780Y338372D01* +X154742Y338261D01* +X154725Y338144D01* +X154725Y338026D01* +X154745Y337909D01* +X154782Y337797D01* +X154836Y337693D01* +X154906Y337598D01* +X154991Y337515D01* +X155087Y337446D01* +X155192Y337393D01* +X155305Y337357D01* +X155421Y337340D01* +X155539Y337341D01* +X155656Y337360D01* +X155700Y337375D01* +Y335038D01* +X154117Y333455D01* +X154078Y333422D01* +X153945Y333266D01* +X153838Y333092D01* +X153760Y332903D01* +X153712Y332704D01* +X153712Y332704D01* +X153696Y332500D01* +X153700Y332449D01* +Y266500D01* +X152002D01* +Y334995D01* +X152433Y335016D01* +X152863Y335078D01* +X153284Y335182D01* +X153694Y335325D01* +X153800Y335378D01* +X153896Y335447D01* +X153981Y335530D01* +X154051Y335625D01* +X154106Y335731D01* +X154143Y335843D01* +X154163Y335960D01* +X154164Y336079D01* +X154146Y336196D01* +X154110Y336309D01* +X154057Y336415D01* +X153988Y336512D01* +X153905Y336596D01* +X153809Y336667D01* +X153704Y336721D01* +X153592Y336759D01* +X153475Y336778D01* +X153356Y336779D01* +X153239Y336761D01* +X153126Y336723D01* +X152855Y336624D01* +X152575Y336556D01* +X152289Y336514D01* +X152002Y336500D01* +Y342500D01* +X152289Y342486D01* +X152575Y342444D01* +X152855Y342376D01* +X153128Y342280D01* +X153239Y342242D01* +X153356Y342225D01* +X153474Y342225D01* +X153591Y342245D01* +X153703Y342282D01* +X153807Y342336D01* +X153902Y342406D01* +X153985Y342491D01* +X154054Y342587D01* +X154107Y342692D01* +X154143Y342805D01* +X154160Y342921D01* +X154159Y343039D01* +X154140Y343156D01* +X154103Y343268D01* +X154048Y343373D01* +X153978Y343468D01* +X153894Y343551D01* +X153798Y343619D01* +X153692Y343670D01* +X153284Y343818D01* +X152863Y343922D01* +X152433Y343984D01* +X152002Y344005D01* +Y344986D01* +X152706Y345042D01* +X153395Y345207D01* +X154049Y345478D01* +X154653Y345848D01* +X155192Y346308D01* +X155652Y346847D01* +X156022Y347451D01* +X156293Y348105D01* +X156458Y348794D01* +X156500Y349500D01* +X156458Y350206D01* +X156293Y350895D01* +X156022Y351549D01* +X155652Y352153D01* +X155192Y352692D01* +X154653Y353152D01* +X154049Y353522D01* +X153395Y353793D01* +X152706Y353958D01* +X152002Y354014D01* +Y358000D01* +X162002D01* +Y354014D01* +G37* +G36* +X152002D02*X152000Y354014D01* +X151294Y353958D01* +X150605Y353793D01* +X149951Y353522D01* +X149347Y353152D01* +X148808Y352692D01* +X148348Y352153D01* +X147978Y351549D01* +X147707Y350895D01* +X147542Y350206D01* +X147486Y349500D01* +X147542Y348794D01* +X147707Y348105D01* +X147978Y347451D01* +X148029Y347368D01* +X146117Y345455D01* +X146078Y345422D01* +X145945Y345266D01* +X145838Y345092D01* +X145760Y344903D01* +X145712Y344704D01* +X145712Y344704D01* +X145696Y344500D01* +X145700Y344449D01* +Y341629D01* +X145657Y341643D01* +X145540Y341663D01* +X145421Y341664D01* +X145304Y341646D01* +X145191Y341610D01* +X145085Y341557D01* +X144988Y341488D01* +X144904Y341405D01* +X144833Y341309D01* +X144779Y341204D01* +X144741Y341092D01* +X144722Y340975D01* +X144721Y340856D01* +X144739Y340739D01* +X144777Y340626D01* +X144876Y340355D01* +X144944Y340075D01* +X144986Y339789D01* +X145000Y339500D01* +X144986Y339211D01* +X144944Y338925D01* +X144876Y338645D01* +X144780Y338372D01* +X144742Y338261D01* +X144725Y338144D01* +X144725Y338026D01* +X144745Y337909D01* +X144782Y337797D01* +X144836Y337693D01* +X144906Y337598D01* +X144991Y337515D01* +X145087Y337446D01* +X145192Y337393D01* +X145305Y337357D01* +X145421Y337340D01* +X145539Y337341D01* +X145656Y337360D01* +X145700Y337375D01* +Y335038D01* +X144117Y333455D01* +X144078Y333422D01* +X143945Y333266D01* +X143838Y333092D01* +X143760Y332903D01* +X143712Y332704D01* +X143712Y332704D01* +X143696Y332500D01* +X143700Y332449D01* +Y266500D01* +X142002D01* +Y334995D01* +X142433Y335016D01* +X142863Y335078D01* +X143284Y335182D01* +X143694Y335325D01* +X143800Y335378D01* +X143896Y335447D01* +X143981Y335530D01* +X144051Y335625D01* +X144106Y335731D01* +X144143Y335843D01* +X144163Y335960D01* +X144164Y336079D01* +X144146Y336196D01* +X144110Y336309D01* +X144057Y336415D01* +X143988Y336512D01* +X143905Y336596D01* +X143809Y336667D01* +X143704Y336721D01* +X143592Y336759D01* +X143475Y336778D01* +X143356Y336779D01* +X143239Y336761D01* +X143126Y336723D01* +X142855Y336624D01* +X142575Y336556D01* +X142289Y336514D01* +X142002Y336500D01* +Y342500D01* +X142289Y342486D01* +X142575Y342444D01* +X142855Y342376D01* +X143128Y342280D01* +X143239Y342242D01* +X143356Y342225D01* +X143474Y342225D01* +X143591Y342245D01* +X143703Y342282D01* +X143807Y342336D01* +X143902Y342406D01* +X143985Y342491D01* +X144054Y342587D01* +X144107Y342692D01* +X144143Y342805D01* +X144160Y342921D01* +X144159Y343039D01* +X144140Y343156D01* +X144103Y343268D01* +X144048Y343373D01* +X143978Y343468D01* +X143894Y343551D01* +X143798Y343619D01* +X143692Y343670D01* +X143284Y343818D01* +X142863Y343922D01* +X142433Y343984D01* +X142002Y344005D01* +Y344986D01* +X142706Y345042D01* +X143395Y345207D01* +X144049Y345478D01* +X144653Y345848D01* +X145192Y346308D01* +X145652Y346847D01* +X146022Y347451D01* +X146293Y348105D01* +X146458Y348794D01* +X146500Y349500D01* +X146458Y350206D01* +X146293Y350895D01* +X146022Y351549D01* +X145652Y352153D01* +X145192Y352692D01* +X144653Y353152D01* +X144049Y353522D01* +X143395Y353793D01* +X142706Y353958D01* +X142002Y354014D01* +Y358000D01* +X152002D01* +Y354014D01* +G37* +G36* +Y266500D02*X146300D01* +Y331962D01* +X147883Y333545D01* +X147922Y333578D01* +X148055Y333733D01* +X148055Y333734D01* +X148162Y333908D01* +X148240Y334097D01* +X148288Y334296D01* +X148304Y334500D01* +X148300Y334551D01* +Y337371D01* +X148343Y337357D01* +X148460Y337337D01* +X148579Y337336D01* +X148696Y337354D01* +X148809Y337390D01* +X148915Y337443D01* +X149012Y337512D01* +X149096Y337595D01* +X149167Y337691D01* +X149221Y337796D01* +X149259Y337908D01* +X149278Y338025D01* +X149279Y338144D01* +X149261Y338261D01* +X149223Y338374D01* +X149124Y338645D01* +X149056Y338925D01* +X149014Y339211D01* +X149000Y339500D01* +X149014Y339789D01* +X149056Y340075D01* +X149124Y340355D01* +X149220Y340628D01* +X149258Y340739D01* +X149275Y340856D01* +X149275Y340974D01* +X149255Y341091D01* +X149218Y341203D01* +X149164Y341307D01* +X149094Y341402D01* +X149009Y341485D01* +X148913Y341554D01* +X148808Y341607D01* +X148695Y341643D01* +X148579Y341660D01* +X148461Y341659D01* +X148344Y341640D01* +X148300Y341625D01* +Y343962D01* +X149868Y345529D01* +X149951Y345478D01* +X150605Y345207D01* +X151294Y345042D01* +X152000Y344986D01* +X152002Y344986D01* +Y344005D01* +X152000Y344005D01* +X151567Y343984D01* +X151137Y343922D01* +X150716Y343818D01* +X150306Y343675D01* +X150200Y343622D01* +X150104Y343553D01* +X150019Y343470D01* +X149949Y343375D01* +X149894Y343269D01* +X149857Y343157D01* +X149837Y343040D01* +X149836Y342921D01* +X149854Y342804D01* +X149890Y342691D01* +X149943Y342585D01* +X150012Y342488D01* +X150095Y342404D01* +X150191Y342333D01* +X150296Y342279D01* +X150408Y342241D01* +X150525Y342222D01* +X150644Y342221D01* +X150761Y342239D01* +X150874Y342277D01* +X151145Y342376D01* +X151425Y342444D01* +X151711Y342486D01* +X152000Y342500D01* +X152002Y342500D01* +Y336500D01* +X152000Y336500D01* +X151711Y336514D01* +X151425Y336556D01* +X151145Y336624D01* +X150872Y336720D01* +X150761Y336758D01* +X150644Y336775D01* +X150526Y336775D01* +X150409Y336755D01* +X150297Y336718D01* +X150193Y336664D01* +X150098Y336594D01* +X150015Y336509D01* +X149946Y336413D01* +X149893Y336308D01* +X149857Y336195D01* +X149840Y336079D01* +X149841Y335961D01* +X149860Y335844D01* +X149897Y335732D01* +X149952Y335627D01* +X150022Y335532D01* +X150106Y335449D01* +X150202Y335381D01* +X150308Y335330D01* +X150716Y335182D01* +X151137Y335078D01* +X151567Y335016D01* +X152000Y334995D01* +X152002Y334995D01* +Y266500D01* +G37* +G36* +X142002Y354014D02*X142000Y354014D01* +X141294Y353958D01* +X140605Y353793D01* +X139951Y353522D01* +X139347Y353152D01* +X138808Y352692D01* +X138348Y352153D01* +X137978Y351549D01* +X137707Y350895D01* +X137542Y350206D01* +X137486Y349500D01* +X137542Y348794D01* +X137707Y348105D01* +X137978Y347451D01* +X138029Y347368D01* +X136117Y345455D01* +X136078Y345422D01* +X135945Y345266D01* +X135838Y345092D01* +X135760Y344903D01* +X135712Y344704D01* +X135712Y344704D01* +X135696Y344500D01* +X135700Y344449D01* +Y341629D01* +X135657Y341643D01* +X135540Y341663D01* +X135421Y341664D01* +X135304Y341646D01* +X135191Y341610D01* +X135085Y341557D01* +X134988Y341488D01* +X134904Y341405D01* +X134833Y341309D01* +X134779Y341204D01* +X134741Y341092D01* +X134722Y340975D01* +X134721Y340856D01* +X134739Y340739D01* +X134777Y340626D01* +X134876Y340355D01* +X134944Y340075D01* +X134986Y339789D01* +X135000Y339500D01* +X134986Y339211D01* +X134944Y338925D01* +X134876Y338645D01* +X134780Y338372D01* +X134742Y338261D01* +X134725Y338144D01* +X134725Y338026D01* +X134745Y337909D01* +X134782Y337797D01* +X134836Y337693D01* +X134906Y337598D01* +X134991Y337515D01* +X135087Y337446D01* +X135192Y337393D01* +X135305Y337357D01* +X135421Y337340D01* +X135539Y337341D01* +X135656Y337360D01* +X135700Y337375D01* +Y335038D01* +X134117Y333455D01* +X134078Y333422D01* +X133945Y333266D01* +X133838Y333092D01* +X133760Y332903D01* +X133712Y332704D01* +X133712Y332704D01* +X133696Y332500D01* +X133700Y332449D01* +Y266500D01* +X132002D01* +Y334995D01* +X132433Y335016D01* +X132863Y335078D01* +X133284Y335182D01* +X133694Y335325D01* +X133800Y335378D01* +X133896Y335447D01* +X133981Y335530D01* +X134051Y335625D01* +X134106Y335731D01* +X134143Y335843D01* +X134163Y335960D01* +X134164Y336079D01* +X134146Y336196D01* +X134110Y336309D01* +X134057Y336415D01* +X133988Y336512D01* +X133905Y336596D01* +X133809Y336667D01* +X133704Y336721D01* +X133592Y336759D01* +X133475Y336778D01* +X133356Y336779D01* +X133239Y336761D01* +X133126Y336723D01* +X132855Y336624D01* +X132575Y336556D01* +X132289Y336514D01* +X132002Y336500D01* +Y342500D01* +X132289Y342486D01* +X132575Y342444D01* +X132855Y342376D01* +X133128Y342280D01* +X133239Y342242D01* +X133356Y342225D01* +X133474Y342225D01* +X133591Y342245D01* +X133703Y342282D01* +X133807Y342336D01* +X133902Y342406D01* +X133985Y342491D01* +X134054Y342587D01* +X134107Y342692D01* +X134143Y342805D01* +X134160Y342921D01* +X134159Y343039D01* +X134140Y343156D01* +X134103Y343268D01* +X134048Y343373D01* +X133978Y343468D01* +X133894Y343551D01* +X133798Y343619D01* +X133692Y343670D01* +X133284Y343818D01* +X132863Y343922D01* +X132433Y343984D01* +X132002Y344005D01* +Y344986D01* +X132706Y345042D01* +X133395Y345207D01* +X134049Y345478D01* +X134653Y345848D01* +X135192Y346308D01* +X135652Y346847D01* +X136022Y347451D01* +X136293Y348105D01* +X136458Y348794D01* +X136500Y349500D01* +X136458Y350206D01* +X136293Y350895D01* +X136022Y351549D01* +X135652Y352153D01* +X135192Y352692D01* +X134653Y353152D01* +X134049Y353522D01* +X133395Y353793D01* +X132706Y353958D01* +X132002Y354014D01* +Y358000D01* +X142002D01* +Y354014D01* +G37* +G36* +Y266500D02*X136300D01* +Y331962D01* +X137883Y333545D01* +X137922Y333578D01* +X138055Y333733D01* +X138055Y333734D01* +X138162Y333908D01* +X138240Y334097D01* +X138288Y334296D01* +X138304Y334500D01* +X138300Y334551D01* +Y337371D01* +X138343Y337357D01* +X138460Y337337D01* +X138579Y337336D01* +X138696Y337354D01* +X138809Y337390D01* +X138915Y337443D01* +X139012Y337512D01* +X139096Y337595D01* +X139167Y337691D01* +X139221Y337796D01* +X139259Y337908D01* +X139278Y338025D01* +X139279Y338144D01* +X139261Y338261D01* +X139223Y338374D01* +X139124Y338645D01* +X139056Y338925D01* +X139014Y339211D01* +X139000Y339500D01* +X139014Y339789D01* +X139056Y340075D01* +X139124Y340355D01* +X139220Y340628D01* +X139258Y340739D01* +X139275Y340856D01* +X139275Y340974D01* +X139255Y341091D01* +X139218Y341203D01* +X139164Y341307D01* +X139094Y341402D01* +X139009Y341485D01* +X138913Y341554D01* +X138808Y341607D01* +X138695Y341643D01* +X138579Y341660D01* +X138461Y341659D01* +X138344Y341640D01* +X138300Y341625D01* +Y343962D01* +X139868Y345529D01* +X139951Y345478D01* +X140605Y345207D01* +X141294Y345042D01* +X142000Y344986D01* +X142002Y344986D01* +Y344005D01* +X142000Y344005D01* +X141567Y343984D01* +X141137Y343922D01* +X140716Y343818D01* +X140306Y343675D01* +X140200Y343622D01* +X140104Y343553D01* +X140019Y343470D01* +X139949Y343375D01* +X139894Y343269D01* +X139857Y343157D01* +X139837Y343040D01* +X139836Y342921D01* +X139854Y342804D01* +X139890Y342691D01* +X139943Y342585D01* +X140012Y342488D01* +X140095Y342404D01* +X140191Y342333D01* +X140296Y342279D01* +X140408Y342241D01* +X140525Y342222D01* +X140644Y342221D01* +X140761Y342239D01* +X140874Y342277D01* +X141145Y342376D01* +X141425Y342444D01* +X141711Y342486D01* +X142000Y342500D01* +X142002Y342500D01* +Y336500D01* +X142000Y336500D01* +X141711Y336514D01* +X141425Y336556D01* +X141145Y336624D01* +X140872Y336720D01* +X140761Y336758D01* +X140644Y336775D01* +X140526Y336775D01* +X140409Y336755D01* +X140297Y336718D01* +X140193Y336664D01* +X140098Y336594D01* +X140015Y336509D01* +X139946Y336413D01* +X139893Y336308D01* +X139857Y336195D01* +X139840Y336079D01* +X139841Y335961D01* +X139860Y335844D01* +X139897Y335732D01* +X139952Y335627D01* +X140022Y335532D01* +X140106Y335449D01* +X140202Y335381D01* +X140308Y335330D01* +X140716Y335182D01* +X141137Y335078D01* +X141567Y335016D01* +X142000Y334995D01* +X142002Y334995D01* +Y266500D01* +G37* +G36* +X132002Y354014D02*X132000Y354014D01* +X131294Y353958D01* +X130605Y353793D01* +X129951Y353522D01* +X129347Y353152D01* +X128808Y352692D01* +X128348Y352153D01* +X127978Y351549D01* +X127707Y350895D01* +X127542Y350206D01* +X127486Y349500D01* +X127542Y348794D01* +X127707Y348105D01* +X127978Y347451D01* +X128029Y347368D01* +X126117Y345455D01* +X126078Y345422D01* +X125945Y345266D01* +X125838Y345092D01* +X125760Y344903D01* +X125712Y344704D01* +X125712Y344704D01* +X125696Y344500D01* +X125700Y344449D01* +Y341629D01* +X125657Y341643D01* +X125540Y341663D01* +X125421Y341664D01* +X125304Y341646D01* +X125191Y341610D01* +X125085Y341557D01* +X124988Y341488D01* +X124904Y341405D01* +X124833Y341309D01* +X124779Y341204D01* +X124741Y341092D01* +X124722Y340975D01* +X124721Y340856D01* +X124739Y340739D01* +X124777Y340626D01* +X124876Y340355D01* +X124944Y340075D01* +X124986Y339789D01* +X125000Y339500D01* +X124986Y339211D01* +X124944Y338925D01* +X124876Y338645D01* +X124780Y338372D01* +X124742Y338261D01* +X124725Y338144D01* +X124725Y338026D01* +X124745Y337909D01* +X124782Y337797D01* +X124836Y337693D01* +X124906Y337598D01* +X124991Y337515D01* +X125087Y337446D01* +X125192Y337393D01* +X125305Y337357D01* +X125421Y337340D01* +X125539Y337341D01* +X125656Y337360D01* +X125700Y337375D01* +Y335038D01* +X124117Y333455D01* +X124078Y333422D01* +X123945Y333266D01* +X123838Y333092D01* +X123760Y332903D01* +X123712Y332704D01* +X123712Y332704D01* +X123696Y332500D01* +X123700Y332449D01* +Y266500D01* +X122002D01* +Y334995D01* +X122433Y335016D01* +X122863Y335078D01* +X123284Y335182D01* +X123694Y335325D01* +X123800Y335378D01* +X123896Y335447D01* +X123981Y335530D01* +X124051Y335625D01* +X124106Y335731D01* +X124143Y335843D01* +X124163Y335960D01* +X124164Y336079D01* +X124146Y336196D01* +X124110Y336309D01* +X124057Y336415D01* +X123988Y336512D01* +X123905Y336596D01* +X123809Y336667D01* +X123704Y336721D01* +X123592Y336759D01* +X123475Y336778D01* +X123356Y336779D01* +X123239Y336761D01* +X123126Y336723D01* +X122855Y336624D01* +X122575Y336556D01* +X122289Y336514D01* +X122002Y336500D01* +Y342500D01* +X122289Y342486D01* +X122575Y342444D01* +X122855Y342376D01* +X123128Y342280D01* +X123239Y342242D01* +X123356Y342225D01* +X123474Y342225D01* +X123591Y342245D01* +X123703Y342282D01* +X123807Y342336D01* +X123902Y342406D01* +X123985Y342491D01* +X124054Y342587D01* +X124107Y342692D01* +X124143Y342805D01* +X124160Y342921D01* +X124159Y343039D01* +X124140Y343156D01* +X124103Y343268D01* +X124048Y343373D01* +X123978Y343468D01* +X123894Y343551D01* +X123798Y343619D01* +X123692Y343670D01* +X123284Y343818D01* +X122863Y343922D01* +X122433Y343984D01* +X122002Y344005D01* +Y344986D01* +X122706Y345042D01* +X123395Y345207D01* +X124049Y345478D01* +X124653Y345848D01* +X125192Y346308D01* +X125652Y346847D01* +X126022Y347451D01* +X126293Y348105D01* +X126458Y348794D01* +X126500Y349500D01* +X126458Y350206D01* +X126293Y350895D01* +X126022Y351549D01* +X125652Y352153D01* +X125192Y352692D01* +X124653Y353152D01* +X124049Y353522D01* +X123395Y353793D01* +X122706Y353958D01* +X122002Y354014D01* +Y358000D01* +X132002D01* +Y354014D01* +G37* +G36* +Y266500D02*X126300D01* +Y331962D01* +X127883Y333545D01* +X127922Y333578D01* +X128055Y333733D01* +X128055Y333734D01* +X128162Y333908D01* +X128240Y334097D01* +X128288Y334296D01* +X128304Y334500D01* +X128300Y334551D01* +Y337371D01* +X128343Y337357D01* +X128460Y337337D01* +X128579Y337336D01* +X128696Y337354D01* +X128809Y337390D01* +X128915Y337443D01* +X129012Y337512D01* +X129096Y337595D01* +X129167Y337691D01* +X129221Y337796D01* +X129259Y337908D01* +X129278Y338025D01* +X129279Y338144D01* +X129261Y338261D01* +X129223Y338374D01* +X129124Y338645D01* +X129056Y338925D01* +X129014Y339211D01* +X129000Y339500D01* +X129014Y339789D01* +X129056Y340075D01* +X129124Y340355D01* +X129220Y340628D01* +X129258Y340739D01* +X129275Y340856D01* +X129275Y340974D01* +X129255Y341091D01* +X129218Y341203D01* +X129164Y341307D01* +X129094Y341402D01* +X129009Y341485D01* +X128913Y341554D01* +X128808Y341607D01* +X128695Y341643D01* +X128579Y341660D01* +X128461Y341659D01* +X128344Y341640D01* +X128300Y341625D01* +Y343962D01* +X129868Y345529D01* +X129951Y345478D01* +X130605Y345207D01* +X131294Y345042D01* +X132000Y344986D01* +X132002Y344986D01* +Y344005D01* +X132000Y344005D01* +X131567Y343984D01* +X131137Y343922D01* +X130716Y343818D01* +X130306Y343675D01* +X130200Y343622D01* +X130104Y343553D01* +X130019Y343470D01* +X129949Y343375D01* +X129894Y343269D01* +X129857Y343157D01* +X129837Y343040D01* +X129836Y342921D01* +X129854Y342804D01* +X129890Y342691D01* +X129943Y342585D01* +X130012Y342488D01* +X130095Y342404D01* +X130191Y342333D01* +X130296Y342279D01* +X130408Y342241D01* +X130525Y342222D01* +X130644Y342221D01* +X130761Y342239D01* +X130874Y342277D01* +X131145Y342376D01* +X131425Y342444D01* +X131711Y342486D01* +X132000Y342500D01* +X132002Y342500D01* +Y336500D01* +X132000Y336500D01* +X131711Y336514D01* +X131425Y336556D01* +X131145Y336624D01* +X130872Y336720D01* +X130761Y336758D01* +X130644Y336775D01* +X130526Y336775D01* +X130409Y336755D01* +X130297Y336718D01* +X130193Y336664D01* +X130098Y336594D01* +X130015Y336509D01* +X129946Y336413D01* +X129893Y336308D01* +X129857Y336195D01* +X129840Y336079D01* +X129841Y335961D01* +X129860Y335844D01* +X129897Y335732D01* +X129952Y335627D01* +X130022Y335532D01* +X130106Y335449D01* +X130202Y335381D01* +X130308Y335330D01* +X130716Y335182D01* +X131137Y335078D01* +X131567Y335016D01* +X132000Y334995D01* +X132002Y334995D01* +Y266500D01* +G37* +G36* +X122002Y354014D02*X122000Y354014D01* +X121294Y353958D01* +X120605Y353793D01* +X119951Y353522D01* +X119347Y353152D01* +X118808Y352692D01* +X118348Y352153D01* +X117978Y351549D01* +X117707Y350895D01* +X117542Y350206D01* +X117486Y349500D01* +X117542Y348794D01* +X117707Y348105D01* +X117978Y347451D01* +X118029Y347368D01* +X114117Y343455D01* +X114078Y343422D01* +X113945Y343266D01* +X113838Y343092D01* +X113760Y342903D01* +X113712Y342704D01* +X113712Y342704D01* +X113696Y342500D01* +X113700Y342449D01* +Y266500D01* +X109300D01* +Y292980D01* +X109419Y293081D01* +X109623Y293321D01* +X109788Y293589D01* +X109908Y293880D01* +X109981Y294186D01* +X110000Y294500D01* +X109981Y294814D01* +X109908Y295120D01* +X109788Y295411D01* +X109623Y295679D01* +X109419Y295919D01* +X109179Y296123D01* +X108911Y296288D01* +X108620Y296408D01* +X108314Y296481D01* +X108000Y296506D01* +X107686Y296481D01* +X107380Y296408D01* +X107089Y296288D01* +X106821Y296123D01* +X106800Y296105D01* +Y296980D01* +X106919Y297081D01* +X107123Y297321D01* +X107288Y297589D01* +X107408Y297880D01* +X107481Y298186D01* +X107500Y298500D01* +X107481Y298814D01* +X107408Y299120D01* +X107288Y299411D01* +X107123Y299679D01* +X106919Y299919D01* +X106679Y300123D01* +X106411Y300288D01* +X106120Y300408D01* +X105814Y300481D01* +X105500Y300506D01* +X105186Y300481D01* +X104880Y300408D01* +X104589Y300288D01* +X104321Y300123D01* +X104300Y300105D01* +Y300980D01* +X104419Y301081D01* +X104623Y301321D01* +X104788Y301589D01* +X104908Y301880D01* +X104981Y302186D01* +X105000Y302500D01* +X104981Y302814D01* +X104908Y303120D01* +X104788Y303411D01* +X104623Y303679D01* +X104419Y303919D01* +X104179Y304123D01* +X103911Y304288D01* +X103620Y304408D01* +X103314Y304481D01* +X103000Y304506D01* +X102686Y304481D01* +X102380Y304408D01* +X102089Y304288D01* +X101821Y304123D01* +X101800Y304105D01* +Y304980D01* +X101919Y305081D01* +X102123Y305321D01* +X102288Y305589D01* +X102408Y305880D01* +X102481Y306186D01* +X102500Y306500D01* +X102481Y306814D01* +X102408Y307120D01* +X102288Y307411D01* +X102123Y307679D01* +X101919Y307919D01* +X101679Y308123D01* +X101411Y308288D01* +X101120Y308408D01* +X100814Y308481D01* +X100500Y308506D01* +X100186Y308481D01* +X99880Y308408D01* +X99589Y308288D01* +X99321Y308123D01* +X99300Y308105D01* +Y308980D01* +X99419Y309081D01* +X99623Y309321D01* +X99788Y309589D01* +X99908Y309880D01* +X99981Y310186D01* +X100000Y310500D01* +X99981Y310814D01* +X99908Y311120D01* +X99788Y311411D01* +X99623Y311679D01* +X99419Y311919D01* +X99179Y312123D01* +X98911Y312288D01* +X98620Y312408D01* +X98314Y312481D01* +X98000Y312506D01* +X97686Y312481D01* +X97380Y312408D01* +X97089Y312288D01* +X96821Y312123D01* +X96800Y312105D01* +Y312980D01* +X96919Y313081D01* +X97123Y313321D01* +X97288Y313589D01* +X97408Y313880D01* +X97481Y314186D01* +X97500Y314500D01* +X97481Y314814D01* +X97408Y315120D01* +X97288Y315411D01* +X97123Y315679D01* +X96919Y315919D01* +X96679Y316123D01* +X96411Y316288D01* +X96120Y316408D01* +X95814Y316481D01* +X95500Y316506D01* +X95186Y316481D01* +X94880Y316408D01* +X94589Y316288D01* +X94321Y316123D01* +X94300Y316105D01* +Y316980D01* +X94419Y317081D01* +X94623Y317321D01* +X94788Y317589D01* +X94908Y317880D01* +X94981Y318186D01* +X95000Y318500D01* +X94981Y318814D01* +X94908Y319120D01* +X94788Y319411D01* +X94623Y319679D01* +X94419Y319919D01* +X94179Y320123D01* +X93911Y320288D01* +X93620Y320408D01* +X93314Y320481D01* +X93000Y320506D01* +X92686Y320481D01* +X92380Y320408D01* +X92089Y320288D01* +X91821Y320123D01* +X91800Y320105D01* +Y320980D01* +X91919Y321081D01* +X92123Y321321D01* +X92288Y321589D01* +X92408Y321880D01* +X92481Y322186D01* +X92500Y322500D01* +X92481Y322814D01* +X92408Y323120D01* +X92288Y323411D01* +X92123Y323679D01* +X91919Y323919D01* +X91679Y324123D01* +X91411Y324288D01* +X91120Y324408D01* +X90814Y324481D01* +X90500Y324506D01* +X90186Y324481D01* +X89880Y324408D01* +X89589Y324288D01* +X89321Y324123D01* +X89300Y324105D01* +Y324980D01* +X89419Y325081D01* +X89623Y325321D01* +X89788Y325589D01* +X89908Y325880D01* +X89981Y326186D01* +X90000Y326500D01* +X89981Y326814D01* +X89908Y327120D01* +X89788Y327411D01* +X89623Y327679D01* +X89419Y327919D01* +X89179Y328123D01* +X88911Y328288D01* +X88620Y328408D01* +X88408Y328459D01* +X91950Y332000D01* +X101363D01* +X101500Y331989D01* +X102049Y332032D01* +X102049Y332032D01* +X102585Y332161D01* +X103094Y332372D01* +X103564Y332660D01* +X103983Y333017D01* +X104072Y333122D01* +X108878Y337928D01* +X108983Y338017D01* +X109340Y338436D01* +X109340Y338436D01* +X109628Y338906D01* +X109839Y339415D01* +X109968Y339951D01* +X110011Y340500D01* +X110000Y340637D01* +Y355050D01* +X112950Y358000D01* +X122002D01* +Y354014D01* +G37* +G36* +Y266500D02*X118387D01* +Y337349D01* +X118460Y337337D01* +X118579Y337336D01* +X118696Y337354D01* +X118809Y337390D01* +X118915Y337443D01* +X119012Y337512D01* +X119096Y337595D01* +X119167Y337691D01* +X119221Y337796D01* +X119259Y337908D01* +X119278Y338025D01* +X119279Y338144D01* +X119261Y338261D01* +X119223Y338374D01* +X119124Y338645D01* +X119056Y338925D01* +X119014Y339211D01* +X119000Y339500D01* +X119014Y339789D01* +X119056Y340075D01* +X119124Y340355D01* +X119220Y340628D01* +X119258Y340739D01* +X119275Y340856D01* +X119275Y340974D01* +X119255Y341091D01* +X119218Y341203D01* +X119164Y341307D01* +X119094Y341402D01* +X119009Y341485D01* +X118913Y341554D01* +X118808Y341607D01* +X118695Y341643D01* +X118579Y341660D01* +X118461Y341659D01* +X118387Y341647D01* +Y344048D01* +X119868Y345529D01* +X119951Y345478D01* +X120605Y345207D01* +X121294Y345042D01* +X122000Y344986D01* +X122002Y344986D01* +Y344005D01* +X122000Y344005D01* +X121567Y343984D01* +X121137Y343922D01* +X120716Y343818D01* +X120306Y343675D01* +X120200Y343622D01* +X120104Y343553D01* +X120019Y343470D01* +X119949Y343375D01* +X119894Y343269D01* +X119857Y343157D01* +X119837Y343040D01* +X119836Y342921D01* +X119854Y342804D01* +X119890Y342691D01* +X119943Y342585D01* +X120012Y342488D01* +X120095Y342404D01* +X120191Y342333D01* +X120296Y342279D01* +X120408Y342241D01* +X120525Y342222D01* +X120644Y342221D01* +X120761Y342239D01* +X120874Y342277D01* +X121145Y342376D01* +X121425Y342444D01* +X121711Y342486D01* +X122000Y342500D01* +X122002Y342500D01* +Y336500D01* +X122000Y336500D01* +X121711Y336514D01* +X121425Y336556D01* +X121145Y336624D01* +X120872Y336720D01* +X120761Y336758D01* +X120644Y336775D01* +X120526Y336775D01* +X120409Y336755D01* +X120297Y336718D01* +X120193Y336664D01* +X120098Y336594D01* +X120015Y336509D01* +X119946Y336413D01* +X119893Y336308D01* +X119857Y336195D01* +X119840Y336079D01* +X119841Y335961D01* +X119860Y335844D01* +X119897Y335732D01* +X119952Y335627D01* +X120022Y335532D01* +X120106Y335449D01* +X120202Y335381D01* +X120308Y335330D01* +X120716Y335182D01* +X121137Y335078D01* +X121567Y335016D01* +X122000Y334995D01* +X122002Y334995D01* +Y266500D01* +G37* +G36* +X118387D02*X116300D01* +Y341962D01* +X118387Y344048D01* +Y341647D01* +X118344Y341640D01* +X118232Y341603D01* +X118127Y341548D01* +X118032Y341478D01* +X117949Y341394D01* +X117881Y341298D01* +X117830Y341192D01* +X117682Y340784D01* +X117578Y340363D01* +X117516Y339933D01* +X117495Y339500D01* +X117516Y339067D01* +X117578Y338637D01* +X117682Y338216D01* +X117825Y337806D01* +X117878Y337700D01* +X117947Y337604D01* +X118030Y337519D01* +X118125Y337449D01* +X118231Y337394D01* +X118343Y337357D01* +X118387Y337349D01* +Y266500D01* +G37* +G36* +X162002D02*X156300D01* +Y331962D01* +X157883Y333545D01* +X157922Y333578D01* +X158055Y333733D01* +X158055Y333734D01* +X158162Y333908D01* +X158240Y334097D01* +X158288Y334296D01* +X158304Y334500D01* +X158300Y334551D01* +Y337371D01* +X158343Y337357D01* +X158460Y337337D01* +X158579Y337336D01* +X158696Y337354D01* +X158809Y337390D01* +X158915Y337443D01* +X159012Y337512D01* +X159096Y337595D01* +X159167Y337691D01* +X159221Y337796D01* +X159259Y337908D01* +X159278Y338025D01* +X159279Y338144D01* +X159261Y338261D01* +X159223Y338374D01* +X159124Y338645D01* +X159056Y338925D01* +X159014Y339211D01* +X159000Y339500D01* +X159014Y339789D01* +X159056Y340075D01* +X159124Y340355D01* +X159220Y340628D01* +X159258Y340739D01* +X159275Y340856D01* +X159275Y340974D01* +X159255Y341091D01* +X159218Y341203D01* +X159164Y341307D01* +X159094Y341402D01* +X159009Y341485D01* +X158913Y341554D01* +X158808Y341607D01* +X158695Y341643D01* +X158579Y341660D01* +X158461Y341659D01* +X158344Y341640D01* +X158300Y341625D01* +Y343962D01* +X159868Y345529D01* +X159951Y345478D01* +X160605Y345207D01* +X161294Y345042D01* +X162000Y344986D01* +X162002Y344986D01* +Y344005D01* +X162000Y344005D01* +X161567Y343984D01* +X161137Y343922D01* +X160716Y343818D01* +X160306Y343675D01* +X160200Y343622D01* +X160104Y343553D01* +X160019Y343470D01* +X159949Y343375D01* +X159894Y343269D01* +X159857Y343157D01* +X159837Y343040D01* +X159836Y342921D01* +X159854Y342804D01* +X159890Y342691D01* +X159943Y342585D01* +X160012Y342488D01* +X160095Y342404D01* +X160191Y342333D01* +X160296Y342279D01* +X160408Y342241D01* +X160525Y342222D01* +X160644Y342221D01* +X160761Y342239D01* +X160874Y342277D01* +X161145Y342376D01* +X161425Y342444D01* +X161711Y342486D01* +X162000Y342500D01* +X162002Y342500D01* +Y336500D01* +X162000Y336500D01* +X161711Y336514D01* +X161425Y336556D01* +X161145Y336624D01* +X160872Y336720D01* +X160761Y336758D01* +X160644Y336775D01* +X160526Y336775D01* +X160409Y336755D01* +X160297Y336718D01* +X160193Y336664D01* +X160098Y336594D01* +X160015Y336509D01* +X159946Y336413D01* +X159893Y336308D01* +X159857Y336195D01* +X159840Y336079D01* +X159841Y335961D01* +X159860Y335844D01* +X159897Y335732D01* +X159952Y335627D01* +X160022Y335532D01* +X160106Y335449D01* +X160202Y335381D01* +X160308Y335330D01* +X160716Y335182D01* +X161137Y335078D01* +X161567Y335016D01* +X162000Y334995D01* +X162002Y334995D01* +Y266500D01* +G37* +G36* +X76500Y297000D02*Y302282D01* +X81766Y302293D01* +X82102Y302374D01* +X82422Y302506D01* +X82718Y302687D01* +X82981Y302912D01* +X83206Y303175D01* +X83387Y303471D01* +X83519Y303791D01* +X83600Y304127D01* +X83620Y304473D01* +X83600Y313873D01* +X83519Y314209D01* +X83387Y314529D01* +X83206Y314825D01* +X82981Y315088D01* +X82718Y315313D01* +X82422Y315494D01* +X82102Y315626D01* +X81766Y315707D01* +X81420Y315728D01* +X78750Y315722D01* +Y317068D01* +X79686Y318004D01* +X80049Y318032D01* +X80585Y318161D01* +X81094Y318372D01* +X81564Y318660D01* +X81983Y319017D01* +X82340Y319436D01* +X82628Y319906D01* +X82839Y320415D01* +X82968Y320951D01* +X83000Y321500D01* +Y323050D01* +X86041Y326092D01* +X86092Y325880D01* +X86212Y325589D01* +X86377Y325321D01* +X86581Y325081D01* +X86700Y324980D01* +Y297000D01* +X76500D01* +G37* +G36* +X52454Y311250D02*X52470Y304127D01* +X52551Y303791D01* +X52683Y303471D01* +X52864Y303175D01* +X53089Y302912D01* +X53352Y302687D01* +X53648Y302506D01* +X53968Y302374D01* +X54304Y302293D01* +X54650Y302273D01* +X64837Y302293D01* +X65173Y302374D01* +X65493Y302506D01* +X65789Y302687D01* +X66052Y302912D01* +X66277Y303175D01* +X66458Y303471D01* +X66590Y303791D01* +X66671Y304127D01* +X66691Y304473D01* +X66671Y313873D01* +X66590Y314209D01* +X66458Y314529D01* +X66277Y314825D01* +X66052Y315088D01* +X65789Y315313D01* +X65493Y315494D01* +X65173Y315626D01* +X64837Y315707D01* +X64491Y315728D01* +X55506Y315710D01* +X55424Y315729D01* +X55071Y315757D01* +X54983Y315750D01* +X46183D01* +X46180Y316845D01* +X46099Y317182D01* +X45967Y317500D01* +X74250D01* +Y315713D01* +X71233Y315707D01* +X70897Y315626D01* +X70577Y315494D01* +X70281Y315313D01* +X70018Y315088D01* +X69793Y314825D01* +X69612Y314529D01* +X69480Y314209D01* +X69399Y313873D01* +X69378Y313528D01* +X69399Y304127D01* +X69480Y303791D01* +X69612Y303471D01* +X69793Y303175D01* +X70018Y302912D01* +X70281Y302687D01* +X70577Y302506D01* +X70897Y302374D01* +X71233Y302293D01* +X71578Y302273D01* +X81000Y302291D01* +Y290500D01* +X29500D01* +Y297818D01* +X32932Y301250D01* +X36412D01* +X36442Y301178D01* +X36861Y300493D01* +X37383Y299883D01* +X37993Y299361D01* +X38678Y298942D01* +X39419Y298635D01* +X40200Y298447D01* +X41000Y298384D01* +X41800Y298447D01* +X42581Y298635D01* +X43322Y298942D01* +X44007Y299361D01* +X44617Y299883D01* +X45139Y300493D01* +X45558Y301178D01* +X45865Y301919D01* +X46053Y302700D01* +X46100Y303500D01* +X46053Y304300D01* +X45865Y305081D01* +X45558Y305822D01* +X45139Y306507D01* +X44617Y307117D01* +X44007Y307639D01* +X43322Y308058D01* +X42702Y308315D01* +X44345Y308320D01* +X44682Y308401D01* +X45002Y308534D01* +X45297Y308715D01* +X45560Y308940D01* +X45785Y309203D01* +X45966Y309498D01* +X46099Y309818D01* +X46180Y310155D01* +X46200Y310500D01* +X46198Y311250D01* +X52454D01* +G37* +G36* +X37000Y320000D02*Y318467D01* +X36998Y318466D01* +X36703Y318285D01* +X36440Y318060D01* +X36215Y317797D01* +X36034Y317502D01* +X35901Y317182D01* +X35820Y316845D01* +X35800Y316500D01* +X35820Y310155D01* +X35901Y309818D01* +X36034Y309498D01* +X36215Y309203D01* +X36440Y308940D01* +X36703Y308715D01* +X36998Y308534D01* +X37000Y308533D01* +Y306669D01* +X36861Y306507D01* +X36442Y305822D01* +X36412Y305750D01* +X32088D01* +X32000Y305757D01* +X31647Y305729D01* +X31303Y305646D01* +X30975Y305511D01* +X30673Y305326D01* +X30673Y305326D01* +X30404Y305096D01* +X30347Y305029D01* +X27884Y302566D01* +X25537Y304913D01* +X25564Y304936D01* +X25717Y305116D01* +X25841Y305317D01* +X25931Y305535D01* +X25986Y305765D01* +X26000Y306000D01* +X25986Y312235D01* +X25931Y312465D01* +X25841Y312683D01* +X25717Y312884D01* +X25564Y313064D01* +X25384Y313217D01* +X25183Y313341D01* +X24965Y313431D01* +X24735Y313486D01* +X24500Y313500D01* +X23500Y313498D01* +Y316512D01* +X24735Y316514D01* +X24965Y316569D01* +X25183Y316659D01* +X25384Y316783D01* +X25564Y316936D01* +X25717Y317116D01* +X25841Y317317D01* +X25931Y317535D01* +X25986Y317765D01* +X26000Y318000D01* +X25996Y320000D01* +X37000D01* +G37* +G36* +X10989Y391500D02*X22000D01* +Y382597D01* +X21937Y382445D01* +X21588Y380991D01* +X21471Y379500D01* +X21588Y378009D01* +X21937Y376555D01* +X22000Y376403D01* +Y345941D01* +X21000Y346020D01* +X19980Y345940D01* +X18985Y345701D01* +X18040Y345309D01* +X17168Y344775D01* +X16390Y344110D01* +X15725Y343332D01* +X15191Y342460D01* +X14799Y341515D01* +X14560Y340520D01* +X14480Y339500D01* +X14560Y338480D01* +X14799Y337485D01* +X15191Y336540D01* +X15725Y335668D01* +X16390Y334890D01* +X17168Y334225D01* +X17500Y334021D01* +Y325487D01* +X17265Y325486D01* +X17035Y325431D01* +X16817Y325341D01* +X16616Y325217D01* +X16436Y325064D01* +X16283Y324884D01* +X16159Y324683D01* +X16069Y324465D01* +X16014Y324235D01* +X16000Y324000D01* +X16014Y317765D01* +X16069Y317535D01* +X16159Y317317D01* +X16283Y317116D01* +X16436Y316936D01* +X16616Y316783D01* +X16817Y316659D01* +X17035Y316569D01* +X17265Y316514D01* +X17500Y316500D01* +X22000Y316509D01* +Y313495D01* +X17265Y313486D01* +X17035Y313431D01* +X16817Y313341D01* +X16616Y313217D01* +X16436Y313064D01* +X16283Y312884D01* +X16159Y312683D01* +X16069Y312465D01* +X16014Y312235D01* +X16000Y312000D01* +X16014Y305765D01* +X16069Y305535D01* +X16159Y305317D01* +X16283Y305116D01* +X16436Y304936D01* +X16616Y304783D01* +X16817Y304659D01* +X17035Y304569D01* +X17265Y304514D01* +X17489Y304501D01* +X17489Y304500D01* +X17532Y303951D01* +X17661Y303415D01* +X17872Y302906D01* +X18160Y302436D01* +X18517Y302017D01* +X18622Y301928D01* +X20550Y300000D01* +X10989D01* +Y342479D01* +X11000Y342478D01* +X12098Y342565D01* +X13170Y342822D01* +X14188Y343244D01* +X15127Y343819D01* +X15965Y344535D01* +X16681Y345373D01* +X17256Y346312D01* +X17678Y347330D01* +X17935Y348402D01* +X18000Y349500D01* +X17935Y350598D01* +X17678Y351670D01* +X17256Y352688D01* +X16681Y353627D01* +X15965Y354465D01* +X15127Y355181D01* +X14188Y355756D01* +X13170Y356178D01* +X12098Y356435D01* +X11000Y356522D01* +X10989Y356521D01* +Y391500D01* +G37* +G36* +X2000Y300000D02*Y391500D01* +X10989D01* +Y356521D01* +X9902Y356435D01* +X8830Y356178D01* +X7812Y355756D01* +X6873Y355181D01* +X6035Y354465D01* +X5319Y353627D01* +X4744Y352688D01* +X4322Y351670D01* +X4065Y350598D01* +X3978Y349500D01* +X4065Y348402D01* +X4322Y347330D01* +X4744Y346312D01* +X5319Y345373D01* +X6035Y344535D01* +X6873Y343819D01* +X7812Y343244D01* +X8830Y342822D01* +X9902Y342565D01* +X10989Y342479D01* +Y300000D01* +X2000D01* +G37* +G36* +X365500Y282500D02*X311500D01* +X343000Y314000D01* +X365500D01* +Y306657D01* +X365488Y306500D01* +X365500Y306343D01* +Y282500D01* +G37* +G36* +X300000Y284000D02*Y285000D01* +X311000D01* +X341500Y315500D01* +X343500D01* +X312000Y284000D01* +X300000D01* +G37* +G36* +X53500Y264000D02*X65000D01* +Y225500D01* +X57800D01* +Y254480D01* +X57919Y254581D01* +X58123Y254821D01* +X58288Y255089D01* +X58408Y255380D01* +X58481Y255686D01* +X58500Y256000D01* +X58481Y256314D01* +X58408Y256620D01* +X58288Y256911D01* +X58123Y257179D01* +X57919Y257419D01* +X57679Y257623D01* +X57411Y257788D01* +X57120Y257908D01* +X56814Y257981D01* +X56500Y258006D01* +X56186Y257981D01* +X55880Y257908D01* +X55589Y257788D01* +X55321Y257623D01* +X55300Y257605D01* +Y258480D01* +X55419Y258581D01* +X55623Y258821D01* +X55788Y259089D01* +X55908Y259380D01* +X55981Y259686D01* +X56000Y260000D01* +X55981Y260314D01* +X55908Y260620D01* +X55788Y260911D01* +X55623Y261179D01* +X55419Y261419D01* +X55179Y261623D01* +X54911Y261788D01* +X54620Y261908D01* +X54314Y261981D01* +X54000Y262006D01* +X53686Y261981D01* +X53380Y261908D01* +X53089Y261788D01* +X52821Y261623D01* +X52800Y261605D01* +Y262480D01* +X52919Y262581D01* +X53123Y262821D01* +X53288Y263089D01* +X53408Y263380D01* +X53481Y263686D01* +X53500Y264000D01* +G37* +G36* +X77784Y262500D02*X86700D01* +Y167500D01* +X83838D01* +X77784Y173555D01* +Y211683D01* +X77816Y211760D01* +X77963Y212372D01* +X78000Y213000D01* +X77963Y213628D01* +X77816Y214240D01* +X77784Y214317D01* +Y218288D01* +X77915Y218319D01* +X78133Y218409D01* +X78334Y218533D01* +X78514Y218686D01* +X78667Y218866D01* +X78791Y219067D01* +X78881Y219285D01* +X78936Y219515D01* +X78950Y219750D01* +X78936Y224485D01* +X78881Y224715D01* +X78791Y224933D01* +X78667Y225134D01* +X78514Y225314D01* +X78334Y225467D01* +X78133Y225591D01* +X77915Y225681D01* +X77784Y225712D01* +Y226288D01* +X77915Y226319D01* +X78133Y226409D01* +X78334Y226533D01* +X78514Y226686D01* +X78667Y226866D01* +X78791Y227067D01* +X78881Y227285D01* +X78936Y227515D01* +X78950Y227750D01* +X78936Y232485D01* +X78881Y232715D01* +X78791Y232933D01* +X78667Y233134D01* +X78514Y233314D01* +X78334Y233467D01* +X78133Y233591D01* +X77915Y233681D01* +X77784Y233712D01* +Y236869D01* +X77787Y236870D01* +X77855Y236909D01* +X77916Y236958D01* +X77969Y237017D01* +X78011Y237083D01* +X78174Y237408D01* +X78303Y237748D01* +X78401Y238098D01* +X78467Y238456D01* +X78500Y238818D01* +Y239182D01* +X78467Y239544D01* +X78401Y239902D01* +X78303Y240252D01* +X78174Y240592D01* +X78015Y240919D01* +X77972Y240985D01* +X77919Y241044D01* +X77857Y241094D01* +X77788Y241133D01* +X77784Y241135D01* +Y262500D01* +G37* +G36* +Y225712D02*X77685Y225736D01* +X77450Y225750D01* +X74500Y225743D01* +Y226257D01* +X77685Y226264D01* +X77784Y226288D01* +Y225712D01* +G37* +G36* +Y214317D02*X77575Y214822D01* +X77246Y215358D01* +X76837Y215837D01* +X76750Y215912D01* +Y218262D01* +X77685Y218264D01* +X77784Y218288D01* +Y214317D01* +G37* +G36* +Y173555D02*X74500Y176838D01* +Y209027D01* +X74628Y209037D01* +X75240Y209184D01* +X75822Y209425D01* +X76358Y209754D01* +X76837Y210163D01* +X77246Y210642D01* +X77575Y211178D01* +X77784Y211683D01* +Y173555D01* +G37* +G36* +X74500Y262500D02*X77784D01* +Y241135D01* +X77714Y241161D01* +X77637Y241177D01* +X77558Y241181D01* +X77479Y241173D01* +X77403Y241152D01* +X77330Y241120D01* +X77264Y241077D01* +X77205Y241024D01* +X77156Y240962D01* +X77116Y240893D01* +X77088Y240819D01* +X77072Y240742D01* +X77068Y240663D01* +X77076Y240584D01* +X77097Y240507D01* +X77130Y240436D01* +X77253Y240193D01* +X77350Y239938D01* +X77423Y239676D01* +X77472Y239408D01* +X77497Y239136D01* +Y238864D01* +X77472Y238592D01* +X77423Y238324D01* +X77350Y238062D01* +X77253Y237807D01* +X77133Y237563D01* +X77100Y237491D01* +X77079Y237416D01* +X77071Y237337D01* +X77075Y237259D01* +X77091Y237182D01* +X77119Y237108D01* +X77158Y237040D01* +X77207Y236979D01* +X77266Y236926D01* +X77332Y236883D01* +X77404Y236851D01* +X77480Y236830D01* +X77558Y236822D01* +X77636Y236826D01* +X77713Y236842D01* +X77784Y236869D01* +Y233712D01* +X77685Y233736D01* +X77450Y233750D01* +X74500Y233743D01* +Y235000D01* +X74682D01* +X75044Y235033D01* +X75402Y235099D01* +X75752Y235197D01* +X76092Y235326D01* +X76419Y235485D01* +X76485Y235528D01* +X76544Y235581D01* +X76594Y235643D01* +X76633Y235712D01* +X76661Y235786D01* +X76677Y235863D01* +X76681Y235942D01* +X76673Y236021D01* +X76652Y236097D01* +X76620Y236170D01* +X76577Y236236D01* +X76524Y236295D01* +X76462Y236344D01* +X76393Y236384D01* +X76319Y236412D01* +X76242Y236428D01* +X76163Y236432D01* +X76084Y236424D01* +X76007Y236403D01* +X75936Y236370D01* +X75693Y236247D01* +X75438Y236150D01* +X75176Y236077D01* +X74908Y236028D01* +X74636Y236003D01* +X74500D01* +Y241997D01* +X74636D01* +X74908Y241972D01* +X75176Y241923D01* +X75438Y241850D01* +X75693Y241753D01* +X75937Y241633D01* +X76009Y241600D01* +X76084Y241579D01* +X76163Y241571D01* +X76241Y241575D01* +X76318Y241591D01* +X76392Y241619D01* +X76460Y241658D01* +X76521Y241707D01* +X76574Y241766D01* +X76617Y241832D01* +X76649Y241904D01* +X76670Y241980D01* +X76678Y242058D01* +X76674Y242136D01* +X76658Y242213D01* +X76630Y242287D01* +X76591Y242355D01* +X76542Y242416D01* +X76483Y242469D01* +X76417Y242511D01* +X76092Y242674D01* +X75752Y242803D01* +X75402Y242901D01* +X75044Y242967D01* +X74682Y243000D01* +X74500D01* +Y262500D01* +G37* +G36* +X71216Y210117D02*X71642Y209754D01* +X72178Y209425D01* +X72760Y209184D01* +X73372Y209037D01* +X74000Y208988D01* +X74500Y209027D01* +Y176838D01* +X71216Y180122D01* +Y210117D01* +G37* +G36* +Y218288D02*X71315Y218264D01* +X71550Y218250D01* +X72250Y218252D01* +Y216605D01* +X72178Y216575D01* +X71642Y216246D01* +X71216Y215883D01* +Y218288D01* +G37* +G36* +Y226288D02*X71315Y226264D01* +X71550Y226250D01* +X74500Y226257D01* +Y225743D01* +X71315Y225736D01* +X71216Y225712D01* +Y226288D01* +G37* +G36* +Y262500D02*X74500D01* +Y243000D01* +X74318D01* +X73956Y242967D01* +X73598Y242901D01* +X73248Y242803D01* +X72908Y242674D01* +X72581Y242515D01* +X72515Y242472D01* +X72456Y242419D01* +X72406Y242357D01* +X72367Y242288D01* +X72339Y242214D01* +X72323Y242137D01* +X72319Y242058D01* +X72327Y241979D01* +X72348Y241903D01* +X72380Y241830D01* +X72423Y241764D01* +X72476Y241705D01* +X72538Y241656D01* +X72607Y241616D01* +X72681Y241588D01* +X72758Y241572D01* +X72837Y241568D01* +X72916Y241576D01* +X72993Y241597D01* +X73064Y241630D01* +X73307Y241753D01* +X73562Y241850D01* +X73824Y241923D01* +X74092Y241972D01* +X74364Y241997D01* +X74500D01* +Y236003D01* +X74364D01* +X74092Y236028D01* +X73824Y236077D01* +X73562Y236150D01* +X73307Y236247D01* +X73063Y236367D01* +X72991Y236400D01* +X72915Y236421D01* +X72837Y236429D01* +X72759Y236425D01* +X72682Y236409D01* +X72608Y236381D01* +X72540Y236342D01* +X72479Y236293D01* +X72426Y236234D01* +X72383Y236168D01* +X72351Y236096D01* +X72330Y236020D01* +X72322Y235942D01* +X72326Y235864D01* +X72342Y235787D01* +X72370Y235713D01* +X72409Y235645D01* +X72458Y235584D01* +X72517Y235531D01* +X72583Y235489D01* +X72908Y235326D01* +X73248Y235197D01* +X73598Y235099D01* +X73956Y235033D01* +X74318Y235000D01* +X74500D01* +Y233743D01* +X71315Y233736D01* +X71216Y233712D01* +Y236865D01* +X71286Y236839D01* +X71363Y236823D01* +X71442Y236819D01* +X71521Y236827D01* +X71597Y236848D01* +X71670Y236880D01* +X71736Y236923D01* +X71795Y236976D01* +X71844Y237038D01* +X71884Y237107D01* +X71912Y237181D01* +X71928Y237258D01* +X71932Y237337D01* +X71924Y237416D01* +X71903Y237493D01* +X71870Y237564D01* +X71747Y237807D01* +X71650Y238062D01* +X71577Y238324D01* +X71528Y238592D01* +X71503Y238864D01* +Y239136D01* +X71528Y239408D01* +X71577Y239676D01* +X71650Y239938D01* +X71747Y240193D01* +X71867Y240437D01* +X71900Y240509D01* +X71921Y240585D01* +X71929Y240663D01* +X71925Y240741D01* +X71909Y240818D01* +X71881Y240892D01* +X71842Y240960D01* +X71793Y241021D01* +X71734Y241074D01* +X71668Y241117D01* +X71596Y241149D01* +X71520Y241170D01* +X71442Y241178D01* +X71364Y241174D01* +X71287Y241158D01* +X71216Y241131D01* +Y262500D01* +G37* +G36* +X52823D02*X71216D01* +Y241131D01* +X71213Y241130D01* +X71145Y241091D01* +X71084Y241042D01* +X71031Y240983D01* +X70989Y240917D01* +X70826Y240592D01* +X70697Y240252D01* +X70599Y239902D01* +X70533Y239544D01* +X70500Y239182D01* +Y238818D01* +X70533Y238456D01* +X70599Y238098D01* +X70697Y237748D01* +X70826Y237408D01* +X70985Y237081D01* +X71028Y237015D01* +X71081Y236956D01* +X71143Y236906D01* +X71212Y236867D01* +X71216Y236865D01* +Y233712D01* +X71085Y233681D01* +X70867Y233591D01* +X70666Y233467D01* +X70486Y233314D01* +X70333Y233134D01* +X70209Y232933D01* +X70119Y232715D01* +X70064Y232485D01* +X70050Y232250D01* +X70064Y227515D01* +X70119Y227285D01* +X70209Y227067D01* +X70333Y226866D01* +X70486Y226686D01* +X70666Y226533D01* +X70867Y226409D01* +X71085Y226319D01* +X71216Y226288D01* +Y225712D01* +X71085Y225681D01* +X70867Y225591D01* +X70666Y225467D01* +X70486Y225314D01* +X70333Y225134D01* +X70209Y224933D01* +X70119Y224715D01* +X70064Y224485D01* +X70050Y224250D01* +X70064Y219515D01* +X70119Y219285D01* +X70209Y219067D01* +X70333Y218866D01* +X70486Y218686D01* +X70666Y218533D01* +X70867Y218409D01* +X71085Y218319D01* +X71216Y218288D01* +Y215883D01* +X71163Y215837D01* +X70754Y215358D01* +X70425Y214822D01* +X70184Y214240D01* +X70037Y213628D01* +X69988Y213000D01* +X70037Y212372D01* +X70184Y211760D01* +X70425Y211178D01* +X70754Y210642D01* +X71163Y210163D01* +X71216Y210117D01* +Y180122D01* +X57800Y193538D01* +Y254480D01* +X57919Y254581D01* +X58123Y254821D01* +X58288Y255089D01* +X58408Y255380D01* +X58481Y255686D01* +X58500Y256000D01* +X58481Y256314D01* +X58408Y256620D01* +X58288Y256911D01* +X58123Y257179D01* +X57919Y257419D01* +X57679Y257623D01* +X57411Y257788D01* +X57120Y257908D01* +X56814Y257981D01* +X56500Y258006D01* +X56186Y257981D01* +X55880Y257908D01* +X55589Y257788D01* +X55321Y257623D01* +X55300Y257605D01* +Y258480D01* +X55419Y258581D01* +X55623Y258821D01* +X55788Y259089D01* +X55908Y259380D01* +X55981Y259686D01* +X56000Y260000D01* +X55981Y260314D01* +X55908Y260620D01* +X55788Y260911D01* +X55623Y261179D01* +X55419Y261419D01* +X55179Y261623D01* +X54911Y261788D01* +X54620Y261908D01* +X54314Y261981D01* +X54000Y262006D01* +X53686Y261981D01* +X53380Y261908D01* +X53089Y261788D01* +X52821Y261623D01* +X52800Y261605D01* +Y262480D01* +X52823Y262500D01* +G37* +G36* +X74469Y226257D02*X77685Y226264D01* +X77915Y226319D01* +X78133Y226409D01* +X78334Y226533D01* +X78514Y226686D01* +X78667Y226866D01* +X78791Y227067D01* +X78881Y227285D01* +X78936Y227515D01* +X78950Y227750D01* +X78936Y232485D01* +X78933Y232500D01* +X86700D01* +Y167500D01* +X83838D01* +X74469Y176870D01* +Y209025D01* +X74628Y209037D01* +X75240Y209184D01* +X75822Y209425D01* +X76358Y209754D01* +X76837Y210163D01* +X77246Y210642D01* +X77575Y211178D01* +X77816Y211760D01* +X77963Y212372D01* +X78000Y213000D01* +X77963Y213628D01* +X77816Y214240D01* +X77575Y214822D01* +X77246Y215358D01* +X76837Y215837D01* +X76750Y215912D01* +Y218262D01* +X77685Y218264D01* +X77915Y218319D01* +X78133Y218409D01* +X78334Y218533D01* +X78514Y218686D01* +X78667Y218866D01* +X78791Y219067D01* +X78881Y219285D01* +X78936Y219515D01* +X78950Y219750D01* +X78936Y224485D01* +X78881Y224715D01* +X78791Y224933D01* +X78667Y225134D01* +X78514Y225314D01* +X78334Y225467D01* +X78133Y225591D01* +X77915Y225681D01* +X77685Y225736D01* +X77450Y225750D01* +X74469Y225743D01* +Y226257D01* +G37* +G36* +X64500Y232500D02*X70067D01* +X70064Y232485D01* +X70050Y232250D01* +X70064Y227515D01* +X70119Y227285D01* +X70209Y227067D01* +X70333Y226866D01* +X70486Y226686D01* +X70666Y226533D01* +X70867Y226409D01* +X71085Y226319D01* +X71315Y226264D01* +X71550Y226250D01* +X74469Y226257D01* +Y225743D01* +X71315Y225736D01* +X71085Y225681D01* +X70867Y225591D01* +X70666Y225467D01* +X70486Y225314D01* +X70333Y225134D01* +X70209Y224933D01* +X70119Y224715D01* +X70064Y224485D01* +X70050Y224250D01* +X70064Y219515D01* +X70119Y219285D01* +X70209Y219067D01* +X70333Y218866D01* +X70486Y218686D01* +X70666Y218533D01* +X70867Y218409D01* +X71085Y218319D01* +X71315Y218264D01* +X71550Y218250D01* +X72250Y218252D01* +Y216605D01* +X72178Y216575D01* +X71642Y216246D01* +X71163Y215837D01* +X70754Y215358D01* +X70425Y214822D01* +X70184Y214240D01* +X70037Y213628D01* +X69988Y213000D01* +X70037Y212372D01* +X70184Y211760D01* +X70425Y211178D01* +X70754Y210642D01* +X71163Y210163D01* +X71642Y209754D01* +X72178Y209425D01* +X72760Y209184D01* +X73372Y209037D01* +X74000Y208988D01* +X74469Y209025D01* +Y176870D01* +X64500Y186838D01* +Y232500D01* +G37* +G36* +X128247Y158200D02*X131450D01* +X131409Y158133D01* +X131319Y157915D01* +X131264Y157685D01* +X131250Y157450D01* +X131264Y151315D01* +X131319Y151085D01* +X131409Y150867D01* +X131533Y150666D01* +X131686Y150486D01* +X131866Y150333D01* +X132067Y150209D01* +X132285Y150119D01* +X132515Y150064D01* +X132750Y150050D01* +X137485Y150064D01* +X137715Y150119D01* +X137933Y150209D01* +X138134Y150333D01* +X138314Y150486D01* +X138467Y150666D01* +X138591Y150867D01* +X138681Y151085D01* +X138736Y151315D01* +X138750Y151550D01* +X138736Y157685D01* +X138681Y157915D01* +X138591Y158133D01* +X138550Y158200D01* +X139450D01* +X139409Y158133D01* +X139319Y157915D01* +X139264Y157685D01* +X139250Y157450D01* +X139264Y151315D01* +X139319Y151085D01* +X139409Y150867D01* +X139500Y150719D01* +Y146922D01* +X128247D01* +Y151500D01* +X128249Y151500D01* +X128310Y151513D01* +X128369Y151536D01* +X128423Y151567D01* +X128472Y151607D01* +X128515Y151654D01* +X128549Y151706D01* +X128573Y151764D01* +X128638Y151969D01* +X128679Y152179D01* +X128700Y152393D01* +Y152607D01* +X128679Y152821D01* +X128638Y153031D01* +X128576Y153237D01* +X128550Y153294D01* +X128516Y153347D01* +X128474Y153394D01* +X128424Y153434D01* +X128370Y153466D01* +X128311Y153488D01* +X128249Y153501D01* +X128247Y153501D01* +Y158200D01* +G37* +G36* +X126501D02*X128247D01* +Y153501D01* +X128186Y153505D01* +X128123Y153498D01* +X128062Y153482D01* +X128004Y153456D01* +X127951Y153421D01* +X127904Y153379D01* +X127864Y153330D01* +X127833Y153275D01* +X127810Y153216D01* +X127797Y153154D01* +X127794Y153091D01* +X127800Y153028D01* +X127818Y152968D01* +X127859Y152838D01* +X127885Y152704D01* +X127898Y152568D01* +Y152432D01* +X127885Y152296D01* +X127859Y152162D01* +X127819Y152032D01* +X127802Y151971D01* +X127795Y151909D01* +X127799Y151846D01* +X127812Y151784D01* +X127834Y151726D01* +X127866Y151671D01* +X127905Y151622D01* +X127952Y151580D01* +X128005Y151546D01* +X128062Y151520D01* +X128123Y151504D01* +X128186Y151497D01* +X128247Y151500D01* +Y146922D01* +X126501D01* +Y150300D01* +X126607D01* +X126821Y150321D01* +X127031Y150362D01* +X127237Y150424D01* +X127294Y150450D01* +X127347Y150484D01* +X127394Y150526D01* +X127434Y150576D01* +X127466Y150630D01* +X127488Y150689D01* +X127501Y150751D01* +X127505Y150814D01* +X127498Y150877D01* +X127482Y150938D01* +X127456Y150996D01* +X127421Y151049D01* +X127379Y151096D01* +X127330Y151136D01* +X127275Y151167D01* +X127216Y151190D01* +X127154Y151203D01* +X127091Y151206D01* +X127028Y151200D01* +X126968Y151182D01* +X126838Y151141D01* +X126704Y151115D01* +X126568Y151102D01* +X126501D01* +Y153898D01* +X126568D01* +X126704Y153885D01* +X126838Y153859D01* +X126968Y153819D01* +X127029Y153802D01* +X127091Y153795D01* +X127154Y153799D01* +X127216Y153812D01* +X127274Y153834D01* +X127329Y153866D01* +X127378Y153905D01* +X127420Y153952D01* +X127454Y154005D01* +X127480Y154062D01* +X127496Y154123D01* +X127503Y154186D01* +X127500Y154249D01* +X127487Y154310D01* +X127464Y154369D01* +X127433Y154423D01* +X127393Y154472D01* +X127346Y154515D01* +X127294Y154549D01* +X127236Y154573D01* +X127031Y154638D01* +X126821Y154679D01* +X126607Y154700D01* +X126501D01* +Y158200D01* +G37* +G36* +X124753D02*X126501D01* +Y154700D01* +X126393D01* +X126179Y154679D01* +X125969Y154638D01* +X125763Y154576D01* +X125706Y154550D01* +X125653Y154516D01* +X125606Y154474D01* +X125566Y154424D01* +X125534Y154370D01* +X125512Y154311D01* +X125499Y154249D01* +X125495Y154186D01* +X125502Y154123D01* +X125518Y154062D01* +X125544Y154004D01* +X125579Y153951D01* +X125621Y153904D01* +X125670Y153864D01* +X125725Y153833D01* +X125784Y153810D01* +X125846Y153797D01* +X125909Y153794D01* +X125972Y153800D01* +X126032Y153818D01* +X126162Y153859D01* +X126296Y153885D01* +X126432Y153898D01* +X126501D01* +Y151102D01* +X126432D01* +X126296Y151115D01* +X126162Y151141D01* +X126032Y151181D01* +X125971Y151198D01* +X125909Y151205D01* +X125846Y151201D01* +X125784Y151188D01* +X125726Y151166D01* +X125671Y151134D01* +X125622Y151095D01* +X125580Y151048D01* +X125546Y150995D01* +X125520Y150938D01* +X125504Y150877D01* +X125497Y150814D01* +X125500Y150751D01* +X125513Y150690D01* +X125536Y150631D01* +X125567Y150577D01* +X125607Y150528D01* +X125654Y150485D01* +X125706Y150451D01* +X125764Y150427D01* +X125969Y150362D01* +X126179Y150321D01* +X126393Y150300D01* +X126501D01* +Y146922D01* +X124753D01* +Y151499D01* +X124814Y151495D01* +X124877Y151502D01* +X124938Y151518D01* +X124996Y151544D01* +X125049Y151579D01* +X125096Y151621D01* +X125136Y151670D01* +X125167Y151725D01* +X125190Y151784D01* +X125203Y151846D01* +X125206Y151909D01* +X125200Y151972D01* +X125182Y152032D01* +X125141Y152162D01* +X125115Y152296D01* +X125102Y152432D01* +Y152568D01* +X125115Y152704D01* +X125141Y152838D01* +X125181Y152968D01* +X125198Y153029D01* +X125205Y153091D01* +X125201Y153154D01* +X125188Y153216D01* +X125166Y153274D01* +X125134Y153329D01* +X125095Y153378D01* +X125048Y153420D01* +X124995Y153454D01* +X124938Y153480D01* +X124877Y153496D01* +X124814Y153503D01* +X124753Y153500D01* +Y158200D01* +G37* +G36* +X96879Y177842D02*X96945Y177734D01* +X96945Y177733D01* +X97078Y177578D01* +X97117Y177545D01* +X116045Y158617D01* +X116078Y158578D01* +X116233Y158445D01* +X116234Y158445D01* +X116408Y158338D01* +X116597Y158260D01* +X116748Y158224D01* +X116796Y158212D01* +X116796D01* +X117000Y158196D01* +X117051Y158200D01* +X124753D01* +Y153500D01* +X124751Y153500D01* +X124690Y153487D01* +X124631Y153464D01* +X124577Y153433D01* +X124528Y153393D01* +X124485Y153346D01* +X124451Y153294D01* +X124427Y153236D01* +X124362Y153031D01* +X124321Y152821D01* +X124300Y152607D01* +Y152393D01* +X124321Y152179D01* +X124362Y151969D01* +X124424Y151763D01* +X124450Y151706D01* +X124484Y151653D01* +X124526Y151606D01* +X124576Y151566D01* +X124630Y151534D01* +X124689Y151512D01* +X124751Y151499D01* +X124753Y151499D01* +Y146922D01* +X116416D01* +X96800Y166538D01* +Y178000D01* +X96838Y177908D01* +X96879Y177842D01* +G37* +G36* +X152747Y128500D02*X154444D01* +X154441Y128457D01* +X154455Y127120D01* +X154500Y126932D01* +Y126911D01* +X154455Y126723D01* +X154441Y126488D01* +X154455Y125151D01* +X154500Y124963D01* +Y124943D01* +X154455Y124755D01* +X154441Y124520D01* +X154455Y123183D01* +X154500Y122995D01* +Y122974D01* +X154455Y122786D01* +X154441Y122551D01* +X154455Y121214D01* +X154500Y121026D01* +Y121006D01* +X154455Y120818D01* +X154441Y120583D01* +X154455Y119246D01* +X154500Y119058D01* +Y119037D01* +X154455Y118849D01* +X154441Y118614D01* +X154454Y117395D01* +X152747D01* +Y121000D01* +X152749Y121000D01* +X152810Y121013D01* +X152869Y121036D01* +X152923Y121067D01* +X152972Y121107D01* +X153015Y121154D01* +X153049Y121206D01* +X153073Y121264D01* +X153138Y121469D01* +X153179Y121679D01* +X153200Y121893D01* +Y122107D01* +X153179Y122321D01* +X153138Y122531D01* +X153076Y122737D01* +X153050Y122794D01* +X153016Y122847D01* +X152974Y122894D01* +X152924Y122934D01* +X152870Y122966D01* +X152811Y122988D01* +X152749Y123001D01* +X152747Y123001D01* +Y128500D01* +G37* +G36* +X151001D02*X152747D01* +Y123001D01* +X152686Y123005D01* +X152623Y122998D01* +X152562Y122982D01* +X152504Y122956D01* +X152451Y122921D01* +X152404Y122879D01* +X152364Y122830D01* +X152333Y122775D01* +X152310Y122716D01* +X152297Y122654D01* +X152294Y122591D01* +X152300Y122528D01* +X152318Y122468D01* +X152359Y122338D01* +X152385Y122204D01* +X152398Y122068D01* +Y121932D01* +X152385Y121796D01* +X152359Y121662D01* +X152319Y121532D01* +X152302Y121471D01* +X152295Y121409D01* +X152299Y121346D01* +X152312Y121284D01* +X152334Y121226D01* +X152366Y121171D01* +X152405Y121122D01* +X152452Y121080D01* +X152505Y121046D01* +X152562Y121020D01* +X152623Y121004D01* +X152686Y120997D01* +X152747Y121000D01* +Y117395D01* +X151001D01* +Y119800D01* +X151107D01* +X151321Y119821D01* +X151531Y119862D01* +X151737Y119924D01* +X151794Y119950D01* +X151847Y119984D01* +X151894Y120026D01* +X151934Y120076D01* +X151966Y120130D01* +X151988Y120189D01* +X152001Y120251D01* +X152005Y120314D01* +X151998Y120377D01* +X151982Y120438D01* +X151956Y120496D01* +X151921Y120549D01* +X151879Y120596D01* +X151830Y120636D01* +X151775Y120667D01* +X151716Y120690D01* +X151654Y120703D01* +X151591Y120706D01* +X151528Y120700D01* +X151468Y120682D01* +X151338Y120641D01* +X151204Y120615D01* +X151068Y120602D01* +X151001D01* +Y123398D01* +X151068D01* +X151204Y123385D01* +X151338Y123359D01* +X151468Y123319D01* +X151529Y123302D01* +X151591Y123295D01* +X151654Y123299D01* +X151716Y123312D01* +X151774Y123334D01* +X151829Y123366D01* +X151878Y123405D01* +X151920Y123452D01* +X151954Y123505D01* +X151980Y123562D01* +X151996Y123623D01* +X152003Y123686D01* +X152000Y123749D01* +X151987Y123810D01* +X151964Y123869D01* +X151933Y123923D01* +X151893Y123972D01* +X151846Y124015D01* +X151794Y124049D01* +X151736Y124073D01* +X151531Y124138D01* +X151321Y124179D01* +X151107Y124200D01* +X151001D01* +Y128500D01* +G37* +G36* +X149253D02*X151001D01* +Y124200D01* +X150893D01* +X150679Y124179D01* +X150469Y124138D01* +X150263Y124076D01* +X150206Y124050D01* +X150153Y124016D01* +X150106Y123974D01* +X150066Y123924D01* +X150034Y123870D01* +X150012Y123811D01* +X149999Y123749D01* +X149995Y123686D01* +X150002Y123623D01* +X150018Y123562D01* +X150044Y123504D01* +X150079Y123451D01* +X150121Y123404D01* +X150170Y123364D01* +X150225Y123333D01* +X150284Y123310D01* +X150346Y123297D01* +X150409Y123294D01* +X150472Y123300D01* +X150532Y123318D01* +X150662Y123359D01* +X150796Y123385D01* +X150932Y123398D01* +X151001D01* +Y120602D01* +X150932D01* +X150796Y120615D01* +X150662Y120641D01* +X150532Y120681D01* +X150471Y120698D01* +X150409Y120705D01* +X150346Y120701D01* +X150284Y120688D01* +X150226Y120666D01* +X150171Y120634D01* +X150122Y120595D01* +X150080Y120548D01* +X150046Y120495D01* +X150020Y120438D01* +X150004Y120377D01* +X149997Y120314D01* +X150000Y120251D01* +X150013Y120190D01* +X150036Y120131D01* +X150067Y120077D01* +X150107Y120028D01* +X150154Y119985D01* +X150206Y119951D01* +X150264Y119927D01* +X150469Y119862D01* +X150679Y119821D01* +X150893Y119800D01* +X151001D01* +Y117395D01* +X149253D01* +Y120999D01* +X149314Y120995D01* +X149377Y121002D01* +X149438Y121018D01* +X149496Y121044D01* +X149549Y121079D01* +X149596Y121121D01* +X149636Y121170D01* +X149667Y121225D01* +X149690Y121284D01* +X149703Y121346D01* +X149706Y121409D01* +X149700Y121472D01* +X149682Y121532D01* +X149641Y121662D01* +X149615Y121796D01* +X149602Y121932D01* +Y122068D01* +X149615Y122204D01* +X149641Y122338D01* +X149681Y122468D01* +X149698Y122529D01* +X149705Y122591D01* +X149701Y122654D01* +X149688Y122716D01* +X149666Y122774D01* +X149634Y122829D01* +X149595Y122878D01* +X149548Y122920D01* +X149495Y122954D01* +X149438Y122980D01* +X149377Y122996D01* +X149314Y123003D01* +X149253Y123000D01* +Y128500D01* +G37* +G36* +X45300Y190200D02*X107000Y128500D01* +X149253D01* +Y123000D01* +X149251Y123000D01* +X149190Y122987D01* +X149131Y122964D01* +X149077Y122933D01* +X149028Y122893D01* +X148985Y122846D01* +X148951Y122794D01* +X148927Y122736D01* +X148862Y122531D01* +X148821Y122321D01* +X148800Y122107D01* +Y121893D01* +X148821Y121679D01* +X148862Y121469D01* +X148924Y121263D01* +X148950Y121206D01* +X148984Y121153D01* +X149026Y121106D01* +X149076Y121066D01* +X149130Y121034D01* +X149189Y121012D01* +X149251Y120999D01* +X149253Y120999D01* +Y117395D01* +X106943D01* +X45300Y179038D01* +Y190200D01* +G37* +G36* +X109300Y198000D02*X116108D01* +X116108Y197859D01* +X116141Y197721D01* +X116196Y197590D01* +X116270Y197469D01* +X116362Y197362D01* +X116469Y197270D01* +X116590Y197196D01* +X116721Y197141D01* +X116859Y197108D01* +X117000Y197100D01* +X119141Y197108D01* +X119279Y197141D01* +X119410Y197196D01* +X119531Y197270D01* +X119638Y197362D01* +X119730Y197469D01* +X119804Y197590D01* +X119859Y197721D01* +X119892Y197859D01* +X119900Y198000D01* +X121108D01* +X121108Y197859D01* +X121141Y197721D01* +X121196Y197590D01* +X121270Y197469D01* +X121362Y197362D01* +X121469Y197270D01* +X121590Y197196D01* +X121700Y197150D01* +Y170551D01* +X121696Y170500D01* +X121712Y170296D01* +Y170296D01* +X121724Y170248D01* +X121760Y170097D01* +X121838Y169908D01* +X121945Y169734D01* +X121945Y169733D01* +X122078Y169578D01* +X122117Y169545D01* +X122862Y168800D01* +X121538D01* +X109300Y181038D01* +Y198000D01* +G37* +G36* +X29500Y267000D02*X35000D01* +Y266000D01* +X29500D01* +Y267000D01* +G37* +G36* +X194700Y207350D02*X194590Y207304D01* +X194469Y207230D01* +X194362Y207138D01* +X194270Y207031D01* +X194196Y206910D01* +X194141Y206779D01* +X194108Y206641D01* +X194100Y206500D01* +X194108Y197859D01* +X194141Y197721D01* +X194196Y197590D01* +X194270Y197469D01* +X194362Y197362D01* +X194469Y197270D01* +X194590Y197196D01* +X194721Y197141D01* +X194859Y197108D01* +X195000Y197100D01* +X197141Y197108D01* +X197279Y197141D01* +X197410Y197196D01* +X197531Y197270D01* +X197638Y197362D01* +X197730Y197469D01* +X197804Y197590D01* +X197859Y197721D01* +X197892Y197859D01* +X197900Y198000D01* +X197892Y206641D01* +X197859Y206779D01* +X197804Y206910D01* +X197730Y207031D01* +X197638Y207138D01* +X197531Y207230D01* +X197410Y207304D01* +X197300Y207350D01* +Y213962D01* +X199383Y216045D01* +X199422Y216078D01* +X199555Y216233D01* +X199555Y216234D01* +X199662Y216408D01* +X199740Y216597D01* +X199788Y216796D01* +X199804Y217000D01* +X199800Y217051D01* +Y217622D01* +X199859Y217608D01* +X200000Y217600D01* +X200500Y217602D01* +Y207394D01* +X199859Y207392D01* +X199721Y207359D01* +X199590Y207304D01* +X199469Y207230D01* +X199362Y207138D01* +X199270Y207031D01* +X199196Y206910D01* +X199141Y206779D01* +X199108Y206641D01* +X199100Y206500D01* +X199108Y197859D01* +X199141Y197721D01* +X199196Y197590D01* +X199270Y197469D01* +X199362Y197362D01* +X199469Y197270D01* +X199590Y197196D01* +X199700Y197150D01* +Y180551D01* +X199696Y180500D01* +Y180500D01* +X199331D01* +X199267Y180555D01* +X199266Y180555D01* +X199160Y180620D01* +X199092Y180662D01* +X198981Y180708D01* +X198903Y180740D01* +X198855Y180752D01* +X198704Y180788D01* +X198704Y180788D01* +X198500Y180804D01* +X198449Y180800D01* +X191247D01* +Y223500D01* +X191249Y223500D01* +X191310Y223513D01* +X191369Y223536D01* +X191423Y223567D01* +X191472Y223607D01* +X191515Y223654D01* +X191549Y223706D01* +X191573Y223764D01* +X191638Y223969D01* +X191679Y224179D01* +X191700Y224393D01* +Y224607D01* +X191679Y224821D01* +X191638Y225031D01* +X191576Y225237D01* +X191550Y225294D01* +X191516Y225347D01* +X191474Y225394D01* +X191424Y225434D01* +X191370Y225466D01* +X191311Y225488D01* +X191249Y225501D01* +X191247Y225501D01* +Y231700D01* +X194462D01* +X197200Y228962D01* +Y227878D01* +X197141Y227892D01* +X197000Y227900D01* +X194859Y227892D01* +X194721Y227859D01* +X194590Y227804D01* +X194469Y227730D01* +X194362Y227638D01* +X194270Y227531D01* +X194196Y227410D01* +X194141Y227279D01* +X194108Y227141D01* +X194100Y227000D01* +X194108Y218359D01* +X194141Y218221D01* +X194196Y218090D01* +X194270Y217969D01* +X194362Y217862D01* +X194469Y217770D01* +X194590Y217696D01* +X194721Y217641D01* +X194859Y217608D01* +X195000Y217600D01* +X197141Y217608D01* +X197200Y217622D01* +Y217538D01* +X195117Y215455D01* +X195078Y215422D01* +X194945Y215266D01* +X194838Y215092D01* +X194760Y214903D01* +X194712Y214704D01* +X194712Y214704D01* +X194696Y214500D01* +X194700Y214449D01* +Y207350D01* +G37* +G36* +X191247Y180800D02*X189501D01* +Y222300D01* +X189607D01* +X189821Y222321D01* +X190031Y222362D01* +X190237Y222424D01* +X190294Y222450D01* +X190347Y222484D01* +X190394Y222526D01* +X190434Y222576D01* +X190466Y222630D01* +X190488Y222689D01* +X190501Y222751D01* +X190505Y222814D01* +X190498Y222877D01* +X190482Y222938D01* +X190456Y222996D01* +X190421Y223049D01* +X190379Y223096D01* +X190330Y223136D01* +X190275Y223167D01* +X190216Y223190D01* +X190154Y223203D01* +X190091Y223206D01* +X190028Y223200D01* +X189968Y223182D01* +X189838Y223141D01* +X189704Y223115D01* +X189568Y223102D01* +X189501D01* +Y225898D01* +X189568D01* +X189704Y225885D01* +X189838Y225859D01* +X189968Y225819D01* +X190029Y225802D01* +X190091Y225795D01* +X190154Y225799D01* +X190216Y225812D01* +X190274Y225834D01* +X190329Y225866D01* +X190378Y225905D01* +X190420Y225952D01* +X190454Y226005D01* +X190480Y226062D01* +X190496Y226123D01* +X190503Y226186D01* +X190500Y226249D01* +X190487Y226310D01* +X190464Y226369D01* +X190433Y226423D01* +X190393Y226472D01* +X190346Y226515D01* +X190294Y226549D01* +X190236Y226573D01* +X190031Y226638D01* +X189821Y226679D01* +X189607Y226700D01* +X189501D01* +Y231700D01* +X191247D01* +Y225501D01* +X191186Y225505D01* +X191123Y225498D01* +X191062Y225482D01* +X191004Y225456D01* +X190951Y225421D01* +X190904Y225379D01* +X190864Y225330D01* +X190833Y225275D01* +X190810Y225216D01* +X190797Y225154D01* +X190794Y225091D01* +X190800Y225028D01* +X190818Y224968D01* +X190859Y224838D01* +X190885Y224704D01* +X190898Y224568D01* +Y224432D01* +X190885Y224296D01* +X190859Y224162D01* +X190819Y224032D01* +X190802Y223971D01* +X190795Y223909D01* +X190799Y223846D01* +X190812Y223784D01* +X190834Y223726D01* +X190866Y223671D01* +X190905Y223622D01* +X190952Y223580D01* +X191005Y223546D01* +X191062Y223520D01* +X191123Y223504D01* +X191186Y223497D01* +X191247Y223500D01* +Y180800D01* +G37* +G36* +X189501D02*X187753D01* +Y223499D01* +X187814Y223495D01* +X187877Y223502D01* +X187938Y223518D01* +X187996Y223544D01* +X188049Y223579D01* +X188096Y223621D01* +X188136Y223670D01* +X188167Y223725D01* +X188190Y223784D01* +X188203Y223846D01* +X188206Y223909D01* +X188200Y223972D01* +X188182Y224032D01* +X188141Y224162D01* +X188115Y224296D01* +X188102Y224432D01* +Y224568D01* +X188115Y224704D01* +X188141Y224838D01* +X188181Y224968D01* +X188198Y225029D01* +X188205Y225091D01* +X188201Y225154D01* +X188188Y225216D01* +X188166Y225274D01* +X188134Y225329D01* +X188095Y225378D01* +X188048Y225420D01* +X187995Y225454D01* +X187938Y225480D01* +X187877Y225496D01* +X187814Y225503D01* +X187753Y225500D01* +Y231700D01* +X189501D01* +Y226700D01* +X189393D01* +X189179Y226679D01* +X188969Y226638D01* +X188763Y226576D01* +X188706Y226550D01* +X188653Y226516D01* +X188606Y226474D01* +X188566Y226424D01* +X188534Y226370D01* +X188512Y226311D01* +X188499Y226249D01* +X188495Y226186D01* +X188502Y226123D01* +X188518Y226062D01* +X188544Y226004D01* +X188579Y225951D01* +X188621Y225904D01* +X188670Y225864D01* +X188725Y225833D01* +X188784Y225810D01* +X188846Y225797D01* +X188909Y225794D01* +X188972Y225800D01* +X189032Y225818D01* +X189162Y225859D01* +X189296Y225885D01* +X189432Y225898D01* +X189501D01* +Y223102D01* +X189432D01* +X189296Y223115D01* +X189162Y223141D01* +X189032Y223181D01* +X188971Y223198D01* +X188909Y223205D01* +X188846Y223201D01* +X188784Y223188D01* +X188726Y223166D01* +X188671Y223134D01* +X188622Y223095D01* +X188580Y223048D01* +X188546Y222995D01* +X188520Y222938D01* +X188504Y222877D01* +X188497Y222814D01* +X188500Y222751D01* +X188513Y222690D01* +X188536Y222631D01* +X188567Y222577D01* +X188607Y222528D01* +X188654Y222485D01* +X188706Y222451D01* +X188764Y222427D01* +X188969Y222362D01* +X189179Y222321D01* +X189393Y222300D01* +X189501D01* +Y180800D01* +G37* +G36* +X171747Y269500D02*X173700D01* +Y250316D01* +X173605Y250293D01* +X172951Y250022D01* +X172347Y249652D01* +X171808Y249192D01* +X171747Y249120D01* +Y251500D01* +X171749Y251500D01* +X171810Y251513D01* +X171869Y251536D01* +X171923Y251567D01* +X171972Y251607D01* +X172015Y251654D01* +X172049Y251706D01* +X172073Y251764D01* +X172138Y251969D01* +X172179Y252179D01* +X172200Y252393D01* +Y252607D01* +X172179Y252821D01* +X172138Y253031D01* +X172076Y253237D01* +X172050Y253294D01* +X172016Y253347D01* +X171974Y253394D01* +X171924Y253434D01* +X171870Y253466D01* +X171811Y253488D01* +X171749Y253501D01* +X171747Y253501D01* +Y269500D01* +G37* +G36* +X187753Y180800D02*X171747D01* +Y242880D01* +X171808Y242808D01* +X172347Y242348D01* +X172951Y241978D01* +X173605Y241707D01* +X173700Y241684D01* +Y235051D01* +X173696Y235000D01* +X173712Y234796D01* +X173712Y234796D01* +X173760Y234597D01* +X173838Y234408D01* +X173879Y234342D01* +X173945Y234234D01* +X173945Y234233D01* +X174078Y234078D01* +X174117Y234045D01* +X176045Y232117D01* +X176078Y232078D01* +X176233Y231945D01* +X176234Y231945D01* +X176408Y231838D01* +X176597Y231760D01* +X176748Y231724D01* +X176796Y231712D01* +X176796D01* +X177000Y231696D01* +X177051Y231700D01* +X187753D01* +Y225500D01* +X187751Y225500D01* +X187690Y225487D01* +X187631Y225464D01* +X187577Y225433D01* +X187528Y225393D01* +X187485Y225346D01* +X187451Y225294D01* +X187427Y225236D01* +X187362Y225031D01* +X187321Y224821D01* +X187300Y224607D01* +Y224393D01* +X187321Y224179D01* +X187362Y223969D01* +X187424Y223763D01* +X187450Y223706D01* +X187484Y223653D01* +X187526Y223606D01* +X187576Y223566D01* +X187630Y223534D01* +X187689Y223512D01* +X187751Y223499D01* +X187753Y223499D01* +Y180800D01* +G37* +G36* +X171747D02*X170001D01* +Y250300D01* +X170107D01* +X170321Y250321D01* +X170531Y250362D01* +X170737Y250424D01* +X170794Y250450D01* +X170847Y250484D01* +X170894Y250526D01* +X170934Y250576D01* +X170966Y250630D01* +X170988Y250689D01* +X171001Y250751D01* +X171005Y250814D01* +X170998Y250877D01* +X170982Y250938D01* +X170956Y250996D01* +X170921Y251049D01* +X170879Y251096D01* +X170830Y251136D01* +X170775Y251167D01* +X170716Y251190D01* +X170654Y251203D01* +X170591Y251206D01* +X170528Y251200D01* +X170468Y251182D01* +X170338Y251141D01* +X170204Y251115D01* +X170068Y251102D01* +X170001D01* +Y253898D01* +X170068D01* +X170204Y253885D01* +X170338Y253859D01* +X170468Y253819D01* +X170529Y253802D01* +X170591Y253795D01* +X170654Y253799D01* +X170716Y253812D01* +X170774Y253834D01* +X170829Y253866D01* +X170878Y253905D01* +X170920Y253952D01* +X170954Y254005D01* +X170980Y254062D01* +X170996Y254123D01* +X171003Y254186D01* +X171000Y254249D01* +X170987Y254310D01* +X170964Y254369D01* +X170933Y254423D01* +X170893Y254472D01* +X170846Y254515D01* +X170794Y254549D01* +X170736Y254573D01* +X170531Y254638D01* +X170321Y254679D01* +X170107Y254700D01* +X170001D01* +Y269500D01* +X171747D01* +Y253501D01* +X171686Y253505D01* +X171623Y253498D01* +X171562Y253482D01* +X171504Y253456D01* +X171451Y253421D01* +X171404Y253379D01* +X171364Y253330D01* +X171333Y253275D01* +X171310Y253216D01* +X171297Y253154D01* +X171294Y253091D01* +X171300Y253028D01* +X171318Y252968D01* +X171359Y252838D01* +X171385Y252704D01* +X171398Y252568D01* +Y252432D01* +X171385Y252296D01* +X171359Y252162D01* +X171319Y252032D01* +X171302Y251971D01* +X171295Y251909D01* +X171299Y251846D01* +X171312Y251784D01* +X171334Y251726D01* +X171366Y251671D01* +X171405Y251622D01* +X171452Y251580D01* +X171505Y251546D01* +X171562Y251520D01* +X171623Y251504D01* +X171686Y251497D01* +X171747Y251500D01* +Y249120D01* +X171348Y248653D01* +X170978Y248049D01* +X170707Y247395D01* +X170542Y246706D01* +X170486Y246000D01* +X170542Y245294D01* +X170707Y244605D01* +X170978Y243951D01* +X171348Y243347D01* +X171747Y242880D01* +Y180800D01* +G37* +G36* +X170001D02*X168253D01* +Y242880D01* +X168652Y243347D01* +X169022Y243951D01* +X169293Y244605D01* +X169458Y245294D01* +X169500Y246000D01* +X169458Y246706D01* +X169293Y247395D01* +X169022Y248049D01* +X168652Y248653D01* +X168253Y249120D01* +Y251499D01* +X168314Y251495D01* +X168377Y251502D01* +X168438Y251518D01* +X168496Y251544D01* +X168549Y251579D01* +X168596Y251621D01* +X168636Y251670D01* +X168667Y251725D01* +X168690Y251784D01* +X168703Y251846D01* +X168706Y251909D01* +X168700Y251972D01* +X168682Y252032D01* +X168641Y252162D01* +X168615Y252296D01* +X168602Y252432D01* +Y252568D01* +X168615Y252704D01* +X168641Y252838D01* +X168681Y252968D01* +X168698Y253029D01* +X168705Y253091D01* +X168701Y253154D01* +X168688Y253216D01* +X168666Y253274D01* +X168634Y253329D01* +X168595Y253378D01* +X168548Y253420D01* +X168495Y253454D01* +X168438Y253480D01* +X168377Y253496D01* +X168314Y253503D01* +X168253Y253500D01* +Y269500D01* +X170001D01* +Y254700D01* +X169893D01* +X169679Y254679D01* +X169469Y254638D01* +X169263Y254576D01* +X169206Y254550D01* +X169153Y254516D01* +X169106Y254474D01* +X169066Y254424D01* +X169034Y254370D01* +X169012Y254311D01* +X168999Y254249D01* +X168995Y254186D01* +X169002Y254123D01* +X169018Y254062D01* +X169044Y254004D01* +X169079Y253951D01* +X169121Y253904D01* +X169170Y253864D01* +X169225Y253833D01* +X169284Y253810D01* +X169346Y253797D01* +X169409Y253794D01* +X169472Y253800D01* +X169532Y253818D01* +X169662Y253859D01* +X169796Y253885D01* +X169932Y253898D01* +X170001D01* +Y251102D01* +X169932D01* +X169796Y251115D01* +X169662Y251141D01* +X169532Y251181D01* +X169471Y251198D01* +X169409Y251205D01* +X169346Y251201D01* +X169284Y251188D01* +X169226Y251166D01* +X169171Y251134D01* +X169122Y251095D01* +X169080Y251048D01* +X169046Y250995D01* +X169020Y250938D01* +X169004Y250877D01* +X168997Y250814D01* +X169000Y250751D01* +X169013Y250690D01* +X169036Y250631D01* +X169067Y250577D01* +X169107Y250528D01* +X169154Y250485D01* +X169206Y250451D01* +X169264Y250427D01* +X169469Y250362D01* +X169679Y250321D01* +X169893Y250300D01* +X170001D01* +Y180800D01* +G37* +G36* +X168253Y249120D02*X168192Y249192D01* +X167653Y249652D01* +X167049Y250022D01* +X166395Y250293D01* +X166300Y250316D01* +Y269500D01* +X168253D01* +Y253500D01* +X168251Y253500D01* +X168190Y253487D01* +X168131Y253464D01* +X168077Y253433D01* +X168028Y253393D01* +X167985Y253346D01* +X167951Y253294D01* +X167927Y253236D01* +X167862Y253031D01* +X167821Y252821D01* +X167800Y252607D01* +Y252393D01* +X167821Y252179D01* +X167862Y251969D01* +X167924Y251763D01* +X167950Y251706D01* +X167984Y251653D01* +X168026Y251606D01* +X168076Y251566D01* +X168130Y251534D01* +X168189Y251512D01* +X168251Y251499D01* +X168253Y251499D01* +Y249120D01* +G37* +G36* +Y180800D02*X155247D01* +Y214000D01* +X155249Y214000D01* +X155310Y214013D01* +X155369Y214036D01* +X155423Y214067D01* +X155472Y214107D01* +X155515Y214154D01* +X155549Y214206D01* +X155573Y214264D01* +X155638Y214469D01* +X155679Y214679D01* +X155700Y214893D01* +Y215107D01* +X155679Y215321D01* +X155638Y215531D01* +X155576Y215737D01* +X155550Y215794D01* +X155516Y215847D01* +X155474Y215894D01* +X155424Y215934D01* +X155370Y215966D01* +X155311Y215988D01* +X155249Y216001D01* +X155247Y216001D01* +Y231700D01* +X163949D01* +X164000Y231696D01* +X164204Y231712D01* +X164204Y231712D01* +X164403Y231760D01* +X164592Y231838D01* +X164766Y231945D01* +X164922Y232078D01* +X164955Y232117D01* +X165883Y233045D01* +X165922Y233078D01* +X166055Y233233D01* +X166055Y233234D01* +X166162Y233408D01* +X166240Y233597D01* +X166288Y233796D01* +X166304Y234000D01* +X166300Y234051D01* +Y241684D01* +X166395Y241707D01* +X167049Y241978D01* +X167653Y242348D01* +X168192Y242808D01* +X168253Y242880D01* +Y180800D01* +G37* +G36* +X155247D02*X153500D01* +Y196858D01* +X153682Y196901D01* +X154002Y197034D01* +X154297Y197215D01* +X154560Y197440D01* +X154785Y197703D01* +X154966Y197998D01* +X155099Y198318D01* +X155180Y198655D01* +X155200Y199000D01* +X155180Y199345D01* +X155099Y199682D01* +X154966Y200002D01* +X154785Y200297D01* +X154560Y200560D01* +X154297Y200785D01* +X154002Y200966D01* +X153682Y201099D01* +X153500Y201142D01* +Y204481D01* +X154579Y204484D01* +X154670Y204506D01* +X154758Y204542D01* +X154838Y204592D01* +X154910Y204653D01* +X154971Y204725D01* +X155021Y204805D01* +X155057Y204893D01* +X155079Y204984D01* +X155084Y205078D01* +X155079Y207142D01* +X155057Y207233D01* +X155021Y207321D01* +X154971Y207401D01* +X154910Y207473D01* +X154838Y207534D01* +X154758Y207584D01* +X154670Y207620D01* +X154579Y207642D01* +X154484Y207648D01* +X153500Y207645D01* +Y208418D01* +X154579Y208421D01* +X154670Y208443D01* +X154758Y208479D01* +X154838Y208529D01* +X154910Y208590D01* +X154971Y208662D01* +X155021Y208742D01* +X155057Y208830D01* +X155079Y208921D01* +X155084Y209016D01* +X155079Y211079D01* +X155057Y211170D01* +X155021Y211258D01* +X154971Y211338D01* +X154910Y211410D01* +X154838Y211471D01* +X154758Y211521D01* +X154670Y211557D01* +X154579Y211579D01* +X154484Y211584D01* +X153500Y211582D01* +Y212800D01* +X153607D01* +X153821Y212821D01* +X154031Y212862D01* +X154237Y212924D01* +X154294Y212950D01* +X154347Y212984D01* +X154394Y213026D01* +X154434Y213076D01* +X154466Y213130D01* +X154488Y213189D01* +X154501Y213251D01* +X154505Y213314D01* +X154498Y213377D01* +X154482Y213438D01* +X154456Y213496D01* +X154421Y213549D01* +X154379Y213596D01* +X154330Y213636D01* +X154275Y213667D01* +X154216Y213690D01* +X154154Y213703D01* +X154091Y213706D01* +X154028Y213700D01* +X153968Y213682D01* +X153838Y213641D01* +X153704Y213615D01* +X153568Y213602D01* +X153500D01* +Y216398D01* +X153568D01* +X153704Y216385D01* +X153838Y216359D01* +X153968Y216319D01* +X154029Y216302D01* +X154091Y216295D01* +X154154Y216299D01* +X154216Y216312D01* +X154274Y216334D01* +X154329Y216366D01* +X154378Y216405D01* +X154420Y216452D01* +X154454Y216505D01* +X154480Y216562D01* +X154496Y216623D01* +X154503Y216686D01* +X154500Y216749D01* +X154487Y216810D01* +X154464Y216869D01* +X154433Y216923D01* +X154393Y216972D01* +X154346Y217015D01* +X154294Y217049D01* +X154236Y217073D01* +X154031Y217138D01* +X153821Y217179D01* +X153607Y217200D01* +X153500D01* +Y231700D01* +X155247D01* +Y216001D01* +X155186Y216005D01* +X155123Y215998D01* +X155062Y215982D01* +X155004Y215956D01* +X154951Y215921D01* +X154904Y215879D01* +X154864Y215830D01* +X154833Y215775D01* +X154810Y215716D01* +X154797Y215654D01* +X154794Y215591D01* +X154800Y215528D01* +X154818Y215468D01* +X154859Y215338D01* +X154885Y215204D01* +X154898Y215068D01* +Y214932D01* +X154885Y214796D01* +X154859Y214662D01* +X154819Y214532D01* +X154802Y214471D01* +X154795Y214409D01* +X154799Y214346D01* +X154812Y214284D01* +X154834Y214226D01* +X154866Y214171D01* +X154905Y214122D01* +X154952Y214080D01* +X155005Y214046D01* +X155062Y214020D01* +X155123Y214004D01* +X155186Y213997D01* +X155247Y214000D01* +Y180800D01* +G37* +G36* +X153500Y207645D02*X152421Y207642D01* +X152330Y207620D01* +X152242Y207584D01* +X152162Y207534D01* +X152090Y207473D01* +X152029Y207401D01* +X152005Y207363D01* +X151864D01* +X151813Y207367D01* +X151753Y207362D01* +Y213999D01* +X151814Y213995D01* +X151877Y214002D01* +X151938Y214018D01* +X151996Y214044D01* +X152049Y214079D01* +X152096Y214121D01* +X152136Y214170D01* +X152167Y214225D01* +X152190Y214284D01* +X152203Y214346D01* +X152206Y214409D01* +X152200Y214472D01* +X152182Y214532D01* +X152141Y214662D01* +X152115Y214796D01* +X152102Y214932D01* +Y215068D01* +X152115Y215204D01* +X152141Y215338D01* +X152181Y215468D01* +X152198Y215529D01* +X152205Y215591D01* +X152201Y215654D01* +X152188Y215716D01* +X152166Y215774D01* +X152134Y215829D01* +X152095Y215878D01* +X152048Y215920D01* +X151995Y215954D01* +X151938Y215980D01* +X151877Y215996D01* +X151814Y216003D01* +X151753Y216000D01* +Y231700D01* +X153500D01* +Y217200D01* +X153393D01* +X153179Y217179D01* +X152969Y217138D01* +X152763Y217076D01* +X152706Y217050D01* +X152653Y217016D01* +X152606Y216974D01* +X152566Y216924D01* +X152534Y216870D01* +X152512Y216811D01* +X152499Y216749D01* +X152495Y216686D01* +X152502Y216623D01* +X152518Y216562D01* +X152544Y216504D01* +X152579Y216451D01* +X152621Y216404D01* +X152670Y216364D01* +X152725Y216333D01* +X152784Y216310D01* +X152846Y216297D01* +X152909Y216294D01* +X152972Y216300D01* +X153032Y216318D01* +X153162Y216359D01* +X153296Y216385D01* +X153432Y216398D01* +X153500D01* +Y213602D01* +X153432D01* +X153296Y213615D01* +X153162Y213641D01* +X153032Y213681D01* +X152971Y213698D01* +X152909Y213705D01* +X152846Y213701D01* +X152784Y213688D01* +X152726Y213666D01* +X152671Y213634D01* +X152622Y213595D01* +X152580Y213548D01* +X152546Y213495D01* +X152520Y213438D01* +X152504Y213377D01* +X152497Y213314D01* +X152500Y213251D01* +X152513Y213190D01* +X152536Y213131D01* +X152567Y213077D01* +X152607Y213028D01* +X152654Y212985D01* +X152706Y212951D01* +X152764Y212927D01* +X152969Y212862D01* +X153179Y212821D01* +X153393Y212800D01* +X153500D01* +Y211582D01* +X152421Y211579D01* +X152330Y211557D01* +X152242Y211521D01* +X152162Y211471D01* +X152090Y211410D01* +X152029Y211338D01* +X151979Y211258D01* +X151943Y211170D01* +X151921Y211079D01* +X151916Y210984D01* +X151921Y208921D01* +X151943Y208830D01* +X151979Y208742D01* +X152029Y208662D01* +X152090Y208590D01* +X152162Y208529D01* +X152242Y208479D01* +X152330Y208443D01* +X152421Y208421D01* +X152516Y208416D01* +X153500Y208418D01* +Y207645D01* +G37* +G36* +X151753Y207362D02*X151609Y207351D01* +X151410Y207303D01* +X151221Y207225D01* +X151047Y207118D01* +X151046Y207118D01* +X150891Y206985D01* +X150858Y206946D01* +X149892Y205981D01* +X149892Y206641D01* +X149859Y206779D01* +X149804Y206910D01* +X149730Y207031D01* +X149638Y207138D01* +X149531Y207230D01* +X149410Y207304D01* +X149279Y207359D01* +X149141Y207392D01* +X149000Y207400D01* +X146859Y207392D01* +X146800Y207378D01* +Y215462D01* +X148883Y217545D01* +X148922Y217578D01* +X148947Y217608D01* +X149141Y217608D01* +X149279Y217641D01* +X149410Y217696D01* +X149531Y217770D01* +X149638Y217862D01* +X149730Y217969D01* +X149804Y218090D01* +X149859Y218221D01* +X149892Y218359D01* +X149900Y218500D01* +X149892Y227141D01* +X149859Y227279D01* +X149804Y227410D01* +X149730Y227531D01* +X149638Y227638D01* +X149531Y227730D01* +X149410Y227804D01* +X149279Y227859D01* +X149141Y227892D01* +X149000Y227900D01* +X146859Y227892D01* +X146721Y227859D01* +X146590Y227804D01* +X146469Y227730D01* +X146362Y227638D01* +X146270Y227531D01* +X146196Y227410D01* +X146141Y227279D01* +X146108Y227141D01* +X146100Y227000D01* +X146108Y218447D01* +X144617Y216955D01* +X144578Y216922D01* +X144445Y216766D01* +X144338Y216592D01* +X144260Y216403D01* +X144212Y216204D01* +X144212Y216204D01* +X144196Y216000D01* +X144200Y215949D01* +Y207378D01* +X144141Y207392D01* +X144000Y207400D01* +X141859Y207392D01* +X141721Y207359D01* +X141590Y207304D01* +X141469Y207230D01* +X141362Y207138D01* +X141270Y207031D01* +X141196Y206910D01* +X141141Y206779D01* +X141108Y206641D01* +X141100Y206500D01* +X141108Y197859D01* +X141141Y197721D01* +X141196Y197590D01* +X141270Y197469D01* +X141362Y197362D01* +X141469Y197270D01* +X141590Y197196D01* +X141700Y197150D01* +Y180500D01* +X136800D01* +Y197122D01* +X136859Y197108D01* +X137000Y197100D01* +X139141Y197108D01* +X139279Y197141D01* +X139410Y197196D01* +X139531Y197270D01* +X139638Y197362D01* +X139730Y197469D01* +X139804Y197590D01* +X139859Y197721D01* +X139892Y197859D01* +X139900Y198000D01* +X139892Y206641D01* +X139859Y206779D01* +X139804Y206910D01* +X139730Y207031D01* +X139638Y207138D01* +X139531Y207230D01* +X139410Y207304D01* +X139300Y207350D01* +Y213962D01* +X141383Y216045D01* +X141422Y216078D01* +X141555Y216233D01* +X141555Y216234D01* +X141662Y216408D01* +X141740Y216597D01* +X141788Y216796D01* +X141804Y217000D01* +X141800Y217051D01* +Y217622D01* +X141859Y217608D01* +X142000Y217600D01* +X144141Y217608D01* +X144279Y217641D01* +X144410Y217696D01* +X144531Y217770D01* +X144638Y217862D01* +X144730Y217969D01* +X144804Y218090D01* +X144859Y218221D01* +X144892Y218359D01* +X144900Y218500D01* +X144892Y227141D01* +X144859Y227279D01* +X144804Y227410D01* +X144730Y227531D01* +X144638Y227638D01* +X144531Y227730D01* +X144410Y227804D01* +X144300Y227850D01* +Y230962D01* +X145038Y231700D01* +X151753D01* +Y216000D01* +X151751Y216000D01* +X151690Y215987D01* +X151631Y215964D01* +X151577Y215933D01* +X151528Y215893D01* +X151485Y215846D01* +X151451Y215794D01* +X151427Y215736D01* +X151362Y215531D01* +X151321Y215321D01* +X151300Y215107D01* +Y214893D01* +X151321Y214679D01* +X151362Y214469D01* +X151424Y214263D01* +X151450Y214206D01* +X151484Y214153D01* +X151526Y214106D01* +X151576Y214066D01* +X151630Y214034D01* +X151689Y214012D01* +X151751Y213999D01* +X151753Y213999D01* +Y207362D01* +G37* +G36* +X153500Y201142D02*X153345Y201180D01* +X153000Y201207D01* +X152655Y201180D01* +X152318Y201099D01* +X151998Y200966D01* +X151703Y200785D01* +X151487Y200601D01* +X149896Y202193D01* +X149896Y202307D01* +X152173Y204585D01* +X152242Y204542D01* +X152330Y204506D01* +X152421Y204484D01* +X152516Y204478D01* +X153500Y204481D01* +Y201142D01* +G37* +G36* +Y180800D02*X147038D01* +X146800Y181038D01* +Y197122D01* +X146859Y197108D01* +X147000Y197100D01* +X149141Y197108D01* +X149279Y197141D01* +X149410Y197196D01* +X149531Y197270D01* +X149638Y197362D01* +X149730Y197469D01* +X149804Y197590D01* +X149859Y197721D01* +X149892Y197859D01* +X149900Y198000D01* +X149900Y198512D01* +X150295Y198117D01* +X150328Y198078D01* +X150483Y197945D01* +X150484Y197945D01* +X150592Y197879D01* +X150658Y197838D01* +X150847Y197760D01* +X151046Y197712D01* +X151046Y197712D01* +X151218Y197698D01* +X151440Y197440D01* +X151703Y197215D01* +X151998Y197034D01* +X152318Y196901D01* +X152655Y196820D01* +X153000Y196793D01* +X153345Y196820D01* +X153500Y196858D01* +Y180800D01* +G37* +G36* +X181747Y242880D02*X181808Y242808D01* +X182347Y242348D01* +X182951Y241978D01* +X183605Y241707D01* +X183700Y241684D01* +Y238051D01* +X183696Y238000D01* +X183712Y237796D01* +Y237796D01* +X183724Y237748D01* +X183760Y237597D01* +X183838Y237408D01* +X183945Y237234D01* +X183945Y237233D01* +X184078Y237078D01* +X184117Y237045D01* +X185500Y235662D01* +Y234300D01* +X181747D01* +Y242880D01* +G37* +G36* +Y262500D02*X183700D01* +Y250316D01* +X183605Y250293D01* +X182951Y250022D01* +X182347Y249652D01* +X181808Y249192D01* +X181747Y249120D01* +Y251500D01* +X181749Y251500D01* +X181810Y251513D01* +X181869Y251536D01* +X181923Y251567D01* +X181972Y251607D01* +X182015Y251654D01* +X182049Y251706D01* +X182073Y251764D01* +X182138Y251969D01* +X182179Y252179D01* +X182200Y252393D01* +Y252607D01* +X182179Y252821D01* +X182138Y253031D01* +X182076Y253237D01* +X182050Y253294D01* +X182016Y253347D01* +X181974Y253394D01* +X181924Y253434D01* +X181870Y253466D01* +X181811Y253488D01* +X181749Y253501D01* +X181747Y253501D01* +Y262500D01* +G37* +G36* +X180001D02*X181747D01* +Y253501D01* +X181686Y253505D01* +X181623Y253498D01* +X181562Y253482D01* +X181504Y253456D01* +X181451Y253421D01* +X181404Y253379D01* +X181364Y253330D01* +X181333Y253275D01* +X181310Y253216D01* +X181297Y253154D01* +X181294Y253091D01* +X181300Y253028D01* +X181318Y252968D01* +X181359Y252838D01* +X181385Y252704D01* +X181398Y252568D01* +Y252432D01* +X181385Y252296D01* +X181359Y252162D01* +X181319Y252032D01* +X181302Y251971D01* +X181295Y251909D01* +X181299Y251846D01* +X181312Y251784D01* +X181334Y251726D01* +X181366Y251671D01* +X181405Y251622D01* +X181452Y251580D01* +X181505Y251546D01* +X181562Y251520D01* +X181623Y251504D01* +X181686Y251497D01* +X181747Y251500D01* +Y249120D01* +X181348Y248653D01* +X180978Y248049D01* +X180707Y247395D01* +X180542Y246706D01* +X180486Y246000D01* +X180542Y245294D01* +X180707Y244605D01* +X180978Y243951D01* +X181348Y243347D01* +X181747Y242880D01* +Y234300D01* +X180001D01* +Y250300D01* +X180107D01* +X180321Y250321D01* +X180531Y250362D01* +X180737Y250424D01* +X180794Y250450D01* +X180847Y250484D01* +X180894Y250526D01* +X180934Y250576D01* +X180966Y250630D01* +X180988Y250689D01* +X181001Y250751D01* +X181005Y250814D01* +X180998Y250877D01* +X180982Y250938D01* +X180956Y250996D01* +X180921Y251049D01* +X180879Y251096D01* +X180830Y251136D01* +X180775Y251167D01* +X180716Y251190D01* +X180654Y251203D01* +X180591Y251206D01* +X180528Y251200D01* +X180468Y251182D01* +X180338Y251141D01* +X180204Y251115D01* +X180068Y251102D01* +X180001D01* +Y253898D01* +X180068D01* +X180204Y253885D01* +X180338Y253859D01* +X180468Y253819D01* +X180529Y253802D01* +X180591Y253795D01* +X180654Y253799D01* +X180716Y253812D01* +X180774Y253834D01* +X180829Y253866D01* +X180878Y253905D01* +X180920Y253952D01* +X180954Y254005D01* +X180980Y254062D01* +X180996Y254123D01* +X181003Y254186D01* +X181000Y254249D01* +X180987Y254310D01* +X180964Y254369D01* +X180933Y254423D01* +X180893Y254472D01* +X180846Y254515D01* +X180794Y254549D01* +X180736Y254573D01* +X180531Y254638D01* +X180321Y254679D01* +X180107Y254700D01* +X180001D01* +Y262500D01* +G37* +G36* +X178253D02*X180001D01* +Y254700D01* +X179893D01* +X179679Y254679D01* +X179469Y254638D01* +X179263Y254576D01* +X179206Y254550D01* +X179153Y254516D01* +X179106Y254474D01* +X179066Y254424D01* +X179034Y254370D01* +X179012Y254311D01* +X178999Y254249D01* +X178995Y254186D01* +X179002Y254123D01* +X179018Y254062D01* +X179044Y254004D01* +X179079Y253951D01* +X179121Y253904D01* +X179170Y253864D01* +X179225Y253833D01* +X179284Y253810D01* +X179346Y253797D01* +X179409Y253794D01* +X179472Y253800D01* +X179532Y253818D01* +X179662Y253859D01* +X179796Y253885D01* +X179932Y253898D01* +X180001D01* +Y251102D01* +X179932D01* +X179796Y251115D01* +X179662Y251141D01* +X179532Y251181D01* +X179471Y251198D01* +X179409Y251205D01* +X179346Y251201D01* +X179284Y251188D01* +X179226Y251166D01* +X179171Y251134D01* +X179122Y251095D01* +X179080Y251048D01* +X179046Y250995D01* +X179020Y250938D01* +X179004Y250877D01* +X178997Y250814D01* +X179000Y250751D01* +X179013Y250690D01* +X179036Y250631D01* +X179067Y250577D01* +X179107Y250528D01* +X179154Y250485D01* +X179206Y250451D01* +X179264Y250427D01* +X179469Y250362D01* +X179679Y250321D01* +X179893Y250300D01* +X180001D01* +Y234300D01* +X178253D01* +Y242880D01* +X178652Y243347D01* +X179022Y243951D01* +X179293Y244605D01* +X179458Y245294D01* +X179500Y246000D01* +X179458Y246706D01* +X179293Y247395D01* +X179022Y248049D01* +X178652Y248653D01* +X178253Y249120D01* +Y251499D01* +X178314Y251495D01* +X178377Y251502D01* +X178438Y251518D01* +X178496Y251544D01* +X178549Y251579D01* +X178596Y251621D01* +X178636Y251670D01* +X178667Y251725D01* +X178690Y251784D01* +X178703Y251846D01* +X178706Y251909D01* +X178700Y251972D01* +X178682Y252032D01* +X178641Y252162D01* +X178615Y252296D01* +X178602Y252432D01* +Y252568D01* +X178615Y252704D01* +X178641Y252838D01* +X178681Y252968D01* +X178698Y253029D01* +X178705Y253091D01* +X178701Y253154D01* +X178688Y253216D01* +X178666Y253274D01* +X178634Y253329D01* +X178595Y253378D01* +X178548Y253420D01* +X178495Y253454D01* +X178438Y253480D01* +X178377Y253496D01* +X178314Y253503D01* +X178253Y253500D01* +Y262500D01* +G37* +G36* +Y234300D02*X177538D01* +X176500Y235338D01* +Y241751D01* +X177049Y241978D01* +X177653Y242348D01* +X178192Y242808D01* +X178253Y242880D01* +Y234300D01* +G37* +G36* +X176500Y262500D02*X178253D01* +Y253500D01* +X178251Y253500D01* +X178190Y253487D01* +X178131Y253464D01* +X178077Y253433D01* +X178028Y253393D01* +X177985Y253346D01* +X177951Y253294D01* +X177927Y253236D01* +X177862Y253031D01* +X177821Y252821D01* +X177800Y252607D01* +Y252393D01* +X177821Y252179D01* +X177862Y251969D01* +X177924Y251763D01* +X177950Y251706D01* +X177984Y251653D01* +X178026Y251606D01* +X178076Y251566D01* +X178130Y251534D01* +X178189Y251512D01* +X178251Y251499D01* +X178253Y251499D01* +Y249120D01* +X178192Y249192D01* +X177653Y249652D01* +X177049Y250022D01* +X176500Y250249D01* +Y262500D01* +G37* +G36* +X191747Y242880D02*X191808Y242808D01* +X192347Y242348D01* +X192951Y241978D01* +X193605Y241707D01* +X193700Y241684D01* +Y240051D01* +X193696Y240000D01* +X193712Y239796D01* +X193712Y239796D01* +X193760Y239597D01* +X193838Y239408D01* +X193879Y239342D01* +X193945Y239234D01* +X193945Y239233D01* +X194078Y239078D01* +X194117Y239045D01* +X195045Y238117D01* +X195078Y238078D01* +X195233Y237945D01* +X195234Y237945D01* +X195342Y237879D01* +X195408Y237838D01* +X195597Y237760D01* +X195796Y237712D01* +X195796Y237712D01* +X196000Y237696D01* +Y237300D01* +X191747D01* +Y242880D01* +G37* +G36* +Y263500D02*X193700D01* +Y250316D01* +X193605Y250293D01* +X192951Y250022D01* +X192347Y249652D01* +X191808Y249192D01* +X191747Y249120D01* +Y251500D01* +X191749Y251500D01* +X191810Y251513D01* +X191869Y251536D01* +X191923Y251567D01* +X191972Y251607D01* +X192015Y251654D01* +X192049Y251706D01* +X192073Y251764D01* +X192138Y251969D01* +X192179Y252179D01* +X192200Y252393D01* +Y252607D01* +X192179Y252821D01* +X192138Y253031D01* +X192076Y253237D01* +X192050Y253294D01* +X192016Y253347D01* +X191974Y253394D01* +X191924Y253434D01* +X191870Y253466D01* +X191811Y253488D01* +X191749Y253501D01* +X191747Y253501D01* +Y263500D01* +G37* +G36* +X190001D02*X191747D01* +Y253501D01* +X191686Y253505D01* +X191623Y253498D01* +X191562Y253482D01* +X191504Y253456D01* +X191451Y253421D01* +X191404Y253379D01* +X191364Y253330D01* +X191333Y253275D01* +X191310Y253216D01* +X191297Y253154D01* +X191294Y253091D01* +X191300Y253028D01* +X191318Y252968D01* +X191359Y252838D01* +X191385Y252704D01* +X191398Y252568D01* +Y252432D01* +X191385Y252296D01* +X191359Y252162D01* +X191319Y252032D01* +X191302Y251971D01* +X191295Y251909D01* +X191299Y251846D01* +X191312Y251784D01* +X191334Y251726D01* +X191366Y251671D01* +X191405Y251622D01* +X191452Y251580D01* +X191505Y251546D01* +X191562Y251520D01* +X191623Y251504D01* +X191686Y251497D01* +X191747Y251500D01* +Y249120D01* +X191348Y248653D01* +X190978Y248049D01* +X190707Y247395D01* +X190542Y246706D01* +X190486Y246000D01* +X190542Y245294D01* +X190707Y244605D01* +X190978Y243951D01* +X191348Y243347D01* +X191747Y242880D01* +Y237300D01* +X190001D01* +Y250300D01* +X190107D01* +X190321Y250321D01* +X190531Y250362D01* +X190737Y250424D01* +X190794Y250450D01* +X190847Y250484D01* +X190894Y250526D01* +X190934Y250576D01* +X190966Y250630D01* +X190988Y250689D01* +X191001Y250751D01* +X191005Y250814D01* +X190998Y250877D01* +X190982Y250938D01* +X190956Y250996D01* +X190921Y251049D01* +X190879Y251096D01* +X190830Y251136D01* +X190775Y251167D01* +X190716Y251190D01* +X190654Y251203D01* +X190591Y251206D01* +X190528Y251200D01* +X190468Y251182D01* +X190338Y251141D01* +X190204Y251115D01* +X190068Y251102D01* +X190001D01* +Y253898D01* +X190068D01* +X190204Y253885D01* +X190338Y253859D01* +X190468Y253819D01* +X190529Y253802D01* +X190591Y253795D01* +X190654Y253799D01* +X190716Y253812D01* +X190774Y253834D01* +X190829Y253866D01* +X190878Y253905D01* +X190920Y253952D01* +X190954Y254005D01* +X190980Y254062D01* +X190996Y254123D01* +X191003Y254186D01* +X191000Y254249D01* +X190987Y254310D01* +X190964Y254369D01* +X190933Y254423D01* +X190893Y254472D01* +X190846Y254515D01* +X190794Y254549D01* +X190736Y254573D01* +X190531Y254638D01* +X190321Y254679D01* +X190107Y254700D01* +X190001D01* +Y263500D01* +G37* +G36* +X188253D02*X190001D01* +Y254700D01* +X189893D01* +X189679Y254679D01* +X189469Y254638D01* +X189263Y254576D01* +X189206Y254550D01* +X189153Y254516D01* +X189106Y254474D01* +X189066Y254424D01* +X189034Y254370D01* +X189012Y254311D01* +X188999Y254249D01* +X188995Y254186D01* +X189002Y254123D01* +X189018Y254062D01* +X189044Y254004D01* +X189079Y253951D01* +X189121Y253904D01* +X189170Y253864D01* +X189225Y253833D01* +X189284Y253810D01* +X189346Y253797D01* +X189409Y253794D01* +X189472Y253800D01* +X189532Y253818D01* +X189662Y253859D01* +X189796Y253885D01* +X189932Y253898D01* +X190001D01* +Y251102D01* +X189932D01* +X189796Y251115D01* +X189662Y251141D01* +X189532Y251181D01* +X189471Y251198D01* +X189409Y251205D01* +X189346Y251201D01* +X189284Y251188D01* +X189226Y251166D01* +X189171Y251134D01* +X189122Y251095D01* +X189080Y251048D01* +X189046Y250995D01* +X189020Y250938D01* +X189004Y250877D01* +X188997Y250814D01* +X189000Y250751D01* +X189013Y250690D01* +X189036Y250631D01* +X189067Y250577D01* +X189107Y250528D01* +X189154Y250485D01* +X189206Y250451D01* +X189264Y250427D01* +X189469Y250362D01* +X189679Y250321D01* +X189893Y250300D01* +X190001D01* +Y237300D01* +X188253D01* +Y242880D01* +X188652Y243347D01* +X189022Y243951D01* +X189293Y244605D01* +X189458Y245294D01* +X189500Y246000D01* +X189458Y246706D01* +X189293Y247395D01* +X189022Y248049D01* +X188652Y248653D01* +X188253Y249120D01* +Y251499D01* +X188314Y251495D01* +X188377Y251502D01* +X188438Y251518D01* +X188496Y251544D01* +X188549Y251579D01* +X188596Y251621D01* +X188636Y251670D01* +X188667Y251725D01* +X188690Y251784D01* +X188703Y251846D01* +X188706Y251909D01* +X188700Y251972D01* +X188682Y252032D01* +X188641Y252162D01* +X188615Y252296D01* +X188602Y252432D01* +Y252568D01* +X188615Y252704D01* +X188641Y252838D01* +X188681Y252968D01* +X188698Y253029D01* +X188705Y253091D01* +X188701Y253154D01* +X188688Y253216D01* +X188666Y253274D01* +X188634Y253329D01* +X188595Y253378D01* +X188548Y253420D01* +X188495Y253454D01* +X188438Y253480D01* +X188377Y253496D01* +X188314Y253503D01* +X188253Y253500D01* +Y263500D01* +G37* +G36* +Y237300D02*X187538D01* +X186300Y238538D01* +Y241684D01* +X186395Y241707D01* +X187049Y241978D01* +X187653Y242348D01* +X188192Y242808D01* +X188253Y242880D01* +Y237300D01* +G37* +G36* +X186300Y263500D02*X188253D01* +Y253500D01* +X188251Y253500D01* +X188190Y253487D01* +X188131Y253464D01* +X188077Y253433D01* +X188028Y253393D01* +X187985Y253346D01* +X187951Y253294D01* +X187927Y253236D01* +X187862Y253031D01* +X187821Y252821D01* +X187800Y252607D01* +Y252393D01* +X187821Y252179D01* +X187862Y251969D01* +X187924Y251763D01* +X187950Y251706D01* +X187984Y251653D01* +X188026Y251606D01* +X188076Y251566D01* +X188130Y251534D01* +X188189Y251512D01* +X188251Y251499D01* +X188253Y251499D01* +Y249120D01* +X188192Y249192D01* +X187653Y249652D01* +X187049Y250022D01* +X186395Y250293D01* +X186300Y250316D01* +Y263500D01* +G37* +G36* +X156300Y269500D02*X163700D01* +Y260000D01* +X156300D01* +Y269500D01* +G37* +G36* +X176300Y268000D02*X183700D01* +Y260500D01* +X176300D01* +Y268000D01* +G37* +G36* +X131747Y267500D02*X133700D01* +Y250316D01* +X133605Y250293D01* +X132951Y250022D01* +X132347Y249652D01* +X131808Y249192D01* +X131747Y249120D01* +Y251500D01* +X131749Y251500D01* +X131810Y251513D01* +X131869Y251536D01* +X131923Y251567D01* +X131972Y251607D01* +X132015Y251654D01* +X132049Y251706D01* +X132073Y251764D01* +X132138Y251969D01* +X132179Y252179D01* +X132200Y252393D01* +Y252607D01* +X132179Y252821D01* +X132138Y253031D01* +X132076Y253237D01* +X132050Y253294D01* +X132016Y253347D01* +X131974Y253394D01* +X131924Y253434D01* +X131870Y253466D01* +X131811Y253488D01* +X131749Y253501D01* +X131747Y253501D01* +Y267500D01* +G37* +G36* +X131029Y243868D02*X130001Y242839D01* +Y250300D01* +X130107D01* +X130321Y250321D01* +X130531Y250362D01* +X130737Y250424D01* +X130794Y250450D01* +X130847Y250484D01* +X130894Y250526D01* +X130934Y250576D01* +X130966Y250630D01* +X130988Y250689D01* +X131001Y250751D01* +X131005Y250814D01* +X130998Y250877D01* +X130982Y250938D01* +X130956Y250996D01* +X130921Y251049D01* +X130879Y251096D01* +X130830Y251136D01* +X130775Y251167D01* +X130716Y251190D01* +X130654Y251203D01* +X130591Y251206D01* +X130528Y251200D01* +X130468Y251182D01* +X130338Y251141D01* +X130204Y251115D01* +X130068Y251102D01* +X130001D01* +Y253898D01* +X130068D01* +X130204Y253885D01* +X130338Y253859D01* +X130468Y253819D01* +X130529Y253802D01* +X130591Y253795D01* +X130654Y253799D01* +X130716Y253812D01* +X130774Y253834D01* +X130829Y253866D01* +X130878Y253905D01* +X130920Y253952D01* +X130954Y254005D01* +X130980Y254062D01* +X130996Y254123D01* +X131003Y254186D01* +X131000Y254249D01* +X130987Y254310D01* +X130964Y254369D01* +X130933Y254423D01* +X130893Y254472D01* +X130846Y254515D01* +X130794Y254549D01* +X130736Y254573D01* +X130531Y254638D01* +X130321Y254679D01* +X130107Y254700D01* +X130001D01* +Y267500D01* +X131747D01* +Y253501D01* +X131686Y253505D01* +X131623Y253498D01* +X131562Y253482D01* +X131504Y253456D01* +X131451Y253421D01* +X131404Y253379D01* +X131364Y253330D01* +X131333Y253275D01* +X131310Y253216D01* +X131297Y253154D01* +X131294Y253091D01* +X131300Y253028D01* +X131318Y252968D01* +X131359Y252838D01* +X131385Y252704D01* +X131398Y252568D01* +Y252432D01* +X131385Y252296D01* +X131359Y252162D01* +X131319Y252032D01* +X131302Y251971D01* +X131295Y251909D01* +X131299Y251846D01* +X131312Y251784D01* +X131334Y251726D01* +X131366Y251671D01* +X131405Y251622D01* +X131452Y251580D01* +X131505Y251546D01* +X131562Y251520D01* +X131623Y251504D01* +X131686Y251497D01* +X131747Y251500D01* +Y249120D01* +X131348Y248653D01* +X130978Y248049D01* +X130707Y247395D01* +X130542Y246706D01* +X130486Y246000D01* +X130542Y245294D01* +X130707Y244605D01* +X130978Y243951D01* +X131029Y243868D01* +G37* +G36* +X130001Y242839D02*X129617Y242455D01* +X129578Y242422D01* +X129445Y242266D01* +X129338Y242092D01* +X129260Y241903D01* +X129212Y241704D01* +X129212Y241704D01* +X129196Y241500D01* +X129200Y241449D01* +Y230000D01* +X128253D01* +Y242880D01* +X128652Y243347D01* +X129022Y243951D01* +X129293Y244605D01* +X129458Y245294D01* +X129500Y246000D01* +X129458Y246706D01* +X129293Y247395D01* +X129022Y248049D01* +X128652Y248653D01* +X128253Y249120D01* +Y251499D01* +X128314Y251495D01* +X128377Y251502D01* +X128438Y251518D01* +X128496Y251544D01* +X128549Y251579D01* +X128596Y251621D01* +X128636Y251670D01* +X128667Y251725D01* +X128690Y251784D01* +X128703Y251846D01* +X128706Y251909D01* +X128700Y251972D01* +X128682Y252032D01* +X128641Y252162D01* +X128615Y252296D01* +X128602Y252432D01* +Y252568D01* +X128615Y252704D01* +X128641Y252838D01* +X128681Y252968D01* +X128698Y253029D01* +X128705Y253091D01* +X128701Y253154D01* +X128688Y253216D01* +X128666Y253274D01* +X128634Y253329D01* +X128595Y253378D01* +X128548Y253420D01* +X128495Y253454D01* +X128438Y253480D01* +X128377Y253496D01* +X128314Y253503D01* +X128253Y253500D01* +Y267500D01* +X130001D01* +Y254700D01* +X129893D01* +X129679Y254679D01* +X129469Y254638D01* +X129263Y254576D01* +X129206Y254550D01* +X129153Y254516D01* +X129106Y254474D01* +X129066Y254424D01* +X129034Y254370D01* +X129012Y254311D01* +X128999Y254249D01* +X128995Y254186D01* +X129002Y254123D01* +X129018Y254062D01* +X129044Y254004D01* +X129079Y253951D01* +X129121Y253904D01* +X129170Y253864D01* +X129225Y253833D01* +X129284Y253810D01* +X129346Y253797D01* +X129409Y253794D01* +X129472Y253800D01* +X129532Y253818D01* +X129662Y253859D01* +X129796Y253885D01* +X129932Y253898D01* +X130001D01* +Y251102D01* +X129932D01* +X129796Y251115D01* +X129662Y251141D01* +X129532Y251181D01* +X129471Y251198D01* +X129409Y251205D01* +X129346Y251201D01* +X129284Y251188D01* +X129226Y251166D01* +X129171Y251134D01* +X129122Y251095D01* +X129080Y251048D01* +X129046Y250995D01* +X129020Y250938D01* +X129004Y250877D01* +X128997Y250814D01* +X129000Y250751D01* +X129013Y250690D01* +X129036Y250631D01* +X129067Y250577D01* +X129107Y250528D01* +X129154Y250485D01* +X129206Y250451D01* +X129264Y250427D01* +X129469Y250362D01* +X129679Y250321D01* +X129893Y250300D01* +X130001D01* +Y242839D01* +G37* +G36* +X128253Y249120D02*X128192Y249192D01* +X127653Y249652D01* +X127049Y250022D01* +X126395Y250293D01* +X126300Y250316D01* +Y267500D01* +X128253D01* +Y253500D01* +X128251Y253500D01* +X128190Y253487D01* +X128131Y253464D01* +X128077Y253433D01* +X128028Y253393D01* +X127985Y253346D01* +X127951Y253294D01* +X127927Y253236D01* +X127862Y253031D01* +X127821Y252821D01* +X127800Y252607D01* +Y252393D01* +X127821Y252179D01* +X127862Y251969D01* +X127924Y251763D01* +X127950Y251706D01* +X127984Y251653D01* +X128026Y251606D01* +X128076Y251566D01* +X128130Y251534D01* +X128189Y251512D01* +X128251Y251499D01* +X128253Y251499D01* +Y249120D01* +G37* +G36* +Y230000D02*X124300D01* +Y241541D01* +X125000Y241486D01* +X125706Y241542D01* +X126395Y241707D01* +X127049Y241978D01* +X127653Y242348D01* +X128192Y242808D01* +X128253Y242880D01* +Y230000D01* +G37* +G36* +X191747Y263500D02*X193700D01* +Y250316D01* +X193605Y250293D01* +X192951Y250022D01* +X192347Y249652D01* +X191808Y249192D01* +X191747Y249120D01* +Y251500D01* +X191749Y251500D01* +X191810Y251513D01* +X191869Y251536D01* +X191923Y251567D01* +X191972Y251607D01* +X192015Y251654D01* +X192049Y251706D01* +X192073Y251764D01* +X192138Y251969D01* +X192179Y252179D01* +X192200Y252393D01* +Y252607D01* +X192179Y252821D01* +X192138Y253031D01* +X192076Y253237D01* +X192050Y253294D01* +X192016Y253347D01* +X191974Y253394D01* +X191924Y253434D01* +X191870Y253466D01* +X191811Y253488D01* +X191749Y253501D01* +X191747Y253501D01* +Y263500D01* +G37* +G36* +X191247D02*X191747D01* +Y253501D01* +X191686Y253505D01* +X191623Y253498D01* +X191562Y253482D01* +X191504Y253456D01* +X191451Y253421D01* +X191404Y253379D01* +X191364Y253330D01* +X191333Y253275D01* +X191310Y253216D01* +X191297Y253154D01* +X191294Y253091D01* +X191300Y253028D01* +X191318Y252968D01* +X191359Y252838D01* +X191385Y252704D01* +X191398Y252568D01* +Y252432D01* +X191385Y252296D01* +X191359Y252162D01* +X191319Y252032D01* +X191302Y251971D01* +X191295Y251909D01* +X191299Y251846D01* +X191312Y251784D01* +X191334Y251726D01* +X191366Y251671D01* +X191405Y251622D01* +X191452Y251580D01* +X191505Y251546D01* +X191562Y251520D01* +X191623Y251504D01* +X191686Y251497D01* +X191747Y251500D01* +Y249120D01* +X191348Y248653D01* +X191247Y248488D01* +Y263500D01* +G37* +G36* +X202200Y207378D02*X202141Y207392D01* +X202000Y207400D01* +X199859Y207392D01* +X199721Y207359D01* +X199590Y207304D01* +X199469Y207230D01* +X199362Y207138D01* +X199270Y207031D01* +X199196Y206910D01* +X199141Y206779D01* +X199108Y206641D01* +X199100Y206500D01* +X199108Y197859D01* +X199141Y197721D01* +X199196Y197590D01* +X199270Y197469D01* +X199362Y197362D01* +X199469Y197270D01* +X199590Y197196D01* +X199700Y197150D01* +Y180551D01* +X199696Y180500D01* +X199712Y180296D01* +Y180296D01* +X199724Y180248D01* +X199760Y180097D01* +X199773Y180066D01* +X199455Y180383D01* +X199422Y180422D01* +X199267Y180555D01* +X199266Y180555D01* +X199160Y180620D01* +X199092Y180662D01* +X198981Y180708D01* +X198903Y180740D01* +X198855Y180752D01* +X198704Y180788D01* +X198704Y180788D01* +X198500Y180804D01* +X198449Y180800D01* +X191247D01* +Y223500D01* +X191249Y223500D01* +X191310Y223513D01* +X191369Y223536D01* +X191423Y223567D01* +X191472Y223607D01* +X191515Y223654D01* +X191549Y223706D01* +X191573Y223764D01* +X191638Y223969D01* +X191679Y224179D01* +X191700Y224393D01* +Y224607D01* +X191679Y224821D01* +X191638Y225031D01* +X191576Y225237D01* +X191550Y225294D01* +X191516Y225347D01* +X191474Y225394D01* +X191424Y225434D01* +X191370Y225466D01* +X191311Y225488D01* +X191249Y225501D01* +X191247Y225501D01* +Y231700D01* +X194462D01* +X197200Y228962D01* +Y227878D01* +X197141Y227892D01* +X197000Y227900D01* +X194859Y227892D01* +X194721Y227859D01* +X194590Y227804D01* +X194469Y227730D01* +X194362Y227638D01* +X194270Y227531D01* +X194196Y227410D01* +X194141Y227279D01* +X194108Y227141D01* +X194100Y227000D01* +X194108Y218359D01* +X194141Y218221D01* +X194196Y218090D01* +X194270Y217969D01* +X194362Y217862D01* +X194469Y217770D01* +X194590Y217696D01* +X194721Y217641D01* +X194859Y217608D01* +X195000Y217600D01* +X197141Y217608D01* +X197200Y217622D01* +Y217538D01* +X195117Y215455D01* +X195078Y215422D01* +X194945Y215266D01* +X194838Y215092D01* +X194760Y214903D01* +X194712Y214704D01* +X194712Y214704D01* +X194696Y214500D01* +X194700Y214449D01* +Y207350D01* +X194590Y207304D01* +X194469Y207230D01* +X194362Y207138D01* +X194270Y207031D01* +X194196Y206910D01* +X194141Y206779D01* +X194108Y206641D01* +X194100Y206500D01* +X194108Y197859D01* +X194141Y197721D01* +X194196Y197590D01* +X194270Y197469D01* +X194362Y197362D01* +X194469Y197270D01* +X194590Y197196D01* +X194721Y197141D01* +X194859Y197108D01* +X195000Y197100D01* +X197141Y197108D01* +X197279Y197141D01* +X197410Y197196D01* +X197531Y197270D01* +X197638Y197362D01* +X197730Y197469D01* +X197804Y197590D01* +X197859Y197721D01* +X197892Y197859D01* +X197900Y198000D01* +X197892Y206641D01* +X197859Y206779D01* +X197804Y206910D01* +X197730Y207031D01* +X197638Y207138D01* +X197531Y207230D01* +X197410Y207304D01* +X197300Y207350D01* +Y213962D01* +X199383Y216045D01* +X199422Y216078D01* +X199555Y216233D01* +X199555Y216234D01* +X199662Y216408D01* +X199740Y216597D01* +X199788Y216796D01* +X199804Y217000D01* +X199800Y217051D01* +Y217622D01* +X199859Y217608D01* +X200000Y217600D01* +X202141Y217608D01* +X202279Y217641D01* +X202410Y217696D01* +X202531Y217770D01* +X202638Y217862D01* +X202730Y217969D01* +X202804Y218090D01* +X202859Y218221D01* +X202892Y218359D01* +X202900Y218500D01* +X202892Y227141D01* +X202859Y227279D01* +X202804Y227410D01* +X202730Y227531D01* +X202638Y227638D01* +X202531Y227730D01* +X202410Y227804D01* +X202300Y227850D01* +Y233449D01* +X202304Y233500D01* +X202288Y233704D01* +X202288Y233704D01* +X202240Y233903D01* +X202162Y234092D01* +X202055Y234266D01* +X201922Y234422D01* +X201883Y234455D01* +X199455Y236883D01* +X199422Y236922D01* +X199266Y237055D01* +X199092Y237162D01* +X198903Y237240D01* +X198704Y237288D01* +X198704Y237288D01* +X198500Y237304D01* +X198449Y237300D01* +X191247D01* +Y243512D01* +X191348Y243347D01* +X191808Y242808D01* +X192347Y242348D01* +X192951Y241978D01* +X193605Y241707D01* +X193700Y241684D01* +Y240051D01* +X193696Y240000D01* +X193712Y239796D01* +X193712Y239796D01* +X193760Y239597D01* +X193838Y239408D01* +X193879Y239342D01* +X193945Y239234D01* +X193945Y239233D01* +X194078Y239078D01* +X194117Y239045D01* +X195045Y238117D01* +X195078Y238078D01* +X195233Y237945D01* +X195234Y237945D01* +X195342Y237879D01* +X195408Y237838D01* +X195597Y237760D01* +X195796Y237712D01* +X195796Y237712D01* +X196000Y237696D01* +X196051Y237700D01* +X206462D01* +X207200Y236962D01* +Y227878D01* +X207141Y227892D01* +X207000Y227900D01* +X204859Y227892D01* +X204721Y227859D01* +X204590Y227804D01* +X204469Y227730D01* +X204362Y227638D01* +X204270Y227531D01* +X204196Y227410D01* +X204141Y227279D01* +X204108Y227141D01* +X204100Y227000D01* +X204108Y218447D01* +X202617Y216955D01* +X202578Y216922D01* +X202445Y216766D01* +X202338Y216592D01* +X202260Y216403D01* +X202212Y216204D01* +X202212Y216204D01* +X202196Y216000D01* +X202200Y215949D01* +Y207378D01* +G37* +G36* +X191247Y237300D02*X189501D01* +Y250356D01* +X189679Y250321D01* +X189893Y250300D01* +X190107D01* +X190321Y250321D01* +X190531Y250362D01* +X190737Y250424D01* +X190794Y250450D01* +X190847Y250484D01* +X190894Y250526D01* +X190934Y250576D01* +X190966Y250630D01* +X190988Y250689D01* +X191001Y250751D01* +X191005Y250814D01* +X190998Y250877D01* +X190982Y250938D01* +X190956Y250996D01* +X190921Y251049D01* +X190879Y251096D01* +X190830Y251136D01* +X190775Y251167D01* +X190716Y251190D01* +X190654Y251203D01* +X190591Y251206D01* +X190528Y251200D01* +X190468Y251182D01* +X190338Y251141D01* +X190204Y251115D01* +X190068Y251102D01* +X189932D01* +X189796Y251115D01* +X189662Y251141D01* +X189532Y251181D01* +X189501Y251190D01* +Y253809D01* +X189532Y253818D01* +X189662Y253859D01* +X189796Y253885D01* +X189932Y253898D01* +X190068D01* +X190204Y253885D01* +X190338Y253859D01* +X190468Y253819D01* +X190529Y253802D01* +X190591Y253795D01* +X190654Y253799D01* +X190716Y253812D01* +X190774Y253834D01* +X190829Y253866D01* +X190878Y253905D01* +X190920Y253952D01* +X190954Y254005D01* +X190980Y254062D01* +X190996Y254123D01* +X191003Y254186D01* +X191000Y254249D01* +X190987Y254310D01* +X190964Y254369D01* +X190933Y254423D01* +X190893Y254472D01* +X190846Y254515D01* +X190794Y254549D01* +X190736Y254573D01* +X190531Y254638D01* +X190321Y254679D01* +X190107Y254700D01* +X189893D01* +X189679Y254679D01* +X189501Y254644D01* +Y263500D01* +X191247D01* +Y248488D01* +X190978Y248049D01* +X190707Y247395D01* +X190542Y246706D01* +X190486Y246000D01* +X190542Y245294D01* +X190707Y244605D01* +X190978Y243951D01* +X191247Y243512D01* +Y237300D01* +G37* +G36* +Y180800D02*X189501D01* +Y222300D01* +X189607D01* +X189821Y222321D01* +X190031Y222362D01* +X190237Y222424D01* +X190294Y222450D01* +X190347Y222484D01* +X190394Y222526D01* +X190434Y222576D01* +X190466Y222630D01* +X190488Y222689D01* +X190501Y222751D01* +X190505Y222814D01* +X190498Y222877D01* +X190482Y222938D01* +X190456Y222996D01* +X190421Y223049D01* +X190379Y223096D01* +X190330Y223136D01* +X190275Y223167D01* +X190216Y223190D01* +X190154Y223203D01* +X190091Y223206D01* +X190028Y223200D01* +X189968Y223182D01* +X189838Y223141D01* +X189704Y223115D01* +X189568Y223102D01* +X189501D01* +Y225898D01* +X189568D01* +X189704Y225885D01* +X189838Y225859D01* +X189968Y225819D01* +X190029Y225802D01* +X190091Y225795D01* +X190154Y225799D01* +X190216Y225812D01* +X190274Y225834D01* +X190329Y225866D01* +X190378Y225905D01* +X190420Y225952D01* +X190454Y226005D01* +X190480Y226062D01* +X190496Y226123D01* +X190503Y226186D01* +X190500Y226249D01* +X190487Y226310D01* +X190464Y226369D01* +X190433Y226423D01* +X190393Y226472D01* +X190346Y226515D01* +X190294Y226549D01* +X190236Y226573D01* +X190031Y226638D01* +X189821Y226679D01* +X189607Y226700D01* +X189501D01* +Y231700D01* +X191247D01* +Y225501D01* +X191186Y225505D01* +X191123Y225498D01* +X191062Y225482D01* +X191004Y225456D01* +X190951Y225421D01* +X190904Y225379D01* +X190864Y225330D01* +X190833Y225275D01* +X190810Y225216D01* +X190797Y225154D01* +X190794Y225091D01* +X190800Y225028D01* +X190818Y224968D01* +X190859Y224838D01* +X190885Y224704D01* +X190898Y224568D01* +Y224432D01* +X190885Y224296D01* +X190859Y224162D01* +X190819Y224032D01* +X190802Y223971D01* +X190795Y223909D01* +X190799Y223846D01* +X190812Y223784D01* +X190834Y223726D01* +X190866Y223671D01* +X190905Y223622D01* +X190952Y223580D01* +X191005Y223546D01* +X191062Y223520D01* +X191123Y223504D01* +X191186Y223497D01* +X191247Y223500D01* +Y180800D01* +G37* +G36* +X189501Y237300D02*X188253D01* +Y242880D01* +X188652Y243347D01* +X189022Y243951D01* +X189293Y244605D01* +X189458Y245294D01* +X189500Y246000D01* +X189458Y246706D01* +X189293Y247395D01* +X189022Y248049D01* +X188652Y248653D01* +X188253Y249120D01* +Y251499D01* +X188314Y251495D01* +X188377Y251502D01* +X188438Y251518D01* +X188496Y251544D01* +X188549Y251579D01* +X188596Y251621D01* +X188636Y251670D01* +X188667Y251725D01* +X188690Y251784D01* +X188703Y251846D01* +X188706Y251909D01* +X188700Y251972D01* +X188682Y252032D01* +X188641Y252162D01* +X188615Y252296D01* +X188602Y252432D01* +Y252568D01* +X188615Y252704D01* +X188641Y252838D01* +X188681Y252968D01* +X188698Y253029D01* +X188705Y253091D01* +X188701Y253154D01* +X188688Y253216D01* +X188666Y253274D01* +X188634Y253329D01* +X188595Y253378D01* +X188548Y253420D01* +X188495Y253454D01* +X188438Y253480D01* +X188377Y253496D01* +X188314Y253503D01* +X188253Y253500D01* +Y263500D01* +X189501D01* +Y254644D01* +X189469Y254638D01* +X189263Y254576D01* +X189206Y254550D01* +X189153Y254516D01* +X189106Y254474D01* +X189066Y254424D01* +X189034Y254370D01* +X189012Y254311D01* +X188999Y254249D01* +X188995Y254186D01* +X189002Y254123D01* +X189018Y254062D01* +X189044Y254004D01* +X189079Y253951D01* +X189121Y253904D01* +X189170Y253864D01* +X189225Y253833D01* +X189284Y253810D01* +X189346Y253797D01* +X189409Y253794D01* +X189472Y253800D01* +X189501Y253809D01* +Y251190D01* +X189471Y251198D01* +X189409Y251205D01* +X189346Y251201D01* +X189284Y251188D01* +X189226Y251166D01* +X189171Y251134D01* +X189122Y251095D01* +X189080Y251048D01* +X189046Y250995D01* +X189020Y250938D01* +X189004Y250877D01* +X188997Y250814D01* +X189000Y250751D01* +X189013Y250690D01* +X189036Y250631D01* +X189067Y250577D01* +X189107Y250528D01* +X189154Y250485D01* +X189206Y250451D01* +X189264Y250427D01* +X189469Y250362D01* +X189501Y250356D01* +Y237300D01* +G37* +G36* +X188253Y249120D02*X188192Y249192D01* +X187653Y249652D01* +X187049Y250022D01* +X186395Y250293D01* +X186300Y250316D01* +Y263500D01* +X188253D01* +Y253500D01* +X188251Y253500D01* +X188190Y253487D01* +X188131Y253464D01* +X188077Y253433D01* +X188028Y253393D01* +X187985Y253346D01* +X187951Y253294D01* +X187927Y253236D01* +X187862Y253031D01* +X187821Y252821D01* +X187800Y252607D01* +Y252393D01* +X187821Y252179D01* +X187862Y251969D01* +X187924Y251763D01* +X187950Y251706D01* +X187984Y251653D01* +X188026Y251606D01* +X188076Y251566D01* +X188130Y251534D01* +X188189Y251512D01* +X188251Y251499D01* +X188253Y251499D01* +Y249120D01* +G37* +G36* +Y237300D02*X187538D01* +X186300Y238538D01* +Y241684D01* +X186395Y241707D01* +X187049Y241978D01* +X187653Y242348D01* +X188192Y242808D01* +X188253Y242880D01* +Y237300D01* +G37* +G36* +X189501Y180800D02*X187753D01* +Y223499D01* +X187814Y223495D01* +X187877Y223502D01* +X187938Y223518D01* +X187996Y223544D01* +X188049Y223579D01* +X188096Y223621D01* +X188136Y223670D01* +X188167Y223725D01* +X188190Y223784D01* +X188203Y223846D01* +X188206Y223909D01* +X188200Y223972D01* +X188182Y224032D01* +X188141Y224162D01* +X188115Y224296D01* +X188102Y224432D01* +Y224568D01* +X188115Y224704D01* +X188141Y224838D01* +X188181Y224968D01* +X188198Y225029D01* +X188205Y225091D01* +X188201Y225154D01* +X188188Y225216D01* +X188166Y225274D01* +X188134Y225329D01* +X188095Y225378D01* +X188048Y225420D01* +X187995Y225454D01* +X187938Y225480D01* +X187877Y225496D01* +X187814Y225503D01* +X187753Y225500D01* +Y231700D01* +X189501D01* +Y226700D01* +X189393D01* +X189179Y226679D01* +X188969Y226638D01* +X188763Y226576D01* +X188706Y226550D01* +X188653Y226516D01* +X188606Y226474D01* +X188566Y226424D01* +X188534Y226370D01* +X188512Y226311D01* +X188499Y226249D01* +X188495Y226186D01* +X188502Y226123D01* +X188518Y226062D01* +X188544Y226004D01* +X188579Y225951D01* +X188621Y225904D01* +X188670Y225864D01* +X188725Y225833D01* +X188784Y225810D01* +X188846Y225797D01* +X188909Y225794D01* +X188972Y225800D01* +X189032Y225818D01* +X189162Y225859D01* +X189296Y225885D01* +X189432Y225898D01* +X189501D01* +Y223102D01* +X189432D01* +X189296Y223115D01* +X189162Y223141D01* +X189032Y223181D01* +X188971Y223198D01* +X188909Y223205D01* +X188846Y223201D01* +X188784Y223188D01* +X188726Y223166D01* +X188671Y223134D01* +X188622Y223095D01* +X188580Y223048D01* +X188546Y222995D01* +X188520Y222938D01* +X188504Y222877D01* +X188497Y222814D01* +X188500Y222751D01* +X188513Y222690D01* +X188536Y222631D01* +X188567Y222577D01* +X188607Y222528D01* +X188654Y222485D01* +X188706Y222451D01* +X188764Y222427D01* +X188969Y222362D01* +X189179Y222321D01* +X189393Y222300D01* +X189501D01* +Y180800D01* +G37* +G36* +X171747Y263500D02*X173700D01* +Y250316D01* +X173605Y250293D01* +X172951Y250022D01* +X172347Y249652D01* +X171808Y249192D01* +X171747Y249120D01* +Y251500D01* +X171749Y251500D01* +X171810Y251513D01* +X171869Y251536D01* +X171923Y251567D01* +X171972Y251607D01* +X172015Y251654D01* +X172049Y251706D01* +X172073Y251764D01* +X172138Y251969D01* +X172179Y252179D01* +X172200Y252393D01* +Y252607D01* +X172179Y252821D01* +X172138Y253031D01* +X172076Y253237D01* +X172050Y253294D01* +X172016Y253347D01* +X171974Y253394D01* +X171924Y253434D01* +X171870Y253466D01* +X171811Y253488D01* +X171749Y253501D01* +X171747Y253501D01* +Y263500D01* +G37* +G36* +X187753Y180800D02*X171747D01* +Y242880D01* +X171808Y242808D01* +X172347Y242348D01* +X172951Y241978D01* +X173605Y241707D01* +X173700Y241684D01* +Y235051D01* +X173696Y235000D01* +X173712Y234796D01* +X173712Y234796D01* +X173760Y234597D01* +X173838Y234408D01* +X173879Y234342D01* +X173945Y234234D01* +X173945Y234233D01* +X174078Y234078D01* +X174117Y234045D01* +X176045Y232117D01* +X176078Y232078D01* +X176233Y231945D01* +X176234Y231945D01* +X176408Y231838D01* +X176597Y231760D01* +X176748Y231724D01* +X176796Y231712D01* +X176796D01* +X177000Y231696D01* +X177051Y231700D01* +X187753D01* +Y225500D01* +X187751Y225500D01* +X187690Y225487D01* +X187631Y225464D01* +X187577Y225433D01* +X187528Y225393D01* +X187485Y225346D01* +X187451Y225294D01* +X187427Y225236D01* +X187362Y225031D01* +X187321Y224821D01* +X187300Y224607D01* +Y224393D01* +X187321Y224179D01* +X187362Y223969D01* +X187424Y223763D01* +X187450Y223706D01* +X187484Y223653D01* +X187526Y223606D01* +X187576Y223566D01* +X187630Y223534D01* +X187689Y223512D01* +X187751Y223499D01* +X187753Y223499D01* +Y180800D01* +G37* +G36* +X171747D02*X170001D01* +Y250300D01* +X170107D01* +X170321Y250321D01* +X170531Y250362D01* +X170737Y250424D01* +X170794Y250450D01* +X170847Y250484D01* +X170894Y250526D01* +X170934Y250576D01* +X170966Y250630D01* +X170988Y250689D01* +X171001Y250751D01* +X171005Y250814D01* +X170998Y250877D01* +X170982Y250938D01* +X170956Y250996D01* +X170921Y251049D01* +X170879Y251096D01* +X170830Y251136D01* +X170775Y251167D01* +X170716Y251190D01* +X170654Y251203D01* +X170591Y251206D01* +X170528Y251200D01* +X170468Y251182D01* +X170338Y251141D01* +X170204Y251115D01* +X170068Y251102D01* +X170001D01* +Y253898D01* +X170068D01* +X170204Y253885D01* +X170338Y253859D01* +X170468Y253819D01* +X170529Y253802D01* +X170591Y253795D01* +X170654Y253799D01* +X170716Y253812D01* +X170774Y253834D01* +X170829Y253866D01* +X170878Y253905D01* +X170920Y253952D01* +X170954Y254005D01* +X170980Y254062D01* +X170996Y254123D01* +X171003Y254186D01* +X171000Y254249D01* +X170987Y254310D01* +X170964Y254369D01* +X170933Y254423D01* +X170893Y254472D01* +X170846Y254515D01* +X170794Y254549D01* +X170736Y254573D01* +X170531Y254638D01* +X170321Y254679D01* +X170107Y254700D01* +X170001D01* +Y263500D01* +X171747D01* +Y253501D01* +X171686Y253505D01* +X171623Y253498D01* +X171562Y253482D01* +X171504Y253456D01* +X171451Y253421D01* +X171404Y253379D01* +X171364Y253330D01* +X171333Y253275D01* +X171310Y253216D01* +X171297Y253154D01* +X171294Y253091D01* +X171300Y253028D01* +X171318Y252968D01* +X171359Y252838D01* +X171385Y252704D01* +X171398Y252568D01* +Y252432D01* +X171385Y252296D01* +X171359Y252162D01* +X171319Y252032D01* +X171302Y251971D01* +X171295Y251909D01* +X171299Y251846D01* +X171312Y251784D01* +X171334Y251726D01* +X171366Y251671D01* +X171405Y251622D01* +X171452Y251580D01* +X171505Y251546D01* +X171562Y251520D01* +X171623Y251504D01* +X171686Y251497D01* +X171747Y251500D01* +Y249120D01* +X171348Y248653D01* +X170978Y248049D01* +X170707Y247395D01* +X170542Y246706D01* +X170486Y246000D01* +X170542Y245294D01* +X170707Y244605D01* +X170978Y243951D01* +X171348Y243347D01* +X171747Y242880D01* +Y180800D01* +G37* +G36* +X170001D02*X168253D01* +Y242880D01* +X168652Y243347D01* +X169022Y243951D01* +X169293Y244605D01* +X169458Y245294D01* +X169500Y246000D01* +X169458Y246706D01* +X169293Y247395D01* +X169022Y248049D01* +X168652Y248653D01* +X168253Y249120D01* +Y251499D01* +X168314Y251495D01* +X168377Y251502D01* +X168438Y251518D01* +X168496Y251544D01* +X168549Y251579D01* +X168596Y251621D01* +X168636Y251670D01* +X168667Y251725D01* +X168690Y251784D01* +X168703Y251846D01* +X168706Y251909D01* +X168700Y251972D01* +X168682Y252032D01* +X168641Y252162D01* +X168615Y252296D01* +X168602Y252432D01* +Y252568D01* +X168615Y252704D01* +X168641Y252838D01* +X168681Y252968D01* +X168698Y253029D01* +X168705Y253091D01* +X168701Y253154D01* +X168688Y253216D01* +X168666Y253274D01* +X168634Y253329D01* +X168595Y253378D01* +X168548Y253420D01* +X168495Y253454D01* +X168438Y253480D01* +X168377Y253496D01* +X168314Y253503D01* +X168253Y253500D01* +Y263500D01* +X170001D01* +Y254700D01* +X169893D01* +X169679Y254679D01* +X169469Y254638D01* +X169263Y254576D01* +X169206Y254550D01* +X169153Y254516D01* +X169106Y254474D01* +X169066Y254424D01* +X169034Y254370D01* +X169012Y254311D01* +X168999Y254249D01* +X168995Y254186D01* +X169002Y254123D01* +X169018Y254062D01* +X169044Y254004D01* +X169079Y253951D01* +X169121Y253904D01* +X169170Y253864D01* +X169225Y253833D01* +X169284Y253810D01* +X169346Y253797D01* +X169409Y253794D01* +X169472Y253800D01* +X169532Y253818D01* +X169662Y253859D01* +X169796Y253885D01* +X169932Y253898D01* +X170001D01* +Y251102D01* +X169932D01* +X169796Y251115D01* +X169662Y251141D01* +X169532Y251181D01* +X169471Y251198D01* +X169409Y251205D01* +X169346Y251201D01* +X169284Y251188D01* +X169226Y251166D01* +X169171Y251134D01* +X169122Y251095D01* +X169080Y251048D01* +X169046Y250995D01* +X169020Y250938D01* +X169004Y250877D01* +X168997Y250814D01* +X169000Y250751D01* +X169013Y250690D01* +X169036Y250631D01* +X169067Y250577D01* +X169107Y250528D01* +X169154Y250485D01* +X169206Y250451D01* +X169264Y250427D01* +X169469Y250362D01* +X169679Y250321D01* +X169893Y250300D01* +X170001D01* +Y180800D01* +G37* +G36* +X168253Y249120D02*X168192Y249192D01* +X167653Y249652D01* +X167049Y250022D01* +X166395Y250293D01* +X166300Y250316D01* +Y263500D01* +X168253D01* +Y253500D01* +X168251Y253500D01* +X168190Y253487D01* +X168131Y253464D01* +X168077Y253433D01* +X168028Y253393D01* +X167985Y253346D01* +X167951Y253294D01* +X167927Y253236D01* +X167862Y253031D01* +X167821Y252821D01* +X167800Y252607D01* +Y252393D01* +X167821Y252179D01* +X167862Y251969D01* +X167924Y251763D01* +X167950Y251706D01* +X167984Y251653D01* +X168026Y251606D01* +X168076Y251566D01* +X168130Y251534D01* +X168189Y251512D01* +X168251Y251499D01* +X168253Y251499D01* +Y249120D01* +G37* +G36* +Y180800D02*X155247D01* +Y214000D01* +X155249Y214000D01* +X155310Y214013D01* +X155369Y214036D01* +X155423Y214067D01* +X155472Y214107D01* +X155515Y214154D01* +X155549Y214206D01* +X155573Y214264D01* +X155638Y214469D01* +X155679Y214679D01* +X155700Y214893D01* +Y215107D01* +X155679Y215321D01* +X155638Y215531D01* +X155576Y215737D01* +X155550Y215794D01* +X155516Y215847D01* +X155474Y215894D01* +X155424Y215934D01* +X155370Y215966D01* +X155311Y215988D01* +X155249Y216001D01* +X155247Y216001D01* +Y231700D01* +X163949D01* +X164000Y231696D01* +X164204Y231712D01* +X164204Y231712D01* +X164403Y231760D01* +X164592Y231838D01* +X164766Y231945D01* +X164922Y232078D01* +X164955Y232117D01* +X165883Y233045D01* +X165922Y233078D01* +X166055Y233233D01* +X166055Y233234D01* +X166162Y233408D01* +X166240Y233597D01* +X166288Y233796D01* +X166304Y234000D01* +X166300Y234051D01* +Y241684D01* +X166395Y241707D01* +X167049Y241978D01* +X167653Y242348D01* +X168192Y242808D01* +X168253Y242880D01* +Y180800D01* +G37* +G36* +X155247D02*X153500D01* +Y196858D01* +X153682Y196901D01* +X154002Y197034D01* +X154297Y197215D01* +X154560Y197440D01* +X154785Y197703D01* +X154966Y197998D01* +X155099Y198318D01* +X155180Y198655D01* +X155200Y199000D01* +X155180Y199345D01* +X155099Y199682D01* +X154966Y200002D01* +X154785Y200297D01* +X154560Y200560D01* +X154297Y200785D01* +X154002Y200966D01* +X153682Y201099D01* +X153500Y201142D01* +Y204481D01* +X154579Y204484D01* +X154670Y204506D01* +X154758Y204542D01* +X154838Y204592D01* +X154910Y204653D01* +X154971Y204725D01* +X155021Y204805D01* +X155057Y204893D01* +X155079Y204984D01* +X155084Y205078D01* +X155079Y207142D01* +X155057Y207233D01* +X155021Y207321D01* +X154971Y207401D01* +X154910Y207473D01* +X154838Y207534D01* +X154758Y207584D01* +X154670Y207620D01* +X154579Y207642D01* +X154484Y207648D01* +X153500Y207645D01* +Y208418D01* +X154579Y208421D01* +X154670Y208443D01* +X154758Y208479D01* +X154838Y208529D01* +X154910Y208590D01* +X154971Y208662D01* +X155021Y208742D01* +X155057Y208830D01* +X155079Y208921D01* +X155084Y209016D01* +X155079Y211079D01* +X155057Y211170D01* +X155021Y211258D01* +X154971Y211338D01* +X154910Y211410D01* +X154838Y211471D01* +X154758Y211521D01* +X154670Y211557D01* +X154579Y211579D01* +X154484Y211584D01* +X153500Y211582D01* +Y212800D01* +X153607D01* +X153821Y212821D01* +X154031Y212862D01* +X154237Y212924D01* +X154294Y212950D01* +X154347Y212984D01* +X154394Y213026D01* +X154434Y213076D01* +X154466Y213130D01* +X154488Y213189D01* +X154501Y213251D01* +X154505Y213314D01* +X154498Y213377D01* +X154482Y213438D01* +X154456Y213496D01* +X154421Y213549D01* +X154379Y213596D01* +X154330Y213636D01* +X154275Y213667D01* +X154216Y213690D01* +X154154Y213703D01* +X154091Y213706D01* +X154028Y213700D01* +X153968Y213682D01* +X153838Y213641D01* +X153704Y213615D01* +X153568Y213602D01* +X153500D01* +Y216398D01* +X153568D01* +X153704Y216385D01* +X153838Y216359D01* +X153968Y216319D01* +X154029Y216302D01* +X154091Y216295D01* +X154154Y216299D01* +X154216Y216312D01* +X154274Y216334D01* +X154329Y216366D01* +X154378Y216405D01* +X154420Y216452D01* +X154454Y216505D01* +X154480Y216562D01* +X154496Y216623D01* +X154503Y216686D01* +X154500Y216749D01* +X154487Y216810D01* +X154464Y216869D01* +X154433Y216923D01* +X154393Y216972D01* +X154346Y217015D01* +X154294Y217049D01* +X154236Y217073D01* +X154031Y217138D01* +X153821Y217179D01* +X153607Y217200D01* +X153500D01* +Y231700D01* +X155247D01* +Y216001D01* +X155186Y216005D01* +X155123Y215998D01* +X155062Y215982D01* +X155004Y215956D01* +X154951Y215921D01* +X154904Y215879D01* +X154864Y215830D01* +X154833Y215775D01* +X154810Y215716D01* +X154797Y215654D01* +X154794Y215591D01* +X154800Y215528D01* +X154818Y215468D01* +X154859Y215338D01* +X154885Y215204D01* +X154898Y215068D01* +Y214932D01* +X154885Y214796D01* +X154859Y214662D01* +X154819Y214532D01* +X154802Y214471D01* +X154795Y214409D01* +X154799Y214346D01* +X154812Y214284D01* +X154834Y214226D01* +X154866Y214171D01* +X154905Y214122D01* +X154952Y214080D01* +X155005Y214046D01* +X155062Y214020D01* +X155123Y214004D01* +X155186Y213997D01* +X155247Y214000D01* +Y180800D01* +G37* +G36* +X153500Y207645D02*X152421Y207642D01* +X152330Y207620D01* +X152242Y207584D01* +X152162Y207534D01* +X152090Y207473D01* +X152029Y207401D01* +X152005Y207363D01* +X151864D01* +X151813Y207367D01* +X151753Y207362D01* +Y213999D01* +X151814Y213995D01* +X151877Y214002D01* +X151938Y214018D01* +X151996Y214044D01* +X152049Y214079D01* +X152096Y214121D01* +X152136Y214170D01* +X152167Y214225D01* +X152190Y214284D01* +X152203Y214346D01* +X152206Y214409D01* +X152200Y214472D01* +X152182Y214532D01* +X152141Y214662D01* +X152115Y214796D01* +X152102Y214932D01* +Y215068D01* +X152115Y215204D01* +X152141Y215338D01* +X152181Y215468D01* +X152198Y215529D01* +X152205Y215591D01* +X152201Y215654D01* +X152188Y215716D01* +X152166Y215774D01* +X152134Y215829D01* +X152095Y215878D01* +X152048Y215920D01* +X151995Y215954D01* +X151938Y215980D01* +X151877Y215996D01* +X151814Y216003D01* +X151753Y216000D01* +Y231700D01* +X153500D01* +Y217200D01* +X153393D01* +X153179Y217179D01* +X152969Y217138D01* +X152763Y217076D01* +X152706Y217050D01* +X152653Y217016D01* +X152606Y216974D01* +X152566Y216924D01* +X152534Y216870D01* +X152512Y216811D01* +X152499Y216749D01* +X152495Y216686D01* +X152502Y216623D01* +X152518Y216562D01* +X152544Y216504D01* +X152579Y216451D01* +X152621Y216404D01* +X152670Y216364D01* +X152725Y216333D01* +X152784Y216310D01* +X152846Y216297D01* +X152909Y216294D01* +X152972Y216300D01* +X153032Y216318D01* +X153162Y216359D01* +X153296Y216385D01* +X153432Y216398D01* +X153500D01* +Y213602D01* +X153432D01* +X153296Y213615D01* +X153162Y213641D01* +X153032Y213681D01* +X152971Y213698D01* +X152909Y213705D01* +X152846Y213701D01* +X152784Y213688D01* +X152726Y213666D01* +X152671Y213634D01* +X152622Y213595D01* +X152580Y213548D01* +X152546Y213495D01* +X152520Y213438D01* +X152504Y213377D01* +X152497Y213314D01* +X152500Y213251D01* +X152513Y213190D01* +X152536Y213131D01* +X152567Y213077D01* +X152607Y213028D01* +X152654Y212985D01* +X152706Y212951D01* +X152764Y212927D01* +X152969Y212862D01* +X153179Y212821D01* +X153393Y212800D01* +X153500D01* +Y211582D01* +X152421Y211579D01* +X152330Y211557D01* +X152242Y211521D01* +X152162Y211471D01* +X152090Y211410D01* +X152029Y211338D01* +X151979Y211258D01* +X151943Y211170D01* +X151921Y211079D01* +X151916Y210984D01* +X151921Y208921D01* +X151943Y208830D01* +X151979Y208742D01* +X152029Y208662D01* +X152090Y208590D01* +X152162Y208529D01* +X152242Y208479D01* +X152330Y208443D01* +X152421Y208421D01* +X152516Y208416D01* +X153500Y208418D01* +Y207645D01* +G37* +G36* +X151753Y207362D02*X151609Y207351D01* +X151410Y207303D01* +X151221Y207225D01* +X151047Y207118D01* +X151046Y207118D01* +X150891Y206985D01* +X150858Y206946D01* +X149892Y205981D01* +X149892Y206641D01* +X149859Y206779D01* +X149804Y206910D01* +X149730Y207031D01* +X149638Y207138D01* +X149531Y207230D01* +X149410Y207304D01* +X149279Y207359D01* +X149141Y207392D01* +X149000Y207400D01* +X146859Y207392D01* +X146800Y207378D01* +Y215462D01* +X148883Y217545D01* +X148922Y217578D01* +X148947Y217608D01* +X149141Y217608D01* +X149279Y217641D01* +X149410Y217696D01* +X149531Y217770D01* +X149638Y217862D01* +X149730Y217969D01* +X149804Y218090D01* +X149859Y218221D01* +X149892Y218359D01* +X149900Y218500D01* +X149892Y227141D01* +X149859Y227279D01* +X149804Y227410D01* +X149730Y227531D01* +X149638Y227638D01* +X149531Y227730D01* +X149410Y227804D01* +X149279Y227859D01* +X149141Y227892D01* +X149000Y227900D01* +X146859Y227892D01* +X146721Y227859D01* +X146590Y227804D01* +X146469Y227730D01* +X146362Y227638D01* +X146270Y227531D01* +X146196Y227410D01* +X146141Y227279D01* +X146108Y227141D01* +X146100Y227000D01* +X146108Y218447D01* +X144617Y216955D01* +X144578Y216922D01* +X144445Y216766D01* +X144338Y216592D01* +X144260Y216403D01* +X144212Y216204D01* +X144212Y216204D01* +X144196Y216000D01* +X144200Y215949D01* +Y207378D01* +X144141Y207392D01* +X144000Y207400D01* +X141859Y207392D01* +X141721Y207359D01* +X141590Y207304D01* +X141469Y207230D01* +X141362Y207138D01* +X141270Y207031D01* +X141196Y206910D01* +X141141Y206779D01* +X141108Y206641D01* +X141100Y206500D01* +X141108Y197859D01* +X141141Y197721D01* +X141196Y197590D01* +X141270Y197469D01* +X141362Y197362D01* +X141469Y197270D01* +X141590Y197196D01* +X141700Y197150D01* +Y178551D01* +X141696Y178500D01* +X141712Y178296D01* +Y178296D01* +X141724Y178248D01* +X141760Y178097D01* +X141838Y177908D01* +X141945Y177734D01* +X141945Y177733D01* +X142078Y177578D01* +X142117Y177545D01* +X142862Y176800D01* +X137038D01* +X136800Y177038D01* +Y197122D01* +X136859Y197108D01* +X137000Y197100D01* +X139141Y197108D01* +X139279Y197141D01* +X139410Y197196D01* +X139531Y197270D01* +X139638Y197362D01* +X139730Y197469D01* +X139804Y197590D01* +X139859Y197721D01* +X139892Y197859D01* +X139900Y198000D01* +X139892Y206641D01* +X139859Y206779D01* +X139804Y206910D01* +X139730Y207031D01* +X139638Y207138D01* +X139531Y207230D01* +X139410Y207304D01* +X139300Y207350D01* +Y213962D01* +X141383Y216045D01* +X141422Y216078D01* +X141555Y216233D01* +X141555Y216234D01* +X141662Y216408D01* +X141740Y216597D01* +X141788Y216796D01* +X141804Y217000D01* +X141800Y217051D01* +Y217622D01* +X141859Y217608D01* +X142000Y217600D01* +X144141Y217608D01* +X144279Y217641D01* +X144410Y217696D01* +X144531Y217770D01* +X144638Y217862D01* +X144730Y217969D01* +X144804Y218090D01* +X144859Y218221D01* +X144892Y218359D01* +X144900Y218500D01* +X144892Y227141D01* +X144859Y227279D01* +X144804Y227410D01* +X144730Y227531D01* +X144638Y227638D01* +X144531Y227730D01* +X144410Y227804D01* +X144300Y227850D01* +Y230962D01* +X145038Y231700D01* +X151753D01* +Y216000D01* +X151751Y216000D01* +X151690Y215987D01* +X151631Y215964D01* +X151577Y215933D01* +X151528Y215893D01* +X151485Y215846D01* +X151451Y215794D01* +X151427Y215736D01* +X151362Y215531D01* +X151321Y215321D01* +X151300Y215107D01* +Y214893D01* +X151321Y214679D01* +X151362Y214469D01* +X151424Y214263D01* +X151450Y214206D01* +X151484Y214153D01* +X151526Y214106D01* +X151576Y214066D01* +X151630Y214034D01* +X151689Y214012D01* +X151751Y213999D01* +X151753Y213999D01* +Y207362D01* +G37* +G36* +X153500Y201142D02*X153345Y201180D01* +X153000Y201207D01* +X152655Y201180D01* +X152318Y201099D01* +X151998Y200966D01* +X151703Y200785D01* +X151487Y200601D01* +X149896Y202193D01* +X149896Y202307D01* +X152173Y204585D01* +X152242Y204542D01* +X152330Y204506D01* +X152421Y204484D01* +X152516Y204478D01* +X153500Y204481D01* +Y201142D01* +G37* +G36* +Y180800D02*X147038D01* +X146800Y181038D01* +Y197122D01* +X146859Y197108D01* +X147000Y197100D01* +X149141Y197108D01* +X149279Y197141D01* +X149410Y197196D01* +X149531Y197270D01* +X149638Y197362D01* +X149730Y197469D01* +X149804Y197590D01* +X149859Y197721D01* +X149892Y197859D01* +X149900Y198000D01* +X149900Y198512D01* +X150295Y198117D01* +X150328Y198078D01* +X150483Y197945D01* +X150484Y197945D01* +X150592Y197879D01* +X150658Y197838D01* +X150847Y197760D01* +X151046Y197712D01* +X151046Y197712D01* +X151218Y197698D01* +X151440Y197440D01* +X151703Y197215D01* +X151998Y197034D01* +X152318Y196901D01* +X152655Y196820D01* +X153000Y196793D01* +X153345Y196820D01* +X153500Y196858D01* +Y180800D01* +G37* +G36* +X114247Y233415D02*X117500Y230162D01* +Y227894D01* +X116859Y227892D01* +X116721Y227859D01* +X116590Y227804D01* +X116469Y227730D01* +X116362Y227638D01* +X116270Y227531D01* +X116196Y227410D01* +X116141Y227279D01* +X116108Y227141D01* +X116100Y227000D01* +X116108Y218359D01* +X116141Y218221D01* +X116196Y218090D01* +X116270Y217969D01* +X116362Y217862D01* +X116469Y217770D01* +X116590Y217696D01* +X116721Y217641D01* +X116859Y217608D01* +X117000Y217600D01* +X117500Y217602D01* +Y215838D01* +X117117Y215455D01* +X117078Y215422D01* +X116945Y215266D01* +X116838Y215092D01* +X116760Y214903D01* +X116712Y214704D01* +X116712Y214704D01* +X116696Y214500D01* +X116700Y214449D01* +Y207350D01* +X116590Y207304D01* +X116469Y207230D01* +X116362Y207138D01* +X116270Y207031D01* +X116196Y206910D01* +X116141Y206779D01* +X116108Y206641D01* +X116100Y206500D01* +X116108Y197859D01* +X116141Y197721D01* +X116196Y197590D01* +X116270Y197469D01* +X116362Y197362D01* +X116469Y197270D01* +X116590Y197196D01* +X116721Y197141D01* +X116859Y197108D01* +X117000Y197100D01* +X117500Y197102D01* +Y196000D01* +X114247D01* +Y223500D01* +X114249Y223500D01* +X114310Y223513D01* +X114369Y223536D01* +X114423Y223567D01* +X114472Y223607D01* +X114515Y223654D01* +X114549Y223706D01* +X114573Y223764D01* +X114638Y223969D01* +X114679Y224179D01* +X114700Y224393D01* +Y224607D01* +X114679Y224821D01* +X114638Y225031D01* +X114576Y225237D01* +X114550Y225294D01* +X114516Y225347D01* +X114474Y225394D01* +X114424Y225434D01* +X114370Y225466D01* +X114311Y225488D01* +X114249Y225501D01* +X114247Y225501D01* +Y233415D01* +G37* +G36* +X112501Y242254D02*X112951Y241978D01* +X113605Y241707D01* +X113700Y241684D01* +Y234551D01* +X113696Y234500D01* +X113712Y234296D01* +X113712Y234296D01* +X113760Y234097D01* +X113838Y233908D01* +X113879Y233842D01* +X113945Y233734D01* +X113945Y233733D01* +X114078Y233578D01* +X114117Y233545D01* +X114247Y233415D01* +Y225501D01* +X114186Y225505D01* +X114123Y225498D01* +X114062Y225482D01* +X114004Y225456D01* +X113951Y225421D01* +X113904Y225379D01* +X113864Y225330D01* +X113833Y225275D01* +X113810Y225216D01* +X113797Y225154D01* +X113794Y225091D01* +X113800Y225028D01* +X113818Y224968D01* +X113859Y224838D01* +X113885Y224704D01* +X113898Y224568D01* +Y224432D01* +X113885Y224296D01* +X113859Y224162D01* +X113819Y224032D01* +X113802Y223971D01* +X113795Y223909D01* +X113799Y223846D01* +X113812Y223784D01* +X113834Y223726D01* +X113866Y223671D01* +X113905Y223622D01* +X113952Y223580D01* +X114005Y223546D01* +X114062Y223520D01* +X114123Y223504D01* +X114186Y223497D01* +X114247Y223500D01* +Y196000D01* +X112501D01* +Y222300D01* +X112607D01* +X112821Y222321D01* +X113031Y222362D01* +X113237Y222424D01* +X113294Y222450D01* +X113347Y222484D01* +X113394Y222526D01* +X113434Y222576D01* +X113466Y222630D01* +X113488Y222689D01* +X113501Y222751D01* +X113505Y222814D01* +X113498Y222877D01* +X113482Y222938D01* +X113456Y222996D01* +X113421Y223049D01* +X113379Y223096D01* +X113330Y223136D01* +X113275Y223167D01* +X113216Y223190D01* +X113154Y223203D01* +X113091Y223206D01* +X113028Y223200D01* +X112968Y223182D01* +X112838Y223141D01* +X112704Y223115D01* +X112568Y223102D01* +X112501D01* +Y225898D01* +X112568D01* +X112704Y225885D01* +X112838Y225859D01* +X112968Y225819D01* +X113029Y225802D01* +X113091Y225795D01* +X113154Y225799D01* +X113216Y225812D01* +X113274Y225834D01* +X113329Y225866D01* +X113378Y225905D01* +X113420Y225952D01* +X113454Y226005D01* +X113480Y226062D01* +X113496Y226123D01* +X113503Y226186D01* +X113500Y226249D01* +X113487Y226310D01* +X113464Y226369D01* +X113433Y226423D01* +X113393Y226472D01* +X113346Y226515D01* +X113294Y226549D01* +X113236Y226573D01* +X113031Y226638D01* +X112821Y226679D01* +X112607Y226700D01* +X112501D01* +Y242254D01* +G37* +G36* +X113247Y262000D02*X113700D01* +Y250316D01* +X113605Y250293D01* +X113247Y250145D01* +Y251500D01* +X113249Y251500D01* +X113310Y251513D01* +X113369Y251536D01* +X113423Y251567D01* +X113472Y251607D01* +X113515Y251654D01* +X113549Y251706D01* +X113573Y251764D01* +X113638Y251969D01* +X113679Y252179D01* +X113700Y252393D01* +Y252607D01* +X113679Y252821D01* +X113638Y253031D01* +X113576Y253237D01* +X113550Y253294D01* +X113516Y253347D01* +X113474Y253394D01* +X113424Y253434D01* +X113370Y253466D01* +X113311Y253488D01* +X113249Y253501D01* +X113247Y253501D01* +Y262000D01* +G37* +G36* +X112501D02*X113247D01* +Y253501D01* +X113186Y253505D01* +X113123Y253498D01* +X113062Y253482D01* +X113004Y253456D01* +X112951Y253421D01* +X112904Y253379D01* +X112864Y253330D01* +X112833Y253275D01* +X112810Y253216D01* +X112797Y253154D01* +X112794Y253091D01* +X112800Y253028D01* +X112818Y252968D01* +X112859Y252838D01* +X112885Y252704D01* +X112898Y252568D01* +Y252432D01* +X112885Y252296D01* +X112859Y252162D01* +X112819Y252032D01* +X112802Y251971D01* +X112795Y251909D01* +X112799Y251846D01* +X112812Y251784D01* +X112834Y251726D01* +X112866Y251671D01* +X112905Y251622D01* +X112952Y251580D01* +X113005Y251546D01* +X113062Y251520D01* +X113123Y251504D01* +X113186Y251497D01* +X113247Y251500D01* +Y250145D01* +X112951Y250022D01* +X112501Y249746D01* +Y250749D01* +X112501Y250751D01* +X112505Y250814D01* +X112501Y250850D01* +Y254165D01* +X112503Y254186D01* +X112501Y254227D01* +Y262000D01* +G37* +G36* +X110753Y244494D02*X110978Y243951D01* +X111348Y243347D01* +X111808Y242808D01* +X112347Y242348D01* +X112501Y242254D01* +Y226700D01* +X112393D01* +X112179Y226679D01* +X111969Y226638D01* +X111763Y226576D01* +X111706Y226550D01* +X111653Y226516D01* +X111606Y226474D01* +X111566Y226424D01* +X111534Y226370D01* +X111512Y226311D01* +X111499Y226249D01* +X111495Y226186D01* +X111502Y226123D01* +X111518Y226062D01* +X111544Y226004D01* +X111579Y225951D01* +X111621Y225904D01* +X111670Y225864D01* +X111725Y225833D01* +X111784Y225810D01* +X111846Y225797D01* +X111909Y225794D01* +X111972Y225800D01* +X112032Y225818D01* +X112162Y225859D01* +X112296Y225885D01* +X112432Y225898D01* +X112501D01* +Y223102D01* +X112432D01* +X112296Y223115D01* +X112162Y223141D01* +X112032Y223181D01* +X111971Y223198D01* +X111909Y223205D01* +X111846Y223201D01* +X111784Y223188D01* +X111726Y223166D01* +X111671Y223134D01* +X111622Y223095D01* +X111580Y223048D01* +X111546Y222995D01* +X111520Y222938D01* +X111504Y222877D01* +X111497Y222814D01* +X111500Y222751D01* +X111513Y222690D01* +X111536Y222631D01* +X111567Y222577D01* +X111607Y222528D01* +X111654Y222485D01* +X111706Y222451D01* +X111764Y222427D01* +X111969Y222362D01* +X112179Y222321D01* +X112393Y222300D01* +X112501D01* +Y196000D01* +X110753D01* +Y223499D01* +X110814Y223495D01* +X110877Y223502D01* +X110938Y223518D01* +X110996Y223544D01* +X111049Y223579D01* +X111096Y223621D01* +X111136Y223670D01* +X111167Y223725D01* +X111190Y223784D01* +X111203Y223846D01* +X111206Y223909D01* +X111200Y223972D01* +X111182Y224032D01* +X111141Y224162D01* +X111115Y224296D01* +X111102Y224432D01* +Y224568D01* +X111115Y224704D01* +X111141Y224838D01* +X111181Y224968D01* +X111198Y225029D01* +X111205Y225091D01* +X111201Y225154D01* +X111188Y225216D01* +X111166Y225274D01* +X111134Y225329D01* +X111095Y225378D01* +X111048Y225420D01* +X110995Y225454D01* +X110938Y225480D01* +X110877Y225496D01* +X110814Y225503D01* +X110753Y225500D01* +Y244494D01* +G37* +G36* +Y250431D02*X110764Y250427D01* +X110969Y250362D01* +X111179Y250321D01* +X111393Y250300D01* +X111607D01* +X111821Y250321D01* +X112031Y250362D01* +X112237Y250424D01* +X112294Y250450D01* +X112347Y250484D01* +X112394Y250526D01* +X112434Y250576D01* +X112466Y250630D01* +X112488Y250689D01* +X112501Y250749D01* +Y249746D01* +X112347Y249652D01* +X111808Y249192D01* +X111348Y248653D01* +X110978Y248049D01* +X110753Y247506D01* +Y250431D01* +G37* +G36* +Y253822D02*X110784Y253810D01* +X110846Y253797D01* +X110909Y253794D01* +X110972Y253800D01* +X111032Y253818D01* +X111162Y253859D01* +X111296Y253885D01* +X111432Y253898D01* +X111568D01* +X111704Y253885D01* +X111838Y253859D01* +X111968Y253819D01* +X112029Y253802D01* +X112091Y253795D01* +X112154Y253799D01* +X112216Y253812D01* +X112274Y253834D01* +X112329Y253866D01* +X112378Y253905D01* +X112420Y253952D01* +X112454Y254005D01* +X112480Y254062D01* +X112496Y254123D01* +X112501Y254165D01* +Y250850D01* +X112498Y250877D01* +X112482Y250938D01* +X112456Y250996D01* +X112421Y251049D01* +X112379Y251096D01* +X112330Y251136D01* +X112275Y251167D01* +X112216Y251190D01* +X112154Y251203D01* +X112091Y251206D01* +X112028Y251200D01* +X111968Y251182D01* +X111838Y251141D01* +X111704Y251115D01* +X111568Y251102D01* +X111432D01* +X111296Y251115D01* +X111162Y251141D01* +X111032Y251181D01* +X110971Y251198D01* +X110909Y251205D01* +X110846Y251201D01* +X110784Y251188D01* +X110753Y251176D01* +Y253822D01* +G37* +G36* +Y262000D02*X112501D01* +Y254227D01* +X112500Y254249D01* +X112487Y254310D01* +X112464Y254369D01* +X112433Y254423D01* +X112393Y254472D01* +X112346Y254515D01* +X112294Y254549D01* +X112236Y254573D01* +X112031Y254638D01* +X111821Y254679D01* +X111607Y254700D01* +X111393D01* +X111179Y254679D01* +X110969Y254638D01* +X110763Y254576D01* +X110753Y254571D01* +Y262000D01* +G37* +G36* +X109753D02*X110753D01* +Y254571D01* +X110706Y254550D01* +X110653Y254516D01* +X110606Y254474D01* +X110566Y254424D01* +X110534Y254370D01* +X110512Y254311D01* +X110499Y254249D01* +X110495Y254186D01* +X110502Y254123D01* +X110518Y254062D01* +X110544Y254004D01* +X110579Y253951D01* +X110621Y253904D01* +X110670Y253864D01* +X110725Y253833D01* +X110753Y253822D01* +Y251176D01* +X110726Y251166D01* +X110671Y251134D01* +X110622Y251095D01* +X110580Y251048D01* +X110546Y250995D01* +X110520Y250938D01* +X110504Y250877D01* +X110497Y250814D01* +X110500Y250751D01* +X110513Y250690D01* +X110536Y250631D01* +X110567Y250577D01* +X110607Y250528D01* +X110654Y250485D01* +X110706Y250451D01* +X110753Y250431D01* +Y247506D01* +X110707Y247395D01* +X110542Y246706D01* +X110486Y246000D01* +X110542Y245294D01* +X110707Y244605D01* +X110753Y244494D01* +Y225500D01* +X110751Y225500D01* +X110690Y225487D01* +X110631Y225464D01* +X110577Y225433D01* +X110528Y225393D01* +X110485Y225346D01* +X110451Y225294D01* +X110427Y225236D01* +X110362Y225031D01* +X110321Y224821D01* +X110300Y224607D01* +Y224393D01* +X110321Y224179D01* +X110362Y223969D01* +X110424Y223763D01* +X110450Y223706D01* +X110484Y223653D01* +X110526Y223606D01* +X110576Y223566D01* +X110630Y223534D01* +X110689Y223512D01* +X110751Y223499D01* +X110753Y223499D01* +Y196000D01* +X109753D01* +Y251499D01* +X109814Y251495D01* +X109877Y251502D01* +X109938Y251518D01* +X109996Y251544D01* +X110049Y251579D01* +X110096Y251621D01* +X110136Y251670D01* +X110167Y251725D01* +X110190Y251784D01* +X110203Y251846D01* +X110206Y251909D01* +X110200Y251972D01* +X110182Y252032D01* +X110141Y252162D01* +X110115Y252296D01* +X110102Y252432D01* +Y252568D01* +X110115Y252704D01* +X110141Y252838D01* +X110181Y252968D01* +X110198Y253029D01* +X110205Y253091D01* +X110201Y253154D01* +X110188Y253216D01* +X110166Y253274D01* +X110134Y253329D01* +X110095Y253378D01* +X110048Y253420D01* +X109995Y253454D01* +X109938Y253480D01* +X109877Y253496D01* +X109814Y253503D01* +X109753Y253500D01* +Y262000D01* +G37* +G36* +X109300D02*X109753D01* +Y253500D01* +X109751Y253500D01* +X109690Y253487D01* +X109631Y253464D01* +X109577Y253433D01* +X109528Y253393D01* +X109485Y253346D01* +X109451Y253294D01* +X109427Y253236D01* +X109362Y253031D01* +X109321Y252821D01* +X109300Y252607D01* +Y252393D01* +X109321Y252179D01* +X109362Y251969D01* +X109424Y251763D01* +X109450Y251706D01* +X109484Y251653D01* +X109526Y251606D01* +X109576Y251566D01* +X109630Y251534D01* +X109689Y251512D01* +X109751Y251499D01* +X109753Y251499D01* +Y196000D01* +X109300D01* +Y262000D01* +G37* +G36* +X141747Y264500D02*X143700D01* +Y250316D01* +X143605Y250293D01* +X142951Y250022D01* +X142347Y249652D01* +X141808Y249192D01* +X141747Y249120D01* +Y251500D01* +X141749Y251500D01* +X141810Y251513D01* +X141869Y251536D01* +X141923Y251567D01* +X141972Y251607D01* +X142015Y251654D01* +X142049Y251706D01* +X142073Y251764D01* +X142138Y251969D01* +X142179Y252179D01* +X142200Y252393D01* +Y252607D01* +X142179Y252821D01* +X142138Y253031D01* +X142076Y253237D01* +X142050Y253294D01* +X142016Y253347D01* +X141974Y253394D01* +X141924Y253434D01* +X141870Y253466D01* +X141811Y253488D01* +X141749Y253501D01* +X141747Y253501D01* +Y264500D01* +G37* +G36* +X140001D02*X141747D01* +Y253501D01* +X141686Y253505D01* +X141623Y253498D01* +X141562Y253482D01* +X141504Y253456D01* +X141451Y253421D01* +X141404Y253379D01* +X141364Y253330D01* +X141333Y253275D01* +X141310Y253216D01* +X141297Y253154D01* +X141294Y253091D01* +X141300Y253028D01* +X141318Y252968D01* +X141359Y252838D01* +X141385Y252704D01* +X141398Y252568D01* +Y252432D01* +X141385Y252296D01* +X141359Y252162D01* +X141319Y252032D01* +X141302Y251971D01* +X141295Y251909D01* +X141299Y251846D01* +X141312Y251784D01* +X141334Y251726D01* +X141366Y251671D01* +X141405Y251622D01* +X141452Y251580D01* +X141505Y251546D01* +X141562Y251520D01* +X141623Y251504D01* +X141686Y251497D01* +X141747Y251500D01* +Y249120D01* +X141348Y248653D01* +X140978Y248049D01* +X140707Y247395D01* +X140542Y246706D01* +X140486Y246000D01* +X140542Y245294D01* +X140707Y244605D01* +X140978Y243951D01* +X141029Y243868D01* +X140001Y242839D01* +Y250300D01* +X140107D01* +X140321Y250321D01* +X140531Y250362D01* +X140737Y250424D01* +X140794Y250450D01* +X140847Y250484D01* +X140894Y250526D01* +X140934Y250576D01* +X140966Y250630D01* +X140988Y250689D01* +X141001Y250751D01* +X141005Y250814D01* +X140998Y250877D01* +X140982Y250938D01* +X140956Y250996D01* +X140921Y251049D01* +X140879Y251096D01* +X140830Y251136D01* +X140775Y251167D01* +X140716Y251190D01* +X140654Y251203D01* +X140591Y251206D01* +X140528Y251200D01* +X140468Y251182D01* +X140338Y251141D01* +X140204Y251115D01* +X140068Y251102D01* +X140001D01* +Y253898D01* +X140068D01* +X140204Y253885D01* +X140338Y253859D01* +X140468Y253819D01* +X140529Y253802D01* +X140591Y253795D01* +X140654Y253799D01* +X140716Y253812D01* +X140774Y253834D01* +X140829Y253866D01* +X140878Y253905D01* +X140920Y253952D01* +X140954Y254005D01* +X140980Y254062D01* +X140996Y254123D01* +X141003Y254186D01* +X141000Y254249D01* +X140987Y254310D01* +X140964Y254369D01* +X140933Y254423D01* +X140893Y254472D01* +X140846Y254515D01* +X140794Y254549D01* +X140736Y254573D01* +X140531Y254638D01* +X140321Y254679D01* +X140107Y254700D01* +X140001D01* +Y264500D01* +G37* +G36* +X138253D02*X140001D01* +Y254700D01* +X139893D01* +X139679Y254679D01* +X139469Y254638D01* +X139263Y254576D01* +X139206Y254550D01* +X139153Y254516D01* +X139106Y254474D01* +X139066Y254424D01* +X139034Y254370D01* +X139012Y254311D01* +X138999Y254249D01* +X138995Y254186D01* +X139002Y254123D01* +X139018Y254062D01* +X139044Y254004D01* +X139079Y253951D01* +X139121Y253904D01* +X139170Y253864D01* +X139225Y253833D01* +X139284Y253810D01* +X139346Y253797D01* +X139409Y253794D01* +X139472Y253800D01* +X139532Y253818D01* +X139662Y253859D01* +X139796Y253885D01* +X139932Y253898D01* +X140001D01* +Y251102D01* +X139932D01* +X139796Y251115D01* +X139662Y251141D01* +X139532Y251181D01* +X139471Y251198D01* +X139409Y251205D01* +X139346Y251201D01* +X139284Y251188D01* +X139226Y251166D01* +X139171Y251134D01* +X139122Y251095D01* +X139080Y251048D01* +X139046Y250995D01* +X139020Y250938D01* +X139004Y250877D01* +X138997Y250814D01* +X139000Y250751D01* +X139013Y250690D01* +X139036Y250631D01* +X139067Y250577D01* +X139107Y250528D01* +X139154Y250485D01* +X139206Y250451D01* +X139264Y250427D01* +X139469Y250362D01* +X139679Y250321D01* +X139893Y250300D01* +X140001D01* +Y242839D01* +X138253Y241092D01* +Y242880D01* +X138652Y243347D01* +X139022Y243951D01* +X139293Y244605D01* +X139458Y245294D01* +X139500Y246000D01* +X139458Y246706D01* +X139293Y247395D01* +X139022Y248049D01* +X138652Y248653D01* +X138253Y249120D01* +Y251499D01* +X138314Y251495D01* +X138377Y251502D01* +X138438Y251518D01* +X138496Y251544D01* +X138549Y251579D01* +X138596Y251621D01* +X138636Y251670D01* +X138667Y251725D01* +X138690Y251784D01* +X138703Y251846D01* +X138706Y251909D01* +X138700Y251972D01* +X138682Y252032D01* +X138641Y252162D01* +X138615Y252296D01* +X138602Y252432D01* +Y252568D01* +X138615Y252704D01* +X138641Y252838D01* +X138681Y252968D01* +X138698Y253029D01* +X138705Y253091D01* +X138701Y253154D01* +X138688Y253216D01* +X138666Y253274D01* +X138634Y253329D01* +X138595Y253378D01* +X138548Y253420D01* +X138495Y253454D01* +X138438Y253480D01* +X138377Y253496D01* +X138314Y253503D01* +X138253Y253500D01* +Y264500D01* +G37* +G36* +Y241092D02*X137462Y240300D01* +X135051D01* +X135000Y240304D01* +Y241486D01* +X135706Y241542D01* +X136395Y241707D01* +X137049Y241978D01* +X137653Y242348D01* +X138192Y242808D01* +X138253Y242880D01* +Y241092D01* +G37* +G36* +X136300Y264500D02*X138253D01* +Y253500D01* +X138251Y253500D01* +X138190Y253487D01* +X138131Y253464D01* +X138077Y253433D01* +X138028Y253393D01* +X137985Y253346D01* +X137951Y253294D01* +X137927Y253236D01* +X137862Y253031D01* +X137821Y252821D01* +X137800Y252607D01* +Y252393D01* +X137821Y252179D01* +X137862Y251969D01* +X137924Y251763D01* +X137950Y251706D01* +X137984Y251653D01* +X138026Y251606D01* +X138076Y251566D01* +X138130Y251534D01* +X138189Y251512D01* +X138251Y251499D01* +X138253Y251499D01* +Y249120D01* +X138192Y249192D01* +X137653Y249652D01* +X137049Y250022D01* +X136395Y250293D01* +X136300Y250316D01* +Y264500D01* +G37* +G36* +X161747Y242880D02*X161808Y242808D01* +X162347Y242348D01* +X162951Y241978D01* +X163605Y241707D01* +X163700Y241684D01* +Y234538D01* +X163462Y234300D01* +X161747D01* +Y242880D01* +G37* +G36* +Y262500D02*X163700D01* +Y250316D01* +X163605Y250293D01* +X162951Y250022D01* +X162347Y249652D01* +X161808Y249192D01* +X161747Y249120D01* +Y251000D01* +X161749Y251000D01* +X161810Y251013D01* +X161869Y251036D01* +X161923Y251067D01* +X161972Y251107D01* +X162015Y251154D01* +X162049Y251206D01* +X162073Y251264D01* +X162138Y251469D01* +X162179Y251679D01* +X162200Y251893D01* +Y252107D01* +X162179Y252321D01* +X162138Y252531D01* +X162076Y252737D01* +X162050Y252794D01* +X162016Y252847D01* +X161974Y252894D01* +X161924Y252934D01* +X161870Y252966D01* +X161811Y252988D01* +X161749Y253001D01* +X161747Y253001D01* +Y262500D01* +G37* +G36* +X160001D02*X161747D01* +Y253001D01* +X161686Y253005D01* +X161623Y252998D01* +X161562Y252982D01* +X161504Y252956D01* +X161451Y252921D01* +X161404Y252879D01* +X161364Y252830D01* +X161333Y252775D01* +X161310Y252716D01* +X161297Y252654D01* +X161294Y252591D01* +X161300Y252528D01* +X161318Y252468D01* +X161359Y252338D01* +X161385Y252204D01* +X161398Y252068D01* +Y251932D01* +X161385Y251796D01* +X161359Y251662D01* +X161319Y251532D01* +X161302Y251471D01* +X161295Y251409D01* +X161299Y251346D01* +X161312Y251284D01* +X161334Y251226D01* +X161366Y251171D01* +X161405Y251122D01* +X161452Y251080D01* +X161505Y251046D01* +X161562Y251020D01* +X161623Y251004D01* +X161686Y250997D01* +X161747Y251000D01* +Y249120D01* +X161348Y248653D01* +X160978Y248049D01* +X160707Y247395D01* +X160542Y246706D01* +X160486Y246000D01* +X160542Y245294D01* +X160707Y244605D01* +X160978Y243951D01* +X161348Y243347D01* +X161747Y242880D01* +Y234300D01* +X160001D01* +Y249800D01* +X160107D01* +X160321Y249821D01* +X160531Y249862D01* +X160737Y249924D01* +X160794Y249950D01* +X160847Y249984D01* +X160894Y250026D01* +X160934Y250076D01* +X160966Y250130D01* +X160988Y250189D01* +X161001Y250251D01* +X161005Y250314D01* +X160998Y250377D01* +X160982Y250438D01* +X160956Y250496D01* +X160921Y250549D01* +X160879Y250596D01* +X160830Y250636D01* +X160775Y250667D01* +X160716Y250690D01* +X160654Y250703D01* +X160591Y250706D01* +X160528Y250700D01* +X160468Y250682D01* +X160338Y250641D01* +X160204Y250615D01* +X160068Y250602D01* +X160001D01* +Y253398D01* +X160068D01* +X160204Y253385D01* +X160338Y253359D01* +X160468Y253319D01* +X160529Y253302D01* +X160591Y253295D01* +X160654Y253299D01* +X160716Y253312D01* +X160774Y253334D01* +X160829Y253366D01* +X160878Y253405D01* +X160920Y253452D01* +X160954Y253505D01* +X160980Y253562D01* +X160996Y253623D01* +X161003Y253686D01* +X161000Y253749D01* +X160987Y253810D01* +X160964Y253869D01* +X160933Y253923D01* +X160893Y253972D01* +X160846Y254015D01* +X160794Y254049D01* +X160736Y254073D01* +X160531Y254138D01* +X160321Y254179D01* +X160107Y254200D01* +X160001D01* +Y262500D01* +G37* +G36* +X158253D02*X160001D01* +Y254200D01* +X159893D01* +X159679Y254179D01* +X159469Y254138D01* +X159263Y254076D01* +X159206Y254050D01* +X159153Y254016D01* +X159106Y253974D01* +X159066Y253924D01* +X159034Y253870D01* +X159012Y253811D01* +X158999Y253749D01* +X158995Y253686D01* +X159002Y253623D01* +X159018Y253562D01* +X159044Y253504D01* +X159079Y253451D01* +X159121Y253404D01* +X159170Y253364D01* +X159225Y253333D01* +X159284Y253310D01* +X159346Y253297D01* +X159409Y253294D01* +X159472Y253300D01* +X159532Y253318D01* +X159662Y253359D01* +X159796Y253385D01* +X159932Y253398D01* +X160001D01* +Y250602D01* +X159932D01* +X159796Y250615D01* +X159662Y250641D01* +X159532Y250681D01* +X159471Y250698D01* +X159409Y250705D01* +X159346Y250701D01* +X159284Y250688D01* +X159226Y250666D01* +X159171Y250634D01* +X159122Y250595D01* +X159080Y250548D01* +X159046Y250495D01* +X159020Y250438D01* +X159004Y250377D01* +X158997Y250314D01* +X159000Y250251D01* +X159013Y250190D01* +X159036Y250131D01* +X159067Y250077D01* +X159107Y250028D01* +X159154Y249985D01* +X159206Y249951D01* +X159264Y249927D01* +X159469Y249862D01* +X159679Y249821D01* +X159893Y249800D01* +X160001D01* +Y234300D01* +X158253D01* +Y242880D01* +X158652Y243347D01* +X159022Y243951D01* +X159293Y244605D01* +X159458Y245294D01* +X159500Y246000D01* +X159458Y246706D01* +X159293Y247395D01* +X159022Y248049D01* +X158652Y248653D01* +X158253Y249120D01* +Y250999D01* +X158314Y250995D01* +X158377Y251002D01* +X158438Y251018D01* +X158496Y251044D01* +X158549Y251079D01* +X158596Y251121D01* +X158636Y251170D01* +X158667Y251225D01* +X158690Y251284D01* +X158703Y251346D01* +X158706Y251409D01* +X158700Y251472D01* +X158682Y251532D01* +X158641Y251662D01* +X158615Y251796D01* +X158602Y251932D01* +Y252068D01* +X158615Y252204D01* +X158641Y252338D01* +X158681Y252468D01* +X158698Y252529D01* +X158705Y252591D01* +X158701Y252654D01* +X158688Y252716D01* +X158666Y252774D01* +X158634Y252829D01* +X158595Y252878D01* +X158548Y252920D01* +X158495Y252954D01* +X158438Y252980D01* +X158377Y252996D01* +X158314Y253003D01* +X158253Y253000D01* +Y262500D01* +G37* +G36* +Y234300D02*X156500D01* +Y241751D01* +X157049Y241978D01* +X157653Y242348D01* +X158192Y242808D01* +X158253Y242880D01* +Y234300D01* +G37* +G36* +X156500Y262500D02*X158253D01* +Y253000D01* +X158251Y253000D01* +X158190Y252987D01* +X158131Y252964D01* +X158077Y252933D01* +X158028Y252893D01* +X157985Y252846D01* +X157951Y252794D01* +X157927Y252736D01* +X157862Y252531D01* +X157821Y252321D01* +X157800Y252107D01* +Y251893D01* +X157821Y251679D01* +X157862Y251469D01* +X157924Y251263D01* +X157950Y251206D01* +X157984Y251153D01* +X158026Y251106D01* +X158076Y251066D01* +X158130Y251034D01* +X158189Y251012D01* +X158251Y250999D01* +X158253Y250999D01* +Y249120D01* +X158192Y249192D01* +X157653Y249652D01* +X157049Y250022D01* +X156500Y250249D01* +Y262500D01* +G37* +G36* +X136300Y268000D02*X143700D01* +Y263500D01* +X136300D01* +Y268000D01* +G37* +G36* +X151747Y271500D02*X153700D01* +Y250316D01* +X153605Y250293D01* +X152951Y250022D01* +X152347Y249652D01* +X152169Y249500D01* +X151747D01* +Y251500D01* +X151749Y251500D01* +X151810Y251513D01* +X151869Y251536D01* +X151923Y251567D01* +X151972Y251607D01* +X152015Y251654D01* +X152049Y251706D01* +X152073Y251764D01* +X152138Y251969D01* +X152179Y252179D01* +X152200Y252393D01* +Y252607D01* +X152179Y252821D01* +X152138Y253031D01* +X152076Y253237D01* +X152050Y253294D01* +X152016Y253347D01* +X151974Y253394D01* +X151924Y253434D01* +X151870Y253466D01* +X151811Y253488D01* +X151749Y253501D01* +X151747Y253501D01* +Y271500D01* +G37* +G36* +X150001D02*X151747D01* +Y253501D01* +X151686Y253505D01* +X151623Y253498D01* +X151562Y253482D01* +X151504Y253456D01* +X151451Y253421D01* +X151404Y253379D01* +X151364Y253330D01* +X151333Y253275D01* +X151310Y253216D01* +X151297Y253154D01* +X151294Y253091D01* +X151300Y253028D01* +X151318Y252968D01* +X151359Y252838D01* +X151385Y252704D01* +X151398Y252568D01* +Y252432D01* +X151385Y252296D01* +X151359Y252162D01* +X151319Y252032D01* +X151302Y251971D01* +X151295Y251909D01* +X151299Y251846D01* +X151312Y251784D01* +X151334Y251726D01* +X151366Y251671D01* +X151405Y251622D01* +X151452Y251580D01* +X151505Y251546D01* +X151562Y251520D01* +X151623Y251504D01* +X151686Y251497D01* +X151747Y251500D01* +Y249500D01* +X150001D01* +Y250300D01* +X150107D01* +X150321Y250321D01* +X150531Y250362D01* +X150737Y250424D01* +X150794Y250450D01* +X150847Y250484D01* +X150894Y250526D01* +X150934Y250576D01* +X150966Y250630D01* +X150988Y250689D01* +X151001Y250751D01* +X151005Y250814D01* +X150998Y250877D01* +X150982Y250938D01* +X150956Y250996D01* +X150921Y251049D01* +X150879Y251096D01* +X150830Y251136D01* +X150775Y251167D01* +X150716Y251190D01* +X150654Y251203D01* +X150591Y251206D01* +X150528Y251200D01* +X150468Y251182D01* +X150338Y251141D01* +X150204Y251115D01* +X150068Y251102D01* +X150001D01* +Y253898D01* +X150068D01* +X150204Y253885D01* +X150338Y253859D01* +X150468Y253819D01* +X150529Y253802D01* +X150591Y253795D01* +X150654Y253799D01* +X150716Y253812D01* +X150774Y253834D01* +X150829Y253866D01* +X150878Y253905D01* +X150920Y253952D01* +X150954Y254005D01* +X150980Y254062D01* +X150996Y254123D01* +X151003Y254186D01* +X151000Y254249D01* +X150987Y254310D01* +X150964Y254369D01* +X150933Y254423D01* +X150893Y254472D01* +X150846Y254515D01* +X150794Y254549D01* +X150736Y254573D01* +X150531Y254638D01* +X150321Y254679D01* +X150107Y254700D01* +X150001D01* +Y271500D01* +G37* +G36* +X148253D02*X150001D01* +Y254700D01* +X149893D01* +X149679Y254679D01* +X149469Y254638D01* +X149263Y254576D01* +X149206Y254550D01* +X149153Y254516D01* +X149106Y254474D01* +X149066Y254424D01* +X149034Y254370D01* +X149012Y254311D01* +X148999Y254249D01* +X148995Y254186D01* +X149002Y254123D01* +X149018Y254062D01* +X149044Y254004D01* +X149079Y253951D01* +X149121Y253904D01* +X149170Y253864D01* +X149225Y253833D01* +X149284Y253810D01* +X149346Y253797D01* +X149409Y253794D01* +X149472Y253800D01* +X149532Y253818D01* +X149662Y253859D01* +X149796Y253885D01* +X149932Y253898D01* +X150001D01* +Y251102D01* +X149932D01* +X149796Y251115D01* +X149662Y251141D01* +X149532Y251181D01* +X149471Y251198D01* +X149409Y251205D01* +X149346Y251201D01* +X149284Y251188D01* +X149226Y251166D01* +X149171Y251134D01* +X149122Y251095D01* +X149080Y251048D01* +X149046Y250995D01* +X149020Y250938D01* +X149004Y250877D01* +X148997Y250814D01* +X149000Y250751D01* +X149013Y250690D01* +X149036Y250631D01* +X149067Y250577D01* +X149107Y250528D01* +X149154Y250485D01* +X149206Y250451D01* +X149264Y250427D01* +X149469Y250362D01* +X149679Y250321D01* +X149893Y250300D01* +X150001D01* +Y249500D01* +X148253D01* +Y251499D01* +X148314Y251495D01* +X148377Y251502D01* +X148438Y251518D01* +X148496Y251544D01* +X148549Y251579D01* +X148596Y251621D01* +X148636Y251670D01* +X148667Y251725D01* +X148690Y251784D01* +X148703Y251846D01* +X148706Y251909D01* +X148700Y251972D01* +X148682Y252032D01* +X148641Y252162D01* +X148615Y252296D01* +X148602Y252432D01* +Y252568D01* +X148615Y252704D01* +X148641Y252838D01* +X148681Y252968D01* +X148698Y253029D01* +X148705Y253091D01* +X148701Y253154D01* +X148688Y253216D01* +X148666Y253274D01* +X148634Y253329D01* +X148595Y253378D01* +X148548Y253420D01* +X148495Y253454D01* +X148438Y253480D01* +X148377Y253496D01* +X148314Y253503D01* +X148253Y253500D01* +Y271500D01* +G37* +G36* +X146300D02*X148253D01* +Y253500D01* +X148251Y253500D01* +X148190Y253487D01* +X148131Y253464D01* +X148077Y253433D01* +X148028Y253393D01* +X147985Y253346D01* +X147951Y253294D01* +X147927Y253236D01* +X147862Y253031D01* +X147821Y252821D01* +X147800Y252607D01* +Y252393D01* +X147821Y252179D01* +X147862Y251969D01* +X147924Y251763D01* +X147950Y251706D01* +X147984Y251653D01* +X148026Y251606D01* +X148076Y251566D01* +X148130Y251534D01* +X148189Y251512D01* +X148251Y251499D01* +X148253Y251499D01* +Y249500D01* +X147831D01* +X147653Y249652D01* +X147049Y250022D01* +X146395Y250293D01* +X146300Y250316D01* +Y271500D01* +G37* +G36* +X174247Y84952D02*X174569Y84955D01* +X174767Y85002D01* +X174966Y84955D01* +X175201Y84941D01* +X176538Y84955D01* +X176736Y85002D01* +X176934Y84955D01* +X177169Y84941D01* +X178506Y84955D01* +X178704Y85002D01* +X178903Y84955D01* +X179138Y84941D01* +X180475Y84955D01* +X180673Y85002D01* +X180871Y84955D01* +X181106Y84941D01* +X182443Y84955D01* +X182641Y85002D01* +X182840Y84955D01* +X183075Y84941D01* +X184294Y84954D01* +Y77132D01* +X182962Y75800D01* +X180179D01* +X180175Y77437D01* +X180120Y77667D01* +X180030Y77885D01* +X179906Y78086D01* +X179753Y78266D01* +X179573Y78419D01* +X179372Y78543D01* +X179154Y78633D01* +X178924Y78688D01* +X178689Y78702D01* +X174247Y78689D01* +Y80500D01* +X174249Y80500D01* +X174310Y80513D01* +X174369Y80536D01* +X174423Y80567D01* +X174472Y80607D01* +X174515Y80654D01* +X174549Y80706D01* +X174573Y80764D01* +X174638Y80969D01* +X174679Y81179D01* +X174700Y81393D01* +Y81607D01* +X174679Y81821D01* +X174638Y82031D01* +X174576Y82237D01* +X174550Y82294D01* +X174516Y82347D01* +X174474Y82394D01* +X174424Y82434D01* +X174370Y82466D01* +X174311Y82488D01* +X174249Y82501D01* +X174247Y82501D01* +Y84952D01* +G37* +G36* +X172501Y84954D02*X172601Y84955D01* +X172799Y85002D01* +X172997Y84955D01* +X173232Y84941D01* +X174247Y84952D01* +Y82501D01* +X174186Y82505D01* +X174123Y82498D01* +X174062Y82482D01* +X174004Y82456D01* +X173951Y82421D01* +X173904Y82379D01* +X173864Y82330D01* +X173833Y82275D01* +X173810Y82216D01* +X173797Y82154D01* +X173794Y82091D01* +X173800Y82028D01* +X173818Y81968D01* +X173859Y81838D01* +X173885Y81704D01* +X173898Y81568D01* +Y81432D01* +X173885Y81296D01* +X173859Y81162D01* +X173819Y81032D01* +X173802Y80971D01* +X173795Y80909D01* +X173799Y80846D01* +X173812Y80784D01* +X173834Y80726D01* +X173866Y80671D01* +X173905Y80622D01* +X173952Y80580D01* +X174005Y80546D01* +X174062Y80520D01* +X174123Y80504D01* +X174186Y80497D01* +X174247Y80500D01* +Y78689D01* +X173954Y78688D01* +X173724Y78633D01* +X173506Y78543D01* +X173305Y78419D01* +X173125Y78266D01* +X172972Y78086D01* +X172848Y77885D01* +X172758Y77667D01* +X172703Y77437D01* +X172689Y77202D01* +X172701Y71828D01* +X172501Y72029D01* +Y79300D01* +X172607D01* +X172821Y79321D01* +X173031Y79362D01* +X173237Y79424D01* +X173294Y79450D01* +X173347Y79484D01* +X173394Y79526D01* +X173434Y79576D01* +X173466Y79630D01* +X173488Y79689D01* +X173501Y79751D01* +X173505Y79814D01* +X173498Y79877D01* +X173482Y79938D01* +X173456Y79996D01* +X173421Y80049D01* +X173379Y80096D01* +X173330Y80136D01* +X173275Y80167D01* +X173216Y80190D01* +X173154Y80203D01* +X173091Y80206D01* +X173028Y80200D01* +X172968Y80182D01* +X172838Y80141D01* +X172704Y80115D01* +X172568Y80102D01* +X172501D01* +Y82898D01* +X172568D01* +X172704Y82885D01* +X172838Y82859D01* +X172968Y82819D01* +X173029Y82802D01* +X173091Y82795D01* +X173154Y82799D01* +X173216Y82812D01* +X173274Y82834D01* +X173329Y82866D01* +X173378Y82905D01* +X173420Y82952D01* +X173454Y83005D01* +X173480Y83062D01* +X173496Y83123D01* +X173503Y83186D01* +X173500Y83249D01* +X173487Y83310D01* +X173464Y83369D01* +X173433Y83423D01* +X173393Y83472D01* +X173346Y83515D01* +X173294Y83549D01* +X173236Y83573D01* +X173031Y83638D01* +X172821Y83679D01* +X172607Y83700D01* +X172501D01* +Y84954D01* +G37* +G36* +X170753Y69815D02*X170924Y69816D01* +X171015Y69838D01* +X171425Y69428D01* +Y67794D01* +X171215Y67881D01* +X170985Y67936D01* +X170753Y67950D01* +Y69815D01* +G37* +G36* +Y84984D02*X170830Y85002D01* +X171029Y84955D01* +X171264Y84941D01* +X172501Y84954D01* +Y83700D01* +X172393D01* +X172179Y83679D01* +X171969Y83638D01* +X171763Y83576D01* +X171706Y83550D01* +X171653Y83516D01* +X171606Y83474D01* +X171566Y83424D01* +X171534Y83370D01* +X171512Y83311D01* +X171499Y83249D01* +X171495Y83186D01* +X171502Y83123D01* +X171518Y83062D01* +X171544Y83004D01* +X171579Y82951D01* +X171621Y82904D01* +X171670Y82864D01* +X171725Y82833D01* +X171784Y82810D01* +X171846Y82797D01* +X171909Y82794D01* +X171972Y82800D01* +X172032Y82818D01* +X172162Y82859D01* +X172296Y82885D01* +X172432Y82898D01* +X172501D01* +Y80102D01* +X172432D01* +X172296Y80115D01* +X172162Y80141D01* +X172032Y80181D01* +X171971Y80198D01* +X171909Y80205D01* +X171846Y80201D01* +X171784Y80188D01* +X171726Y80166D01* +X171671Y80134D01* +X171622Y80095D01* +X171580Y80048D01* +X171546Y79995D01* +X171520Y79938D01* +X171504Y79877D01* +X171497Y79814D01* +X171500Y79751D01* +X171513Y79690D01* +X171536Y79631D01* +X171567Y79577D01* +X171607Y79528D01* +X171654Y79485D01* +X171706Y79451D01* +X171764Y79427D01* +X171969Y79362D01* +X172179Y79321D01* +X172393Y79300D01* +X172501D01* +Y72029D01* +X172187Y72343D01* +X172175Y77437D01* +X172120Y77667D01* +X172030Y77885D01* +X171906Y78086D01* +X171753Y78266D01* +X171573Y78419D01* +X171372Y78543D01* +X171154Y78633D01* +X170924Y78688D01* +X170753Y78698D01* +Y80499D01* +X170814Y80495D01* +X170877Y80502D01* +X170938Y80518D01* +X170996Y80544D01* +X171049Y80579D01* +X171096Y80621D01* +X171136Y80670D01* +X171167Y80725D01* +X171190Y80784D01* +X171203Y80846D01* +X171206Y80909D01* +X171200Y80972D01* +X171182Y81032D01* +X171141Y81162D01* +X171115Y81296D01* +X171102Y81432D01* +Y81568D01* +X171115Y81704D01* +X171141Y81838D01* +X171181Y81968D01* +X171198Y82029D01* +X171205Y82091D01* +X171201Y82154D01* +X171188Y82216D01* +X171166Y82274D01* +X171134Y82329D01* +X171095Y82378D01* +X171048Y82420D01* +X170995Y82454D01* +X170938Y82480D01* +X170877Y82496D01* +X170814Y82503D01* +X170753Y82500D01* +Y84984D01* +G37* +G36* +X161000Y85918D02*X162079Y85921D01* +X162170Y85943D01* +X162258Y85979D01* +X162338Y86029D01* +X162410Y86090D01* +X162471Y86162D01* +X162495Y86200D01* +X165827D01* +X165843Y86199D01* +X165896Y85976D01* +X165986Y85758D01* +X166110Y85557D01* +X166263Y85377D01* +X166443Y85224D01* +X166644Y85100D01* +X166862Y85010D01* +X167092Y84955D01* +X167327Y84941D01* +X168664Y84955D01* +X168862Y85002D01* +X169060Y84955D01* +X169295Y84941D01* +X170632Y84955D01* +X170753Y84984D01* +Y82500D01* +X170751Y82500D01* +X170690Y82487D01* +X170631Y82464D01* +X170577Y82433D01* +X170528Y82393D01* +X170485Y82346D01* +X170451Y82294D01* +X170427Y82236D01* +X170362Y82031D01* +X170321Y81821D01* +X170300Y81607D01* +Y81393D01* +X170321Y81179D01* +X170362Y80969D01* +X170424Y80763D01* +X170450Y80706D01* +X170484Y80653D01* +X170526Y80606D01* +X170576Y80566D01* +X170630Y80534D01* +X170689Y80512D01* +X170751Y80499D01* +X170753Y80499D01* +Y78698D01* +X170689Y78702D01* +X165954Y78688D01* +X165724Y78633D01* +X165506Y78543D01* +X165305Y78419D01* +X165125Y78266D01* +X164972Y78086D01* +X164848Y77885D01* +X164758Y77667D01* +X164703Y77437D01* +X164689Y77202D01* +X164703Y71067D01* +X164758Y70837D01* +X164848Y70619D01* +X164972Y70418D01* +X165125Y70238D01* +X165305Y70085D01* +X165506Y69961D01* +X165724Y69871D01* +X165954Y69816D01* +X166189Y69802D01* +X170753Y69815D01* +Y67950D01* +X170750Y67950D01* +X166015Y67936D01* +X165785Y67881D01* +X165567Y67791D01* +X165366Y67667D01* +X165186Y67514D01* +X165033Y67334D01* +X164909Y67133D01* +X164819Y66915D01* +X164764Y66685D01* +X164750Y66450D01* +X164764Y60315D01* +X164819Y60085D01* +X164909Y59867D01* +X165033Y59666D01* +X165186Y59486D01* +X165366Y59333D01* +X165567Y59209D01* +X165785Y59119D01* +X166015Y59064D01* +X166250Y59050D01* +X168866Y59058D01* +Y24761D01* +X168826Y24827D01* +X168591Y25091D01* +X161496Y32186D01* +X161486Y37235D01* +X161431Y37465D01* +X161341Y37683D01* +X161217Y37884D01* +X161064Y38064D01* +X161000Y38119D01* +Y85918D01* +G37* +G36* +Y158200D02*X165969D01* +X165896Y158024D01* +X165841Y157794D01* +X165838Y157737D01* +X162495D01* +X162471Y157775D01* +X162410Y157847D01* +X162338Y157908D01* +X162258Y157958D01* +X162170Y157994D01* +X162079Y158016D01* +X161984Y158022D01* +X161000Y158019D01* +Y158200D01* +G37* +G36* +X191001Y145389D02*X191060Y145440D01* +X191285Y145703D01* +X191466Y145998D01* +X191599Y146318D01* +X191680Y146655D01* +X191700Y147000D01* +X191680Y147341D01* +X192383Y148045D01* +X192422Y148078D01* +X192555Y148233D01* +X192555Y148234D01* +X192662Y148408D01* +X192740Y148597D01* +X192788Y148796D01* +X192804Y149000D01* +X192800Y149051D01* +Y150066D01* +X192918Y150059D01* +X194137Y150072D01* +Y147078D01* +X193975Y147011D01* +X193673Y146826D01* +X193404Y146596D01* +X193174Y146327D01* +X192989Y146025D01* +X192854Y145697D01* +X192771Y145353D01* +X192750Y145000D01* +Y129001D01* +X192749Y129001D01* +X192686Y129005D01* +X192623Y128998D01* +X192562Y128982D01* +X192504Y128956D01* +X192451Y128921D01* +X192404Y128879D01* +X192364Y128830D01* +X192333Y128775D01* +X192310Y128716D01* +X192297Y128654D01* +X192294Y128591D01* +X192300Y128528D01* +X192318Y128468D01* +X192359Y128338D01* +X192385Y128204D01* +X192398Y128068D01* +Y127932D01* +X192385Y127796D01* +X192359Y127662D01* +X192319Y127532D01* +X192302Y127471D01* +X192295Y127409D01* +X192299Y127346D01* +X192312Y127284D01* +X192334Y127226D01* +X192366Y127171D01* +X192405Y127122D01* +X192452Y127080D01* +X192505Y127046D01* +X192562Y127020D01* +X192623Y127004D01* +X192686Y126997D01* +X192749Y127000D01* +X192750Y127001D01* +Y96000D01* +X192771Y95647D01* +X192854Y95303D01* +X192989Y94975D01* +X193045Y94884D01* +X192617Y94455D01* +X192578Y94422D01* +X192445Y94266D01* +X192338Y94092D01* +X192270Y93928D01* +X192051Y93941D01* +X191001Y93930D01* +Y100548D01* +X191005Y100546D01* +X191062Y100520D01* +X191123Y100504D01* +X191186Y100497D01* +X191249Y100500D01* +X191310Y100513D01* +X191369Y100536D01* +X191423Y100567D01* +X191472Y100607D01* +X191515Y100654D01* +X191549Y100706D01* +X191573Y100764D01* +X191638Y100969D01* +X191679Y101179D01* +X191700Y101393D01* +Y101607D01* +X191679Y101821D01* +X191638Y102031D01* +X191576Y102237D01* +X191550Y102294D01* +X191516Y102347D01* +X191474Y102394D01* +X191424Y102434D01* +X191370Y102466D01* +X191311Y102488D01* +X191249Y102501D01* +X191186Y102505D01* +X191123Y102498D01* +X191062Y102482D01* +X191004Y102456D01* +X191001Y102454D01* +Y125800D01* +X191107D01* +X191321Y125821D01* +X191531Y125862D01* +X191737Y125924D01* +X191794Y125950D01* +X191847Y125984D01* +X191894Y126026D01* +X191934Y126076D01* +X191966Y126130D01* +X191988Y126189D01* +X192001Y126251D01* +X192005Y126314D01* +X191998Y126377D01* +X191982Y126438D01* +X191956Y126496D01* +X191921Y126549D01* +X191879Y126596D01* +X191830Y126636D01* +X191775Y126667D01* +X191716Y126690D01* +X191654Y126703D01* +X191591Y126706D01* +X191528Y126700D01* +X191468Y126682D01* +X191338Y126641D01* +X191204Y126615D01* +X191068Y126602D01* +X191001D01* +Y129398D01* +X191068D01* +X191204Y129385D01* +X191338Y129359D01* +X191468Y129319D01* +X191529Y129302D01* +X191591Y129295D01* +X191654Y129299D01* +X191716Y129312D01* +X191774Y129334D01* +X191829Y129366D01* +X191878Y129405D01* +X191920Y129452D01* +X191954Y129505D01* +X191980Y129562D01* +X191996Y129623D01* +X192003Y129686D01* +X192000Y129749D01* +X191987Y129810D01* +X191964Y129869D01* +X191933Y129923D01* +X191893Y129972D01* +X191846Y130015D01* +X191794Y130049D01* +X191736Y130073D01* +X191531Y130138D01* +X191321Y130179D01* +X191107Y130200D01* +X191001D01* +Y145389D01* +G37* +G36* +X189253Y144813D02*X189500Y144793D01* +X189845Y144820D01* +X190182Y144901D01* +X190502Y145034D01* +X190797Y145215D01* +X191001Y145389D01* +Y130200D01* +X190893D01* +X190679Y130179D01* +X190469Y130138D01* +X190263Y130076D01* +X190206Y130050D01* +X190153Y130016D01* +X190106Y129974D01* +X190066Y129924D01* +X190034Y129870D01* +X190012Y129811D01* +X189999Y129749D01* +X189995Y129686D01* +X190002Y129623D01* +X190018Y129562D01* +X190044Y129504D01* +X190079Y129451D01* +X190121Y129404D01* +X190170Y129364D01* +X190225Y129333D01* +X190284Y129310D01* +X190346Y129297D01* +X190409Y129294D01* +X190472Y129300D01* +X190532Y129318D01* +X190662Y129359D01* +X190796Y129385D01* +X190932Y129398D01* +X191001D01* +Y126602D01* +X190932D01* +X190796Y126615D01* +X190662Y126641D01* +X190532Y126681D01* +X190471Y126698D01* +X190409Y126705D01* +X190346Y126701D01* +X190284Y126688D01* +X190226Y126666D01* +X190171Y126634D01* +X190122Y126595D01* +X190080Y126548D01* +X190046Y126495D01* +X190020Y126438D01* +X190004Y126377D01* +X189997Y126314D01* +X190000Y126251D01* +X190013Y126190D01* +X190036Y126131D01* +X190067Y126077D01* +X190107Y126028D01* +X190154Y125985D01* +X190206Y125951D01* +X190264Y125927D01* +X190469Y125862D01* +X190679Y125821D01* +X190893Y125800D01* +X191001D01* +Y102454D01* +X190951Y102421D01* +X190904Y102379D01* +X190864Y102330D01* +X190833Y102275D01* +X190810Y102216D01* +X190797Y102154D01* +X190794Y102091D01* +X190800Y102028D01* +X190818Y101968D01* +X190859Y101838D01* +X190885Y101704D01* +X190898Y101568D01* +Y101432D01* +X190885Y101296D01* +X190859Y101162D01* +X190819Y101032D01* +X190802Y100971D01* +X190795Y100909D01* +X190799Y100846D01* +X190812Y100784D01* +X190834Y100726D01* +X190866Y100671D01* +X190905Y100622D01* +X190952Y100580D01* +X191001Y100548D01* +Y93930D01* +X190832Y93928D01* +X190835Y93969D01* +X190819Y94173D01* +X190819Y94173D01* +X190783Y94324D01* +X190771Y94372D01* +X190739Y94450D01* +X190693Y94561D01* +X190651Y94629D01* +X190586Y94735D01* +X190586Y94736D01* +X190453Y94891D01* +X190414Y94924D01* +X189253Y96085D01* +Y99314D01* +X189393Y99300D01* +X189607D01* +X189821Y99321D01* +X190031Y99362D01* +X190237Y99424D01* +X190294Y99450D01* +X190347Y99484D01* +X190394Y99526D01* +X190434Y99576D01* +X190466Y99630D01* +X190488Y99689D01* +X190501Y99751D01* +X190505Y99814D01* +X190498Y99877D01* +X190482Y99938D01* +X190456Y99996D01* +X190421Y100049D01* +X190379Y100096D01* +X190330Y100136D01* +X190275Y100167D01* +X190216Y100190D01* +X190154Y100203D01* +X190091Y100206D01* +X190028Y100200D01* +X189968Y100182D01* +X189838Y100141D01* +X189704Y100115D01* +X189568Y100102D01* +X189432D01* +X189296Y100115D01* +X189253Y100123D01* +Y102877D01* +X189296Y102885D01* +X189432Y102898D01* +X189568D01* +X189704Y102885D01* +X189838Y102859D01* +X189968Y102819D01* +X190029Y102802D01* +X190091Y102795D01* +X190154Y102799D01* +X190216Y102812D01* +X190274Y102834D01* +X190329Y102866D01* +X190378Y102905D01* +X190420Y102952D01* +X190454Y103005D01* +X190480Y103062D01* +X190496Y103123D01* +X190503Y103186D01* +X190500Y103249D01* +X190487Y103310D01* +X190464Y103369D01* +X190433Y103423D01* +X190393Y103472D01* +X190346Y103515D01* +X190294Y103549D01* +X190236Y103573D01* +X190031Y103638D01* +X189821Y103679D01* +X189607Y103700D01* +X189393D01* +X189253Y103686D01* +Y126999D01* +X189314Y126995D01* +X189377Y127002D01* +X189438Y127018D01* +X189496Y127044D01* +X189549Y127079D01* +X189596Y127121D01* +X189636Y127170D01* +X189667Y127225D01* +X189690Y127284D01* +X189703Y127346D01* +X189706Y127409D01* +X189700Y127472D01* +X189682Y127532D01* +X189641Y127662D01* +X189615Y127796D01* +X189602Y127932D01* +Y128068D01* +X189615Y128204D01* +X189641Y128338D01* +X189681Y128468D01* +X189698Y128529D01* +X189705Y128591D01* +X189701Y128654D01* +X189688Y128716D01* +X189666Y128774D01* +X189634Y128829D01* +X189595Y128878D01* +X189548Y128920D01* +X189495Y128954D01* +X189438Y128980D01* +X189377Y128996D01* +X189314Y129003D01* +X189253Y129000D01* +Y144813D01* +G37* +G36* +Y150062D02*X190200Y150072D01* +Y149538D01* +X189841Y149180D01* +X189500Y149207D01* +X189253Y149187D01* +Y150062D01* +G37* +G36* +X187753Y145658D02*X187940Y145440D01* +X188203Y145215D01* +X188498Y145034D01* +X188818Y144901D01* +X189155Y144820D01* +X189253Y144813D01* +Y129000D01* +X189251Y129000D01* +X189190Y128987D01* +X189131Y128964D01* +X189077Y128933D01* +X189028Y128893D01* +X188985Y128846D01* +X188951Y128794D01* +X188927Y128736D01* +X188862Y128531D01* +X188821Y128321D01* +X188800Y128107D01* +Y127893D01* +X188821Y127679D01* +X188862Y127469D01* +X188924Y127263D01* +X188950Y127206D01* +X188984Y127153D01* +X189026Y127106D01* +X189076Y127066D01* +X189130Y127034D01* +X189189Y127012D01* +X189251Y126999D01* +X189253Y126999D01* +Y103686D01* +X189179Y103679D01* +X188969Y103638D01* +X188763Y103576D01* +X188706Y103550D01* +X188653Y103516D01* +X188606Y103474D01* +X188566Y103424D01* +X188534Y103370D01* +X188512Y103311D01* +X188499Y103249D01* +X188495Y103186D01* +X188502Y103123D01* +X188518Y103062D01* +X188544Y103004D01* +X188579Y102951D01* +X188621Y102904D01* +X188670Y102864D01* +X188725Y102833D01* +X188784Y102810D01* +X188846Y102797D01* +X188909Y102794D01* +X188972Y102800D01* +X189032Y102818D01* +X189162Y102859D01* +X189253Y102877D01* +Y100123D01* +X189162Y100141D01* +X189032Y100181D01* +X188971Y100198D01* +X188909Y100205D01* +X188846Y100201D01* +X188784Y100188D01* +X188726Y100166D01* +X188671Y100134D01* +X188622Y100095D01* +X188580Y100048D01* +X188546Y99995D01* +X188520Y99938D01* +X188504Y99877D01* +X188497Y99814D01* +X188500Y99751D01* +X188513Y99690D01* +X188536Y99631D01* +X188567Y99577D01* +X188607Y99528D01* +X188654Y99485D01* +X188706Y99451D01* +X188764Y99427D01* +X188969Y99362D01* +X189179Y99321D01* +X189253Y99314D01* +Y96085D01* +X188680Y96659D01* +X188700Y97000D01* +X188680Y97345D01* +X188599Y97682D01* +X188466Y98002D01* +X188285Y98297D01* +X188060Y98560D01* +X187797Y98785D01* +X187753Y98812D01* +Y100499D01* +X187814Y100495D01* +X187877Y100502D01* +X187938Y100518D01* +X187996Y100544D01* +X188049Y100579D01* +X188096Y100621D01* +X188136Y100670D01* +X188167Y100725D01* +X188190Y100784D01* +X188203Y100846D01* +X188206Y100909D01* +X188200Y100972D01* +X188182Y101032D01* +X188141Y101162D01* +X188115Y101296D01* +X188102Y101432D01* +Y101568D01* +X188115Y101704D01* +X188141Y101838D01* +X188181Y101968D01* +X188198Y102029D01* +X188205Y102091D01* +X188201Y102154D01* +X188188Y102216D01* +X188166Y102274D01* +X188134Y102329D01* +X188095Y102378D01* +X188048Y102420D01* +X187995Y102454D01* +X187938Y102480D01* +X187877Y102496D01* +X187814Y102503D01* +X187753Y102500D01* +Y145658D01* +G37* +G36* +Y150067D02*X188349Y150073D01* +X188547Y150120D01* +X188746Y150073D01* +X188981Y150059D01* +X189253Y150062D01* +Y149187D01* +X189155Y149180D01* +X188818Y149099D01* +X188498Y148966D01* +X188203Y148785D01* +X187940Y148560D01* +X187753Y148342D01* +Y150067D01* +G37* +G36* +X169747Y146658D02*X169785Y146703D01* +X169966Y146998D01* +X170099Y147318D01* +X170180Y147655D01* +X170200Y148000D01* +X170180Y148345D01* +X170099Y148682D01* +X169966Y149002D01* +X169785Y149297D01* +X169747Y149342D01* +Y150064D01* +X170633Y150073D01* +X170831Y150120D01* +X171029Y150073D01* +X171264Y150059D01* +X172601Y150073D01* +X172799Y150120D01* +X172998Y150073D01* +X173233Y150059D01* +X174570Y150073D01* +X174768Y150120D01* +X174966Y150073D01* +X175201Y150059D01* +X176538Y150073D01* +X176736Y150120D01* +X176935Y150073D01* +X177170Y150059D01* +X178507Y150073D01* +X178705Y150120D01* +X178903Y150073D01* +X179138Y150059D01* +X180475Y150073D01* +X180673Y150120D01* +X180872Y150073D01* +X181107Y150059D01* +X182444Y150073D01* +X182642Y150120D01* +X182840Y150073D01* +X183075Y150059D01* +X184412Y150073D01* +X184610Y150120D01* +X184809Y150073D01* +X185044Y150059D01* +X186381Y150073D01* +X186579Y150120D01* +X186777Y150073D01* +X187012Y150059D01* +X187753Y150067D01* +Y148342D01* +X187715Y148297D01* +X187534Y148002D01* +X187401Y147682D01* +X187320Y147345D01* +X187293Y147000D01* +X187320Y146655D01* +X187401Y146318D01* +X187534Y145998D01* +X187715Y145703D01* +X187753Y145658D01* +Y102500D01* +X187751Y102500D01* +X187690Y102487D01* +X187631Y102464D01* +X187577Y102433D01* +X187528Y102393D01* +X187485Y102346D01* +X187451Y102294D01* +X187427Y102236D01* +X187362Y102031D01* +X187321Y101821D01* +X187300Y101607D01* +Y101393D01* +X187321Y101179D01* +X187362Y100969D01* +X187424Y100763D01* +X187450Y100706D01* +X187484Y100653D01* +X187526Y100606D01* +X187576Y100566D01* +X187630Y100534D01* +X187689Y100512D01* +X187751Y100499D01* +X187753Y100499D01* +Y98812D01* +X187502Y98966D01* +X187182Y99099D01* +X186845Y99180D01* +X186500Y99207D01* +X186155Y99180D01* +X185818Y99099D01* +X185498Y98966D01* +X185203Y98785D01* +X184940Y98560D01* +X184715Y98297D01* +X184534Y98002D01* +X184401Y97682D01* +X184320Y97345D01* +X184293Y97000D01* +X184320Y96655D01* +X184401Y96318D01* +X184534Y95998D01* +X184715Y95703D01* +X184940Y95440D01* +X185203Y95215D01* +X185498Y95034D01* +X185818Y94901D01* +X186155Y94820D01* +X186500Y94793D01* +X186841Y94820D01* +X187725Y93937D01* +X186777Y93927D01* +X186578Y93880D01* +X186380Y93927D01* +X186145Y93941D01* +X184808Y93927D01* +X184610Y93880D01* +X184412Y93927D01* +X184177Y93941D01* +X182840Y93927D01* +X182642Y93880D01* +X182443Y93927D01* +X182208Y93941D01* +X180871Y93927D01* +X180673Y93880D01* +X180475Y93927D01* +X180240Y93941D01* +X178903Y93927D01* +X178704Y93880D01* +X178506Y93927D01* +X178271Y93941D01* +X176934Y93927D01* +X176736Y93880D01* +X176538Y93927D01* +X176303Y93941D01* +X174966Y93927D01* +X174768Y93880D01* +X174569Y93927D01* +X174334Y93941D01* +X172997Y93927D01* +X172799Y93880D01* +X172601Y93927D01* +X172366Y93941D01* +X171029Y93927D01* +X170830Y93880D01* +X170632Y93927D01* +X170397Y93941D01* +X169747Y93934D01* +Y94908D01* +X169992Y95154D01* +X170123Y95122D01* +X170500Y95093D01* +X170877Y95122D01* +X171244Y95210D01* +X171593Y95355D01* +X171915Y95552D01* +X172202Y95798D01* +X172448Y96085D01* +X172645Y96407D01* +X172790Y96756D01* +X172878Y97123D01* +X172900Y97500D01* +X172878Y97877D01* +X172790Y98244D01* +X172645Y98593D01* +X172448Y98915D01* +X172202Y99202D01* +X171915Y99448D01* +X171593Y99645D01* +X171244Y99790D01* +X170877Y99878D01* +X170500Y99907D01* +X170123Y99878D01* +X169756Y99790D01* +X169747Y99786D01* +Y121000D01* +X169749Y121000D01* +X169810Y121013D01* +X169869Y121036D01* +X169923Y121067D01* +X169972Y121107D01* +X170015Y121154D01* +X170049Y121206D01* +X170073Y121264D01* +X170138Y121469D01* +X170179Y121679D01* +X170200Y121893D01* +Y122107D01* +X170179Y122321D01* +X170138Y122531D01* +X170076Y122737D01* +X170050Y122794D01* +X170016Y122847D01* +X169974Y122894D01* +X169924Y122934D01* +X169870Y122966D01* +X169811Y122988D01* +X169749Y123001D01* +X169747Y123001D01* +Y127000D01* +X169749Y127000D01* +X169810Y127013D01* +X169869Y127036D01* +X169923Y127067D01* +X169972Y127107D01* +X170015Y127154D01* +X170049Y127206D01* +X170073Y127264D01* +X170138Y127469D01* +X170179Y127679D01* +X170200Y127893D01* +Y128107D01* +X170179Y128321D01* +X170138Y128531D01* +X170076Y128737D01* +X170050Y128794D01* +X170016Y128847D01* +X169974Y128894D01* +X169924Y128934D01* +X169870Y128966D01* +X169811Y128988D01* +X169749Y129001D01* +X169747Y129001D01* +Y146658D01* +G37* +G36* +Y93934D02*X169239Y93929D01* +Y94401D01* +X169747Y94908D01* +Y93934D01* +G37* +G36* +Y149342D02*X169560Y149560D01* +X169297Y149785D01* +X169178Y149858D01* +Y150066D01* +X169296Y150059D01* +X169747Y150064D01* +Y149342D01* +G37* +G36* +X168001Y145793D02*X168345Y145820D01* +X168682Y145901D01* +X169002Y146034D01* +X169297Y146215D01* +X169560Y146440D01* +X169747Y146658D01* +Y129001D01* +X169686Y129005D01* +X169623Y128998D01* +X169562Y128982D01* +X169504Y128956D01* +X169451Y128921D01* +X169404Y128879D01* +X169364Y128830D01* +X169333Y128775D01* +X169310Y128716D01* +X169297Y128654D01* +X169294Y128591D01* +X169300Y128528D01* +X169318Y128468D01* +X169359Y128338D01* +X169385Y128204D01* +X169398Y128068D01* +Y127932D01* +X169385Y127796D01* +X169359Y127662D01* +X169319Y127532D01* +X169302Y127471D01* +X169295Y127409D01* +X169299Y127346D01* +X169312Y127284D01* +X169334Y127226D01* +X169366Y127171D01* +X169405Y127122D01* +X169452Y127080D01* +X169505Y127046D01* +X169562Y127020D01* +X169623Y127004D01* +X169686Y126997D01* +X169747Y127000D01* +Y123001D01* +X169686Y123005D01* +X169623Y122998D01* +X169562Y122982D01* +X169504Y122956D01* +X169451Y122921D01* +X169404Y122879D01* +X169364Y122830D01* +X169333Y122775D01* +X169310Y122716D01* +X169297Y122654D01* +X169294Y122591D01* +X169300Y122528D01* +X169318Y122468D01* +X169359Y122338D01* +X169385Y122204D01* +X169398Y122068D01* +Y121932D01* +X169385Y121796D01* +X169359Y121662D01* +X169319Y121532D01* +X169302Y121471D01* +X169295Y121409D01* +X169299Y121346D01* +X169312Y121284D01* +X169334Y121226D01* +X169366Y121171D01* +X169405Y121122D01* +X169452Y121080D01* +X169505Y121046D01* +X169562Y121020D01* +X169623Y121004D01* +X169686Y120997D01* +X169747Y121000D01* +Y99786D01* +X169407Y99645D01* +X169085Y99448D01* +X168798Y99202D01* +X168552Y98915D01* +X168355Y98593D01* +X168210Y98244D01* +X168122Y97877D01* +X168093Y97500D01* +X168122Y97123D01* +X168154Y96992D01* +X168001Y96839D01* +Y119800D01* +X168107D01* +X168321Y119821D01* +X168531Y119862D01* +X168737Y119924D01* +X168794Y119950D01* +X168847Y119984D01* +X168894Y120026D01* +X168934Y120076D01* +X168966Y120130D01* +X168988Y120189D01* +X169001Y120251D01* +X169005Y120314D01* +X168998Y120377D01* +X168982Y120438D01* +X168956Y120496D01* +X168921Y120549D01* +X168879Y120596D01* +X168830Y120636D01* +X168775Y120667D01* +X168716Y120690D01* +X168654Y120703D01* +X168591Y120706D01* +X168528Y120700D01* +X168468Y120682D01* +X168338Y120641D01* +X168204Y120615D01* +X168068Y120602D01* +X168001D01* +Y123398D01* +X168068D01* +X168204Y123385D01* +X168338Y123359D01* +X168468Y123319D01* +X168529Y123302D01* +X168591Y123295D01* +X168654Y123299D01* +X168716Y123312D01* +X168774Y123334D01* +X168829Y123366D01* +X168878Y123405D01* +X168920Y123452D01* +X168954Y123505D01* +X168980Y123562D01* +X168996Y123623D01* +X169003Y123686D01* +X169000Y123749D01* +X168987Y123810D01* +X168964Y123869D01* +X168933Y123923D01* +X168893Y123972D01* +X168846Y124015D01* +X168794Y124049D01* +X168736Y124073D01* +X168531Y124138D01* +X168321Y124179D01* +X168107Y124200D01* +X168001D01* +Y125800D01* +X168107D01* +X168321Y125821D01* +X168531Y125862D01* +X168737Y125924D01* +X168794Y125950D01* +X168847Y125984D01* +X168894Y126026D01* +X168934Y126076D01* +X168966Y126130D01* +X168988Y126189D01* +X169001Y126251D01* +X169005Y126314D01* +X168998Y126377D01* +X168982Y126438D01* +X168956Y126496D01* +X168921Y126549D01* +X168879Y126596D01* +X168830Y126636D01* +X168775Y126667D01* +X168716Y126690D01* +X168654Y126703D01* +X168591Y126706D01* +X168528Y126700D01* +X168468Y126682D01* +X168338Y126641D01* +X168204Y126615D01* +X168068Y126602D01* +X168001D01* +Y129398D01* +X168068D01* +X168204Y129385D01* +X168338Y129359D01* +X168468Y129319D01* +X168529Y129302D01* +X168591Y129295D01* +X168654Y129299D01* +X168716Y129312D01* +X168774Y129334D01* +X168829Y129366D01* +X168878Y129405D01* +X168920Y129452D01* +X168954Y129505D01* +X168980Y129562D01* +X168996Y129623D01* +X169003Y129686D01* +X169000Y129749D01* +X168987Y129810D01* +X168964Y129869D01* +X168933Y129923D01* +X168893Y129972D01* +X168846Y130015D01* +X168794Y130049D01* +X168736Y130073D01* +X168531Y130138D01* +X168321Y130179D01* +X168107Y130200D01* +X168001D01* +Y145793D01* +G37* +G36* +X166253Y150507D02*X166263Y150495D01* +X166443Y150342D01* +X166578Y150259D01* +Y149679D01* +X166440Y149560D01* +X166253Y149342D01* +Y150507D01* +G37* +G36* +Y97415D02*X166383Y97545D01* +X166422Y97578D01* +X166555Y97733D01* +X166555Y97734D01* +X166662Y97908D01* +X166740Y98097D01* +X166788Y98296D01* +X166804Y98500D01* +X166800Y98551D01* +Y116949D01* +X166804Y117000D01* +X166788Y117204D01* +X166788Y117204D01* +X166740Y117403D01* +X166662Y117592D01* +X166555Y117766D01* +X166422Y117922D01* +X166383Y117955D01* +X166253Y118085D01* +Y120999D01* +X166314Y120995D01* +X166377Y121002D01* +X166438Y121018D01* +X166496Y121044D01* +X166549Y121079D01* +X166596Y121121D01* +X166636Y121170D01* +X166667Y121225D01* +X166690Y121284D01* +X166703Y121346D01* +X166706Y121409D01* +X166700Y121472D01* +X166682Y121532D01* +X166641Y121662D01* +X166615Y121796D01* +X166602Y121932D01* +Y122068D01* +X166615Y122204D01* +X166641Y122338D01* +X166681Y122468D01* +X166698Y122529D01* +X166705Y122591D01* +X166701Y122654D01* +X166688Y122716D01* +X166666Y122774D01* +X166634Y122829D01* +X166595Y122878D01* +X166548Y122920D01* +X166495Y122954D01* +X166438Y122980D01* +X166377Y122996D01* +X166314Y123003D01* +X166253Y123000D01* +Y126999D01* +X166314Y126995D01* +X166377Y127002D01* +X166438Y127018D01* +X166496Y127044D01* +X166549Y127079D01* +X166596Y127121D01* +X166636Y127170D01* +X166667Y127225D01* +X166690Y127284D01* +X166703Y127346D01* +X166706Y127409D01* +X166700Y127472D01* +X166682Y127532D01* +X166641Y127662D01* +X166615Y127796D01* +X166602Y127932D01* +Y128068D01* +X166615Y128204D01* +X166641Y128338D01* +X166681Y128468D01* +X166698Y128529D01* +X166705Y128591D01* +X166701Y128654D01* +X166688Y128716D01* +X166666Y128774D01* +X166634Y128829D01* +X166595Y128878D01* +X166548Y128920D01* +X166495Y128954D01* +X166438Y128980D01* +X166377Y128996D01* +X166314Y129003D01* +X166253Y129000D01* +Y146658D01* +X166440Y146440D01* +X166703Y146215D01* +X166998Y146034D01* +X167318Y145901D01* +X167655Y145820D01* +X168000Y145793D01* +X168001Y145793D01* +Y130200D01* +X167893D01* +X167679Y130179D01* +X167469Y130138D01* +X167263Y130076D01* +X167206Y130050D01* +X167153Y130016D01* +X167106Y129974D01* +X167066Y129924D01* +X167034Y129870D01* +X167012Y129811D01* +X166999Y129749D01* +X166995Y129686D01* +X167002Y129623D01* +X167018Y129562D01* +X167044Y129504D01* +X167079Y129451D01* +X167121Y129404D01* +X167170Y129364D01* +X167225Y129333D01* +X167284Y129310D01* +X167346Y129297D01* +X167409Y129294D01* +X167472Y129300D01* +X167532Y129318D01* +X167662Y129359D01* +X167796Y129385D01* +X167932Y129398D01* +X168001D01* +Y126602D01* +X167932D01* +X167796Y126615D01* +X167662Y126641D01* +X167532Y126681D01* +X167471Y126698D01* +X167409Y126705D01* +X167346Y126701D01* +X167284Y126688D01* +X167226Y126666D01* +X167171Y126634D01* +X167122Y126595D01* +X167080Y126548D01* +X167046Y126495D01* +X167020Y126438D01* +X167004Y126377D01* +X166997Y126314D01* +X167000Y126251D01* +X167013Y126190D01* +X167036Y126131D01* +X167067Y126077D01* +X167107Y126028D01* +X167154Y125985D01* +X167206Y125951D01* +X167264Y125927D01* +X167469Y125862D01* +X167679Y125821D01* +X167893Y125800D01* +X168001D01* +Y124200D01* +X167893D01* +X167679Y124179D01* +X167469Y124138D01* +X167263Y124076D01* +X167206Y124050D01* +X167153Y124016D01* +X167106Y123974D01* +X167066Y123924D01* +X167034Y123870D01* +X167012Y123811D01* +X166999Y123749D01* +X166995Y123686D01* +X167002Y123623D01* +X167018Y123562D01* +X167044Y123504D01* +X167079Y123451D01* +X167121Y123404D01* +X167170Y123364D01* +X167225Y123333D01* +X167284Y123310D01* +X167346Y123297D01* +X167409Y123294D01* +X167472Y123300D01* +X167532Y123318D01* +X167662Y123359D01* +X167796Y123385D01* +X167932Y123398D01* +X168001D01* +Y120602D01* +X167932D01* +X167796Y120615D01* +X167662Y120641D01* +X167532Y120681D01* +X167471Y120698D01* +X167409Y120705D01* +X167346Y120701D01* +X167284Y120688D01* +X167226Y120666D01* +X167171Y120634D01* +X167122Y120595D01* +X167080Y120548D01* +X167046Y120495D01* +X167020Y120438D01* +X167004Y120377D01* +X166997Y120314D01* +X167000Y120251D01* +X167013Y120190D01* +X167036Y120131D01* +X167067Y120077D01* +X167107Y120028D01* +X167154Y119985D01* +X167206Y119951D01* +X167264Y119927D01* +X167469Y119862D01* +X167679Y119821D01* +X167893Y119800D01* +X168001D01* +Y96839D01* +X167056Y95894D01* +X167017Y95861D01* +X166884Y95705D01* +X166777Y95531D01* +X166699Y95342D01* +X166651Y95143D01* +X166651Y95143D01* +X166635Y94939D01* +X166639Y94888D01* +Y93779D01* +X166443Y93658D01* +X166263Y93505D01* +X166253Y93493D01* +Y97415D01* +G37* +G36* +Y118085D02*X165392Y118946D01* +X165359Y118985D01* +X165203Y119118D01* +X165029Y119225D01* +X164840Y119303D01* +X164641Y119351D01* +X164641Y119351D01* +X164437Y119367D01* +X164386Y119363D01* +X163434D01* +X163441Y119481D01* +X163427Y120818D01* +X163380Y121016D01* +X163427Y121214D01* +X163441Y121449D01* +X163427Y122786D01* +X163380Y122984D01* +X163427Y123183D01* +X163441Y123418D01* +X163427Y124755D01* +X163380Y124953D01* +X163427Y125151D01* +X163441Y125386D01* +X163427Y126723D01* +X163380Y126921D01* +X163427Y127120D01* +X163441Y127355D01* +X163427Y128692D01* +X163380Y128890D01* +X163427Y129088D01* +X163441Y129323D01* +X163427Y130660D01* +X163380Y130858D01* +X163427Y131057D01* +X163441Y131292D01* +X163427Y132629D01* +X163380Y132827D01* +X163427Y133025D01* +X163441Y133260D01* +X163427Y134597D01* +X163380Y134796D01* +X163427Y134994D01* +X163441Y135229D01* +X163427Y136566D01* +X163380Y136764D01* +X163427Y136962D01* +X163441Y137197D01* +X163427Y138534D01* +X163380Y138732D01* +X163427Y138931D01* +X163441Y139166D01* +X163427Y140503D01* +X163380Y140701D01* +X163427Y140899D01* +X163441Y141134D01* +X163427Y142471D01* +X163380Y142670D01* +X163427Y142868D01* +X163441Y143103D01* +X163427Y144440D01* +X163380Y144638D01* +X163427Y144836D01* +X163441Y145071D01* +X163427Y146408D01* +X163372Y146638D01* +X163282Y146856D01* +X163158Y147057D01* +X163005Y147237D01* +X162825Y147390D01* +X162624Y147514D01* +X162406Y147604D01* +X162176Y147659D01* +X161941Y147673D01* +X161000Y147671D01* +Y150918D01* +X162079Y150921D01* +X162170Y150943D01* +X162258Y150979D01* +X162338Y151029D01* +X162410Y151090D01* +X162471Y151162D01* +X162521Y151242D01* +X162557Y151330D01* +X162579Y151421D01* +X162584Y151516D01* +X162579Y153579D01* +X162557Y153670D01* +X162521Y153758D01* +X162471Y153838D01* +X162410Y153910D01* +X162338Y153971D01* +X162258Y154021D01* +X162170Y154057D01* +X162079Y154079D01* +X161984Y154084D01* +X161000Y154082D01* +Y154855D01* +X162079Y154858D01* +X162170Y154880D01* +X162258Y154916D01* +X162338Y154966D01* +X162410Y155027D01* +X162471Y155099D01* +X162495Y155137D01* +X165403D01* +X165833Y154706D01* +X165841Y151324D01* +X165896Y151094D01* +X165986Y150876D01* +X166110Y150675D01* +X166253Y150507D01* +Y149342D01* +X166215Y149297D01* +X166034Y149002D01* +X165901Y148682D01* +X165820Y148345D01* +X165793Y148000D01* +X165820Y147655D01* +X165901Y147318D01* +X166034Y146998D01* +X166215Y146703D01* +X166253Y146658D01* +Y129000D01* +X166251Y129000D01* +X166190Y128987D01* +X166131Y128964D01* +X166077Y128933D01* +X166028Y128893D01* +X165985Y128846D01* +X165951Y128794D01* +X165927Y128736D01* +X165862Y128531D01* +X165821Y128321D01* +X165800Y128107D01* +Y127893D01* +X165821Y127679D01* +X165862Y127469D01* +X165924Y127263D01* +X165950Y127206D01* +X165984Y127153D01* +X166026Y127106D01* +X166076Y127066D01* +X166130Y127034D01* +X166189Y127012D01* +X166251Y126999D01* +X166253Y126999D01* +Y123000D01* +X166251Y123000D01* +X166190Y122987D01* +X166131Y122964D01* +X166077Y122933D01* +X166028Y122893D01* +X165985Y122846D01* +X165951Y122794D01* +X165927Y122736D01* +X165862Y122531D01* +X165821Y122321D01* +X165800Y122107D01* +Y121893D01* +X165821Y121679D01* +X165862Y121469D01* +X165924Y121263D01* +X165950Y121206D01* +X165984Y121153D01* +X166026Y121106D01* +X166076Y121066D01* +X166130Y121034D01* +X166189Y121012D01* +X166251Y120999D01* +X166253Y120999D01* +Y118085D01* +G37* +G36* +X161000Y94200D02*X162449D01* +X162500Y94196D01* +X162704Y94212D01* +X162704Y94212D01* +X162903Y94260D01* +X163092Y94338D01* +X163266Y94445D01* +X163422Y94578D01* +X163455Y94617D01* +X166253Y97415D01* +Y93493D01* +X166110Y93325D01* +X165986Y93124D01* +X165896Y92906D01* +X165841Y92676D01* +X165827Y92441D01* +X165834Y89294D01* +X165340Y88800D01* +X162495D01* +X162471Y88838D01* +X162410Y88910D01* +X162338Y88971D01* +X162258Y89021D01* +X162170Y89057D01* +X162079Y89079D01* +X161984Y89084D01* +X161000Y89082D01* +Y89855D01* +X162079Y89858D01* +X162170Y89880D01* +X162258Y89916D01* +X162338Y89966D01* +X162410Y90027D01* +X162471Y90099D01* +X162521Y90179D01* +X162557Y90267D01* +X162579Y90358D01* +X162584Y90452D01* +X162579Y92516D01* +X162557Y92607D01* +X162521Y92695D01* +X162471Y92775D01* +X162410Y92847D01* +X162338Y92908D01* +X162258Y92958D01* +X162170Y92994D01* +X162079Y93016D01* +X161984Y93022D01* +X161000Y93019D01* +Y94200D01* +G37* +G36* +X278247Y34928D02*X278502Y35034D01* +X278797Y35215D01* +X279060Y35440D01* +X279283Y35700D01* +X290000D01* +Y32300D01* +X279283D01* +X279060Y32560D01* +X278797Y32785D01* +X278502Y32966D01* +X278247Y33072D01* +Y34928D01* +G37* +G36* +Y40928D02*X278502Y41034D01* +X278797Y41215D01* +X279060Y41440D01* +X279283Y41700D01* +X290000D01* +Y38300D01* +X279283D01* +X279060Y38560D01* +X278797Y38785D01* +X278502Y38966D01* +X278247Y39072D01* +Y40928D01* +G37* +G36* +Y47428D02*X278502Y47534D01* +X278797Y47715D01* +X279060Y47940D01* +X279283Y48200D01* +X290000D01* +Y44300D01* +X279283D01* +X279060Y44560D01* +X278797Y44785D01* +X278502Y44966D01* +X278247Y45072D01* +Y47428D01* +G37* +G36* +Y53428D02*X278502Y53534D01* +X278797Y53715D01* +X279060Y53940D01* +X279283Y54200D01* +X290000D01* +Y50800D01* +X279283D01* +X279060Y51060D01* +X278797Y51285D01* +X278502Y51466D01* +X278247Y51572D01* +Y53428D01* +G37* +G36* +Y59928D02*X278502Y60034D01* +X278797Y60215D01* +X279060Y60440D01* +X279283Y60700D01* +X289449D01* +X289500Y60696D01* +X289704Y60712D01* +X289704Y60712D01* +X289903Y60760D01* +X290000Y60800D01* +Y56800D01* +X279283D01* +X279060Y57060D01* +X278797Y57285D01* +X278502Y57466D01* +X278247Y57572D01* +Y59928D01* +G37* +G36* +Y84500D02*X289700D01* +Y83240D01* +X287815Y83236D01* +X287585Y83181D01* +X287367Y83091D01* +X287166Y82967D01* +X286986Y82814D01* +X286833Y82634D01* +X286709Y82433D01* +X286619Y82215D01* +X286564Y81985D01* +X286550Y81750D01* +X286564Y77015D01* +X286619Y76785D01* +X286709Y76567D01* +X286833Y76366D01* +X286986Y76186D01* +X287166Y76033D01* +X287367Y75909D01* +X287585Y75819D01* +X287815Y75764D01* +X288050Y75750D01* +X290000Y75754D01* +Y75241D01* +X287815Y75236D01* +X287585Y75181D01* +X287367Y75091D01* +X287166Y74967D01* +X286986Y74814D01* +X286833Y74634D01* +X286709Y74433D01* +X286619Y74215D01* +X286564Y73985D01* +X286550Y73750D01* +X286564Y69015D01* +X286619Y68785D01* +X286709Y68567D01* +X286833Y68366D01* +X286986Y68186D01* +X287166Y68033D01* +X287367Y67909D01* +X287585Y67819D01* +X287815Y67764D01* +X288050Y67750D01* +X289700Y67754D01* +Y64038D01* +X288962Y63300D01* +X279283D01* +X279060Y63560D01* +X278797Y63785D01* +X278502Y63966D01* +X278247Y64072D01* +Y84500D01* +G37* +G36* +X279516Y14016D02*X281641Y14025D01* +X281794Y14062D01* +X281940Y14122D01* +X282074Y14204D01* +X282194Y14306D01* +X282296Y14426D01* +X282378Y14560D01* +X282438Y14706D01* +X282475Y14859D01* +X282484Y15016D01* +X282475Y17141D01* +X282438Y17294D01* +X282378Y17440D01* +X282296Y17574D01* +X282194Y17694D01* +X282074Y17796D01* +X281940Y17878D01* +X281794Y17938D01* +X281641Y17975D01* +X281484Y17984D01* +X279359Y17975D01* +X279206Y17938D01* +X279060Y17878D01* +X278926Y17796D01* +X278806Y17694D01* +X278704Y17574D01* +X278622Y17440D01* +X278562Y17294D01* +X278532Y17169D01* +X278501Y17294D01* +X278441Y17440D01* +X278359Y17574D01* +X278257Y17694D01* +X278247Y17702D01* +Y28928D01* +X278502Y29034D01* +X278797Y29215D01* +X279060Y29440D01* +X279283Y29700D01* +X290000D01* +Y2000D01* +X278247D01* +Y5500D01* +X278249Y5500D01* +X278310Y5513D01* +X278369Y5536D01* +X278423Y5567D01* +X278472Y5607D01* +X278515Y5654D01* +X278549Y5706D01* +X278573Y5764D01* +X278638Y5969D01* +X278679Y6179D01* +X278700Y6393D01* +Y6607D01* +X278679Y6821D01* +X278638Y7031D01* +X278576Y7237D01* +X278550Y7294D01* +X278516Y7347D01* +X278474Y7394D01* +X278424Y7434D01* +X278370Y7466D01* +X278311Y7488D01* +X278249Y7501D01* +X278247Y7501D01* +Y14298D01* +X278257Y14306D01* +X278359Y14426D01* +X278441Y14560D01* +X278501Y14706D01* +X278532Y14831D01* +X278562Y14706D01* +X278622Y14560D01* +X278704Y14426D01* +X278806Y14306D01* +X278926Y14204D01* +X279060Y14122D01* +X279206Y14062D01* +X279359Y14025D01* +X279516Y14016D01* +G37* +G36* +X278247Y2000D02*X272451D01* +Y2633D01* +X273303Y2634D01* +X273395Y2656D01* +X273482Y2692D01* +X273563Y2741D01* +X273635Y2802D01* +X273696Y2874D01* +X273745Y2955D01* +X273781Y3042D01* +X273803Y3134D01* +X273809Y3228D01* +X273808Y5200D01* +X275839D01* +X275784Y5188D01* +X275726Y5166D01* +X275671Y5134D01* +X275622Y5095D01* +X275580Y5048D01* +X275546Y4995D01* +X275520Y4938D01* +X275504Y4877D01* +X275497Y4814D01* +X275500Y4751D01* +X275513Y4690D01* +X275536Y4631D01* +X275567Y4577D01* +X275607Y4528D01* +X275654Y4485D01* +X275706Y4451D01* +X275764Y4427D01* +X275969Y4362D01* +X276179Y4321D01* +X276393Y4300D01* +X276607D01* +X276821Y4321D01* +X277031Y4362D01* +X277237Y4424D01* +X277294Y4450D01* +X277347Y4484D01* +X277394Y4526D01* +X277434Y4576D01* +X277466Y4630D01* +X277488Y4689D01* +X277501Y4751D01* +X277505Y4814D01* +X277498Y4877D01* +X277482Y4938D01* +X277456Y4996D01* +X277421Y5049D01* +X277379Y5096D01* +X277330Y5136D01* +X277275Y5167D01* +X277216Y5190D01* +X277154Y5203D01* +X277091Y5206D01* +X277028Y5200D01* +X276968Y5182D01* +X276838Y5141D01* +X276704Y5115D01* +X276568Y5102D01* +X276432D01* +X276296Y5115D01* +X276162Y5141D01* +X276032Y5181D01* +X275971Y5198D01* +X275953Y5200D01* +X276500D01* +X276704Y5212D01* +X276903Y5260D01* +X277092Y5338D01* +X277266Y5445D01* +X277422Y5578D01* +X277555Y5734D01* +X277662Y5908D01* +X277740Y6097D01* +X277788Y6296D01* +X277804Y6500D01* +X277788Y6704D01* +X277740Y6903D01* +X277662Y7092D01* +X277555Y7266D01* +X277422Y7422D01* +X277266Y7555D01* +X277092Y7662D01* +X276903Y7740D01* +X276704Y7788D01* +X276500Y7800D01* +X275969D01* +X275972Y7800D01* +X276032Y7818D01* +X276162Y7859D01* +X276296Y7885D01* +X276432Y7898D01* +X276568D01* +X276704Y7885D01* +X276838Y7859D01* +X276968Y7819D01* +X277029Y7802D01* +X277091Y7795D01* +X277154Y7799D01* +X277216Y7812D01* +X277274Y7834D01* +X277329Y7866D01* +X277378Y7905D01* +X277420Y7952D01* +X277454Y8005D01* +X277480Y8062D01* +X277496Y8123D01* +X277503Y8186D01* +X277500Y8249D01* +X277487Y8310D01* +X277464Y8369D01* +X277433Y8423D01* +X277393Y8472D01* +X277346Y8515D01* +X277294Y8549D01* +X277236Y8573D01* +X277031Y8638D01* +X276821Y8679D01* +X276607Y8700D01* +X276393D01* +X276179Y8679D01* +X275969Y8638D01* +X275763Y8576D01* +X275706Y8550D01* +X275653Y8516D01* +X275606Y8474D01* +X275566Y8424D01* +X275534Y8370D01* +X275512Y8311D01* +X275499Y8249D01* +X275495Y8186D01* +X275502Y8123D01* +X275518Y8062D01* +X275544Y8004D01* +X275579Y7951D01* +X275621Y7904D01* +X275670Y7864D01* +X275725Y7833D01* +X275784Y7810D01* +X275831Y7800D01* +X273806D01* +X273803Y10803D01* +X273781Y10895D01* +X273745Y10982D01* +X273696Y11063D01* +X273635Y11135D01* +X273563Y11196D01* +X273482Y11245D01* +X273395Y11281D01* +X273303Y11303D01* +X273209Y11309D01* +X272451Y11308D01* +Y14700D01* +X274627D01* +X274685Y14560D01* +X274767Y14426D01* +X274869Y14306D01* +X274989Y14204D01* +X275123Y14122D01* +X275269Y14062D01* +X275422Y14025D01* +X275578Y14016D01* +X277704Y14025D01* +X277857Y14062D01* +X278003Y14122D01* +X278137Y14204D01* +X278247Y14298D01* +Y7501D01* +X278186Y7505D01* +X278123Y7498D01* +X278062Y7482D01* +X278004Y7456D01* +X277951Y7421D01* +X277904Y7379D01* +X277864Y7330D01* +X277833Y7275D01* +X277810Y7216D01* +X277797Y7154D01* +X277794Y7091D01* +X277800Y7028D01* +X277818Y6968D01* +X277859Y6838D01* +X277885Y6704D01* +X277898Y6568D01* +Y6432D01* +X277885Y6296D01* +X277859Y6162D01* +X277819Y6032D01* +X277802Y5971D01* +X277795Y5909D01* +X277799Y5846D01* +X277812Y5784D01* +X277834Y5726D01* +X277866Y5671D01* +X277905Y5622D01* +X277952Y5580D01* +X278005Y5546D01* +X278062Y5520D01* +X278123Y5504D01* +X278186Y5497D01* +X278247Y5500D01* +Y2000D01* +G37* +G36* +Y17702D02*X278137Y17796D01* +X278003Y17878D01* +X277857Y17938D01* +X277704Y17975D01* +X277548Y17984D01* +X275422Y17975D01* +X275269Y17938D01* +X275123Y17878D01* +X274989Y17796D01* +X274869Y17694D01* +X274767Y17574D01* +X274685Y17440D01* +X274627Y17300D01* +X272451D01* +Y84500D01* +X278247D01* +Y64072D01* +X278182Y64099D01* +X277845Y64180D01* +X277500Y64207D01* +X277155Y64180D01* +X276818Y64099D01* +X276498Y63966D01* +X276203Y63785D01* +X275940Y63560D01* +X275715Y63297D01* +X275534Y63002D01* +X275401Y62682D01* +X275320Y62345D01* +X275293Y62000D01* +X275320Y61655D01* +X275401Y61318D01* +X275534Y60998D01* +X275715Y60703D01* +X275940Y60440D01* +X276203Y60215D01* +X276498Y60034D01* +X276818Y59901D01* +X277155Y59820D01* +X277500Y59793D01* +X277845Y59820D01* +X278182Y59901D01* +X278247Y59928D01* +Y57572D01* +X278182Y57599D01* +X277845Y57680D01* +X277500Y57707D01* +X277155Y57680D01* +X276818Y57599D01* +X276498Y57466D01* +X276203Y57285D01* +X275940Y57060D01* +X275715Y56797D01* +X275534Y56502D01* +X275401Y56182D01* +X275320Y55845D01* +X275293Y55500D01* +X275320Y55155D01* +X275401Y54818D01* +X275534Y54498D01* +X275715Y54203D01* +X275940Y53940D01* +X276203Y53715D01* +X276498Y53534D01* +X276818Y53401D01* +X277155Y53320D01* +X277500Y53293D01* +X277845Y53320D01* +X278182Y53401D01* +X278247Y53428D01* +Y51572D01* +X278182Y51599D01* +X277845Y51680D01* +X277500Y51707D01* +X277155Y51680D01* +X276818Y51599D01* +X276498Y51466D01* +X276203Y51285D01* +X275940Y51060D01* +X275715Y50797D01* +X275534Y50502D01* +X275401Y50182D01* +X275320Y49845D01* +X275293Y49500D01* +X275320Y49155D01* +X275401Y48818D01* +X275534Y48498D01* +X275715Y48203D01* +X275940Y47940D01* +X276203Y47715D01* +X276498Y47534D01* +X276818Y47401D01* +X277155Y47320D01* +X277500Y47293D01* +X277845Y47320D01* +X278182Y47401D01* +X278247Y47428D01* +Y45072D01* +X278182Y45099D01* +X277845Y45180D01* +X277500Y45207D01* +X277155Y45180D01* +X276818Y45099D01* +X276498Y44966D01* +X276203Y44785D01* +X275940Y44560D01* +X275715Y44297D01* +X275534Y44002D01* +X275401Y43682D01* +X275320Y43345D01* +X275293Y43000D01* +X275320Y42655D01* +X275401Y42318D01* +X275534Y41998D01* +X275715Y41703D01* +X275940Y41440D01* +X276203Y41215D01* +X276498Y41034D01* +X276818Y40901D01* +X277155Y40820D01* +X277500Y40793D01* +X277845Y40820D01* +X278182Y40901D01* +X278247Y40928D01* +Y39072D01* +X278182Y39099D01* +X277845Y39180D01* +X277500Y39207D01* +X277155Y39180D01* +X276818Y39099D01* +X276498Y38966D01* +X276203Y38785D01* +X275940Y38560D01* +X275715Y38297D01* +X275534Y38002D01* +X275401Y37682D01* +X275320Y37345D01* +X275293Y37000D01* +X275320Y36655D01* +X275401Y36318D01* +X275534Y35998D01* +X275715Y35703D01* +X275940Y35440D01* +X276203Y35215D01* +X276498Y35034D01* +X276818Y34901D01* +X277155Y34820D01* +X277500Y34793D01* +X277845Y34820D01* +X278182Y34901D01* +X278247Y34928D01* +Y33072D01* +X278182Y33099D01* +X277845Y33180D01* +X277500Y33207D01* +X277155Y33180D01* +X276818Y33099D01* +X276498Y32966D01* +X276203Y32785D01* +X275940Y32560D01* +X275715Y32297D01* +X275534Y32002D01* +X275401Y31682D01* +X275320Y31345D01* +X275293Y31000D01* +X275320Y30655D01* +X275401Y30318D01* +X275534Y29998D01* +X275715Y29703D01* +X275940Y29440D01* +X276203Y29215D01* +X276498Y29034D01* +X276818Y28901D01* +X277155Y28820D01* +X277500Y28793D01* +X277845Y28820D01* +X278182Y28901D01* +X278247Y28928D01* +Y17702D01* +G37* +G36* +X216071Y30590D02*X219700Y26962D01* +Y25871D01* +X219571Y25870D01* +X219479Y25848D01* +X219392Y25812D01* +X219311Y25763D01* +X219239Y25702D01* +X219178Y25630D01* +X219129Y25549D01* +X219093Y25462D01* +X219071Y25370D01* +X219065Y25276D01* +X219066Y23800D01* +X217783D01* +X217560Y24060D01* +X217297Y24285D01* +X217002Y24466D01* +X216682Y24599D01* +X216345Y24680D01* +X216071Y24701D01* +Y30590D01* +G37* +G36* +X272451Y2000D02*X230001D01* +Y12800D01* +X230107D01* +X230321Y12821D01* +X230531Y12862D01* +X230737Y12924D01* +X230794Y12950D01* +X230847Y12984D01* +X230894Y13026D01* +X230934Y13076D01* +X230966Y13130D01* +X230988Y13189D01* +X231001Y13251D01* +X231005Y13314D01* +X230998Y13377D01* +X230982Y13438D01* +X230956Y13496D01* +X230921Y13549D01* +X230879Y13596D01* +X230830Y13636D01* +X230775Y13667D01* +X230716Y13690D01* +X230654Y13703D01* +X230591Y13706D01* +X230528Y13700D01* +X230468Y13682D01* +X230338Y13641D01* +X230204Y13615D01* +X230068Y13602D01* +X230001D01* +Y13696D01* +X230204Y13712D01* +X230403Y13760D01* +X230592Y13838D01* +X230766Y13945D01* +X230922Y14078D01* +X231055Y14234D01* +X231162Y14408D01* +X231240Y14597D01* +X231288Y14796D01* +X231300Y15000D01* +Y15531D01* +X231300Y15528D01* +X231318Y15468D01* +X231359Y15338D01* +X231385Y15204D01* +X231398Y15068D01* +Y14932D01* +X231385Y14796D01* +X231359Y14662D01* +X231319Y14532D01* +X231302Y14471D01* +X231295Y14409D01* +X231299Y14346D01* +X231312Y14284D01* +X231334Y14226D01* +X231366Y14171D01* +X231405Y14122D01* +X231452Y14080D01* +X231505Y14046D01* +X231562Y14020D01* +X231623Y14004D01* +X231686Y13997D01* +X231749Y14000D01* +X231810Y14013D01* +X231869Y14036D01* +X231923Y14067D01* +X231972Y14107D01* +X232015Y14154D01* +X232049Y14206D01* +X232073Y14264D01* +X232138Y14469D01* +X232179Y14679D01* +X232200Y14893D01* +Y15107D01* +X232179Y15321D01* +X232138Y15531D01* +X232076Y15737D01* +X232050Y15794D01* +X232016Y15847D01* +X231974Y15894D01* +X231924Y15934D01* +X231870Y15966D01* +X231811Y15988D01* +X231749Y16001D01* +X231686Y16005D01* +X231623Y15998D01* +X231562Y15982D01* +X231504Y15956D01* +X231451Y15921D01* +X231404Y15879D01* +X231364Y15830D01* +X231333Y15775D01* +X231310Y15716D01* +X231300Y15669D01* +Y17987D01* +X231571Y17988D01* +X231663Y18010D01* +X231750Y18046D01* +X231831Y18095D01* +X231903Y18156D01* +X231964Y18228D01* +X232013Y18309D01* +X232049Y18396D01* +X232071Y18488D01* +X232077Y18582D01* +X232076Y19218D01* +X232085Y19184D01* +X232121Y19097D01* +X232170Y19016D01* +X232231Y18944D01* +X232303Y18883D01* +X232384Y18834D01* +X232471Y18798D01* +X232563Y18776D01* +X232657Y18770D01* +X233200Y18771D01* +Y16783D01* +X232940Y16560D01* +X232715Y16297D01* +X232534Y16002D01* +X232401Y15682D01* +X232320Y15345D01* +X232293Y15000D01* +X232320Y14655D01* +X232401Y14318D01* +X232534Y13998D01* +X232715Y13703D01* +X232940Y13440D01* +X233203Y13215D01* +X233498Y13034D01* +X233818Y12901D01* +X234155Y12820D01* +X234500Y12793D01* +X234845Y12820D01* +X235182Y12901D01* +X235502Y13034D01* +X235797Y13215D01* +X236060Y13440D01* +X236285Y13703D01* +X236380Y13857D01* +Y10458D01* +X236373Y10370D01* +X236401Y10017D01* +X236484Y9673D01* +X236619Y9345D01* +X236804Y9043D01* +X236804Y9043D01* +X237034Y8774D01* +X237101Y8717D01* +X237847Y7971D01* +X237904Y7904D01* +X238173Y7674D01* +X238173Y7674D01* +X238361Y7559D01* +X238475Y7489D01* +X238803Y7354D01* +X239147Y7271D01* +X239147D01* +X239500Y7243D01* +X239588Y7250D01* +X258412D01* +X258500Y7243D01* +X258853Y7271D01* +X258853Y7271D01* +X259197Y7354D01* +X259525Y7489D01* +X259827Y7674D01* +X260096Y7904D01* +X260153Y7971D01* +X261529Y9347D01* +X261596Y9404D01* +X261826Y9673D01* +X261826Y9673D01* +X262011Y9975D01* +X262146Y10303D01* +X262229Y10647D01* +X262257Y11000D01* +X262250Y11088D01* +Y14700D01* +X272451D01* +Y11308D01* +X267603Y11303D01* +X267511Y11281D01* +X267424Y11245D01* +X267343Y11196D01* +X267271Y11135D01* +X267210Y11063D01* +X267161Y10982D01* +X267125Y10895D01* +X267103Y10803D01* +X267097Y10709D01* +X267103Y3134D01* +X267125Y3042D01* +X267161Y2955D01* +X267210Y2874D01* +X267271Y2802D01* +X267343Y2741D01* +X267424Y2692D01* +X267511Y2656D01* +X267603Y2634D01* +X267697Y2628D01* +X272451Y2633D01* +Y2000D01* +G37* +G36* +X230001D02*X216071D01* +Y6960D01* +X220941Y6965D01* +X221033Y6987D01* +X221120Y7023D01* +X221201Y7072D01* +X221273Y7133D01* +X221334Y7205D01* +X221383Y7286D01* +X221419Y7373D01* +X221441Y7465D01* +X221447Y7559D01* +X221441Y15133D01* +X221419Y15225D01* +X221383Y15312D01* +X221334Y15393D01* +X221273Y15465D01* +X221201Y15526D01* +X221120Y15575D01* +X221033Y15611D01* +X220941Y15633D01* +X220847Y15639D01* +X216071Y15634D01* +Y20299D01* +X216345Y20320D01* +X216682Y20401D01* +X217002Y20534D01* +X217297Y20715D01* +X217560Y20940D01* +X217783Y21200D01* +X219069D01* +X219071Y19276D01* +X219093Y19184D01* +X219129Y19097D01* +X219178Y19016D01* +X219239Y18944D01* +X219311Y18883D01* +X219392Y18834D01* +X219479Y18798D01* +X219571Y18776D01* +X219665Y18770D01* +X222909Y18776D01* +X223001Y18798D01* +X223088Y18834D01* +X223169Y18883D01* +X223241Y18944D01* +X223302Y19016D01* +X223351Y19097D01* +X223387Y19184D01* +X223405Y19259D01* +X223424Y19184D01* +X223460Y19097D01* +X223509Y19016D01* +X223570Y18944D01* +X223642Y18883D01* +X223723Y18834D01* +X223810Y18798D01* +X223902Y18776D01* +X223996Y18770D01* +X224200Y18770D01* +Y16783D01* +X223940Y16560D01* +X223715Y16297D01* +X223534Y16002D01* +X223401Y15682D01* +X223320Y15345D01* +X223293Y15000D01* +X223320Y14655D01* +X223401Y14318D01* +X223534Y13998D01* +X223715Y13703D01* +X223940Y13440D01* +X224203Y13215D01* +X224498Y13034D01* +X224818Y12901D01* +X225155Y12820D01* +X225500Y12793D01* +X225845Y12820D01* +X226182Y12901D01* +X226502Y13034D01* +X226797Y13215D01* +X227060Y13440D01* +X227285Y13703D01* +X227466Y13998D01* +X227599Y14318D01* +X227680Y14655D01* +X227700Y15000D01* +X227680Y15345D01* +X227599Y15682D01* +X227466Y16002D01* +X227285Y16297D01* +X227060Y16560D01* +X226800Y16783D01* +Y18775D01* +X227240Y18776D01* +X227332Y18798D01* +X227419Y18834D01* +X227500Y18883D01* +X227572Y18944D01* +X227633Y19016D01* +X227682Y19097D01* +X227718Y19184D01* +X227732Y19240D01* +X227733Y18488D01* +X227755Y18396D01* +X227791Y18309D01* +X227840Y18228D01* +X227901Y18156D01* +X227973Y18095D01* +X228054Y18046D01* +X228141Y18010D01* +X228233Y17988D01* +X228327Y17982D01* +X228700Y17983D01* +Y15661D01* +X228688Y15716D01* +X228666Y15774D01* +X228634Y15829D01* +X228595Y15878D01* +X228548Y15920D01* +X228495Y15954D01* +X228438Y15980D01* +X228377Y15996D01* +X228314Y16003D01* +X228251Y16000D01* +X228190Y15987D01* +X228131Y15964D01* +X228077Y15933D01* +X228028Y15893D01* +X227985Y15846D01* +X227951Y15794D01* +X227927Y15736D01* +X227862Y15531D01* +X227821Y15321D01* +X227800Y15107D01* +Y14893D01* +X227821Y14679D01* +X227862Y14469D01* +X227924Y14263D01* +X227950Y14206D01* +X227984Y14153D01* +X228026Y14106D01* +X228076Y14066D01* +X228130Y14034D01* +X228189Y14012D01* +X228251Y13999D01* +X228314Y13995D01* +X228377Y14002D01* +X228438Y14018D01* +X228496Y14044D01* +X228549Y14079D01* +X228596Y14121D01* +X228636Y14170D01* +X228667Y14225D01* +X228690Y14284D01* +X228703Y14346D01* +X228706Y14409D01* +X228700Y14472D01* +X228682Y14532D01* +X228641Y14662D01* +X228615Y14796D01* +X228602Y14932D01* +Y15068D01* +X228615Y15204D01* +X228641Y15338D01* +X228681Y15468D01* +X228698Y15529D01* +X228700Y15547D01* +Y15000D01* +X228712Y14796D01* +X228760Y14597D01* +X228838Y14408D01* +X228945Y14234D01* +X229078Y14078D01* +X229234Y13945D01* +X229408Y13838D01* +X229597Y13760D01* +X229796Y13712D01* +X230000Y13696D01* +X230001Y13696D01* +Y13602D01* +X229932D01* +X229796Y13615D01* +X229662Y13641D01* +X229532Y13681D01* +X229471Y13698D01* +X229409Y13705D01* +X229346Y13701D01* +X229284Y13688D01* +X229226Y13666D01* +X229171Y13634D01* +X229122Y13595D01* +X229080Y13548D01* +X229046Y13495D01* +X229020Y13438D01* +X229004Y13377D01* +X228997Y13314D01* +X229000Y13251D01* +X229013Y13190D01* +X229036Y13131D01* +X229067Y13077D01* +X229107Y13028D01* +X229154Y12985D01* +X229206Y12951D01* +X229264Y12927D01* +X229469Y12862D01* +X229679Y12821D01* +X229893Y12800D01* +X230001D01* +Y2000D01* +G37* +G36* +X216071D02*X210253D01* +Y9499D01* +X210314Y9495D01* +X210377Y9502D01* +X210438Y9518D01* +X210496Y9544D01* +X210549Y9579D01* +X210596Y9621D01* +X210636Y9670D01* +X210667Y9725D01* +X210690Y9784D01* +X210703Y9846D01* +X210706Y9909D01* +X210700Y9972D01* +X210682Y10032D01* +X210641Y10162D01* +X210615Y10296D01* +X210602Y10432D01* +Y10568D01* +X210615Y10704D01* +X210641Y10838D01* +X210681Y10968D01* +X210698Y11029D01* +X210705Y11091D01* +X210701Y11154D01* +X210688Y11216D01* +X210666Y11274D01* +X210634Y11329D01* +X210595Y11378D01* +X210548Y11420D01* +X210495Y11454D01* +X210438Y11480D01* +X210377Y11496D01* +X210314Y11503D01* +X210253Y11500D01* +Y36408D01* +X216071Y30590D01* +Y24701D01* +X216000Y24707D01* +X215655Y24680D01* +X215318Y24599D01* +X214998Y24466D01* +X214703Y24285D01* +X214440Y24060D01* +X214215Y23797D01* +X214034Y23502D01* +X213901Y23182D01* +X213820Y22845D01* +X213793Y22500D01* +X213820Y22155D01* +X213901Y21818D01* +X214034Y21498D01* +X214215Y21203D01* +X214440Y20940D01* +X214703Y20715D01* +X214998Y20534D01* +X215318Y20401D01* +X215655Y20320D01* +X216000Y20293D01* +X216071Y20299D01* +Y15634D01* +X215241Y15633D01* +X215149Y15611D01* +X215062Y15575D01* +X214981Y15526D01* +X214909Y15465D01* +X214848Y15393D01* +X214799Y15312D01* +X214763Y15225D01* +X214741Y15133D01* +X214735Y15039D01* +X214737Y11800D01* +X212661D01* +X212716Y11812D01* +X212774Y11834D01* +X212829Y11866D01* +X212878Y11905D01* +X212920Y11952D01* +X212954Y12005D01* +X212980Y12062D01* +X212996Y12123D01* +X213003Y12186D01* +X213000Y12249D01* +X212987Y12310D01* +X212964Y12369D01* +X212933Y12423D01* +X212893Y12472D01* +X212846Y12515D01* +X212794Y12549D01* +X212736Y12573D01* +X212531Y12638D01* +X212321Y12679D01* +X212107Y12700D01* +X211893D01* +X211679Y12679D01* +X211469Y12638D01* +X211263Y12576D01* +X211206Y12550D01* +X211153Y12516D01* +X211106Y12474D01* +X211066Y12424D01* +X211034Y12370D01* +X211012Y12311D01* +X210999Y12249D01* +X210995Y12186D01* +X211002Y12123D01* +X211018Y12062D01* +X211044Y12004D01* +X211079Y11951D01* +X211121Y11904D01* +X211170Y11864D01* +X211225Y11833D01* +X211284Y11810D01* +X211346Y11797D01* +X211409Y11794D01* +X211472Y11800D01* +X211532Y11818D01* +X211662Y11859D01* +X211796Y11885D01* +X211932Y11898D01* +X212068D01* +X212204Y11885D01* +X212338Y11859D01* +X212468Y11819D01* +X212529Y11802D01* +X212547Y11800D01* +X212000D01* +X211796Y11788D01* +X211597Y11740D01* +X211408Y11662D01* +X211234Y11555D01* +X211078Y11422D01* +X210945Y11266D01* +X210838Y11092D01* +X210760Y10903D01* +X210712Y10704D01* +X210696Y10500D01* +X210712Y10296D01* +X210760Y10097D01* +X210838Y9908D01* +X210945Y9734D01* +X211078Y9578D01* +X211234Y9445D01* +X211408Y9338D01* +X211597Y9260D01* +X211796Y9212D01* +X212000Y9200D01* +X212531D01* +X212528Y9200D01* +X212468Y9182D01* +X212338Y9141D01* +X212204Y9115D01* +X212068Y9102D01* +X211932D01* +X211796Y9115D01* +X211662Y9141D01* +X211532Y9181D01* +X211471Y9198D01* +X211409Y9205D01* +X211346Y9201D01* +X211284Y9188D01* +X211226Y9166D01* +X211171Y9134D01* +X211122Y9095D01* +X211080Y9048D01* +X211046Y8995D01* +X211020Y8938D01* +X211004Y8877D01* +X210997Y8814D01* +X211000Y8751D01* +X211013Y8690D01* +X211036Y8631D01* +X211067Y8577D01* +X211107Y8528D01* +X211154Y8485D01* +X211206Y8451D01* +X211264Y8427D01* +X211469Y8362D01* +X211679Y8321D01* +X211893Y8300D01* +X212107D01* +X212321Y8321D01* +X212531Y8362D01* +X212737Y8424D01* +X212794Y8450D01* +X212847Y8484D01* +X212894Y8526D01* +X212934Y8576D01* +X212966Y8630D01* +X212988Y8689D01* +X213001Y8751D01* +X213005Y8814D01* +X212998Y8877D01* +X212982Y8938D01* +X212956Y8996D01* +X212921Y9049D01* +X212879Y9096D01* +X212830Y9136D01* +X212775Y9167D01* +X212716Y9190D01* +X212669Y9200D01* +X214739D01* +X214741Y7465D01* +X214763Y7373D01* +X214799Y7286D01* +X214848Y7205D01* +X214909Y7133D01* +X214981Y7072D01* +X215062Y7023D01* +X215149Y6987D01* +X215241Y6965D01* +X215335Y6959D01* +X216071Y6960D01* +Y2000D01* +G37* +G36* +X210253D02*X198500D01* +Y66049D01* +X198505Y66046D01* +X198562Y66020D01* +X198623Y66004D01* +X198686Y65997D01* +X198749Y66000D01* +X198810Y66013D01* +X198869Y66036D01* +X198923Y66067D01* +X198972Y66107D01* +X199015Y66154D01* +X199049Y66206D01* +X199073Y66264D01* +X199138Y66469D01* +X199179Y66679D01* +X199200Y66893D01* +Y67107D01* +X199179Y67321D01* +X199138Y67531D01* +X199076Y67737D01* +X199050Y67794D01* +X199016Y67847D01* +X198974Y67894D01* +X198924Y67934D01* +X198870Y67966D01* +X198811Y67988D01* +X198749Y68001D01* +X198686Y68005D01* +X198623Y67998D01* +X198562Y67982D01* +X198504Y67956D01* +X198500Y67953D01* +Y70855D01* +X198516Y70921D01* +X198522Y71016D01* +X198516Y73079D01* +X198500Y73145D01* +Y75918D01* +X199579Y75921D01* +X199670Y75943D01* +X199758Y75979D01* +X199838Y76029D01* +X199910Y76090D01* +X199971Y76162D01* +X200021Y76242D01* +X200057Y76330D01* +X200079Y76421D01* +X200084Y76516D01* +X200079Y78579D01* +X200057Y78670D01* +X200021Y78758D01* +X199971Y78838D01* +X199910Y78910D01* +X199838Y78971D01* +X199758Y79021D01* +X199670Y79057D01* +X199579Y79079D01* +X199484Y79084D01* +X198500Y79082D01* +Y79855D01* +X199579Y79858D01* +X199670Y79880D01* +X199758Y79916D01* +X199838Y79966D01* +X199910Y80027D01* +X199971Y80099D01* +X200021Y80179D01* +X200057Y80267D01* +X200079Y80358D01* +X200084Y80452D01* +X200079Y82516D01* +X200057Y82607D01* +X200021Y82695D01* +X199971Y82775D01* +X199910Y82847D01* +X199838Y82908D01* +X199758Y82958D01* +X199670Y82994D01* +X199579Y83016D01* +X199484Y83022D01* +X198500Y83019D01* +Y84976D01* +X198588Y84955D01* +X198823Y84941D01* +X200160Y84955D01* +X200358Y85002D01* +X200556Y84955D01* +X200791Y84941D01* +X202011Y84954D01* +Y45240D01* +X202007Y45189D01* +X202023Y44985D01* +Y44985D01* +X202035Y44937D01* +X202071Y44786D01* +X202149Y44597D01* +X202256Y44423D01* +X202256Y44422D01* +X202389Y44267D01* +X202428Y44234D01* +X210253Y36408D01* +Y11500D01* +X210251Y11500D01* +X210190Y11487D01* +X210131Y11464D01* +X210077Y11433D01* +X210028Y11393D01* +X209985Y11346D01* +X209951Y11294D01* +X209927Y11236D01* +X209862Y11031D01* +X209821Y10821D01* +X209800Y10607D01* +Y10393D01* +X209821Y10179D01* +X209862Y9969D01* +X209924Y9763D01* +X209950Y9706D01* +X209984Y9653D01* +X210026Y9606D01* +X210076Y9566D01* +X210130Y9534D01* +X210189Y9512D01* +X210251Y9499D01* +X210253Y9499D01* +Y2000D01* +G37* +G36* +X272451Y17300D02*X262250D01* +Y84500D01* +X272451D01* +Y17300D01* +G37* +G36* +X198500Y83019D02*X197821Y83017D01* +X195887Y84951D01* +X196223Y84955D01* +X196421Y85002D01* +X196619Y84955D01* +X196854Y84941D01* +X198191Y84955D01* +X198389Y85002D01* +X198500Y84976D01* +Y83019D01* +G37* +G36* +X197001Y76207D02*X197029Y76162D01* +X197090Y76090D01* +X197162Y76029D01* +X197242Y75979D01* +X197330Y75943D01* +X197421Y75921D01* +X197516Y75916D01* +X198500Y75918D01* +Y73145D01* +X198494Y73170D01* +X198458Y73258D01* +X198408Y73338D01* +X198347Y73410D01* +X198275Y73471D01* +X198195Y73521D01* +X198107Y73557D01* +X198016Y73579D01* +X197922Y73584D01* +X197001Y73582D01* +Y76207D01* +G37* +G36* +Y80144D02*X197029Y80099D01* +X197090Y80027D01* +X197162Y79966D01* +X197242Y79916D01* +X197330Y79880D01* +X197421Y79858D01* +X197516Y79852D01* +X198500Y79855D01* +Y79082D01* +X197421Y79079D01* +X197330Y79057D01* +X197242Y79021D01* +X197162Y78971D01* +X197090Y78910D01* +X197029Y78838D01* +X197001Y78793D01* +Y80144D01* +G37* +G36* +X198500Y2000D02*X197001D01* +Y64800D01* +X197107D01* +X197321Y64821D01* +X197531Y64862D01* +X197737Y64924D01* +X197794Y64950D01* +X197847Y64984D01* +X197894Y65026D01* +X197934Y65076D01* +X197966Y65130D01* +X197988Y65189D01* +X198001Y65251D01* +X198005Y65314D01* +X197998Y65377D01* +X197982Y65438D01* +X197956Y65496D01* +X197921Y65549D01* +X197879Y65596D01* +X197830Y65636D01* +X197775Y65667D01* +X197716Y65690D01* +X197654Y65703D01* +X197591Y65706D01* +X197528Y65700D01* +X197468Y65682D01* +X197338Y65641D01* +X197204Y65615D01* +X197068Y65602D01* +X197001D01* +Y68398D01* +X197068D01* +X197204Y68385D01* +X197338Y68359D01* +X197468Y68319D01* +X197529Y68302D01* +X197591Y68295D01* +X197654Y68299D01* +X197716Y68312D01* +X197774Y68334D01* +X197829Y68366D01* +X197878Y68405D01* +X197920Y68452D01* +X197954Y68505D01* +X197980Y68562D01* +X197996Y68623D01* +X198003Y68686D01* +X198000Y68749D01* +X197987Y68810D01* +X197964Y68869D01* +X197933Y68923D01* +X197893Y68972D01* +X197846Y69015D01* +X197794Y69049D01* +X197736Y69073D01* +X197531Y69138D01* +X197321Y69179D01* +X197107Y69200D01* +X197001D01* +Y70418D01* +X198016Y70421D01* +X198107Y70443D01* +X198195Y70479D01* +X198275Y70529D01* +X198347Y70590D01* +X198408Y70662D01* +X198458Y70742D01* +X198494Y70830D01* +X198500Y70855D01* +Y67953D01* +X198451Y67921D01* +X198404Y67879D01* +X198364Y67830D01* +X198333Y67775D01* +X198310Y67716D01* +X198297Y67654D01* +X198294Y67591D01* +X198300Y67528D01* +X198318Y67468D01* +X198359Y67338D01* +X198385Y67204D01* +X198398Y67068D01* +Y66932D01* +X198385Y66796D01* +X198359Y66662D01* +X198319Y66532D01* +X198302Y66471D01* +X198295Y66409D01* +X198299Y66346D01* +X198312Y66284D01* +X198334Y66226D01* +X198366Y66171D01* +X198405Y66122D01* +X198452Y66080D01* +X198500Y66049D01* +Y2000D01* +G37* +G36* +X197001D02*X195253D01* +Y65999D01* +X195314Y65995D01* +X195377Y66002D01* +X195438Y66018D01* +X195496Y66044D01* +X195549Y66079D01* +X195596Y66121D01* +X195636Y66170D01* +X195667Y66225D01* +X195690Y66284D01* +X195703Y66346D01* +X195706Y66409D01* +X195700Y66472D01* +X195682Y66532D01* +X195641Y66662D01* +X195615Y66796D01* +X195602Y66932D01* +Y67068D01* +X195615Y67204D01* +X195641Y67338D01* +X195681Y67468D01* +X195698Y67529D01* +X195705Y67591D01* +X195701Y67654D01* +X195688Y67716D01* +X195666Y67774D01* +X195634Y67829D01* +X195595Y67878D01* +X195548Y67920D01* +X195495Y67954D01* +X195438Y67980D01* +X195377Y67996D01* +X195314Y68003D01* +X195253Y68000D01* +Y81908D01* +X196608Y80554D01* +X196641Y80515D01* +X196796Y80382D01* +X196797Y80382D01* +X196905Y80316D01* +X196936Y80297D01* +X196943Y80267D01* +X196979Y80179D01* +X197001Y80144D01* +Y78793D01* +X196979Y78758D01* +X196943Y78670D01* +X196921Y78579D01* +X196916Y78484D01* +X196921Y76421D01* +X196943Y76330D01* +X196979Y76242D01* +X197001Y76207D01* +Y73582D01* +X195858Y73579D01* +X195767Y73557D01* +X195679Y73521D01* +X195599Y73471D01* +X195527Y73410D01* +X195466Y73338D01* +X195416Y73258D01* +X195380Y73170D01* +X195358Y73079D01* +X195352Y72984D01* +X195358Y70921D01* +X195380Y70830D01* +X195416Y70742D01* +X195466Y70662D01* +X195527Y70590D01* +X195599Y70529D01* +X195679Y70479D01* +X195767Y70443D01* +X195858Y70421D01* +X195952Y70416D01* +X197001Y70418D01* +Y69200D01* +X196893D01* +X196679Y69179D01* +X196469Y69138D01* +X196263Y69076D01* +X196206Y69050D01* +X196153Y69016D01* +X196106Y68974D01* +X196066Y68924D01* +X196034Y68870D01* +X196012Y68811D01* +X195999Y68749D01* +X195995Y68686D01* +X196002Y68623D01* +X196018Y68562D01* +X196044Y68504D01* +X196079Y68451D01* +X196121Y68404D01* +X196170Y68364D01* +X196225Y68333D01* +X196284Y68310D01* +X196346Y68297D01* +X196409Y68294D01* +X196472Y68300D01* +X196532Y68318D01* +X196662Y68359D01* +X196796Y68385D01* +X196932Y68398D01* +X197001D01* +Y65602D01* +X196932D01* +X196796Y65615D01* +X196662Y65641D01* +X196532Y65681D01* +X196471Y65698D01* +X196409Y65705D01* +X196346Y65701D01* +X196284Y65688D01* +X196226Y65666D01* +X196171Y65634D01* +X196122Y65595D01* +X196080Y65548D01* +X196046Y65495D01* +X196020Y65438D01* +X196004Y65377D01* +X195997Y65314D01* +X196000Y65251D01* +X196013Y65190D01* +X196036Y65131D01* +X196067Y65077D01* +X196107Y65028D01* +X196154Y64985D01* +X196206Y64951D01* +X196264Y64927D01* +X196469Y64862D01* +X196679Y64821D01* +X196893Y64800D01* +X197001D01* +Y2000D01* +G37* +G36* +X195253D02*X193000D01* +Y70418D01* +X194079Y70421D01* +X194170Y70443D01* +X194258Y70479D01* +X194338Y70529D01* +X194410Y70590D01* +X194471Y70662D01* +X194521Y70742D01* +X194557Y70830D01* +X194579Y70921D01* +X194584Y71016D01* +X194579Y73079D01* +X194557Y73170D01* +X194521Y73258D01* +X194471Y73338D01* +X194410Y73410D01* +X194338Y73471D01* +X194258Y73521D01* +X194170Y73557D01* +X194079Y73579D01* +X193984Y73584D01* +X193000Y73582D01* +Y75918D01* +X194079Y75921D01* +X194170Y75943D01* +X194258Y75979D01* +X194338Y76029D01* +X194410Y76090D01* +X194471Y76162D01* +X194521Y76242D01* +X194557Y76330D01* +X194579Y76421D01* +X194584Y76516D01* +X194579Y78579D01* +X194557Y78670D01* +X194521Y78758D01* +X194471Y78838D01* +X194410Y78910D01* +X194338Y78971D01* +X194258Y79021D01* +X194170Y79057D01* +X194079Y79079D01* +X193984Y79084D01* +X193000Y79082D01* +Y79855D01* +X194079Y79858D01* +X194170Y79880D01* +X194258Y79916D01* +X194338Y79966D01* +X194410Y80027D01* +X194471Y80099D01* +X194521Y80179D01* +X194557Y80267D01* +X194579Y80358D01* +X194584Y80452D01* +X194579Y82516D01* +X194558Y82604D01* +X195253Y81908D01* +Y68000D01* +X195251Y68000D01* +X195190Y67987D01* +X195131Y67964D01* +X195077Y67933D01* +X195028Y67893D01* +X194985Y67846D01* +X194951Y67794D01* +X194927Y67736D01* +X194862Y67531D01* +X194821Y67321D01* +X194800Y67107D01* +Y66893D01* +X194821Y66679D01* +X194862Y66469D01* +X194924Y66263D01* +X194950Y66206D01* +X194984Y66153D01* +X195026Y66106D01* +X195076Y66066D01* +X195130Y66034D01* +X195189Y66012D01* +X195251Y65999D01* +X195253Y65999D01* +Y2000D01* +G37* +G36* +X193000Y73582D02*X191921Y73579D01* +X191830Y73557D01* +X191742Y73521D01* +X191662Y73471D01* +X191590Y73410D01* +X191529Y73338D01* +X191518Y73321D01* +X190831Y74007D01* +Y81862D01* +X191419Y81274D01* +X191421Y80358D01* +X191443Y80267D01* +X191479Y80179D01* +X191529Y80099D01* +X191590Y80027D01* +X191662Y79966D01* +X191742Y79916D01* +X191830Y79880D01* +X191921Y79858D01* +X192016Y79852D01* +X193000Y79855D01* +Y79082D01* +X191921Y79079D01* +X191830Y79057D01* +X191742Y79021D01* +X191662Y78971D01* +X191590Y78910D01* +X191529Y78838D01* +X191479Y78758D01* +X191443Y78670D01* +X191421Y78579D01* +X191416Y78484D01* +X191421Y76421D01* +X191443Y76330D01* +X191479Y76242D01* +X191529Y76162D01* +X191590Y76090D01* +X191662Y76029D01* +X191742Y75979D01* +X191830Y75943D01* +X191921Y75921D01* +X192016Y75916D01* +X193000Y75918D01* +Y73582D01* +G37* +G36* +Y2000D02*X187685D01* +Y2162D01* +X191323Y2165D01* +X191414Y2187D01* +X191502Y2223D01* +X191582Y2273D01* +X191654Y2334D01* +X191715Y2406D01* +X191765Y2486D01* +X191801Y2574D01* +X191823Y2665D01* +X191828Y2760D01* +X191823Y10335D01* +X191801Y10426D01* +X191765Y10514D01* +X191715Y10594D01* +X191654Y10666D01* +X191582Y10727D01* +X191502Y10777D01* +X191414Y10813D01* +X191323Y10835D01* +X191228Y10841D01* +X187685Y10838D01* +Y12795D01* +X189158Y12796D01* +X189249Y12818D01* +X189337Y12854D01* +X189417Y12904D01* +X189489Y12965D01* +X189550Y13037D01* +X189600Y13117D01* +X189636Y13205D01* +X189658Y13296D01* +X189664Y13390D01* +X189658Y19784D01* +X189636Y19875D01* +X189600Y19963D01* +X189550Y20043D01* +X189489Y20115D01* +X189417Y20176D01* +X189337Y20226D01* +X189249Y20262D01* +X189158Y20284D01* +X189064Y20290D01* +X187685Y20289D01* +Y64347D01* +X188446Y65108D01* +X188485Y65141D01* +X188618Y65296D01* +X188618Y65297D01* +X188725Y65471D01* +X188803Y65660D01* +X188851Y65859D01* +X188867Y66063D01* +X188863Y66114D01* +Y72299D01* +X190045Y71117D01* +X190078Y71078D01* +X190233Y70945D01* +X190234Y70945D01* +X190408Y70838D01* +X190597Y70760D01* +X190748Y70724D01* +X190796Y70712D01* +X190796D01* +X191000Y70696D01* +X191051Y70700D01* +X191505D01* +X191529Y70662D01* +X191590Y70590D01* +X191662Y70529D01* +X191742Y70479D01* +X191830Y70443D01* +X191921Y70421D01* +X192016Y70416D01* +X193000Y70418D01* +Y2000D01* +G37* +G36* +X187685D02*X179747D01* +Y2164D01* +X181283Y2166D01* +X181375Y2188D01* +X181462Y2224D01* +X181543Y2273D01* +X181615Y2334D01* +X181676Y2406D01* +X181725Y2487D01* +X181761Y2574D01* +X181783Y2666D01* +X181789Y2760D01* +X181783Y10334D01* +X181761Y10426D01* +X181725Y10513D01* +X181676Y10594D01* +X181615Y10666D01* +X181543Y10727D01* +X181462Y10776D01* +X181375Y10812D01* +X181283Y10834D01* +X181189Y10840D01* +X179747Y10839D01* +Y23000D01* +X179749Y23000D01* +X179810Y23013D01* +X179869Y23036D01* +X179923Y23067D01* +X179972Y23107D01* +X180015Y23154D01* +X180049Y23206D01* +X180073Y23264D01* +X180138Y23469D01* +X180179Y23679D01* +X180200Y23893D01* +Y24107D01* +X180179Y24321D01* +X180138Y24531D01* +X180076Y24737D01* +X180050Y24794D01* +X180016Y24847D01* +X179974Y24894D01* +X179924Y24934D01* +X179870Y24966D01* +X179811Y24988D01* +X179749Y25001D01* +X179747Y25001D01* +Y59429D01* +X179814Y59486D01* +X179967Y59666D01* +X180091Y59867D01* +X180181Y60085D01* +X180236Y60315D01* +X180250Y60550D01* +X180246Y62200D01* +X184949D01* +X185000Y62196D01* +X185204Y62212D01* +X185204Y62212D01* +X185403Y62260D01* +X185592Y62338D01* +X185766Y62445D01* +X185922Y62578D01* +X185955Y62617D01* +X187685Y64347D01* +Y20289D01* +X180701Y20284D01* +X180610Y20262D01* +X180522Y20226D01* +X180442Y20176D01* +X180370Y20115D01* +X180309Y20043D01* +X180259Y19963D01* +X180223Y19875D01* +X180201Y19784D01* +X180195Y19690D01* +X180201Y13296D01* +X180223Y13205D01* +X180259Y13117D01* +X180309Y13037D01* +X180370Y12965D01* +X180442Y12904D01* +X180522Y12854D01* +X180610Y12818D01* +X180701Y12796D01* +X180795Y12790D01* +X187685Y12795D01* +Y10838D01* +X184047Y10835D01* +X183956Y10813D01* +X183868Y10777D01* +X183788Y10727D01* +X183716Y10666D01* +X183655Y10594D01* +X183605Y10514D01* +X183569Y10426D01* +X183547Y10335D01* +X183541Y10241D01* +X183547Y2665D01* +X183569Y2574D01* +X183605Y2486D01* +X183655Y2406D01* +X183716Y2334D01* +X183788Y2273D01* +X183868Y2223D01* +X183956Y2187D01* +X184047Y2165D01* +X184141Y2160D01* +X187685Y2162D01* +Y2000D01* +G37* +G36* +X179747Y10839D02*X177449Y10837D01* +Y13776D01* +X178725Y13780D01* +X178816Y13802D01* +X178904Y13838D01* +X178984Y13888D01* +X179056Y13949D01* +X179117Y14021D01* +X179167Y14101D01* +X179203Y14189D01* +X179225Y14280D01* +X179230Y14374D01* +X179225Y19784D01* +X179203Y19875D01* +X179167Y19963D01* +X179117Y20043D01* +X179056Y20115D01* +X178984Y20176D01* +X178904Y20226D01* +X178816Y20262D01* +X178725Y20284D01* +X178630Y20290D01* +X177449Y20286D01* +Y21869D01* +X177469Y21862D01* +X177679Y21821D01* +X177893Y21800D01* +X178107D01* +X178321Y21821D01* +X178531Y21862D01* +X178737Y21924D01* +X178794Y21950D01* +X178847Y21984D01* +X178894Y22026D01* +X178934Y22076D01* +X178966Y22130D01* +X178988Y22189D01* +X179001Y22251D01* +X179005Y22314D01* +X178998Y22377D01* +X178982Y22438D01* +X178956Y22496D01* +X178921Y22549D01* +X178879Y22596D01* +X178830Y22636D01* +X178775Y22667D01* +X178716Y22690D01* +X178654Y22703D01* +X178591Y22706D01* +X178528Y22700D01* +X178468Y22682D01* +X178338Y22641D01* +X178204Y22615D01* +X178068Y22602D01* +X177932D01* +X177796Y22615D01* +X177662Y22641D01* +X177532Y22681D01* +X177471Y22698D01* +X177449Y22700D01* +Y25298D01* +X177472Y25300D01* +X177532Y25318D01* +X177662Y25359D01* +X177796Y25385D01* +X177932Y25398D01* +X178068D01* +X178204Y25385D01* +X178338Y25359D01* +X178468Y25319D01* +X178529Y25302D01* +X178591Y25295D01* +X178654Y25299D01* +X178716Y25312D01* +X178774Y25334D01* +X178829Y25366D01* +X178878Y25405D01* +X178920Y25452D01* +X178954Y25505D01* +X178980Y25562D01* +X178996Y25623D01* +X179003Y25686D01* +X179000Y25749D01* +X178987Y25810D01* +X178964Y25869D01* +X178933Y25923D01* +X178893Y25972D01* +X178846Y26015D01* +X178794Y26049D01* +X178736Y26073D01* +X178531Y26138D01* +X178321Y26179D01* +X178107Y26200D01* +X177893D01* +X177679Y26179D01* +X177469Y26138D01* +X177449Y26132D01* +Y59059D01* +X178985Y59064D01* +X179215Y59119D01* +X179433Y59209D01* +X179634Y59333D01* +X179747Y59429D01* +Y25001D01* +X179686Y25005D01* +X179623Y24998D01* +X179562Y24982D01* +X179504Y24956D01* +X179451Y24921D01* +X179404Y24879D01* +X179364Y24830D01* +X179333Y24775D01* +X179310Y24716D01* +X179297Y24654D01* +X179294Y24591D01* +X179300Y24528D01* +X179318Y24468D01* +X179359Y24338D01* +X179385Y24204D01* +X179398Y24068D01* +Y23932D01* +X179385Y23796D01* +X179359Y23662D01* +X179319Y23532D01* +X179302Y23471D01* +X179295Y23409D01* +X179299Y23346D01* +X179312Y23284D01* +X179334Y23226D01* +X179366Y23171D01* +X179405Y23122D01* +X179452Y23080D01* +X179505Y23046D01* +X179562Y23020D01* +X179623Y23004D01* +X179686Y22997D01* +X179747Y23000D01* +Y10839D01* +G37* +G36* +Y2000D02*X177449D01* +Y2163D01* +X179747Y2164D01* +Y2000D01* +G37* +G36* +X177449Y20286D02*X176961Y20284D01* +X176870Y20262D01* +X176782Y20226D01* +X176702Y20176D01* +X176630Y20115D01* +X176569Y20043D01* +X176563Y20035D01* +X176558Y20043D01* +X176497Y20115D01* +X176425Y20176D01* +X176345Y20226D01* +X176257Y20262D01* +X176253Y20263D01* +Y22999D01* +X176314Y22995D01* +X176377Y23002D01* +X176438Y23018D01* +X176496Y23044D01* +X176549Y23079D01* +X176596Y23121D01* +X176636Y23170D01* +X176667Y23225D01* +X176690Y23284D01* +X176703Y23346D01* +X176706Y23409D01* +X176700Y23472D01* +X176682Y23532D01* +X176641Y23662D01* +X176615Y23796D01* +X176602Y23932D01* +Y24068D01* +X176615Y24204D01* +X176641Y24338D01* +X176681Y24468D01* +X176698Y24529D01* +X176705Y24591D01* +X176701Y24654D01* +X176688Y24716D01* +X176666Y24774D01* +X176634Y24829D01* +X176595Y24878D01* +X176548Y24920D01* +X176495Y24954D01* +X176438Y24980D01* +X176377Y24996D01* +X176314Y25003D01* +X176253Y25000D01* +Y59056D01* +X177449Y59059D01* +Y26132D01* +X177263Y26076D01* +X177206Y26050D01* +X177153Y26016D01* +X177106Y25974D01* +X177066Y25924D01* +X177034Y25870D01* +X177012Y25811D01* +X176999Y25749D01* +X176995Y25686D01* +X177002Y25623D01* +X177018Y25562D01* +X177044Y25504D01* +X177079Y25451D01* +X177121Y25404D01* +X177170Y25364D01* +X177225Y25333D01* +X177284Y25310D01* +X177346Y25297D01* +X177409Y25294D01* +X177449Y25298D01* +Y22700D01* +X177409Y22705D01* +X177346Y22701D01* +X177284Y22688D01* +X177226Y22666D01* +X177171Y22634D01* +X177122Y22595D01* +X177080Y22548D01* +X177046Y22495D01* +X177020Y22438D01* +X177004Y22377D01* +X176997Y22314D01* +X177000Y22251D01* +X177013Y22190D01* +X177036Y22131D01* +X177067Y22077D01* +X177107Y22028D01* +X177154Y21985D01* +X177206Y21951D01* +X177264Y21927D01* +X177449Y21869D01* +Y20286D01* +G37* +G36* +X176253Y20263D02*X176166Y20284D01* +X176072Y20290D01* +X174402Y20284D01* +X174311Y20262D01* +X174223Y20226D01* +X174143Y20176D01* +X174071Y20115D01* +X174025Y20061D01* +Y59063D01* +X174250Y59050D01* +X176253Y59056D01* +Y25000D01* +X176251Y25000D01* +X176190Y24987D01* +X176131Y24964D01* +X176077Y24933D01* +X176028Y24893D01* +X175985Y24846D01* +X175951Y24794D01* +X175927Y24736D01* +X175862Y24531D01* +X175821Y24321D01* +X175800Y24107D01* +Y23893D01* +X175821Y23679D01* +X175862Y23469D01* +X175924Y23263D01* +X175950Y23206D01* +X175984Y23153D01* +X176026Y23106D01* +X176076Y23066D01* +X176130Y23034D01* +X176189Y23012D01* +X176251Y22999D01* +X176253Y22999D01* +Y20263D01* +G37* +G36* +X177449Y2000D02*X168000D01* +Y2163D01* +X171834Y2166D01* +X171926Y2188D01* +X172013Y2224D01* +X172094Y2273D01* +X172166Y2334D01* +X172227Y2406D01* +X172276Y2487D01* +X172312Y2574D01* +X172334Y2666D01* +X172340Y2760D01* +X172334Y10334D01* +X172312Y10426D01* +X172276Y10513D01* +X172227Y10594D01* +X172166Y10666D01* +X172094Y10727D01* +X172013Y10776D01* +X171926Y10812D01* +X171834Y10834D01* +X171740Y10840D01* +X168000Y10837D01* +Y13778D01* +X168489Y13780D01* +X168580Y13802D01* +X168668Y13838D01* +X168748Y13888D01* +X168820Y13949D01* +X168881Y14021D01* +X168886Y14029D01* +X168892Y14021D01* +X168953Y13949D01* +X169025Y13888D01* +X169105Y13838D01* +X169193Y13802D01* +X169284Y13780D01* +X169378Y13774D01* +X171048Y13780D01* +X171139Y13802D01* +X171227Y13838D01* +X171307Y13888D01* +X171379Y13949D01* +X171440Y14021D01* +X171445Y14029D01* +X171451Y14021D01* +X171512Y13949D01* +X171584Y13888D01* +X171664Y13838D01* +X171752Y13802D01* +X171843Y13780D01* +X171937Y13774D01* +X173607Y13780D01* +X173698Y13802D01* +X173786Y13838D01* +X173866Y13888D01* +X173938Y13949D01* +X173999Y14021D01* +X174004Y14029D01* +X174010Y14021D01* +X174071Y13949D01* +X174143Y13888D01* +X174223Y13838D01* +X174311Y13802D01* +X174402Y13780D01* +X174496Y13774D01* +X176166Y13780D01* +X176257Y13802D01* +X176345Y13838D01* +X176425Y13888D01* +X176497Y13949D01* +X176558Y14021D01* +X176564Y14029D01* +X176569Y14021D01* +X176630Y13949D01* +X176702Y13888D01* +X176782Y13838D01* +X176870Y13802D01* +X176961Y13780D01* +X177055Y13774D01* +X177449Y13776D01* +Y10837D01* +X173615Y10834D01* +X173523Y10812D01* +X173436Y10776D01* +X173355Y10727D01* +X173283Y10666D01* +X173222Y10594D01* +X173173Y10513D01* +X173137Y10426D01* +X173115Y10334D01* +X173109Y10240D01* +X173115Y2666D01* +X173137Y2574D01* +X173173Y2487D01* +X173222Y2406D01* +X173283Y2334D01* +X173355Y2273D01* +X173436Y2224D01* +X173523Y2188D01* +X173615Y2166D01* +X173709Y2160D01* +X177449Y2163D01* +Y2000D01* +G37* +G36* +X168000D02*X161000D01* +Y2165D01* +X161402Y2165D01* +X161493Y2187D01* +X161581Y2223D01* +X161661Y2273D01* +X161733Y2334D01* +X161794Y2406D01* +X161844Y2486D01* +X161880Y2574D01* +X161902Y2665D01* +X161908Y2760D01* +X161902Y10335D01* +X161880Y10426D01* +X161844Y10514D01* +X161794Y10594D01* +X161733Y10666D01* +X161661Y10727D01* +X161581Y10777D01* +X161493Y10813D01* +X161402Y10835D01* +X161308Y10841D01* +X161000Y10840D01* +Y12794D01* +X164748Y12796D01* +X164839Y12818D01* +X164927Y12854D01* +X165007Y12904D01* +X165079Y12965D01* +X165140Y13037D01* +X165190Y13117D01* +X165226Y13205D01* +X165248Y13296D01* +X165253Y13391D01* +X165248Y19784D01* +X165226Y19875D01* +X165190Y19963D01* +X165140Y20043D01* +X165079Y20115D01* +X165007Y20176D01* +X164927Y20226D01* +X164839Y20262D01* +X164748Y20284D01* +X164653Y20290D01* +X161000Y20287D01* +Y26318D01* +X165409Y21909D01* +X165673Y21674D01* +X165975Y21489D01* +X166303Y21354D01* +X166647Y21271D01* +X166700Y21267D01* +Y20278D01* +X166634Y20262D01* +X166546Y20226D01* +X166466Y20176D01* +X166394Y20115D01* +X166333Y20043D01* +X166283Y19963D01* +X166247Y19875D01* +X166225Y19784D01* +X166219Y19690D01* +X166225Y14280D01* +X166247Y14189D01* +X166283Y14101D01* +X166333Y14021D01* +X166394Y13949D01* +X166466Y13888D01* +X166546Y13838D01* +X166634Y13802D01* +X166725Y13780D01* +X166819Y13774D01* +X168000Y13778D01* +Y10837D01* +X164166Y10834D01* +X164074Y10812D01* +X163987Y10776D01* +X163906Y10727D01* +X163834Y10666D01* +X163773Y10594D01* +X163724Y10513D01* +X163688Y10426D01* +X163666Y10334D01* +X163660Y10240D01* +X163666Y2666D01* +X163688Y2574D01* +X163724Y2487D01* +X163773Y2406D01* +X163834Y2334D01* +X163906Y2273D01* +X163987Y2224D01* +X164074Y2188D01* +X164166Y2166D01* +X164260Y2160D01* +X168000Y2163D01* +Y2000D01* +G37* +G36* +X161000Y147671D02*X155706Y147659D01* +X155476Y147604D01* +X155258Y147514D01* +X155057Y147390D01* +X154877Y147237D01* +X154724Y147057D01* +X154641Y146922D01* +X128247D01* +Y151500D01* +X128249Y151500D01* +X128310Y151513D01* +X128369Y151536D01* +X128423Y151567D01* +X128472Y151607D01* +X128515Y151654D01* +X128549Y151706D01* +X128573Y151764D01* +X128638Y151969D01* +X128679Y152179D01* +X128700Y152393D01* +Y152607D01* +X128679Y152821D01* +X128638Y153031D01* +X128576Y153237D01* +X128550Y153294D01* +X128516Y153347D01* +X128474Y153394D01* +X128424Y153434D01* +X128370Y153466D01* +X128311Y153488D01* +X128249Y153501D01* +X128247Y153501D01* +Y158200D01* +X131450D01* +X131409Y158133D01* +X131319Y157915D01* +X131264Y157685D01* +X131250Y157450D01* +X131264Y151315D01* +X131319Y151085D01* +X131409Y150867D01* +X131533Y150666D01* +X131686Y150486D01* +X131866Y150333D01* +X132067Y150209D01* +X132285Y150119D01* +X132515Y150064D01* +X132750Y150050D01* +X137485Y150064D01* +X137715Y150119D01* +X137933Y150209D01* +X138134Y150333D01* +X138314Y150486D01* +X138467Y150666D01* +X138591Y150867D01* +X138681Y151085D01* +X138736Y151315D01* +X138750Y151550D01* +X138736Y157685D01* +X138681Y157915D01* +X138591Y158133D01* +X138550Y158200D01* +X139450D01* +X139409Y158133D01* +X139319Y157915D01* +X139264Y157685D01* +X139250Y157450D01* +X139264Y151315D01* +X139319Y151085D01* +X139409Y150867D01* +X139533Y150666D01* +X139686Y150486D01* +X139866Y150333D01* +X140067Y150209D01* +X140285Y150119D01* +X140515Y150064D01* +X140750Y150050D01* +X145485Y150064D01* +X145715Y150119D01* +X145933Y150209D01* +X146134Y150333D01* +X146314Y150486D01* +X146467Y150666D01* +X146591Y150867D01* +X146681Y151085D01* +X146736Y151315D01* +X146750Y151550D01* +X146748Y152250D01* +X152412D01* +X152500Y152243D01* +X152853Y152271D01* +X152853Y152271D01* +X153197Y152354D01* +X153525Y152489D01* +X153827Y152674D01* +X154096Y152904D01* +X154153Y152971D01* +X155591Y154409D01* +X155826Y154673D01* +X156011Y154975D01* +X156146Y155303D01* +X156229Y155647D01* +X156257Y156000D01* +X156229Y156353D01* +X156146Y156697D01* +X156011Y157025D01* +X155826Y157327D01* +X155596Y157596D01* +X155327Y157826D01* +X155025Y158011D01* +X154697Y158146D01* +X154474Y158200D01* +X161000D01* +Y158019D01* +X159921Y158016D01* +X159830Y157994D01* +X159742Y157958D01* +X159662Y157908D01* +X159590Y157847D01* +X159529Y157775D01* +X159479Y157695D01* +X159443Y157607D01* +X159421Y157516D01* +X159416Y157422D01* +X159421Y155358D01* +X159443Y155267D01* +X159479Y155179D01* +X159529Y155099D01* +X159590Y155027D01* +X159662Y154966D01* +X159742Y154916D01* +X159830Y154880D01* +X159921Y154858D01* +X160016Y154852D01* +X161000Y154855D01* +Y154082D01* +X159921Y154079D01* +X159830Y154057D01* +X159742Y154021D01* +X159662Y153971D01* +X159590Y153910D01* +X159529Y153838D01* +X159479Y153758D01* +X159443Y153670D01* +X159421Y153579D01* +X159416Y153484D01* +X159421Y151421D01* +X159443Y151330D01* +X159479Y151242D01* +X159529Y151162D01* +X159590Y151090D01* +X159662Y151029D01* +X159742Y150979D01* +X159830Y150943D01* +X159921Y150921D01* +X160016Y150916D01* +X161000Y150918D01* +Y147671D01* +G37* +G36* +X128247Y146922D02*X126501D01* +Y150300D01* +X126607D01* +X126821Y150321D01* +X127031Y150362D01* +X127237Y150424D01* +X127294Y150450D01* +X127347Y150484D01* +X127394Y150526D01* +X127434Y150576D01* +X127466Y150630D01* +X127488Y150689D01* +X127501Y150751D01* +X127505Y150814D01* +X127498Y150877D01* +X127482Y150938D01* +X127456Y150996D01* +X127421Y151049D01* +X127379Y151096D01* +X127330Y151136D01* +X127275Y151167D01* +X127216Y151190D01* +X127154Y151203D01* +X127091Y151206D01* +X127028Y151200D01* +X126968Y151182D01* +X126838Y151141D01* +X126704Y151115D01* +X126568Y151102D01* +X126501D01* +Y153898D01* +X126568D01* +X126704Y153885D01* +X126838Y153859D01* +X126968Y153819D01* +X127029Y153802D01* +X127091Y153795D01* +X127154Y153799D01* +X127216Y153812D01* +X127274Y153834D01* +X127329Y153866D01* +X127378Y153905D01* +X127420Y153952D01* +X127454Y154005D01* +X127480Y154062D01* +X127496Y154123D01* +X127503Y154186D01* +X127500Y154249D01* +X127487Y154310D01* +X127464Y154369D01* +X127433Y154423D01* +X127393Y154472D01* +X127346Y154515D01* +X127294Y154549D01* +X127236Y154573D01* +X127031Y154638D01* +X126821Y154679D01* +X126607Y154700D01* +X126501D01* +Y158200D01* +X128247D01* +Y153501D01* +X128186Y153505D01* +X128123Y153498D01* +X128062Y153482D01* +X128004Y153456D01* +X127951Y153421D01* +X127904Y153379D01* +X127864Y153330D01* +X127833Y153275D01* +X127810Y153216D01* +X127797Y153154D01* +X127794Y153091D01* +X127800Y153028D01* +X127818Y152968D01* +X127859Y152838D01* +X127885Y152704D01* +X127898Y152568D01* +Y152432D01* +X127885Y152296D01* +X127859Y152162D01* +X127819Y152032D01* +X127802Y151971D01* +X127795Y151909D01* +X127799Y151846D01* +X127812Y151784D01* +X127834Y151726D01* +X127866Y151671D01* +X127905Y151622D01* +X127952Y151580D01* +X128005Y151546D01* +X128062Y151520D01* +X128123Y151504D01* +X128186Y151497D01* +X128247Y151500D01* +Y146922D01* +G37* +G36* +X126501D02*X124753D01* +Y151499D01* +X124814Y151495D01* +X124877Y151502D01* +X124938Y151518D01* +X124996Y151544D01* +X125049Y151579D01* +X125096Y151621D01* +X125136Y151670D01* +X125167Y151725D01* +X125190Y151784D01* +X125203Y151846D01* +X125206Y151909D01* +X125200Y151972D01* +X125182Y152032D01* +X125141Y152162D01* +X125115Y152296D01* +X125102Y152432D01* +Y152568D01* +X125115Y152704D01* +X125141Y152838D01* +X125181Y152968D01* +X125198Y153029D01* +X125205Y153091D01* +X125201Y153154D01* +X125188Y153216D01* +X125166Y153274D01* +X125134Y153329D01* +X125095Y153378D01* +X125048Y153420D01* +X124995Y153454D01* +X124938Y153480D01* +X124877Y153496D01* +X124814Y153503D01* +X124753Y153500D01* +Y158200D01* +X126501D01* +Y154700D01* +X126393D01* +X126179Y154679D01* +X125969Y154638D01* +X125763Y154576D01* +X125706Y154550D01* +X125653Y154516D01* +X125606Y154474D01* +X125566Y154424D01* +X125534Y154370D01* +X125512Y154311D01* +X125499Y154249D01* +X125495Y154186D01* +X125502Y154123D01* +X125518Y154062D01* +X125544Y154004D01* +X125579Y153951D01* +X125621Y153904D01* +X125670Y153864D01* +X125725Y153833D01* +X125784Y153810D01* +X125846Y153797D01* +X125909Y153794D01* +X125972Y153800D01* +X126032Y153818D01* +X126162Y153859D01* +X126296Y153885D01* +X126432Y153898D01* +X126501D01* +Y151102D01* +X126432D01* +X126296Y151115D01* +X126162Y151141D01* +X126032Y151181D01* +X125971Y151198D01* +X125909Y151205D01* +X125846Y151201D01* +X125784Y151188D01* +X125726Y151166D01* +X125671Y151134D01* +X125622Y151095D01* +X125580Y151048D01* +X125546Y150995D01* +X125520Y150938D01* +X125504Y150877D01* +X125497Y150814D01* +X125500Y150751D01* +X125513Y150690D01* +X125536Y150631D01* +X125567Y150577D01* +X125607Y150528D01* +X125654Y150485D01* +X125706Y150451D01* +X125764Y150427D01* +X125969Y150362D01* +X126179Y150321D01* +X126393Y150300D01* +X126501D01* +Y146922D01* +G37* +G36* +X124753D02*X116416D01* +X96800Y166538D01* +Y178000D01* +X96838Y177908D01* +X96879Y177842D01* +X96945Y177734D01* +X96945Y177733D01* +X97078Y177578D01* +X97117Y177545D01* +X116045Y158617D01* +X116078Y158578D01* +X116233Y158445D01* +X116234Y158445D01* +X116408Y158338D01* +X116597Y158260D01* +X116748Y158224D01* +X116796Y158212D01* +X116796D01* +X117000Y158196D01* +X117051Y158200D01* +X124753D01* +Y153500D01* +X124751Y153500D01* +X124690Y153487D01* +X124631Y153464D01* +X124577Y153433D01* +X124528Y153393D01* +X124485Y153346D01* +X124451Y153294D01* +X124427Y153236D01* +X124362Y153031D01* +X124321Y152821D01* +X124300Y152607D01* +Y152393D01* +X124321Y152179D01* +X124362Y151969D01* +X124424Y151763D01* +X124450Y151706D01* +X124484Y151653D01* +X124526Y151606D01* +X124576Y151566D01* +X124630Y151534D01* +X124689Y151512D01* +X124751Y151499D01* +X124753Y151499D01* +Y146922D01* +G37* +G36* +X77784Y299500D02*X86700D01* +Y164638D01* +X77784Y173555D01* +Y211683D01* +X77816Y211760D01* +X77963Y212372D01* +X78000Y213000D01* +X77963Y213628D01* +X77816Y214240D01* +X77784Y214317D01* +Y218288D01* +X77915Y218319D01* +X78133Y218409D01* +X78334Y218533D01* +X78514Y218686D01* +X78667Y218866D01* +X78791Y219067D01* +X78881Y219285D01* +X78936Y219515D01* +X78950Y219750D01* +X78936Y224485D01* +X78881Y224715D01* +X78791Y224933D01* +X78667Y225134D01* +X78514Y225314D01* +X78334Y225467D01* +X78133Y225591D01* +X77915Y225681D01* +X77784Y225712D01* +Y226288D01* +X77915Y226319D01* +X78133Y226409D01* +X78334Y226533D01* +X78514Y226686D01* +X78667Y226866D01* +X78791Y227067D01* +X78881Y227285D01* +X78936Y227515D01* +X78950Y227750D01* +X78936Y232485D01* +X78881Y232715D01* +X78791Y232933D01* +X78667Y233134D01* +X78514Y233314D01* +X78334Y233467D01* +X78133Y233591D01* +X77915Y233681D01* +X77784Y233712D01* +Y236869D01* +X77787Y236870D01* +X77855Y236909D01* +X77916Y236958D01* +X77969Y237017D01* +X78011Y237083D01* +X78174Y237408D01* +X78303Y237748D01* +X78401Y238098D01* +X78467Y238456D01* +X78500Y238818D01* +Y239182D01* +X78467Y239544D01* +X78401Y239902D01* +X78303Y240252D01* +X78174Y240592D01* +X78015Y240919D01* +X77972Y240985D01* +X77919Y241044D01* +X77857Y241094D01* +X77788Y241133D01* +X77784Y241135D01* +Y299500D01* +G37* +G36* +Y225712D02*X77685Y225736D01* +X77450Y225750D01* +X74500Y225743D01* +Y226257D01* +X77685Y226264D01* +X77784Y226288D01* +Y225712D01* +G37* +G36* +Y214317D02*X77575Y214822D01* +X77246Y215358D01* +X76837Y215837D01* +X76750Y215912D01* +Y218262D01* +X77685Y218264D01* +X77784Y218288D01* +Y214317D01* +G37* +G36* +Y173555D02*X74500Y176838D01* +Y209027D01* +X74628Y209037D01* +X75240Y209184D01* +X75822Y209425D01* +X76358Y209754D01* +X76837Y210163D01* +X77246Y210642D01* +X77575Y211178D01* +X77784Y211683D01* +Y173555D01* +G37* +G36* +X74500Y299500D02*X77784D01* +Y241135D01* +X77714Y241161D01* +X77637Y241177D01* +X77558Y241181D01* +X77479Y241173D01* +X77403Y241152D01* +X77330Y241120D01* +X77264Y241077D01* +X77205Y241024D01* +X77156Y240962D01* +X77116Y240893D01* +X77088Y240819D01* +X77072Y240742D01* +X77068Y240663D01* +X77076Y240584D01* +X77097Y240507D01* +X77130Y240436D01* +X77253Y240193D01* +X77350Y239938D01* +X77423Y239676D01* +X77472Y239408D01* +X77497Y239136D01* +Y238864D01* +X77472Y238592D01* +X77423Y238324D01* +X77350Y238062D01* +X77253Y237807D01* +X77133Y237563D01* +X77100Y237491D01* +X77079Y237416D01* +X77071Y237337D01* +X77075Y237259D01* +X77091Y237182D01* +X77119Y237108D01* +X77158Y237040D01* +X77207Y236979D01* +X77266Y236926D01* +X77332Y236883D01* +X77404Y236851D01* +X77480Y236830D01* +X77558Y236822D01* +X77636Y236826D01* +X77713Y236842D01* +X77784Y236869D01* +Y233712D01* +X77685Y233736D01* +X77450Y233750D01* +X74500Y233743D01* +Y235000D01* +X74682D01* +X75044Y235033D01* +X75402Y235099D01* +X75752Y235197D01* +X76092Y235326D01* +X76419Y235485D01* +X76485Y235528D01* +X76544Y235581D01* +X76594Y235643D01* +X76633Y235712D01* +X76661Y235786D01* +X76677Y235863D01* +X76681Y235942D01* +X76673Y236021D01* +X76652Y236097D01* +X76620Y236170D01* +X76577Y236236D01* +X76524Y236295D01* +X76462Y236344D01* +X76393Y236384D01* +X76319Y236412D01* +X76242Y236428D01* +X76163Y236432D01* +X76084Y236424D01* +X76007Y236403D01* +X75936Y236370D01* +X75693Y236247D01* +X75438Y236150D01* +X75176Y236077D01* +X74908Y236028D01* +X74636Y236003D01* +X74500D01* +Y241997D01* +X74636D01* +X74908Y241972D01* +X75176Y241923D01* +X75438Y241850D01* +X75693Y241753D01* +X75937Y241633D01* +X76009Y241600D01* +X76084Y241579D01* +X76163Y241571D01* +X76241Y241575D01* +X76318Y241591D01* +X76392Y241619D01* +X76460Y241658D01* +X76521Y241707D01* +X76574Y241766D01* +X76617Y241832D01* +X76649Y241904D01* +X76670Y241980D01* +X76678Y242058D01* +X76674Y242136D01* +X76658Y242213D01* +X76630Y242287D01* +X76591Y242355D01* +X76542Y242416D01* +X76483Y242469D01* +X76417Y242511D01* +X76092Y242674D01* +X75752Y242803D01* +X75402Y242901D01* +X75044Y242967D01* +X74682Y243000D01* +X74500D01* +Y299500D01* +G37* +G36* +X161000Y2000D02*X144930D01* +Y28507D01* +X148235Y28514D01* +X148465Y28569D01* +X148683Y28659D01* +X148884Y28783D01* +X149064Y28936D01* +X149217Y29116D01* +X149341Y29317D01* +X149431Y29535D01* +X149486Y29765D01* +X149500Y30000D01* +X149486Y37235D01* +X149431Y37465D01* +X149341Y37683D01* +X149217Y37884D01* +X149064Y38064D01* +X148884Y38217D01* +X148683Y38341D01* +X148465Y38431D01* +X148235Y38486D01* +X148000Y38500D01* +X147250Y38498D01* +Y49287D01* +X150196Y49293D01* +X150532Y49374D01* +X150852Y49506D01* +X151148Y49687D01* +X151411Y49912D01* +X151636Y50175D01* +X151817Y50471D01* +X151949Y50791D01* +X152030Y51127D01* +X152050Y51473D01* +X152030Y60873D01* +X151949Y61209D01* +X151817Y61529D01* +X151636Y61825D01* +X151411Y62088D01* +X151148Y62313D01* +X150852Y62494D01* +X150532Y62626D01* +X150196Y62707D01* +X149850Y62728D01* +X144930Y62718D01* +Y90091D01* +X149038Y94200D01* +X161000D01* +Y93019D01* +X159921Y93016D01* +X159830Y92994D01* +X159742Y92958D01* +X159662Y92908D01* +X159590Y92847D01* +X159529Y92775D01* +X159479Y92695D01* +X159443Y92607D01* +X159421Y92516D01* +X159416Y92422D01* +X159421Y90358D01* +X159443Y90267D01* +X159479Y90179D01* +X159529Y90099D01* +X159590Y90027D01* +X159662Y89966D01* +X159742Y89916D01* +X159830Y89880D01* +X159921Y89858D01* +X160016Y89852D01* +X161000Y89855D01* +Y89082D01* +X159921Y89079D01* +X159830Y89057D01* +X159742Y89021D01* +X159662Y88971D01* +X159590Y88910D01* +X159529Y88838D01* +X159479Y88758D01* +X159443Y88670D01* +X159421Y88579D01* +X159416Y88484D01* +X159421Y86421D01* +X159443Y86330D01* +X159479Y86242D01* +X159529Y86162D01* +X159590Y86090D01* +X159662Y86029D01* +X159742Y85979D01* +X159830Y85943D01* +X159921Y85921D01* +X160016Y85916D01* +X161000Y85918D01* +Y38119D01* +X160884Y38217D01* +X160683Y38341D01* +X160465Y38431D01* +X160235Y38486D01* +X160000Y38500D01* +X153765Y38486D01* +X153535Y38431D01* +X153317Y38341D01* +X153116Y38217D01* +X152936Y38064D01* +X152783Y37884D01* +X152659Y37683D01* +X152569Y37465D01* +X152514Y37235D01* +X152500Y37000D01* +X152514Y29765D01* +X152569Y29535D01* +X152659Y29317D01* +X152783Y29116D01* +X152936Y28936D01* +X153116Y28783D01* +X153317Y28659D01* +X153535Y28569D01* +X153765Y28514D01* +X154000Y28500D01* +X158807Y28511D01* +X161000Y26318D01* +Y20287D01* +X156292Y20284D01* +X156201Y20262D01* +X156113Y20226D01* +X156033Y20176D01* +X155961Y20115D01* +X155900Y20043D01* +X155850Y19963D01* +X155814Y19875D01* +X155792Y19784D01* +X155786Y19690D01* +X155792Y13296D01* +X155814Y13205D01* +X155850Y13117D01* +X155900Y13037D01* +X155961Y12965D01* +X156033Y12904D01* +X156113Y12854D01* +X156201Y12818D01* +X156292Y12796D01* +X156386Y12791D01* +X161000Y12794D01* +Y10840D01* +X154126Y10835D01* +X154035Y10813D01* +X153947Y10777D01* +X153867Y10727D01* +X153795Y10666D01* +X153734Y10594D01* +X153684Y10514D01* +X153648Y10426D01* +X153626Y10335D01* +X153620Y10241D01* +X153626Y2665D01* +X153648Y2574D01* +X153684Y2486D01* +X153734Y2406D01* +X153795Y2334D01* +X153867Y2273D01* +X153947Y2223D01* +X154035Y2187D01* +X154126Y2165D01* +X154220Y2160D01* +X161000Y2165D01* +Y2000D01* +G37* +G36* +X126750Y108889D02*X137551D01* +X135962Y107300D01* +X135225D01* +X135095Y107512D01* +X134824Y107830D01* +X134506Y108101D01* +X134151Y108318D01* +X133765Y108478D01* +X133360Y108575D01* +X132944Y108600D01* +X126750D01* +Y108889D01* +G37* +G36* +X144930Y2000D02*X126750D01* +Y31263D01* +X127000Y31243D01* +X127353Y31271D01* +X127697Y31354D01* +X128025Y31489D01* +X128327Y31674D01* +X128596Y31904D01* +X128826Y32173D01* +X129011Y32475D01* +X129146Y32803D01* +X129229Y33147D01* +X129257Y33500D01* +X129229Y33853D01* +X129146Y34197D01* +X129011Y34525D01* +X128826Y34827D01* +X128591Y35091D01* +X128091Y35591D01* +X127827Y35826D01* +X127525Y36011D01* +X127197Y36146D01* +X126853Y36229D01* +X126750Y36237D01* +Y49280D01* +X133267Y49293D01* +X133603Y49374D01* +X133923Y49506D01* +X134219Y49687D01* +X134482Y49912D01* +X134707Y50175D01* +X134888Y50471D01* +X135020Y50791D01* +X135101Y51127D01* +X135121Y51473D01* +X135101Y60873D01* +X135020Y61209D01* +X134888Y61529D01* +X134707Y61825D01* +X134482Y62088D01* +X134219Y62313D01* +X133923Y62494D01* +X133603Y62626D01* +X133267Y62707D01* +X132921Y62728D01* +X126750Y62715D01* +Y83300D01* +X132944D01* +X133360Y83325D01* +X133765Y83422D01* +X134151Y83582D01* +X134506Y83799D01* +X134824Y84070D01* +X135095Y84388D01* +X135286Y84700D01* +X138949D01* +X139000Y84696D01* +X139204Y84712D01* +X139204Y84712D01* +X139403Y84760D01* +X139592Y84838D01* +X139766Y84945D01* +X139922Y85078D01* +X139955Y85117D01* +X144930Y90091D01* +Y62718D01* +X139663Y62707D01* +X139327Y62626D01* +X139007Y62494D01* +X138711Y62313D01* +X138448Y62088D01* +X138223Y61825D01* +X138042Y61529D01* +X137910Y61209D01* +X137829Y60873D01* +X137808Y60528D01* +X137829Y51127D01* +X137910Y50791D01* +X138042Y50471D01* +X138223Y50175D01* +X138448Y49912D01* +X138711Y49687D01* +X139007Y49506D01* +X139327Y49374D01* +X139663Y49293D01* +X140008Y49273D01* +X142750Y49278D01* +Y38488D01* +X141765Y38486D01* +X141535Y38431D01* +X141317Y38341D01* +X141116Y38217D01* +X140936Y38064D01* +X140783Y37884D01* +X140659Y37683D01* +X140569Y37465D01* +X140514Y37235D01* +X140500Y37000D01* +X140514Y29765D01* +X140569Y29535D01* +X140659Y29317D01* +X140783Y29116D01* +X140936Y28936D01* +X141116Y28783D01* +X141317Y28659D01* +X141535Y28569D01* +X141765Y28514D01* +X142000Y28500D01* +X144930Y28507D01* +Y2000D01* +G37* +G36* +X109448Y108889D02*X126750D01* +Y108600D01* +X124844D01* +X124428Y108575D01* +X124023Y108478D01* +X123637Y108318D01* +X123282Y108101D01* +X122964Y107830D01* +X122693Y107512D01* +X122476Y107157D01* +X122316Y106771D01* +X122219Y106366D01* +X122186Y105950D01* +X122219Y105534D01* +X122316Y105129D01* +X122476Y104743D01* +X122693Y104388D01* +X122964Y104070D01* +X123282Y103799D01* +X123637Y103582D01* +X123955Y103450D01* +X123637Y103318D01* +X123282Y103101D01* +X122964Y102830D01* +X122693Y102512D01* +X122476Y102157D01* +X122316Y101771D01* +X122219Y101366D01* +X122186Y100950D01* +X122219Y100534D01* +X122316Y100129D01* +X122476Y99743D01* +X122693Y99388D01* +X122964Y99070D01* +X123282Y98799D01* +X123637Y98582D01* +X123955Y98450D01* +X123637Y98318D01* +X123282Y98101D01* +X122964Y97830D01* +X122693Y97512D01* +X122476Y97157D01* +X122316Y96771D01* +X122219Y96366D01* +X122186Y95950D01* +X122219Y95534D01* +X122316Y95129D01* +X122476Y94743D01* +X122693Y94388D01* +X122964Y94070D01* +X123282Y93799D01* +X123637Y93582D01* +X123955Y93450D01* +X123637Y93318D01* +X123282Y93101D01* +X122964Y92830D01* +X122693Y92512D01* +X122476Y92157D01* +X122316Y91771D01* +X122219Y91366D01* +X122186Y90950D01* +X122219Y90534D01* +X122316Y90129D01* +X122476Y89743D01* +X122693Y89388D01* +X122964Y89070D01* +X123282Y88799D01* +X123637Y88582D01* +X123955Y88450D01* +X123637Y88318D01* +X123282Y88101D01* +X122964Y87830D01* +X122693Y87512D01* +X122476Y87157D01* +X122316Y86771D01* +X122219Y86366D01* +X122186Y85950D01* +X122219Y85534D01* +X122316Y85129D01* +X122476Y84743D01* +X122693Y84388D01* +X122964Y84070D01* +X123282Y83799D01* +X123637Y83582D01* +X124023Y83422D01* +X124428Y83325D01* +X124844Y83300D01* +X126750D01* +Y62715D01* +X122734Y62707D01* +X122398Y62626D01* +X122078Y62494D01* +X121782Y62313D01* +X121519Y62088D01* +X121294Y61825D01* +X121113Y61529D01* +X120981Y61209D01* +X120900Y60873D01* +X120880Y60528D01* +X120884Y58250D01* +X114412D01* +X114337Y58337D01* +X113858Y58746D01* +X113322Y59075D01* +X112740Y59316D01* +X112128Y59463D01* +X111500Y59512D01* +X110872Y59463D01* +X110260Y59316D01* +X109678Y59075D01* +X109448Y58934D01* +Y83300D01* +X116944D01* +X117360Y83325D01* +X117765Y83422D01* +X118151Y83582D01* +X118506Y83799D01* +X118824Y84070D01* +X119095Y84388D01* +X119312Y84743D01* +X119472Y85129D01* +X119569Y85534D01* +X119602Y85950D01* +X119569Y86366D01* +X119472Y86771D01* +X119312Y87157D01* +X119095Y87512D01* +X118824Y87830D01* +X118506Y88101D01* +X118151Y88318D01* +X117833Y88450D01* +X118151Y88582D01* +X118506Y88799D01* +X118824Y89070D01* +X119095Y89388D01* +X119312Y89743D01* +X119472Y90129D01* +X119569Y90534D01* +X119602Y90950D01* +X119569Y91366D01* +X119472Y91771D01* +X119312Y92157D01* +X119095Y92512D01* +X118824Y92830D01* +X118506Y93101D01* +X118151Y93318D01* +X117833Y93450D01* +X118151Y93582D01* +X118506Y93799D01* +X118824Y94070D01* +X119095Y94388D01* +X119312Y94743D01* +X119472Y95129D01* +X119569Y95534D01* +X119602Y95950D01* +X119569Y96366D01* +X119472Y96771D01* +X119312Y97157D01* +X119095Y97512D01* +X118824Y97830D01* +X118506Y98101D01* +X118151Y98318D01* +X117833Y98450D01* +X118151Y98582D01* +X118506Y98799D01* +X118824Y99070D01* +X119095Y99388D01* +X119312Y99743D01* +X119472Y100129D01* +X119569Y100534D01* +X119602Y100950D01* +X119569Y101366D01* +X119472Y101771D01* +X119312Y102157D01* +X119095Y102512D01* +X118824Y102830D01* +X118506Y103101D01* +X118151Y103318D01* +X117833Y103450D01* +X118151Y103582D01* +X118506Y103799D01* +X118824Y104070D01* +X119095Y104388D01* +X119312Y104743D01* +X119472Y105129D01* +X119569Y105534D01* +X119602Y105950D01* +X119569Y106366D01* +X119472Y106771D01* +X119312Y107157D01* +X119095Y107512D01* +X118824Y107830D01* +X118506Y108101D01* +X118151Y108318D01* +X117765Y108478D01* +X117360Y108575D01* +X116944Y108600D01* +X109448D01* +Y108889D01* +G37* +G36* +X126750Y2000D02*X109448D01* +Y52066D01* +X109678Y51925D01* +X110260Y51684D01* +X110872Y51537D01* +X111500Y51488D01* +X112128Y51537D01* +X112740Y51684D01* +X113322Y51925D01* +X113858Y52254D01* +X114337Y52663D01* +X114746Y53142D01* +X115075Y53678D01* +X115105Y53750D01* +X120894D01* +X120900Y51127D01* +X120981Y50791D01* +X121113Y50471D01* +X121294Y50175D01* +X121519Y49912D01* +X121782Y49687D01* +X122078Y49506D01* +X122398Y49374D01* +X122734Y49293D01* +X123080Y49273D01* +X126750Y49280D01* +Y36237D01* +X126500Y36257D01* +X126147Y36229D01* +X125803Y36146D01* +X125475Y36011D01* +X125173Y35826D01* +X124904Y35596D01* +X124674Y35327D01* +X124489Y35025D01* +X124354Y34697D01* +X124271Y34353D01* +X124243Y34000D01* +X124271Y33647D01* +X124354Y33303D01* +X124489Y32975D01* +X124674Y32673D01* +X124909Y32409D01* +X125409Y31909D01* +X125673Y31674D01* +X125975Y31489D01* +X126303Y31354D01* +X126647Y31271D01* +X126750Y31263D01* +Y2000D01* +G37* +G36* +X109448D02*X74500D01* +Y137162D01* +X102356Y109306D01* +X102389Y109267D01* +X102544Y109134D01* +X102545Y109134D01* +X102653Y109068D01* +X102719Y109027D01* +X102908Y108949D01* +X103107Y108901D01* +X103107Y108901D01* +X103311Y108885D01* +X103362Y108889D01* +X109448D01* +Y108600D01* +X108844D01* +X108428Y108575D01* +X108023Y108478D01* +X107637Y108318D01* +X107282Y108101D01* +X106964Y107830D01* +X106693Y107512D01* +X106533Y107250D01* +X103314D01* +X103285Y107297D01* +X103060Y107560D01* +X102797Y107785D01* +X102502Y107966D01* +X102182Y108099D01* +X101845Y108180D01* +X101500Y108207D01* +X101155Y108180D01* +X100818Y108099D01* +X100498Y107966D01* +X100203Y107785D01* +X99940Y107560D01* +X99715Y107297D01* +X99534Y107002D01* +X99401Y106682D01* +X99320Y106345D01* +X99293Y106000D01* +X99320Y105655D01* +X99401Y105318D01* +X99534Y104998D01* +X99715Y104703D01* +X99940Y104440D01* +X100203Y104215D01* +X100498Y104034D01* +X100818Y103901D01* +X101155Y103820D01* +X101500Y103793D01* +X101845Y103820D01* +X102182Y103901D01* +X102502Y104034D01* +X102797Y104215D01* +X103060Y104440D01* +X103240Y104650D01* +X106533D01* +X106693Y104388D01* +X106964Y104070D01* +X107282Y103799D01* +X107637Y103582D01* +X107955Y103450D01* +X107637Y103318D01* +X107282Y103101D01* +X106964Y102830D01* +X106693Y102512D01* +X106476Y102157D01* +X106316Y101771D01* +X106219Y101366D01* +X106186Y100950D01* +X106219Y100534D01* +X106316Y100129D01* +X106476Y99743D01* +X106693Y99388D01* +X106964Y99070D01* +X107282Y98799D01* +X107637Y98582D01* +X107955Y98450D01* +X107637Y98318D01* +X107282Y98101D01* +X106964Y97830D01* +X106693Y97512D01* +X106476Y97157D01* +X106316Y96771D01* +X106219Y96366D01* +X106186Y95950D01* +X106219Y95534D01* +X106316Y95129D01* +X106476Y94743D01* +X106693Y94388D01* +X106964Y94070D01* +X107282Y93799D01* +X107637Y93582D01* +X107955Y93450D01* +X107637Y93318D01* +X107282Y93101D01* +X106964Y92830D01* +X106693Y92512D01* +X106476Y92157D01* +X106316Y91771D01* +X106219Y91366D01* +X106186Y90950D01* +X106219Y90534D01* +X106316Y90129D01* +X106476Y89743D01* +X106693Y89388D01* +X106964Y89070D01* +X107282Y88799D01* +X107637Y88582D01* +X107955Y88450D01* +X107637Y88318D01* +X107282Y88101D01* +X106964Y87830D01* +X106693Y87512D01* +X106476Y87157D01* +X106316Y86771D01* +X106219Y86366D01* +X106186Y85950D01* +X106219Y85534D01* +X106316Y85129D01* +X106476Y84743D01* +X106693Y84388D01* +X106964Y84070D01* +X107282Y83799D01* +X107637Y83582D01* +X108023Y83422D01* +X108428Y83325D01* +X108844Y83300D01* +X109448D01* +Y58934D01* +X109142Y58746D01* +X108663Y58337D01* +X108254Y57858D01* +X107925Y57322D01* +X107684Y56740D01* +X107537Y56128D01* +X107488Y55500D01* +X107537Y54872D01* +X107684Y54260D01* +X107925Y53678D01* +X108254Y53142D01* +X108663Y52663D01* +X109142Y52254D01* +X109448Y52066D01* +Y2000D01* +G37* +G36* +X71216Y210117D02*X71642Y209754D01* +X72178Y209425D01* +X72760Y209184D01* +X73372Y209037D01* +X74000Y208988D01* +X74500Y209027D01* +Y176838D01* +X71216Y180122D01* +Y210117D01* +G37* +G36* +Y218288D02*X71315Y218264D01* +X71550Y218250D01* +X72250Y218252D01* +Y216605D01* +X72178Y216575D01* +X71642Y216246D01* +X71216Y215883D01* +Y218288D01* +G37* +G36* +Y226288D02*X71315Y226264D01* +X71550Y226250D01* +X74500Y226257D01* +Y225743D01* +X71315Y225736D01* +X71216Y225712D01* +Y226288D01* +G37* +G36* +Y299500D02*X74500D01* +Y243000D01* +X74318D01* +X73956Y242967D01* +X73598Y242901D01* +X73248Y242803D01* +X72908Y242674D01* +X72581Y242515D01* +X72515Y242472D01* +X72456Y242419D01* +X72406Y242357D01* +X72367Y242288D01* +X72339Y242214D01* +X72323Y242137D01* +X72319Y242058D01* +X72327Y241979D01* +X72348Y241903D01* +X72380Y241830D01* +X72423Y241764D01* +X72476Y241705D01* +X72538Y241656D01* +X72607Y241616D01* +X72681Y241588D01* +X72758Y241572D01* +X72837Y241568D01* +X72916Y241576D01* +X72993Y241597D01* +X73064Y241630D01* +X73307Y241753D01* +X73562Y241850D01* +X73824Y241923D01* +X74092Y241972D01* +X74364Y241997D01* +X74500D01* +Y236003D01* +X74364D01* +X74092Y236028D01* +X73824Y236077D01* +X73562Y236150D01* +X73307Y236247D01* +X73063Y236367D01* +X72991Y236400D01* +X72915Y236421D01* +X72837Y236429D01* +X72759Y236425D01* +X72682Y236409D01* +X72608Y236381D01* +X72540Y236342D01* +X72479Y236293D01* +X72426Y236234D01* +X72383Y236168D01* +X72351Y236096D01* +X72330Y236020D01* +X72322Y235942D01* +X72326Y235864D01* +X72342Y235787D01* +X72370Y235713D01* +X72409Y235645D01* +X72458Y235584D01* +X72517Y235531D01* +X72583Y235489D01* +X72908Y235326D01* +X73248Y235197D01* +X73598Y235099D01* +X73956Y235033D01* +X74318Y235000D01* +X74500D01* +Y233743D01* +X71315Y233736D01* +X71216Y233712D01* +Y236865D01* +X71286Y236839D01* +X71363Y236823D01* +X71442Y236819D01* +X71521Y236827D01* +X71597Y236848D01* +X71670Y236880D01* +X71736Y236923D01* +X71795Y236976D01* +X71844Y237038D01* +X71884Y237107D01* +X71912Y237181D01* +X71928Y237258D01* +X71932Y237337D01* +X71924Y237416D01* +X71903Y237493D01* +X71870Y237564D01* +X71747Y237807D01* +X71650Y238062D01* +X71577Y238324D01* +X71528Y238592D01* +X71503Y238864D01* +Y239136D01* +X71528Y239408D01* +X71577Y239676D01* +X71650Y239938D01* +X71747Y240193D01* +X71867Y240437D01* +X71900Y240509D01* +X71921Y240585D01* +X71929Y240663D01* +X71925Y240741D01* +X71909Y240818D01* +X71881Y240892D01* +X71842Y240960D01* +X71793Y241021D01* +X71734Y241074D01* +X71668Y241117D01* +X71596Y241149D01* +X71520Y241170D01* +X71442Y241178D01* +X71364Y241174D01* +X71287Y241158D01* +X71216Y241131D01* +Y299500D01* +G37* +G36* +X74500Y2000D02*X71216D01* +Y140445D01* +X74500Y137162D01* +Y2000D01* +G37* +G36* +X71216D02*X19000D01* +Y19459D01* +X20148Y19934D01* +X21960Y21045D01* +X23575Y22425D01* +X24955Y24040D01* +X26066Y25852D01* +X26879Y27815D01* +X27375Y29882D01* +X27500Y32000D01* +X27375Y34118D01* +X26879Y36185D01* +X26066Y38148D01* +X24955Y39960D01* +X23575Y41575D01* +X21960Y42955D01* +X20148Y44066D01* +X19000Y44541D01* +Y194459D01* +X20148Y194934D01* +X21960Y196045D01* +X23575Y197425D01* +X24955Y199040D01* +X26066Y200852D01* +X26879Y202815D01* +X27375Y204882D01* +X27500Y207000D01* +X27375Y209118D01* +X26879Y211185D01* +X26066Y213148D01* +X24955Y214960D01* +X23575Y216575D01* +X21960Y217955D01* +X20148Y219066D01* +X19000Y219541D01* +Y227318D01* +X23067Y223251D01* +X23037Y223128D01* +X22988Y222500D01* +X23037Y221872D01* +X23184Y221260D01* +X23425Y220678D01* +X23754Y220142D01* +X24163Y219663D01* +X24642Y219254D01* +X25178Y218925D01* +X25760Y218684D01* +X26372Y218537D01* +X27000Y218488D01* +X27628Y218537D01* +X28240Y218684D01* +X28822Y218925D01* +X29358Y219254D01* +X29837Y219663D01* +X30246Y220142D01* +X30575Y220678D01* +X30816Y221260D01* +X30963Y221872D01* +X31000Y222500D01* +X30963Y223128D01* +X30816Y223740D01* +X30575Y224322D01* +X30246Y224858D01* +X29837Y225337D01* +X29358Y225746D01* +X28822Y226075D01* +X28240Y226316D01* +X27628Y226463D01* +X27000Y226512D01* +X26372Y226463D01* +X26249Y226433D01* +X25282Y227400D01* +X25373Y227400D01* +X25709Y227481D01* +X26029Y227613D01* +X26325Y227794D01* +X26588Y228019D01* +X26813Y228282D01* +X26994Y228578D01* +X27126Y228898D01* +X27207Y229234D01* +X27227Y229580D01* +X27207Y239767D01* +X27126Y240103D01* +X26994Y240423D01* +X26813Y240719D01* +X26588Y240982D01* +X26325Y241207D01* +X26029Y241388D01* +X25709Y241520D01* +X25373Y241601D01* +X25027Y241622D01* +X19000Y241608D01* +Y244315D01* +X25373Y244329D01* +X25709Y244410D01* +X26029Y244542D01* +X26325Y244723D01* +X26588Y244948D01* +X26813Y245211D01* +X26994Y245507D01* +X27126Y245827D01* +X27207Y246163D01* +X27227Y246509D01* +X27211Y254958D01* +X27529Y255276D01* +X27596Y255333D01* +X27826Y255602D01* +X27826Y255602D01* +X28011Y255904D01* +X28146Y256232D01* +X28229Y256576D01* +X28257Y256929D01* +X28250Y257017D01* +Y260819D01* +X28483Y261017D01* +X28840Y261436D01* +X29128Y261906D01* +X29339Y262415D01* +X29468Y262951D01* +X29500Y263500D01* +Y297818D01* +X31182Y299500D01* +X37831D01* +X37993Y299361D01* +X38678Y298942D01* +X39419Y298635D01* +X40200Y298447D01* +X41000Y298384D01* +X41800Y298447D01* +X42581Y298635D01* +X43322Y298942D01* +X44007Y299361D01* +X44169Y299500D01* +X71216D01* +Y241131D01* +X71213Y241130D01* +X71145Y241091D01* +X71084Y241042D01* +X71031Y240983D01* +X70989Y240917D01* +X70826Y240592D01* +X70697Y240252D01* +X70599Y239902D01* +X70533Y239544D01* +X70500Y239182D01* +Y238818D01* +X70533Y238456D01* +X70599Y238098D01* +X70697Y237748D01* +X70826Y237408D01* +X70985Y237081D01* +X71028Y237015D01* +X71081Y236956D01* +X71143Y236906D01* +X71212Y236867D01* +X71216Y236865D01* +Y233712D01* +X71085Y233681D01* +X70867Y233591D01* +X70666Y233467D01* +X70486Y233314D01* +X70333Y233134D01* +X70209Y232933D01* +X70119Y232715D01* +X70064Y232485D01* +X70050Y232250D01* +X70064Y227515D01* +X70119Y227285D01* +X70209Y227067D01* +X70333Y226866D01* +X70486Y226686D01* +X70666Y226533D01* +X70867Y226409D01* +X71085Y226319D01* +X71216Y226288D01* +Y225712D01* +X71085Y225681D01* +X70867Y225591D01* +X70666Y225467D01* +X70486Y225314D01* +X70333Y225134D01* +X70209Y224933D01* +X70119Y224715D01* +X70064Y224485D01* +X70050Y224250D01* +X70064Y219515D01* +X70119Y219285D01* +X70209Y219067D01* +X70333Y218866D01* +X70486Y218686D01* +X70666Y218533D01* +X70867Y218409D01* +X71085Y218319D01* +X71216Y218288D01* +Y215883D01* +X71163Y215837D01* +X70754Y215358D01* +X70425Y214822D01* +X70184Y214240D01* +X70037Y213628D01* +X69988Y213000D01* +X70037Y212372D01* +X70184Y211760D01* +X70425Y211178D01* +X70754Y210642D01* +X71163Y210163D01* +X71216Y210117D01* +Y180122D01* +X57800Y193538D01* +Y254480D01* +X57919Y254581D01* +X58123Y254821D01* +X58288Y255089D01* +X58408Y255380D01* +X58481Y255686D01* +X58500Y256000D01* +X58481Y256314D01* +X58408Y256620D01* +X58288Y256911D01* +X58123Y257179D01* +X57919Y257419D01* +X57679Y257623D01* +X57411Y257788D01* +X57120Y257908D01* +X56814Y257981D01* +X56500Y258006D01* +X56186Y257981D01* +X55880Y257908D01* +X55589Y257788D01* +X55321Y257623D01* +X55300Y257605D01* +Y258480D01* +X55419Y258581D01* +X55623Y258821D01* +X55788Y259089D01* +X55908Y259380D01* +X55981Y259686D01* +X56000Y260000D01* +X55981Y260314D01* +X55908Y260620D01* +X55788Y260911D01* +X55623Y261179D01* +X55419Y261419D01* +X55179Y261623D01* +X54911Y261788D01* +X54620Y261908D01* +X54314Y261981D01* +X54000Y262006D01* +X53686Y261981D01* +X53380Y261908D01* +X53089Y261788D01* +X52821Y261623D01* +X52800Y261605D01* +Y262480D01* +X52919Y262581D01* +X53123Y262821D01* +X53288Y263089D01* +X53408Y263380D01* +X53481Y263686D01* +X53500Y264000D01* +X53481Y264314D01* +X53408Y264620D01* +X53288Y264911D01* +X53123Y265179D01* +X52919Y265419D01* +X52679Y265623D01* +X52411Y265788D01* +X52120Y265908D01* +X51814Y265981D01* +X51500Y266006D01* +X51186Y265981D01* +X50880Y265908D01* +X50589Y265788D01* +X50321Y265623D01* +X50300Y265605D01* +Y266480D01* +X50419Y266581D01* +X50623Y266821D01* +X50788Y267089D01* +X50908Y267380D01* +X50981Y267686D01* +X51000Y268000D01* +X50981Y268314D01* +X50908Y268620D01* +X50788Y268911D01* +X50623Y269179D01* +X50419Y269419D01* +X50179Y269623D01* +X49911Y269788D01* +X49620Y269908D01* +X49314Y269981D01* +X49000Y270006D01* +X48686Y269981D01* +X48380Y269908D01* +X48089Y269788D01* +X47821Y269623D01* +X47800Y269605D01* +Y270480D01* +X47919Y270581D01* +X48123Y270821D01* +X48288Y271089D01* +X48408Y271380D01* +X48481Y271686D01* +X48500Y272000D01* +X48481Y272314D01* +X48408Y272620D01* +X48288Y272911D01* +X48123Y273179D01* +X47919Y273419D01* +X47679Y273623D01* +X47411Y273788D01* +X47120Y273908D01* +X46814Y273981D01* +X46500Y274006D01* +X46186Y273981D01* +X45880Y273908D01* +X45589Y273788D01* +X45321Y273623D01* +X45300Y273605D01* +Y274480D01* +X45419Y274581D01* +X45623Y274821D01* +X45788Y275089D01* +X45908Y275380D01* +X45981Y275686D01* +X46000Y276000D01* +X45981Y276314D01* +X45908Y276620D01* +X45788Y276911D01* +X45623Y277179D01* +X45419Y277419D01* +X45179Y277623D01* +X44911Y277788D01* +X44620Y277908D01* +X44314Y277981D01* +X44000Y278006D01* +X43686Y277981D01* +X43380Y277908D01* +X43089Y277788D01* +X42821Y277623D01* +X42800Y277605D01* +Y278480D01* +X42919Y278581D01* +X43123Y278821D01* +X43288Y279089D01* +X43408Y279380D01* +X43481Y279686D01* +X43500Y280000D01* +X43481Y280314D01* +X43408Y280620D01* +X43288Y280911D01* +X43123Y281179D01* +X42919Y281419D01* +X42679Y281623D01* +X42411Y281788D01* +X42120Y281908D01* +X41814Y281981D01* +X41500Y282006D01* +X41186Y281981D01* +X40880Y281908D01* +X40589Y281788D01* +X40321Y281623D01* +X40300Y281605D01* +Y282480D01* +X40419Y282581D01* +X40623Y282821D01* +X40788Y283089D01* +X40908Y283380D01* +X40981Y283686D01* +X41000Y284000D01* +X40981Y284314D01* +X40908Y284620D01* +X40788Y284911D01* +X40623Y285179D01* +X40419Y285419D01* +X40179Y285623D01* +X39911Y285788D01* +X39620Y285908D01* +X39314Y285981D01* +X39000Y286006D01* +X38686Y285981D01* +X38380Y285908D01* +X38089Y285788D01* +X37821Y285623D01* +X37800Y285605D01* +Y286480D01* +X37919Y286581D01* +X38123Y286821D01* +X38288Y287089D01* +X38408Y287380D01* +X38481Y287686D01* +X38500Y288000D01* +X38481Y288314D01* +X38408Y288620D01* +X38288Y288911D01* +X38123Y289179D01* +X37919Y289419D01* +X37679Y289623D01* +X37411Y289788D01* +X37120Y289908D01* +X36814Y289981D01* +X36500Y290006D01* +X36186Y289981D01* +X35880Y289908D01* +X35589Y289788D01* +X35321Y289623D01* +X35081Y289419D01* +X34877Y289179D01* +X34712Y288911D01* +X34592Y288620D01* +X34519Y288314D01* +X34494Y288000D01* +X34519Y287686D01* +X34592Y287380D01* +X34712Y287089D01* +X34877Y286821D01* +X35081Y286581D01* +X35200Y286480D01* +Y177051D01* +X35196Y177000D01* +X35212Y176796D01* +X35212Y176796D01* +X35260Y176597D01* +X35338Y176408D01* +X35379Y176342D01* +X35445Y176234D01* +X35445Y176233D01* +X35578Y176078D01* +X35617Y176045D01* +X71216Y140445D01* +Y2000D01* +G37* +G36* +X300500Y285000D02*Y274831D01* +X300445Y274766D01* +X300338Y274592D01* +X300260Y274403D01* +X300212Y274204D01* +X300196Y274000D01* +X300212Y273796D01* +X300260Y273597D01* +X300338Y273408D01* +X300445Y273234D01* +X300500Y273169D01* +Y248831D01* +X300192Y249192D01* +X299653Y249652D01* +X299049Y250022D01* +X298395Y250293D01* +X298300Y250316D01* +Y284462D01* +X298838Y285000D01* +X300500D01* +G37* +G36* +X298000Y187500D02*Y235169D01* +X298055Y235233D01* +X298055Y235234D01* +X298162Y235408D01* +X298240Y235597D01* +X298288Y235796D01* +X298304Y236000D01* +X298300Y236051D01* +Y241684D01* +X298395Y241707D01* +X299049Y241978D01* +X299653Y242348D01* +X300192Y242808D01* +X300500Y243169D01* +Y187500D01* +X298000D01* +G37* +G36* +X22386Y244322D02*X25373Y244329D01* +X25709Y244410D01* +X26029Y244542D01* +X26325Y244723D01* +X26588Y244948D01* +X26813Y245211D01* +X26994Y245507D01* +X27126Y245827D01* +X27207Y246163D01* +X27227Y246509D01* +X27211Y254958D01* +X27529Y255276D01* +X27596Y255333D01* +X27826Y255602D01* +X27826Y255602D01* +X28011Y255904D01* +X28146Y256232D01* +X28229Y256576D01* +X28257Y256929D01* +X28250Y257017D01* +Y260819D01* +X28483Y261017D01* +X28840Y261436D01* +X28879Y261500D01* +X33000D01* +Y2000D01* +X22386D01* +Y21409D01* +X23575Y22425D01* +X24955Y24040D01* +X26066Y25852D01* +X26879Y27815D01* +X27375Y29882D01* +X27500Y32000D01* +X27375Y34118D01* +X26879Y36185D01* +X26066Y38148D01* +X24955Y39960D01* +X23575Y41575D01* +X22386Y42591D01* +Y196409D01* +X23575Y197425D01* +X24955Y199040D01* +X26066Y200852D01* +X26879Y202815D01* +X27375Y204882D01* +X27500Y207000D01* +X27375Y209118D01* +X26879Y211185D01* +X26066Y213148D01* +X24955Y214960D01* +X23575Y216575D01* +X22386Y217591D01* +Y223932D01* +X23067Y223251D01* +X23037Y223128D01* +X22988Y222500D01* +X23037Y221872D01* +X23184Y221260D01* +X23425Y220678D01* +X23754Y220142D01* +X24163Y219663D01* +X24642Y219254D01* +X25178Y218925D01* +X25760Y218684D01* +X26372Y218537D01* +X27000Y218488D01* +X27628Y218537D01* +X28240Y218684D01* +X28822Y218925D01* +X29358Y219254D01* +X29837Y219663D01* +X30246Y220142D01* +X30575Y220678D01* +X30816Y221260D01* +X30963Y221872D01* +X31000Y222500D01* +X30963Y223128D01* +X30816Y223740D01* +X30575Y224322D01* +X30246Y224858D01* +X29837Y225337D01* +X29358Y225746D01* +X28822Y226075D01* +X28240Y226316D01* +X27628Y226463D01* +X27000Y226512D01* +X26372Y226463D01* +X26249Y226433D01* +X25282Y227400D01* +X25373Y227400D01* +X25709Y227481D01* +X26029Y227613D01* +X26325Y227794D01* +X26588Y228019D01* +X26813Y228282D01* +X26994Y228578D01* +X27126Y228898D01* +X27207Y229234D01* +X27227Y229580D01* +X27207Y239767D01* +X27126Y240103D01* +X26994Y240423D01* +X26813Y240719D01* +X26588Y240982D01* +X26325Y241207D01* +X26029Y241388D01* +X25709Y241520D01* +X25373Y241601D01* +X25027Y241622D01* +X22386Y241616D01* +Y244322D01* +G37* +G36* +X23750Y258548D02*X22386Y258545D01* +Y261500D01* +X23121D01* +X23160Y261436D01* +X23517Y261017D01* +X23750Y260819D01* +Y258548D01* +G37* +G36* +X22386Y42591D02*X21960Y42955D01* +X20148Y44066D01* +X18185Y44879D01* +X16118Y45375D01* +X14000Y45542D01* +X11882Y45375D01* +X9815Y44879D01* +X7852Y44066D01* +X6040Y42955D01* +X4425Y41575D01* +X3045Y39960D01* +X2000Y38255D01* +Y200745D01* +X3045Y199040D01* +X4425Y197425D01* +X6040Y196045D01* +X7852Y194934D01* +X9815Y194121D01* +X11882Y193625D01* +X14000Y193458D01* +X16118Y193625D01* +X18185Y194121D01* +X20148Y194934D01* +X21960Y196045D01* +X22386Y196409D01* +Y42591D01* +G37* +G36* +Y2000D02*X2000D01* +Y25745D01* +X3045Y24040D01* +X4425Y22425D01* +X6040Y21045D01* +X7852Y19934D01* +X9815Y19121D01* +X11882Y18625D01* +X14000Y18458D01* +X16118Y18625D01* +X18185Y19121D01* +X20148Y19934D01* +X21960Y21045D01* +X22386Y21409D01* +Y2000D01* +G37* +G36* +Y258545D02*X15627Y258530D01* +X15291Y258449D01* +X14971Y258317D01* +X14675Y258136D01* +X14412Y257911D01* +X14187Y257648D01* +X14006Y257352D01* +X13874Y257032D01* +X13793Y256696D01* +X13772Y256350D01* +X13793Y246163D01* +X13874Y245827D01* +X14006Y245507D01* +X14187Y245211D01* +X14412Y244948D01* +X14675Y244723D01* +X14971Y244542D01* +X15291Y244410D01* +X15627Y244329D01* +X15972Y244309D01* +X22386Y244322D01* +Y241616D01* +X15627Y241601D01* +X15291Y241520D01* +X14971Y241388D01* +X14675Y241207D01* +X14412Y240982D01* +X14187Y240719D01* +X14006Y240423D01* +X13874Y240103D01* +X13793Y239767D01* +X13772Y239422D01* +X13793Y229234D01* +X13874Y228898D01* +X14006Y228578D01* +X14187Y228282D01* +X14412Y228019D01* +X14675Y227794D01* +X14971Y227613D01* +X15291Y227481D01* +X15627Y227400D01* +X15972Y227380D01* +X18925Y227386D01* +X18971Y227347D01* +X22386Y223932D01* +Y217591D01* +X21960Y217955D01* +X20148Y219066D01* +X18185Y219879D01* +X16118Y220375D01* +X14000Y220542D01* +X11882Y220375D01* +X9815Y219879D01* +X7852Y219066D01* +X6040Y217955D01* +X4425Y216575D01* +X3045Y214960D01* +X2000Y213255D01* +Y261500D01* +X22386D01* +Y258545D01* +G37* +G36* +X18000Y260500D02*Y302000D01* +X18538D01* +X18622Y301928D01* +X22500Y298050D01* +Y263500D01* +X22532Y262951D01* +X22661Y262415D01* +X22872Y261906D01* +X23160Y261436D01* +X23517Y261017D01* +X23750Y260819D01* +Y260500D01* +X18000D01* +G37* +G36* +X362500Y43237D02*Y84500D01* +X382000D01* +Y38255D01* +X380955Y39960D01* +X379575Y41575D01* +X377960Y42955D01* +X376148Y44066D01* +X374185Y44879D01* +X372118Y45375D01* +X370000Y45542D01* +X367882Y45375D01* +X365815Y44879D01* +X363852Y44066D01* +X362500Y43237D01* +G37* +G36* +X363500Y2000D02*Y20150D01* +X363852Y19934D01* +X365815Y19121D01* +X367882Y18625D01* +X370000Y18458D01* +X372118Y18625D01* +X374185Y19121D01* +X376148Y19934D01* +X377960Y21045D01* +X379575Y22425D01* +X380955Y24040D01* +X382000Y25745D01* +Y2000D01* +X363500D01* +G37* +G36* +Y85500D02*Y90033D01* +X363502Y90034D01* +X363797Y90215D01* +X364060Y90440D01* +X364285Y90703D01* +X364466Y90998D01* +X364599Y91318D01* +X364680Y91655D01* +X364700Y92000D01* +X364680Y92345D01* +X364599Y92682D01* +X364466Y93002D01* +X364285Y93297D01* +X364060Y93560D01* +X363800Y93783D01* +Y95260D01* +X365685Y95264D01* +X365915Y95319D01* +X366133Y95409D01* +X366334Y95533D01* +X366514Y95686D01* +X366667Y95866D01* +X366791Y96067D01* +X366881Y96285D01* +X366936Y96515D01* +X366950Y96750D01* +X366936Y101485D01* +X366881Y101715D01* +X366791Y101933D01* +X366667Y102134D01* +X366514Y102314D01* +X366334Y102467D01* +X366133Y102591D01* +X365915Y102681D01* +X365685Y102736D01* +X365450Y102750D01* +X363500Y102746D01* +Y103060D01* +X365717Y103066D01* +X365977Y103128D01* +X366224Y103231D01* +X366452Y103370D01* +X366656Y103544D01* +X366830Y103748D01* +X366969Y103976D01* +X367072Y104223D01* +X367134Y104483D01* +X367150Y104750D01* +X367134Y109517D01* +X367072Y109777D01* +X366969Y110024D01* +X366830Y110252D01* +X366656Y110456D01* +X366452Y110630D01* +X366224Y110769D01* +X365977Y110872D01* +X365717Y110934D01* +X365450Y110950D01* +X363500Y110945D01* +Y186500D01* +X382000D01* +Y85500D01* +X363500D01* +G37* +G36* +X364500Y261500D02*X382000D01* +Y213255D01* +X380955Y214960D01* +X379575Y216575D01* +X377960Y217955D01* +X376148Y219066D01* +X374185Y219879D01* +X372118Y220375D01* +X370000Y220542D01* +X367882Y220375D01* +X365815Y219879D01* +X364500Y219334D01* +Y261500D01* +G37* +G36* +X346000Y283000D02*X365500D01* +Y219748D01* +X363852Y219066D01* +X362040Y217955D01* +X360425Y216575D01* +X359045Y214960D01* +X357934Y213148D01* +X357121Y211185D01* +X356625Y209118D01* +X356458Y207000D01* +X356625Y204882D01* +X357121Y202815D01* +X357934Y200852D01* +X358457Y200000D01* +X350646D01* +X350837Y200163D01* +X351246Y200642D01* +X351575Y201178D01* +X351816Y201760D01* +X351963Y202372D01* +X352000Y203000D01* +X351963Y203628D01* +X351816Y204240D01* +X351575Y204822D01* +X351246Y205358D01* +X350837Y205837D01* +X350358Y206246D01* +X350250Y206312D01* +Y213663D01* +X351001Y213665D01* +X351246Y213724D01* +X351479Y213820D01* +X351693Y213952D01* +X351885Y214115D01* +X352048Y214307D01* +X352180Y214521D01* +X352276Y214754D01* +X352335Y214999D01* +X352350Y215250D01* +X352335Y227501D01* +X352276Y227746D01* +X352180Y227979D01* +X352048Y228193D01* +X351885Y228385D01* +X351693Y228548D01* +X351479Y228680D01* +X351246Y228776D01* +X351001Y228835D01* +X350750Y228850D01* +X346000Y228840D01* +Y233255D01* +X351907Y233259D01* +X352060Y233296D01* +X352205Y233356D01* +X352340Y233438D01* +X352459Y233541D01* +X352562Y233660D01* +X352644Y233795D01* +X352704Y233940D01* +X352741Y234093D01* +X352750Y234250D01* +X352741Y245907D01* +X352704Y246060D01* +X352644Y246205D01* +X352562Y246340D01* +X352459Y246459D01* +X352340Y246562D01* +X352205Y246644D01* +X352060Y246704D01* +X351907Y246741D01* +X351750Y246750D01* +X346000Y246745D01* +Y248255D01* +X351907Y248259D01* +X352060Y248296D01* +X352205Y248356D01* +X352340Y248438D01* +X352459Y248541D01* +X352562Y248660D01* +X352644Y248795D01* +X352704Y248940D01* +X352741Y249093D01* +X352750Y249250D01* +X352741Y260907D01* +X352704Y261060D01* +X352644Y261205D01* +X352562Y261340D01* +X352459Y261459D01* +X352340Y261562D01* +X352205Y261644D01* +X352060Y261704D01* +X351907Y261741D01* +X351750Y261750D01* +X346000Y261745D01* +Y283000D01* +G37* +G36* +X332750Y228850D02*X331000Y228846D01* +Y233255D01* +X336907Y233259D01* +X337060Y233296D01* +X337205Y233356D01* +X337340Y233438D01* +X337459Y233541D01* +X337562Y233660D01* +X337644Y233795D01* +X337704Y233940D01* +X337741Y234093D01* +X337750Y234250D01* +X337741Y245907D01* +X337704Y246060D01* +X337644Y246205D01* +X337562Y246340D01* +X337459Y246459D01* +X337340Y246562D01* +X337205Y246644D01* +X337060Y246704D01* +X336907Y246741D01* +X336750Y246750D01* +X331000Y246745D01* +Y248255D01* +X336907Y248259D01* +X337060Y248296D01* +X337205Y248356D01* +X337340Y248438D01* +X337459Y248541D01* +X337562Y248660D01* +X337644Y248795D01* +X337704Y248940D01* +X337741Y249093D01* +X337750Y249250D01* +X337741Y260907D01* +X337704Y261060D01* +X337644Y261205D01* +X337562Y261340D01* +X337459Y261459D01* +X337340Y261562D01* +X337205Y261644D01* +X337060Y261704D01* +X336907Y261741D01* +X336750Y261750D01* +X331000Y261745D01* +Y283000D01* +X346000D01* +Y261745D01* +X340093Y261741D01* +X339940Y261704D01* +X339795Y261644D01* +X339660Y261562D01* +X339541Y261459D01* +X339438Y261340D01* +X339356Y261205D01* +X339296Y261060D01* +X339259Y260907D01* +X339250Y260750D01* +X339259Y249093D01* +X339296Y248940D01* +X339356Y248795D01* +X339438Y248660D01* +X339541Y248541D01* +X339660Y248438D01* +X339795Y248356D01* +X339940Y248296D01* +X340093Y248259D01* +X340250Y248250D01* +X346000Y248255D01* +Y246745D01* +X340093Y246741D01* +X339940Y246704D01* +X339795Y246644D01* +X339660Y246562D01* +X339541Y246459D01* +X339438Y246340D01* +X339356Y246205D01* +X339296Y246060D01* +X339259Y245907D01* +X339250Y245750D01* +X339259Y234093D01* +X339296Y233940D01* +X339356Y233795D01* +X339438Y233660D01* +X339541Y233541D01* +X339660Y233438D01* +X339795Y233356D01* +X339940Y233296D01* +X340093Y233259D01* +X340250Y233250D01* +X346000Y233255D01* +Y228840D01* +X343999Y228835D01* +X343754Y228776D01* +X343521Y228680D01* +X343307Y228548D01* +X343115Y228385D01* +X342952Y228193D01* +X342820Y227979D01* +X342724Y227746D01* +X342665Y227501D01* +X342650Y227250D01* +X342665Y214999D01* +X342724Y214754D01* +X342820Y214521D01* +X342952Y214307D01* +X343115Y214115D01* +X343307Y213952D01* +X343521Y213820D01* +X343754Y213724D01* +X343999Y213665D01* +X344250Y213650D01* +X345750Y213653D01* +Y210588D01* +X341409Y206248D01* +X336315Y206236D01* +X336085Y206181D01* +X335867Y206091D01* +X335666Y205967D01* +X335486Y205814D01* +X335333Y205634D01* +X335209Y205433D01* +X335119Y205215D01* +X335064Y204985D01* +X335050Y204750D01* +X335064Y200015D01* +X335067Y200000D01* +X332146D01* +X332337Y200163D01* +X332746Y200642D01* +X333075Y201178D01* +X333316Y201760D01* +X333463Y202372D01* +X333500Y203000D01* +X333463Y203628D01* +X333316Y204240D01* +X333075Y204822D01* +X332746Y205358D01* +X332337Y205837D01* +X331858Y206246D01* +X331750Y206312D01* +Y213662D01* +X333001Y213665D01* +X333246Y213724D01* +X333479Y213820D01* +X333693Y213952D01* +X333885Y214115D01* +X334048Y214307D01* +X334180Y214521D01* +X334276Y214754D01* +X334335Y214999D01* +X334350Y215250D01* +X334335Y227501D01* +X334276Y227746D01* +X334180Y227979D01* +X334048Y228193D01* +X333885Y228385D01* +X333693Y228548D01* +X333479Y228680D01* +X333246Y228776D01* +X333001Y228835D01* +X332750Y228850D01* +G37* +G36* +X331000Y228846D02*X325999Y228835D01* +X325754Y228776D01* +X325521Y228680D01* +X325307Y228548D01* +X325115Y228385D01* +X324952Y228193D01* +X324820Y227979D01* +X324724Y227746D01* +X324665Y227501D01* +X324650Y227250D01* +X324665Y214999D01* +X324724Y214754D01* +X324820Y214521D01* +X324952Y214307D01* +X325115Y214115D01* +X325307Y213952D01* +X325521Y213820D01* +X325754Y213724D01* +X325999Y213665D01* +X326250Y213650D01* +X327250Y213652D01* +Y206312D01* +X327142Y206246D01* +X326663Y205837D01* +X326254Y205358D01* +X325925Y204822D01* +X325684Y204240D01* +X325537Y203628D01* +X325488Y203000D01* +X325537Y202372D01* +X325684Y201760D01* +X325925Y201178D01* +X326254Y200642D01* +X326663Y200163D01* +X326854Y200000D01* +X310750D01* +Y243748D01* +X310868Y243757D01* +X310982Y243785D01* +X311092Y243830D01* +X311192Y243891D01* +X311282Y243968D01* +X311359Y244058D01* +X311420Y244158D01* +X311465Y244268D01* +X311493Y244382D01* +X311500Y244500D01* +Y247500D01* +X311493Y247618D01* +X311465Y247732D01* +X311420Y247842D01* +X311359Y247942D01* +X311282Y248032D01* +X311192Y248109D01* +X311092Y248170D01* +X310982Y248215D01* +X310868Y248243D01* +X310750Y248252D01* +Y283000D01* +X331000D01* +Y261745D01* +X325093Y261741D01* +X324940Y261704D01* +X324795Y261644D01* +X324660Y261562D01* +X324541Y261459D01* +X324438Y261340D01* +X324356Y261205D01* +X324296Y261060D01* +X324259Y260907D01* +X324250Y260750D01* +X324259Y249093D01* +X324296Y248940D01* +X324356Y248795D01* +X324438Y248660D01* +X324541Y248541D01* +X324660Y248438D01* +X324795Y248356D01* +X324940Y248296D01* +X325093Y248259D01* +X325250Y248250D01* +X331000Y248255D01* +Y246745D01* +X325093Y246741D01* +X324940Y246704D01* +X324795Y246644D01* +X324660Y246562D01* +X324541Y246459D01* +X324438Y246340D01* +X324356Y246205D01* +X324296Y246060D01* +X324259Y245907D01* +X324250Y245750D01* +X324259Y234093D01* +X324296Y233940D01* +X324356Y233795D01* +X324438Y233660D01* +X324541Y233541D01* +X324660Y233438D01* +X324795Y233356D01* +X324940Y233296D01* +X325093Y233259D01* +X325250Y233250D01* +X331000Y233255D01* +Y228846D01* +G37* +G36* +X310750Y200000D02*X306000D01* +Y241500D01* +X308500D01* +X308618Y241507D01* +X308732Y241535D01* +X308842Y241580D01* +X308942Y241641D01* +X309032Y241718D01* +X309109Y241808D01* +X309170Y241908D01* +X309215Y242018D01* +X309243Y242132D01* +X309252Y242250D01* +X309243Y242368D01* +X309215Y242482D01* +X309170Y242592D01* +X309109Y242692D01* +X309032Y242782D01* +X308942Y242859D01* +X308842Y242920D01* +X308732Y242965D01* +X308618Y242993D01* +X308500Y243000D01* +X306000D01* +Y249000D01* +X308500D01* +X308618Y249007D01* +X308732Y249035D01* +X308842Y249080D01* +X308942Y249141D01* +X309032Y249218D01* +X309109Y249308D01* +X309170Y249408D01* +X309215Y249518D01* +X309243Y249632D01* +X309252Y249750D01* +X309243Y249868D01* +X309215Y249982D01* +X309170Y250092D01* +X309109Y250192D01* +X309032Y250282D01* +X308942Y250359D01* +X308842Y250420D01* +X308732Y250465D01* +X308618Y250493D01* +X308500Y250500D01* +X306000D01* +Y254257D01* +X309185Y254264D01* +X309415Y254319D01* +X309633Y254409D01* +X309834Y254533D01* +X310014Y254686D01* +X310167Y254866D01* +X310291Y255067D01* +X310381Y255285D01* +X310436Y255515D01* +X310450Y255750D01* +X310436Y260485D01* +X310381Y260715D01* +X310291Y260933D01* +X310167Y261134D01* +X310014Y261314D01* +X309834Y261467D01* +X309633Y261591D01* +X309415Y261681D01* +X309185Y261736D01* +X308950Y261750D01* +X306000Y261743D01* +Y262257D01* +X309185Y262264D01* +X309415Y262319D01* +X309633Y262409D01* +X309834Y262533D01* +X310014Y262686D01* +X310167Y262866D01* +X310291Y263067D01* +X310381Y263285D01* +X310436Y263515D01* +X310450Y263750D01* +X310436Y268485D01* +X310381Y268715D01* +X310291Y268933D01* +X310167Y269134D01* +X310014Y269314D01* +X309834Y269467D01* +X309633Y269591D01* +X309415Y269681D01* +X309185Y269736D01* +X308950Y269750D01* +X307300Y269746D01* +Y272217D01* +X307560Y272440D01* +X307785Y272703D01* +X307966Y272998D01* +X308099Y273318D01* +X308180Y273655D01* +X308200Y274000D01* +X308180Y274345D01* +X308099Y274682D01* +X307966Y275002D01* +X307785Y275297D01* +X307560Y275560D01* +X307297Y275785D01* +X307002Y275966D01* +X306682Y276099D01* +X306345Y276180D01* +X306000Y276207D01* +Y283000D01* +X310750D01* +Y248252D01* +X310632Y248243D01* +X310518Y248215D01* +X310408Y248170D01* +X310308Y248109D01* +X310218Y248032D01* +X310141Y247942D01* +X310080Y247842D01* +X310035Y247732D01* +X310007Y247618D01* +X310000Y247500D01* +Y244500D01* +X310007Y244382D01* +X310035Y244268D01* +X310080Y244158D01* +X310141Y244058D01* +X310218Y243968D01* +X310308Y243891D01* +X310408Y243830D01* +X310518Y243785D01* +X310632Y243757D01* +X310750Y243748D01* +Y200000D01* +G37* +G36* +X306000Y276207D02*X305655Y276180D01* +X305318Y276099D01* +X304998Y275966D01* +X304703Y275785D01* +X304440Y275560D01* +X304217Y275300D01* +X301500D01* +Y283000D01* +X306000D01* +Y276207D01* +G37* +G36* +X303250Y262250D02*X306000Y262257D01* +Y261743D01* +X303250Y261737D01* +Y262250D01* +G37* +G36* +Y272700D02*X304217D01* +X304440Y272440D01* +X304700Y272217D01* +Y269740D01* +X303250Y269737D01* +Y272700D01* +G37* +G36* +X306000Y200000D02*X303250D01* +Y243748D01* +X303368Y243757D01* +X303482Y243785D01* +X303592Y243830D01* +X303692Y243891D01* +X303782Y243968D01* +X303859Y244058D01* +X303920Y244158D01* +X303965Y244268D01* +X303993Y244382D01* +X304000Y244500D01* +Y247500D01* +X303993Y247618D01* +X303965Y247732D01* +X303920Y247842D01* +X303859Y247942D01* +X303782Y248032D01* +X303692Y248109D01* +X303592Y248170D01* +X303482Y248215D01* +X303368Y248243D01* +X303250Y248252D01* +Y254250D01* +X306000Y254257D01* +Y250500D01* +X305500D01* +X305382Y250493D01* +X305268Y250465D01* +X305158Y250420D01* +X305058Y250359D01* +X304968Y250282D01* +X304891Y250192D01* +X304830Y250092D01* +X304785Y249982D01* +X304757Y249868D01* +X304748Y249750D01* +X304757Y249632D01* +X304785Y249518D01* +X304830Y249408D01* +X304891Y249308D01* +X304968Y249218D01* +X305058Y249141D01* +X305158Y249080D01* +X305268Y249035D01* +X305382Y249007D01* +X305500Y249000D01* +X306000D01* +Y243000D01* +X305500D01* +X305382Y242993D01* +X305268Y242965D01* +X305158Y242920D01* +X305058Y242859D01* +X304968Y242782D01* +X304891Y242692D01* +X304830Y242592D01* +X304785Y242482D01* +X304757Y242368D01* +X304748Y242250D01* +X304757Y242132D01* +X304785Y242018D01* +X304830Y241908D01* +X304891Y241808D01* +X304968Y241718D01* +X305058Y241641D01* +X305158Y241580D01* +X305268Y241535D01* +X305382Y241507D01* +X305500Y241500D01* +X306000D01* +Y200000D01* +G37* +G36* +X303250D02*X301500D01* +Y272700D01* +X303250D01* +Y269737D01* +X302815Y269736D01* +X302585Y269681D01* +X302367Y269591D01* +X302166Y269467D01* +X301986Y269314D01* +X301833Y269134D01* +X301709Y268933D01* +X301619Y268715D01* +X301564Y268485D01* +X301550Y268250D01* +X301564Y263515D01* +X301619Y263285D01* +X301709Y263067D01* +X301833Y262866D01* +X301986Y262686D01* +X302166Y262533D01* +X302367Y262409D01* +X302585Y262319D01* +X302815Y262264D01* +X303050Y262250D01* +X303250Y262250D01* +Y261737D01* +X302815Y261736D01* +X302585Y261681D01* +X302367Y261591D01* +X302166Y261467D01* +X301986Y261314D01* +X301833Y261134D01* +X301709Y260933D01* +X301619Y260715D01* +X301564Y260485D01* +X301550Y260250D01* +X301564Y255515D01* +X301619Y255285D01* +X301709Y255067D01* +X301833Y254866D01* +X301986Y254686D01* +X302166Y254533D01* +X302367Y254409D01* +X302585Y254319D01* +X302815Y254264D01* +X303050Y254250D01* +X303250Y254250D01* +Y248252D01* +X303132Y248243D01* +X303018Y248215D01* +X302908Y248170D01* +X302808Y248109D01* +X302718Y248032D01* +X302641Y247942D01* +X302580Y247842D01* +X302535Y247732D01* +X302507Y247618D01* +X302500Y247500D01* +Y244500D01* +X302507Y244382D01* +X302535Y244268D01* +X302580Y244158D01* +X302641Y244058D01* +X302718Y243968D01* +X302808Y243891D01* +X302908Y243830D01* +X303018Y243785D01* +X303132Y243757D01* +X303250Y243748D01* +Y200000D01* +G37* +G36* +X342125Y198763D02*X342685Y198764D01* +X342915Y198819D01* +X343133Y198909D01* +X343334Y199033D01* +X343514Y199186D01* +X343667Y199366D01* +X343791Y199567D01* +X343881Y199785D01* +X343936Y200015D01* +X343950Y200250D01* +X343948Y201000D01* +X344534D01* +X344754Y200642D01* +X345163Y200163D01* +X345642Y199754D01* +X346178Y199425D01* +X346760Y199184D01* +X347372Y199037D01* +X348000Y198988D01* +X348628Y199037D01* +X349240Y199184D01* +X349822Y199425D01* +X350358Y199754D01* +X350837Y200163D01* +X351246Y200642D01* +X351466Y201000D01* +X357873D01* +X357934Y200852D01* +X359045Y199040D01* +X360425Y197425D01* +X362040Y196045D01* +X363852Y194934D01* +X365815Y194121D01* +X367882Y193625D01* +X370000Y193458D01* +X372118Y193625D01* +X374185Y194121D01* +X376148Y194934D01* +X377960Y196045D01* +X379575Y197425D01* +X380955Y199040D01* +X382000Y200745D01* +Y187500D01* +X342125D01* +Y190763D01* +X342685Y190764D01* +X342915Y190819D01* +X343133Y190909D01* +X343334Y191033D01* +X343514Y191186D01* +X343667Y191366D01* +X343791Y191567D01* +X343881Y191785D01* +X343936Y192015D01* +X343950Y192250D01* +X343947Y193200D01* +X345217D01* +X345440Y192940D01* +X345703Y192715D01* +X345998Y192534D01* +X346318Y192401D01* +X346655Y192320D01* +X347000Y192293D01* +X347345Y192320D01* +X347682Y192401D01* +X348002Y192534D01* +X348297Y192715D01* +X348560Y192940D01* +X348785Y193203D01* +X348966Y193498D01* +X349099Y193818D01* +X349180Y194155D01* +X349200Y194500D01* +X349180Y194845D01* +X349099Y195182D01* +X348966Y195502D01* +X348785Y195797D01* +X348560Y196060D01* +X348297Y196285D01* +X348002Y196466D01* +X347682Y196599D01* +X347345Y196680D01* +X347000Y196707D01* +X346655Y196680D01* +X346318Y196599D01* +X345998Y196466D01* +X345703Y196285D01* +X345440Y196060D01* +X345217Y195800D01* +X343940D01* +X343936Y196985D01* +X343881Y197215D01* +X343791Y197433D01* +X343667Y197634D01* +X343514Y197814D01* +X343334Y197967D01* +X343133Y198091D01* +X342915Y198181D01* +X342685Y198236D01* +X342450Y198250D01* +X342125Y198249D01* +Y198763D01* +G37* +G36* +X301500Y201000D02*X326034D01* +X326254Y200642D01* +X326663Y200163D01* +X327142Y199754D01* +X327678Y199425D01* +X328260Y199184D01* +X328872Y199037D01* +X329500Y198988D01* +X330128Y199037D01* +X330740Y199184D01* +X331322Y199425D01* +X331858Y199754D01* +X332337Y200163D01* +X332746Y200642D01* +X332966Y201000D01* +X335061D01* +X335064Y200015D01* +X335119Y199785D01* +X335209Y199567D01* +X335333Y199366D01* +X335486Y199186D01* +X335666Y199033D01* +X335867Y198909D01* +X336085Y198819D01* +X336315Y198764D01* +X336550Y198750D01* +X342125Y198763D01* +Y198249D01* +X336315Y198236D01* +X336085Y198181D01* +X335867Y198091D01* +X335666Y197967D01* +X335486Y197814D01* +X335333Y197634D01* +X335209Y197433D01* +X335119Y197215D01* +X335064Y196985D01* +X335050Y196750D01* +X335064Y192015D01* +X335119Y191785D01* +X335209Y191567D01* +X335333Y191366D01* +X335486Y191186D01* +X335666Y191033D01* +X335867Y190909D01* +X336085Y190819D01* +X336315Y190764D01* +X336550Y190750D01* +X342125Y190763D01* +Y187500D01* +X301500D01* +Y201000D01* +G37* +G36* +X121747Y261500D02*X123700D01* +Y250316D01* +X123605Y250293D01* +X122951Y250022D01* +X122347Y249652D01* +X121808Y249192D01* +X121747Y249120D01* +Y251500D01* +X121749Y251500D01* +X121810Y251513D01* +X121869Y251536D01* +X121923Y251567D01* +X121972Y251607D01* +X122015Y251654D01* +X122049Y251706D01* +X122073Y251764D01* +X122138Y251969D01* +X122179Y252179D01* +X122200Y252393D01* +Y252607D01* +X122179Y252821D01* +X122138Y253031D01* +X122076Y253237D01* +X122050Y253294D01* +X122016Y253347D01* +X121974Y253394D01* +X121924Y253434D01* +X121870Y253466D01* +X121811Y253488D01* +X121749Y253501D01* +X121747Y253501D01* +Y261500D01* +G37* +G36* +X120001D02*X121747D01* +Y253501D01* +X121686Y253505D01* +X121623Y253498D01* +X121562Y253482D01* +X121504Y253456D01* +X121451Y253421D01* +X121404Y253379D01* +X121364Y253330D01* +X121333Y253275D01* +X121310Y253216D01* +X121297Y253154D01* +X121294Y253091D01* +X121300Y253028D01* +X121318Y252968D01* +X121359Y252838D01* +X121385Y252704D01* +X121398Y252568D01* +Y252432D01* +X121385Y252296D01* +X121359Y252162D01* +X121319Y252032D01* +X121302Y251971D01* +X121295Y251909D01* +X121299Y251846D01* +X121312Y251784D01* +X121334Y251726D01* +X121366Y251671D01* +X121405Y251622D01* +X121452Y251580D01* +X121505Y251546D01* +X121562Y251520D01* +X121623Y251504D01* +X121686Y251497D01* +X121747Y251500D01* +Y249120D01* +X121348Y248653D01* +X120978Y248049D01* +X120707Y247395D01* +X120542Y246706D01* +X120486Y246000D01* +X120542Y245294D01* +X120707Y244605D01* +X120978Y243951D01* +X121348Y243347D01* +X121700Y242935D01* +Y229500D01* +X121662Y229592D01* +X121555Y229766D01* +X121422Y229922D01* +X121383Y229955D01* +X120001Y231338D01* +Y250300D01* +X120107D01* +X120321Y250321D01* +X120531Y250362D01* +X120737Y250424D01* +X120794Y250450D01* +X120847Y250484D01* +X120894Y250526D01* +X120934Y250576D01* +X120966Y250630D01* +X120988Y250689D01* +X121001Y250751D01* +X121005Y250814D01* +X120998Y250877D01* +X120982Y250938D01* +X120956Y250996D01* +X120921Y251049D01* +X120879Y251096D01* +X120830Y251136D01* +X120775Y251167D01* +X120716Y251190D01* +X120654Y251203D01* +X120591Y251206D01* +X120528Y251200D01* +X120468Y251182D01* +X120338Y251141D01* +X120204Y251115D01* +X120068Y251102D01* +X120001D01* +Y253898D01* +X120068D01* +X120204Y253885D01* +X120338Y253859D01* +X120468Y253819D01* +X120529Y253802D01* +X120591Y253795D01* +X120654Y253799D01* +X120716Y253812D01* +X120774Y253834D01* +X120829Y253866D01* +X120878Y253905D01* +X120920Y253952D01* +X120954Y254005D01* +X120980Y254062D01* +X120996Y254123D01* +X121003Y254186D01* +X121000Y254249D01* +X120987Y254310D01* +X120964Y254369D01* +X120933Y254423D01* +X120893Y254472D01* +X120846Y254515D01* +X120794Y254549D01* +X120736Y254573D01* +X120531Y254638D01* +X120321Y254679D01* +X120107Y254700D01* +X120001D01* +Y261500D01* +G37* +G36* +X118253D02*X120001D01* +Y254700D01* +X119893D01* +X119679Y254679D01* +X119469Y254638D01* +X119263Y254576D01* +X119206Y254550D01* +X119153Y254516D01* +X119106Y254474D01* +X119066Y254424D01* +X119034Y254370D01* +X119012Y254311D01* +X118999Y254249D01* +X118995Y254186D01* +X119002Y254123D01* +X119018Y254062D01* +X119044Y254004D01* +X119079Y253951D01* +X119121Y253904D01* +X119170Y253864D01* +X119225Y253833D01* +X119284Y253810D01* +X119346Y253797D01* +X119409Y253794D01* +X119472Y253800D01* +X119532Y253818D01* +X119662Y253859D01* +X119796Y253885D01* +X119932Y253898D01* +X120001D01* +Y251102D01* +X119932D01* +X119796Y251115D01* +X119662Y251141D01* +X119532Y251181D01* +X119471Y251198D01* +X119409Y251205D01* +X119346Y251201D01* +X119284Y251188D01* +X119226Y251166D01* +X119171Y251134D01* +X119122Y251095D01* +X119080Y251048D01* +X119046Y250995D01* +X119020Y250938D01* +X119004Y250877D01* +X118997Y250814D01* +X119000Y250751D01* +X119013Y250690D01* +X119036Y250631D01* +X119067Y250577D01* +X119107Y250528D01* +X119154Y250485D01* +X119206Y250451D01* +X119264Y250427D01* +X119469Y250362D01* +X119679Y250321D01* +X119893Y250300D01* +X120001D01* +Y231338D01* +X118253Y233085D01* +Y242880D01* +X118652Y243347D01* +X119022Y243951D01* +X119293Y244605D01* +X119458Y245294D01* +X119500Y246000D01* +X119458Y246706D01* +X119293Y247395D01* +X119022Y248049D01* +X118652Y248653D01* +X118253Y249120D01* +Y251499D01* +X118314Y251495D01* +X118377Y251502D01* +X118438Y251518D01* +X118496Y251544D01* +X118549Y251579D01* +X118596Y251621D01* +X118636Y251670D01* +X118667Y251725D01* +X118690Y251784D01* +X118703Y251846D01* +X118706Y251909D01* +X118700Y251972D01* +X118682Y252032D01* +X118641Y252162D01* +X118615Y252296D01* +X118602Y252432D01* +Y252568D01* +X118615Y252704D01* +X118641Y252838D01* +X118681Y252968D01* +X118698Y253029D01* +X118705Y253091D01* +X118701Y253154D01* +X118688Y253216D01* +X118666Y253274D01* +X118634Y253329D01* +X118595Y253378D01* +X118548Y253420D01* +X118495Y253454D01* +X118438Y253480D01* +X118377Y253496D01* +X118314Y253503D01* +X118253Y253500D01* +Y261500D01* +G37* +G36* +Y233085D02*X116300Y235038D01* +Y241684D01* +X116395Y241707D01* +X117049Y241978D01* +X117653Y242348D01* +X118192Y242808D01* +X118253Y242880D01* +Y233085D01* +G37* +G36* +X116300Y261500D02*X118253D01* +Y253500D01* +X118251Y253500D01* +X118190Y253487D01* +X118131Y253464D01* +X118077Y253433D01* +X118028Y253393D01* +X117985Y253346D01* +X117951Y253294D01* +X117927Y253236D01* +X117862Y253031D01* +X117821Y252821D01* +X117800Y252607D01* +Y252393D01* +X117821Y252179D01* +X117862Y251969D01* +X117924Y251763D01* +X117950Y251706D01* +X117984Y251653D01* +X118026Y251606D01* +X118076Y251566D01* +X118130Y251534D01* +X118189Y251512D01* +X118251Y251499D01* +X118253Y251499D01* +Y249120D01* +X118192Y249192D01* +X117653Y249652D01* +X117049Y250022D01* +X116395Y250293D01* +X116300Y250316D01* +Y261500D01* +G37* +G36* +X151747Y242880D02*X151808Y242808D01* +X152347Y242348D01* +X152951Y241978D01* +X153500Y241751D01* +Y238338D01* +X152462Y237300D01* +X151747D01* +Y242880D01* +G37* +G36* +Y261000D02*X153500D01* +Y250249D01* +X152951Y250022D01* +X152347Y249652D01* +X151808Y249192D01* +X151747Y249120D01* +Y251500D01* +X151749Y251500D01* +X151810Y251513D01* +X151869Y251536D01* +X151923Y251567D01* +X151972Y251607D01* +X152015Y251654D01* +X152049Y251706D01* +X152073Y251764D01* +X152138Y251969D01* +X152179Y252179D01* +X152200Y252393D01* +Y252607D01* +X152179Y252821D01* +X152138Y253031D01* +X152076Y253237D01* +X152050Y253294D01* +X152016Y253347D01* +X151974Y253394D01* +X151924Y253434D01* +X151870Y253466D01* +X151811Y253488D01* +X151749Y253501D01* +X151747Y253501D01* +Y261000D01* +G37* +G36* +X150001D02*X151747D01* +Y253501D01* +X151686Y253505D01* +X151623Y253498D01* +X151562Y253482D01* +X151504Y253456D01* +X151451Y253421D01* +X151404Y253379D01* +X151364Y253330D01* +X151333Y253275D01* +X151310Y253216D01* +X151297Y253154D01* +X151294Y253091D01* +X151300Y253028D01* +X151318Y252968D01* +X151359Y252838D01* +X151385Y252704D01* +X151398Y252568D01* +Y252432D01* +X151385Y252296D01* +X151359Y252162D01* +X151319Y252032D01* +X151302Y251971D01* +X151295Y251909D01* +X151299Y251846D01* +X151312Y251784D01* +X151334Y251726D01* +X151366Y251671D01* +X151405Y251622D01* +X151452Y251580D01* +X151505Y251546D01* +X151562Y251520D01* +X151623Y251504D01* +X151686Y251497D01* +X151747Y251500D01* +Y249120D01* +X151348Y248653D01* +X150978Y248049D01* +X150707Y247395D01* +X150542Y246706D01* +X150486Y246000D01* +X150542Y245294D01* +X150707Y244605D01* +X150978Y243951D01* +X151348Y243347D01* +X151747Y242880D01* +Y237300D01* +X150001D01* +Y250300D01* +X150107D01* +X150321Y250321D01* +X150531Y250362D01* +X150737Y250424D01* +X150794Y250450D01* +X150847Y250484D01* +X150894Y250526D01* +X150934Y250576D01* +X150966Y250630D01* +X150988Y250689D01* +X151001Y250751D01* +X151005Y250814D01* +X150998Y250877D01* +X150982Y250938D01* +X150956Y250996D01* +X150921Y251049D01* +X150879Y251096D01* +X150830Y251136D01* +X150775Y251167D01* +X150716Y251190D01* +X150654Y251203D01* +X150591Y251206D01* +X150528Y251200D01* +X150468Y251182D01* +X150338Y251141D01* +X150204Y251115D01* +X150068Y251102D01* +X150001D01* +Y253898D01* +X150068D01* +X150204Y253885D01* +X150338Y253859D01* +X150468Y253819D01* +X150529Y253802D01* +X150591Y253795D01* +X150654Y253799D01* +X150716Y253812D01* +X150774Y253834D01* +X150829Y253866D01* +X150878Y253905D01* +X150920Y253952D01* +X150954Y254005D01* +X150980Y254062D01* +X150996Y254123D01* +X151003Y254186D01* +X151000Y254249D01* +X150987Y254310D01* +X150964Y254369D01* +X150933Y254423D01* +X150893Y254472D01* +X150846Y254515D01* +X150794Y254549D01* +X150736Y254573D01* +X150531Y254638D01* +X150321Y254679D01* +X150107Y254700D01* +X150001D01* +Y261000D01* +G37* +G36* +X148253D02*X150001D01* +Y254700D01* +X149893D01* +X149679Y254679D01* +X149469Y254638D01* +X149263Y254576D01* +X149206Y254550D01* +X149153Y254516D01* +X149106Y254474D01* +X149066Y254424D01* +X149034Y254370D01* +X149012Y254311D01* +X148999Y254249D01* +X148995Y254186D01* +X149002Y254123D01* +X149018Y254062D01* +X149044Y254004D01* +X149079Y253951D01* +X149121Y253904D01* +X149170Y253864D01* +X149225Y253833D01* +X149284Y253810D01* +X149346Y253797D01* +X149409Y253794D01* +X149472Y253800D01* +X149532Y253818D01* +X149662Y253859D01* +X149796Y253885D01* +X149932Y253898D01* +X150001D01* +Y251102D01* +X149932D01* +X149796Y251115D01* +X149662Y251141D01* +X149532Y251181D01* +X149471Y251198D01* +X149409Y251205D01* +X149346Y251201D01* +X149284Y251188D01* +X149226Y251166D01* +X149171Y251134D01* +X149122Y251095D01* +X149080Y251048D01* +X149046Y250995D01* +X149020Y250938D01* +X149004Y250877D01* +X148997Y250814D01* +X149000Y250751D01* +X149013Y250690D01* +X149036Y250631D01* +X149067Y250577D01* +X149107Y250528D01* +X149154Y250485D01* +X149206Y250451D01* +X149264Y250427D01* +X149469Y250362D01* +X149679Y250321D01* +X149893Y250300D01* +X150001D01* +Y237300D01* +X148253D01* +Y242880D01* +X148652Y243347D01* +X149022Y243951D01* +X149293Y244605D01* +X149458Y245294D01* +X149500Y246000D01* +X149458Y246706D01* +X149293Y247395D01* +X149022Y248049D01* +X148652Y248653D01* +X148253Y249120D01* +Y251499D01* +X148314Y251495D01* +X148377Y251502D01* +X148438Y251518D01* +X148496Y251544D01* +X148549Y251579D01* +X148596Y251621D01* +X148636Y251670D01* +X148667Y251725D01* +X148690Y251784D01* +X148703Y251846D01* +X148706Y251909D01* +X148700Y251972D01* +X148682Y252032D01* +X148641Y252162D01* +X148615Y252296D01* +X148602Y252432D01* +Y252568D01* +X148615Y252704D01* +X148641Y252838D01* +X148681Y252968D01* +X148698Y253029D01* +X148705Y253091D01* +X148701Y253154D01* +X148688Y253216D01* +X148666Y253274D01* +X148634Y253329D01* +X148595Y253378D01* +X148548Y253420D01* +X148495Y253454D01* +X148438Y253480D01* +X148377Y253496D01* +X148314Y253503D01* +X148253Y253500D01* +Y261000D01* +G37* +G36* +Y237300D02*X147000D01* +Y241958D01* +X147049Y241978D01* +X147653Y242348D01* +X148192Y242808D01* +X148253Y242880D01* +Y237300D01* +G37* +G36* +X147000Y261000D02*X148253D01* +Y253500D01* +X148251Y253500D01* +X148190Y253487D01* +X148131Y253464D01* +X148077Y253433D01* +X148028Y253393D01* +X147985Y253346D01* +X147951Y253294D01* +X147927Y253236D01* +X147862Y253031D01* +X147821Y252821D01* +X147800Y252607D01* +Y252393D01* +X147821Y252179D01* +X147862Y251969D01* +X147924Y251763D01* +X147950Y251706D01* +X147984Y251653D01* +X148026Y251606D01* +X148076Y251566D01* +X148130Y251534D01* +X148189Y251512D01* +X148251Y251499D01* +X148253Y251499D01* +Y249120D01* +X148192Y249192D01* +X147653Y249652D01* +X147049Y250022D01* +X147000Y250042D01* +Y261000D01* +G37* +G36* +X129200Y227878D02*X129141Y227892D01* +X129000Y227900D01* +X126859Y227892D01* +X126721Y227859D01* +X126590Y227804D01* +X126469Y227730D01* +X126362Y227638D01* +X126270Y227531D01* +X126196Y227410D01* +X126141Y227279D01* +X126108Y227141D01* +X126100Y227000D01* +X126108Y218447D01* +X124617Y216955D01* +X124578Y216922D01* +X124445Y216766D01* +X124338Y216592D01* +X124260Y216403D01* +X124212Y216204D01* +X124212Y216204D01* +X124196Y216000D01* +X124200Y215949D01* +Y207378D01* +X124141Y207392D01* +X124000Y207400D01* +X121859Y207392D01* +X121721Y207359D01* +X121590Y207304D01* +X121469Y207230D01* +X121362Y207138D01* +X121270Y207031D01* +X121196Y206910D01* +X121141Y206779D01* +X121108Y206641D01* +X121100Y206500D01* +X121108Y197859D01* +X121141Y197721D01* +X121196Y197590D01* +X121270Y197469D01* +X121362Y197362D01* +X121469Y197270D01* +X121590Y197196D01* +X121700Y197150D01* +Y170551D01* +X121696Y170500D01* +X121712Y170296D01* +Y170296D01* +X121724Y170248D01* +X121760Y170097D01* +X121838Y169908D01* +X121945Y169734D01* +X121945Y169733D01* +X122078Y169578D01* +X122117Y169545D01* +X122662Y169000D01* +X121338D01* +X115000Y175338D01* +Y232662D01* +X119200Y228462D01* +Y227878D01* +X119141Y227892D01* +X119000Y227900D01* +X116859Y227892D01* +X116721Y227859D01* +X116590Y227804D01* +X116469Y227730D01* +X116362Y227638D01* +X116270Y227531D01* +X116196Y227410D01* +X116141Y227279D01* +X116108Y227141D01* +X116100Y227000D01* +X116108Y218359D01* +X116141Y218221D01* +X116196Y218090D01* +X116270Y217969D01* +X116362Y217862D01* +X116469Y217770D01* +X116590Y217696D01* +X116721Y217641D01* +X116859Y217608D01* +X117000Y217600D01* +X119141Y217608D01* +X119200Y217622D01* +Y217538D01* +X117117Y215455D01* +X117078Y215422D01* +X116945Y215266D01* +X116838Y215092D01* +X116760Y214903D01* +X116712Y214704D01* +X116712Y214704D01* +X116696Y214500D01* +X116700Y214449D01* +Y207350D01* +X116590Y207304D01* +X116469Y207230D01* +X116362Y207138D01* +X116270Y207031D01* +X116196Y206910D01* +X116141Y206779D01* +X116108Y206641D01* +X116100Y206500D01* +X116108Y197859D01* +X116141Y197721D01* +X116196Y197590D01* +X116270Y197469D01* +X116362Y197362D01* +X116469Y197270D01* +X116590Y197196D01* +X116721Y197141D01* +X116859Y197108D01* +X117000Y197100D01* +X119141Y197108D01* +X119279Y197141D01* +X119410Y197196D01* +X119531Y197270D01* +X119638Y197362D01* +X119730Y197469D01* +X119804Y197590D01* +X119859Y197721D01* +X119892Y197859D01* +X119900Y198000D01* +X119892Y206641D01* +X119859Y206779D01* +X119804Y206910D01* +X119730Y207031D01* +X119638Y207138D01* +X119531Y207230D01* +X119410Y207304D01* +X119300Y207350D01* +Y213962D01* +X121383Y216045D01* +X121422Y216078D01* +X121555Y216233D01* +X121555Y216234D01* +X121662Y216408D01* +X121740Y216597D01* +X121788Y216796D01* +X121804Y217000D01* +X121800Y217051D01* +Y217622D01* +X121859Y217608D01* +X122000Y217600D01* +X124141Y217608D01* +X124279Y217641D01* +X124410Y217696D01* +X124531Y217770D01* +X124638Y217862D01* +X124730Y217969D01* +X124804Y218090D01* +X124859Y218221D01* +X124892Y218359D01* +X124900Y218500D01* +X124892Y227141D01* +X124859Y227279D01* +X124804Y227410D01* +X124730Y227531D01* +X124638Y227638D01* +X124531Y227730D01* +X124410Y227804D01* +X124300Y227850D01* +Y235500D01* +X129200D01* +Y227878D01* +G37* +G36* +X126800Y197122D02*X126859Y197108D01* +X127000Y197100D01* +X129141Y197108D01* +X129279Y197141D01* +X129410Y197196D01* +X129531Y197270D01* +X129638Y197362D01* +X129730Y197469D01* +X129804Y197590D01* +X129859Y197721D01* +X129892Y197859D01* +X129900Y198000D01* +X129892Y206641D01* +X129859Y206779D01* +X129804Y206910D01* +X129730Y207031D01* +X129638Y207138D01* +X129531Y207230D01* +X129410Y207304D01* +X129300Y207350D01* +Y213962D01* +X131383Y216045D01* +X131422Y216078D01* +X131555Y216233D01* +X131555Y216234D01* +X131662Y216408D01* +X131740Y216597D01* +X131788Y216796D01* +X131804Y217000D01* +X131800Y217051D01* +Y217622D01* +X131859Y217608D01* +X132000Y217600D01* +X134141Y217608D01* +X134279Y217641D01* +X134410Y217696D01* +X134500Y217751D01* +Y216831D01* +X134445Y216766D01* +X134338Y216592D01* +X134260Y216403D01* +X134212Y216204D01* +X134212Y216204D01* +X134196Y216000D01* +X134200Y215949D01* +Y207378D01* +X134141Y207392D01* +X134000Y207400D01* +X131859Y207392D01* +X131721Y207359D01* +X131590Y207304D01* +X131469Y207230D01* +X131362Y207138D01* +X131270Y207031D01* +X131196Y206910D01* +X131141Y206779D01* +X131108Y206641D01* +X131100Y206500D01* +X131108Y197859D01* +X131141Y197721D01* +X131196Y197590D01* +X131270Y197469D01* +X131362Y197362D01* +X131469Y197270D01* +X131590Y197196D01* +X131700Y197150D01* +Y174551D01* +X131696Y174500D01* +X131712Y174296D01* +X131712Y174296D01* +X131760Y174097D01* +X131838Y173908D01* +X131879Y173842D01* +X131945Y173734D01* +X131945Y173733D01* +X132078Y173578D01* +X132117Y173545D01* +X132862Y172800D01* +X127038D01* +X126800Y173038D01* +Y197122D01* +G37* +G36* +X139617Y235455D02*X139578Y235422D01* +X139445Y235266D01* +X139338Y235092D01* +X139260Y234903D01* +X139212Y234704D01* +X139212Y234704D01* +X139196Y234500D01* +X139200Y234449D01* +Y227878D01* +X139141Y227892D01* +X139000Y227900D01* +X136859Y227892D01* +X136721Y227859D01* +X136590Y227804D01* +X136469Y227730D01* +X136362Y227638D01* +X136270Y227531D01* +X136196Y227410D01* +X136141Y227279D01* +X136108Y227141D01* +X136100Y227000D01* +X136108Y218447D01* +X134617Y216955D01* +X134578Y216922D01* +X134445Y216766D01* +X134338Y216592D01* +X134260Y216403D01* +X134212Y216204D01* +X134212Y216204D01* +X134196Y216000D01* +X134200Y215949D01* +Y213000D01* +X129300D01* +Y213962D01* +X131383Y216045D01* +X131422Y216078D01* +X131555Y216233D01* +X131555Y216234D01* +X131662Y216408D01* +X131740Y216597D01* +X131788Y216796D01* +X131804Y217000D01* +X131800Y217051D01* +Y217622D01* +X131859Y217608D01* +X132000Y217600D01* +X134141Y217608D01* +X134279Y217641D01* +X134410Y217696D01* +X134531Y217770D01* +X134638Y217862D01* +X134730Y217969D01* +X134804Y218090D01* +X134859Y218221D01* +X134892Y218359D01* +X134900Y218500D01* +X134892Y227141D01* +X134859Y227279D01* +X134804Y227410D01* +X134730Y227531D01* +X134638Y227638D01* +X134531Y227730D01* +X134410Y227804D01* +X134300Y227850D01* +Y236462D01* +X135538Y237700D01* +X137949D01* +X138000Y237696D01* +X138204Y237712D01* +X138204Y237712D01* +X138403Y237760D01* +X138592Y237838D01* +X138766Y237945D01* +X138922Y238078D01* +X138955Y238117D01* +X142868Y242029D01* +X142951Y241978D01* +X143605Y241707D01* +X144294Y241542D01* +X145000Y241486D01* +X145706Y241542D01* +X146395Y241707D01* +X147049Y241978D01* +X147653Y242348D01* +X148192Y242808D01* +X148652Y243347D01* +X149022Y243951D01* +X149249Y244500D01* +X150500D01* +Y237300D01* +X142051D01* +X142000Y237304D01* +X141796Y237288D01* +X141597Y237240D01* +X141408Y237162D01* +X141234Y237055D01* +X141233Y237055D01* +X141078Y236922D01* +X141045Y236883D01* +X139617Y235455D01* +G37* +G36* +X86700Y262000D02*X54078D01* +X54000Y262006D01* +X53922Y262000D01* +X52800D01* +Y262480D01* +X52919Y262581D01* +X53123Y262821D01* +X53288Y263089D01* +X53408Y263380D01* +X53481Y263686D01* +X53500Y264000D01* +X53481Y264314D01* +X53408Y264620D01* +X53288Y264911D01* +X53123Y265179D01* +X52919Y265419D01* +X52679Y265623D01* +X52411Y265788D01* +X52120Y265908D01* +X51814Y265981D01* +X51500Y266006D01* +X51186Y265981D01* +X50880Y265908D01* +X50589Y265788D01* +X50321Y265623D01* +X50300Y265605D01* +Y266480D01* +X50419Y266581D01* +X50623Y266821D01* +X50788Y267089D01* +X50908Y267380D01* +X50981Y267686D01* +X51000Y268000D01* +X50981Y268314D01* +X50937Y268500D01* +X86700D01* +Y262000D01* +G37* +G36* +X109300Y268500D02*X113700D01* +Y259500D01* +X109300D01* +Y268500D01* +G37* +G36* +X116300Y269000D02*X123700D01* +Y260000D01* +X116300D01* +Y269000D01* +G37* +G36* +X285613Y337353D02*X285656Y337360D01* +X285700Y337375D01* +Y333038D01* +X285613Y332952D01* +Y337353D01* +G37* +G36* +Y358000D02*X288000D01* +Y351585D01* +X287978Y351549D01* +X287707Y350895D01* +X287542Y350206D01* +X287486Y349500D01* +X287542Y348794D01* +X287707Y348105D01* +X287871Y347709D01* +X286117Y345955D01* +X286078Y345922D01* +X285945Y345766D01* +X285838Y345592D01* +X285760Y345403D01* +X285712Y345204D01* +X285712Y345204D01* +X285696Y345000D01* +X285700Y344949D01* +Y341629D01* +X285657Y341643D01* +X285613Y341651D01* +Y347353D01* +X285656Y347360D01* +X285768Y347397D01* +X285873Y347452D01* +X285968Y347522D01* +X286051Y347606D01* +X286119Y347702D01* +X286170Y347808D01* +X286318Y348216D01* +X286422Y348637D01* +X286484Y349067D01* +X286505Y349500D01* +X286484Y349933D01* +X286422Y350363D01* +X286318Y350784D01* +X286175Y351194D01* +X286122Y351300D01* +X286053Y351396D01* +X285970Y351481D01* +X285875Y351551D01* +X285769Y351606D01* +X285657Y351643D01* +X285613Y351651D01* +Y358000D01* +G37* +G36* +X282002D02*X285613D01* +Y351651D01* +X285540Y351663D01* +X285421Y351664D01* +X285304Y351646D01* +X285191Y351610D01* +X285085Y351557D01* +X284988Y351488D01* +X284904Y351405D01* +X284833Y351309D01* +X284779Y351204D01* +X284741Y351092D01* +X284722Y350975D01* +X284721Y350856D01* +X284739Y350739D01* +X284777Y350626D01* +X284876Y350355D01* +X284944Y350075D01* +X284986Y349789D01* +X285000Y349500D01* +X284986Y349211D01* +X284944Y348925D01* +X284876Y348645D01* +X284780Y348372D01* +X284742Y348261D01* +X284725Y348144D01* +X284725Y348026D01* +X284745Y347909D01* +X284782Y347797D01* +X284836Y347693D01* +X284906Y347598D01* +X284991Y347515D01* +X285087Y347446D01* +X285192Y347393D01* +X285305Y347357D01* +X285421Y347340D01* +X285539Y347341D01* +X285613Y347353D01* +Y341651D01* +X285540Y341663D01* +X285421Y341664D01* +X285304Y341646D01* +X285191Y341610D01* +X285085Y341557D01* +X284988Y341488D01* +X284904Y341405D01* +X284833Y341309D01* +X284779Y341204D01* +X284741Y341092D01* +X284722Y340975D01* +X284721Y340856D01* +X284739Y340739D01* +X284777Y340626D01* +X284876Y340355D01* +X284944Y340075D01* +X284986Y339789D01* +X285000Y339500D01* +X284986Y339211D01* +X284944Y338925D01* +X284876Y338645D01* +X284780Y338372D01* +X284742Y338261D01* +X284725Y338144D01* +X284725Y338026D01* +X284745Y337909D01* +X284782Y337797D01* +X284836Y337693D01* +X284906Y337598D01* +X284991Y337515D01* +X285087Y337446D01* +X285192Y337393D01* +X285305Y337357D01* +X285421Y337340D01* +X285539Y337341D01* +X285613Y337353D01* +Y332952D01* +X282002Y329340D01* +Y334995D01* +X282433Y335016D01* +X282863Y335078D01* +X283284Y335182D01* +X283694Y335325D01* +X283800Y335378D01* +X283896Y335447D01* +X283981Y335530D01* +X284051Y335625D01* +X284106Y335731D01* +X284143Y335843D01* +X284163Y335960D01* +X284164Y336079D01* +X284146Y336196D01* +X284110Y336309D01* +X284057Y336415D01* +X283988Y336512D01* +X283905Y336596D01* +X283809Y336667D01* +X283704Y336721D01* +X283592Y336759D01* +X283475Y336778D01* +X283356Y336779D01* +X283239Y336761D01* +X283126Y336723D01* +X282855Y336624D01* +X282575Y336556D01* +X282289Y336514D01* +X282002Y336500D01* +Y342500D01* +X282289Y342486D01* +X282575Y342444D01* +X282855Y342376D01* +X283128Y342280D01* +X283239Y342242D01* +X283356Y342225D01* +X283474Y342225D01* +X283591Y342245D01* +X283703Y342282D01* +X283807Y342336D01* +X283902Y342406D01* +X283985Y342491D01* +X284054Y342587D01* +X284107Y342692D01* +X284143Y342805D01* +X284160Y342921D01* +X284159Y343039D01* +X284140Y343156D01* +X284103Y343268D01* +X284048Y343373D01* +X283978Y343468D01* +X283894Y343551D01* +X283798Y343619D01* +X283692Y343670D01* +X283284Y343818D01* +X282863Y343922D01* +X282433Y343984D01* +X282002Y344005D01* +Y344995D01* +X282433Y345016D01* +X282863Y345078D01* +X283284Y345182D01* +X283694Y345325D01* +X283800Y345378D01* +X283896Y345447D01* +X283981Y345530D01* +X284051Y345625D01* +X284106Y345731D01* +X284143Y345843D01* +X284163Y345960D01* +X284164Y346079D01* +X284146Y346196D01* +X284110Y346309D01* +X284057Y346415D01* +X283988Y346512D01* +X283905Y346596D01* +X283809Y346667D01* +X283704Y346721D01* +X283592Y346759D01* +X283475Y346778D01* +X283356Y346779D01* +X283239Y346761D01* +X283126Y346723D01* +X282855Y346624D01* +X282575Y346556D01* +X282289Y346514D01* +X282002Y346500D01* +Y352500D01* +X282289Y352486D01* +X282575Y352444D01* +X282855Y352376D01* +X283128Y352280D01* +X283239Y352242D01* +X283356Y352225D01* +X283474Y352225D01* +X283591Y352245D01* +X283703Y352282D01* +X283807Y352336D01* +X283902Y352406D01* +X283985Y352491D01* +X284054Y352587D01* +X284107Y352692D01* +X284143Y352805D01* +X284160Y352921D01* +X284159Y353039D01* +X284140Y353156D01* +X284103Y353268D01* +X284048Y353373D01* +X283978Y353468D01* +X283894Y353551D01* +X283798Y353619D01* +X283692Y353670D01* +X283284Y353818D01* +X282863Y353922D01* +X282433Y353984D01* +X282002Y354005D01* +Y358000D01* +G37* +G36* +X278387D02*X282002D01* +Y354005D01* +X282000Y354005D01* +X281567Y353984D01* +X281137Y353922D01* +X280716Y353818D01* +X280306Y353675D01* +X280200Y353622D01* +X280104Y353553D01* +X280019Y353470D01* +X279949Y353375D01* +X279894Y353269D01* +X279857Y353157D01* +X279837Y353040D01* +X279836Y352921D01* +X279854Y352804D01* +X279890Y352691D01* +X279943Y352585D01* +X280012Y352488D01* +X280095Y352404D01* +X280191Y352333D01* +X280296Y352279D01* +X280408Y352241D01* +X280525Y352222D01* +X280644Y352221D01* +X280761Y352239D01* +X280874Y352277D01* +X281145Y352376D01* +X281425Y352444D01* +X281711Y352486D01* +X282000Y352500D01* +X282002Y352500D01* +Y346500D01* +X282000Y346500D01* +X281711Y346514D01* +X281425Y346556D01* +X281145Y346624D01* +X280872Y346720D01* +X280761Y346758D01* +X280644Y346775D01* +X280526Y346775D01* +X280409Y346755D01* +X280297Y346718D01* +X280193Y346664D01* +X280098Y346594D01* +X280015Y346509D01* +X279946Y346413D01* +X279893Y346308D01* +X279857Y346195D01* +X279840Y346079D01* +X279841Y345961D01* +X279860Y345844D01* +X279897Y345732D01* +X279952Y345627D01* +X280022Y345532D01* +X280106Y345449D01* +X280202Y345381D01* +X280308Y345330D01* +X280716Y345182D01* +X281137Y345078D01* +X281567Y345016D01* +X282000Y344995D01* +X282002Y344995D01* +Y344005D01* +X282000Y344005D01* +X281567Y343984D01* +X281137Y343922D01* +X280716Y343818D01* +X280306Y343675D01* +X280200Y343622D01* +X280104Y343553D01* +X280019Y343470D01* +X279949Y343375D01* +X279894Y343269D01* +X279857Y343157D01* +X279837Y343040D01* +X279836Y342921D01* +X279854Y342804D01* +X279890Y342691D01* +X279943Y342585D01* +X280012Y342488D01* +X280095Y342404D01* +X280191Y342333D01* +X280296Y342279D01* +X280408Y342241D01* +X280525Y342222D01* +X280644Y342221D01* +X280761Y342239D01* +X280874Y342277D01* +X281145Y342376D01* +X281425Y342444D01* +X281711Y342486D01* +X282000Y342500D01* +X282002Y342500D01* +Y336500D01* +X282000Y336500D01* +X281711Y336514D01* +X281425Y336556D01* +X281145Y336624D01* +X280872Y336720D01* +X280761Y336758D01* +X280644Y336775D01* +X280526Y336775D01* +X280409Y336755D01* +X280297Y336718D01* +X280193Y336664D01* +X280098Y336594D01* +X280015Y336509D01* +X279946Y336413D01* +X279893Y336308D01* +X279857Y336195D01* +X279840Y336079D01* +X279841Y335961D01* +X279860Y335844D01* +X279897Y335732D01* +X279952Y335627D01* +X280022Y335532D01* +X280106Y335449D01* +X280202Y335381D01* +X280308Y335330D01* +X280716Y335182D01* +X281137Y335078D01* +X281567Y335016D01* +X282000Y334995D01* +X282002Y334995D01* +Y329340D01* +X278387Y325725D01* +Y337349D01* +X278460Y337337D01* +X278579Y337336D01* +X278696Y337354D01* +X278809Y337390D01* +X278915Y337443D01* +X279012Y337512D01* +X279096Y337595D01* +X279167Y337691D01* +X279221Y337796D01* +X279259Y337908D01* +X279278Y338025D01* +X279279Y338144D01* +X279261Y338261D01* +X279223Y338374D01* +X279124Y338645D01* +X279056Y338925D01* +X279014Y339211D01* +X279000Y339500D01* +X279014Y339789D01* +X279056Y340075D01* +X279124Y340355D01* +X279220Y340628D01* +X279258Y340739D01* +X279275Y340856D01* +X279275Y340974D01* +X279255Y341091D01* +X279218Y341203D01* +X279164Y341307D01* +X279094Y341402D01* +X279009Y341485D01* +X278913Y341554D01* +X278808Y341607D01* +X278695Y341643D01* +X278579Y341660D01* +X278461Y341659D01* +X278387Y341647D01* +Y347349D01* +X278460Y347337D01* +X278579Y347336D01* +X278696Y347354D01* +X278809Y347390D01* +X278915Y347443D01* +X279012Y347512D01* +X279096Y347595D01* +X279167Y347691D01* +X279221Y347796D01* +X279259Y347908D01* +X279278Y348025D01* +X279279Y348144D01* +X279261Y348261D01* +X279223Y348374D01* +X279124Y348645D01* +X279056Y348925D01* +X279014Y349211D01* +X279000Y349500D01* +X279014Y349789D01* +X279056Y350075D01* +X279124Y350355D01* +X279220Y350628D01* +X279258Y350739D01* +X279275Y350856D01* +X279275Y350974D01* +X279255Y351091D01* +X279218Y351203D01* +X279164Y351307D01* +X279094Y351402D01* +X279009Y351485D01* +X278913Y351554D01* +X278808Y351607D01* +X278695Y351643D01* +X278579Y351660D01* +X278461Y351659D01* +X278387Y351647D01* +Y358000D01* +G37* +G36* +X275613Y346802D02*X275652Y346847D01* +X276022Y347451D01* +X276293Y348105D01* +X276458Y348794D01* +X276500Y349500D01* +X276458Y350206D01* +X276293Y350895D01* +X276022Y351549D01* +X275652Y352153D01* +X275613Y352198D01* +Y358000D01* +X278387D01* +Y351647D01* +X278344Y351640D01* +X278232Y351603D01* +X278127Y351548D01* +X278032Y351478D01* +X277949Y351394D01* +X277881Y351298D01* +X277830Y351192D01* +X277682Y350784D01* +X277578Y350363D01* +X277516Y349933D01* +X277495Y349500D01* +X277516Y349067D01* +X277578Y348637D01* +X277682Y348216D01* +X277825Y347806D01* +X277878Y347700D01* +X277947Y347604D01* +X278030Y347519D01* +X278125Y347449D01* +X278231Y347394D01* +X278343Y347357D01* +X278387Y347349D01* +Y341647D01* +X278344Y341640D01* +X278232Y341603D01* +X278127Y341548D01* +X278032Y341478D01* +X277949Y341394D01* +X277881Y341298D01* +X277830Y341192D01* +X277682Y340784D01* +X277578Y340363D01* +X277516Y339933D01* +X277495Y339500D01* +X277516Y339067D01* +X277578Y338637D01* +X277682Y338216D01* +X277825Y337806D01* +X277878Y337700D01* +X277947Y337604D01* +X278030Y337519D01* +X278125Y337449D01* +X278231Y337394D01* +X278343Y337357D01* +X278387Y337349D01* +Y325725D01* +X275613Y322952D01* +Y337353D01* +X275656Y337360D01* +X275768Y337397D01* +X275873Y337452D01* +X275968Y337522D01* +X276051Y337606D01* +X276119Y337702D01* +X276170Y337808D01* +X276318Y338216D01* +X276422Y338637D01* +X276484Y339067D01* +X276505Y339500D01* +X276484Y339933D01* +X276422Y340363D01* +X276318Y340784D01* +X276175Y341194D01* +X276122Y341300D01* +X276053Y341396D01* +X275970Y341481D01* +X275875Y341551D01* +X275769Y341606D01* +X275657Y341643D01* +X275613Y341651D01* +Y346802D01* +G37* +G36* +Y352198D02*X275192Y352692D01* +X274653Y353152D01* +X274049Y353522D01* +X273395Y353793D01* +X272706Y353958D01* +X272002Y354014D01* +Y358000D01* +X275613D01* +Y352198D01* +G37* +G36* +X272002Y344986D02*X272706Y345042D01* +X273395Y345207D01* +X274049Y345478D01* +X274653Y345848D01* +X275192Y346308D01* +X275613Y346802D01* +Y341651D01* +X275540Y341663D01* +X275421Y341664D01* +X275304Y341646D01* +X275191Y341610D01* +X275085Y341557D01* +X274988Y341488D01* +X274904Y341405D01* +X274833Y341309D01* +X274779Y341204D01* +X274741Y341092D01* +X274722Y340975D01* +X274721Y340856D01* +X274739Y340739D01* +X274777Y340626D01* +X274876Y340355D01* +X274944Y340075D01* +X274986Y339789D01* +X275000Y339500D01* +X274986Y339211D01* +X274944Y338925D01* +X274876Y338645D01* +X274780Y338372D01* +X274742Y338261D01* +X274725Y338144D01* +X274725Y338026D01* +X274745Y337909D01* +X274782Y337797D01* +X274836Y337693D01* +X274906Y337598D01* +X274991Y337515D01* +X275087Y337446D01* +X275192Y337393D01* +X275305Y337357D01* +X275421Y337340D01* +X275539Y337341D01* +X275613Y337353D01* +Y322952D01* +X272002Y319340D01* +Y334995D01* +X272433Y335016D01* +X272863Y335078D01* +X273284Y335182D01* +X273694Y335325D01* +X273800Y335378D01* +X273896Y335447D01* +X273981Y335530D01* +X274051Y335625D01* +X274106Y335731D01* +X274143Y335843D01* +X274163Y335960D01* +X274164Y336079D01* +X274146Y336196D01* +X274110Y336309D01* +X274057Y336415D01* +X273988Y336512D01* +X273905Y336596D01* +X273809Y336667D01* +X273704Y336721D01* +X273592Y336759D01* +X273475Y336778D01* +X273356Y336779D01* +X273239Y336761D01* +X273126Y336723D01* +X272855Y336624D01* +X272575Y336556D01* +X272289Y336514D01* +X272002Y336500D01* +Y342500D01* +X272289Y342486D01* +X272575Y342444D01* +X272855Y342376D01* +X273128Y342280D01* +X273239Y342242D01* +X273356Y342225D01* +X273474Y342225D01* +X273591Y342245D01* +X273703Y342282D01* +X273807Y342336D01* +X273902Y342406D01* +X273985Y342491D01* +X274054Y342587D01* +X274107Y342692D01* +X274143Y342805D01* +X274160Y342921D01* +X274159Y343039D01* +X274140Y343156D01* +X274103Y343268D01* +X274048Y343373D01* +X273978Y343468D01* +X273894Y343551D01* +X273798Y343619D01* +X273692Y343670D01* +X273284Y343818D01* +X272863Y343922D01* +X272433Y343984D01* +X272002Y344005D01* +Y344986D01* +G37* +G36* +X265613Y337353D02*X265656Y337360D01* +X265700Y337375D01* +Y333538D01* +X265613Y333452D01* +Y337353D01* +G37* +G36* +X272002Y354014D02*X272000Y354014D01* +X271294Y353958D01* +X270605Y353793D01* +X269951Y353522D01* +X269347Y353152D01* +X268808Y352692D01* +X268348Y352153D01* +X267978Y351549D01* +X267707Y350895D01* +X267542Y350206D01* +X267486Y349500D01* +X267542Y348794D01* +X267707Y348105D01* +X267871Y347709D01* +X266117Y345955D01* +X266078Y345922D01* +X265945Y345766D01* +X265838Y345592D01* +X265760Y345403D01* +X265712Y345204D01* +X265712Y345204D01* +X265696Y345000D01* +X265700Y344949D01* +Y341629D01* +X265657Y341643D01* +X265613Y341651D01* +Y347353D01* +X265656Y347360D01* +X265768Y347397D01* +X265873Y347452D01* +X265968Y347522D01* +X266051Y347606D01* +X266119Y347702D01* +X266170Y347808D01* +X266318Y348216D01* +X266422Y348637D01* +X266484Y349067D01* +X266505Y349500D01* +X266484Y349933D01* +X266422Y350363D01* +X266318Y350784D01* +X266175Y351194D01* +X266122Y351300D01* +X266053Y351396D01* +X265970Y351481D01* +X265875Y351551D01* +X265769Y351606D01* +X265657Y351643D01* +X265613Y351651D01* +Y358000D01* +X272002D01* +Y354014D01* +G37* +G36* +X265613Y341651D02*X265540Y341663D01* +X265421Y341664D01* +X265304Y341646D01* +X265191Y341610D01* +X265085Y341557D01* +X264988Y341488D01* +X264904Y341405D01* +X264833Y341309D01* +X264779Y341204D01* +X264741Y341092D01* +X264722Y340975D01* +X264721Y340856D01* +X264739Y340739D01* +X264777Y340626D01* +X264876Y340355D01* +X264944Y340075D01* +X264986Y339789D01* +X265000Y339500D01* +X264986Y339211D01* +X264944Y338925D01* +X264876Y338645D01* +X264780Y338372D01* +X264742Y338261D01* +X264725Y338144D01* +X264725Y338026D01* +X264745Y337909D01* +X264782Y337797D01* +X264836Y337693D01* +X264906Y337598D01* +X264991Y337515D01* +X265087Y337446D01* +X265192Y337393D01* +X265305Y337357D01* +X265421Y337340D01* +X265539Y337341D01* +X265613Y337353D01* +Y333452D01* +X262000Y329838D01* +Y334995D01* +X262433Y335016D01* +X262863Y335078D01* +X263284Y335182D01* +X263694Y335325D01* +X263800Y335378D01* +X263896Y335447D01* +X263981Y335530D01* +X264051Y335625D01* +X264106Y335731D01* +X264143Y335843D01* +X264163Y335960D01* +X264164Y336079D01* +X264146Y336196D01* +X264110Y336309D01* +X264057Y336415D01* +X263988Y336512D01* +X263905Y336596D01* +X263809Y336667D01* +X263704Y336721D01* +X263592Y336759D01* +X263475Y336778D01* +X263356Y336779D01* +X263239Y336761D01* +X263126Y336723D01* +X262855Y336624D01* +X262575Y336556D01* +X262289Y336514D01* +X262000Y336500D01* +Y342500D01* +X262289Y342486D01* +X262575Y342444D01* +X262855Y342376D01* +X263128Y342280D01* +X263239Y342242D01* +X263356Y342225D01* +X263474Y342225D01* +X263591Y342245D01* +X263703Y342282D01* +X263807Y342336D01* +X263902Y342406D01* +X263985Y342491D01* +X264054Y342587D01* +X264107Y342692D01* +X264143Y342805D01* +X264160Y342921D01* +X264159Y343039D01* +X264140Y343156D01* +X264103Y343268D01* +X264048Y343373D01* +X263978Y343468D01* +X263894Y343551D01* +X263798Y343619D01* +X263692Y343670D01* +X263284Y343818D01* +X262863Y343922D01* +X262433Y343984D01* +X262000Y344005D01* +Y344995D01* +X262433Y345016D01* +X262863Y345078D01* +X263284Y345182D01* +X263694Y345325D01* +X263800Y345378D01* +X263896Y345447D01* +X263981Y345530D01* +X264051Y345625D01* +X264106Y345731D01* +X264143Y345843D01* +X264163Y345960D01* +X264164Y346079D01* +X264146Y346196D01* +X264110Y346309D01* +X264057Y346415D01* +X263988Y346512D01* +X263905Y346596D01* +X263809Y346667D01* +X263704Y346721D01* +X263592Y346759D01* +X263475Y346778D01* +X263356Y346779D01* +X263239Y346761D01* +X263126Y346723D01* +X262855Y346624D01* +X262575Y346556D01* +X262289Y346514D01* +X262000Y346500D01* +Y352500D01* +X262289Y352486D01* +X262575Y352444D01* +X262855Y352376D01* +X263128Y352280D01* +X263239Y352242D01* +X263356Y352225D01* +X263474Y352225D01* +X263591Y352245D01* +X263703Y352282D01* +X263807Y352336D01* +X263902Y352406D01* +X263985Y352491D01* +X264054Y352587D01* +X264107Y352692D01* +X264143Y352805D01* +X264160Y352921D01* +X264159Y353039D01* +X264140Y353156D01* +X264103Y353268D01* +X264048Y353373D01* +X263978Y353468D01* +X263894Y353551D01* +X263798Y353619D01* +X263692Y353670D01* +X263284Y353818D01* +X262863Y353922D01* +X262433Y353984D01* +X262000Y354005D01* +Y358000D01* +X265613D01* +Y351651D01* +X265540Y351663D01* +X265421Y351664D01* +X265304Y351646D01* +X265191Y351610D01* +X265085Y351557D01* +X264988Y351488D01* +X264904Y351405D01* +X264833Y351309D01* +X264779Y351204D01* +X264741Y351092D01* +X264722Y350975D01* +X264721Y350856D01* +X264739Y350739D01* +X264777Y350626D01* +X264876Y350355D01* +X264944Y350075D01* +X264986Y349789D01* +X265000Y349500D01* +X264986Y349211D01* +X264944Y348925D01* +X264876Y348645D01* +X264780Y348372D01* +X264742Y348261D01* +X264725Y348144D01* +X264725Y348026D01* +X264745Y347909D01* +X264782Y347797D01* +X264836Y347693D01* +X264906Y347598D01* +X264991Y347515D01* +X265087Y347446D01* +X265192Y347393D01* +X265305Y347357D01* +X265421Y347340D01* +X265539Y347341D01* +X265613Y347353D01* +Y341651D01* +G37* +G36* +X271162Y318500D02*X262000D01* +Y326162D01* +X267883Y332045D01* +X267922Y332078D01* +X268055Y332233D01* +X268055Y332234D01* +X268162Y332408D01* +X268240Y332597D01* +X268288Y332796D01* +X268304Y333000D01* +X268300Y333051D01* +Y337371D01* +X268343Y337357D01* +X268460Y337337D01* +X268579Y337336D01* +X268696Y337354D01* +X268809Y337390D01* +X268915Y337443D01* +X269012Y337512D01* +X269096Y337595D01* +X269167Y337691D01* +X269221Y337796D01* +X269259Y337908D01* +X269278Y338025D01* +X269279Y338144D01* +X269261Y338261D01* +X269223Y338374D01* +X269124Y338645D01* +X269056Y338925D01* +X269014Y339211D01* +X269000Y339500D01* +X269014Y339789D01* +X269056Y340075D01* +X269124Y340355D01* +X269220Y340628D01* +X269258Y340739D01* +X269275Y340856D01* +X269275Y340974D01* +X269255Y341091D01* +X269218Y341203D01* +X269164Y341307D01* +X269094Y341402D01* +X269009Y341485D01* +X268913Y341554D01* +X268808Y341607D01* +X268695Y341643D01* +X268579Y341660D01* +X268461Y341659D01* +X268344Y341640D01* +X268300Y341625D01* +Y344462D01* +X269558Y345719D01* +X269951Y345478D01* +X270605Y345207D01* +X271294Y345042D01* +X272000Y344986D01* +X272002Y344986D01* +Y344005D01* +X272000Y344005D01* +X271567Y343984D01* +X271137Y343922D01* +X270716Y343818D01* +X270306Y343675D01* +X270200Y343622D01* +X270104Y343553D01* +X270019Y343470D01* +X269949Y343375D01* +X269894Y343269D01* +X269857Y343157D01* +X269837Y343040D01* +X269836Y342921D01* +X269854Y342804D01* +X269890Y342691D01* +X269943Y342585D01* +X270012Y342488D01* +X270095Y342404D01* +X270191Y342333D01* +X270296Y342279D01* +X270408Y342241D01* +X270525Y342222D01* +X270644Y342221D01* +X270761Y342239D01* +X270874Y342277D01* +X271145Y342376D01* +X271425Y342444D01* +X271711Y342486D01* +X272000Y342500D01* +X272002Y342500D01* +Y336500D01* +X272000Y336500D01* +X271711Y336514D01* +X271425Y336556D01* +X271145Y336624D01* +X270872Y336720D01* +X270761Y336758D01* +X270644Y336775D01* +X270526Y336775D01* +X270409Y336755D01* +X270297Y336718D01* +X270193Y336664D01* +X270098Y336594D01* +X270015Y336509D01* +X269946Y336413D01* +X269893Y336308D01* +X269857Y336195D01* +X269840Y336079D01* +X269841Y335961D01* +X269860Y335844D01* +X269897Y335732D01* +X269952Y335627D01* +X270022Y335532D01* +X270106Y335449D01* +X270202Y335381D01* +X270308Y335330D01* +X270716Y335182D01* +X271137Y335078D01* +X271567Y335016D01* +X272000Y334995D01* +X272002Y334995D01* +Y319340D01* +X271162Y318500D01* +G37* +G36* +X255838Y320000D02*X256500D01* +Y316800D01* +X241000D01* +Y318200D01* +X253449D01* +X253500Y318196D01* +X253704Y318212D01* +X253704Y318212D01* +X253903Y318260D01* +X254092Y318338D01* +X254266Y318445D01* +X254422Y318578D01* +X254455Y318617D01* +X255838Y320000D01* +G37* +G36* +X281838Y316500D02*X285162D01* +X276462Y307800D01* +X248551D01* +X248500Y307804D01* +X248296Y307788D01* +X248097Y307740D01* +X247908Y307662D01* +X247734Y307555D01* +X247733Y307555D01* +X247578Y307422D01* +X247545Y307383D01* +X246117Y305955D01* +X246078Y305922D01* +X245945Y305766D01* +X245838Y305592D01* +X245760Y305403D01* +X245712Y305204D01* +X245712Y305204D01* +X245696Y305000D01* +X245700Y304949D01* +Y304000D01* +X238300D01* +Y308962D01* +X239038Y309700D01* +X274449D01* +X274500Y309696D01* +X274704Y309712D01* +X274704Y309712D01* +X274903Y309760D01* +X275092Y309838D01* +X275266Y309945D01* +X275422Y310078D01* +X275455Y310117D01* +X281838Y316500D01* +G37* +G36* +X295838Y300000D02*X302162D01* +X298462Y296300D01* +X278051D01* +X278000Y296304D01* +X277796Y296288D01* +X277597Y296240D01* +X277408Y296162D01* +X277234Y296055D01* +X277233Y296055D01* +X277078Y295922D01* +X277045Y295883D01* +X276162Y295000D01* +X268300D01* +Y296962D01* +X269038Y297700D01* +X292949D01* +X293000Y297696D01* +X293204Y297712D01* +X293204Y297712D01* +X293403Y297760D01* +X293592Y297838D01* +X293766Y297945D01* +X293922Y298078D01* +X293955Y298117D01* +X295838Y300000D01* +G37* +G36* +X300838Y295000D02*X307162D01* +X304462Y292300D01* +X288551D01* +X288500Y292304D01* +X288296Y292288D01* +X288097Y292240D01* +X287908Y292162D01* +X287734Y292055D01* +X287733Y292055D01* +X287578Y291922D01* +X287545Y291883D01* +X287162Y291500D01* +X280500D01* +Y293700D01* +X298949D01* +X299000Y293696D01* +X299204Y293712D01* +X299204Y293712D01* +X299403Y293760D01* +X299592Y293838D01* +X299766Y293945D01* +X299922Y294078D01* +X299955Y294117D01* +X300838Y295000D01* +G37* +G36* +X308338Y292500D02*X312000D01* +Y289838D01* +X309962Y287800D01* +X298551D01* +X298500Y287804D01* +X298296Y287788D01* +X298097Y287740D01* +X297908Y287662D01* +X297734Y287555D01* +X297733Y287555D01* +X297578Y287422D01* +X297545Y287383D01* +X296117Y285955D01* +X296078Y285922D01* +X295945Y285766D01* +X295838Y285592D01* +X295760Y285403D01* +X295712Y285204D01* +X295712Y285204D01* +X295696Y285000D01* +X295700Y284949D01* +Y284000D01* +X288300D01* +Y288962D01* +X289038Y289700D01* +X304949D01* +X305000Y289696D01* +X305204Y289712D01* +X305204Y289712D01* +X305403Y289760D01* +X305592Y289838D01* +X305766Y289945D01* +X305922Y290078D01* +X305955Y290117D01* +X308338Y292500D01* +G37* +G36* +X311500Y291500D02*X313500D01* +Y291338D01* +X311662Y289500D01* +X311500D01* +Y291500D01* +G37* +G36* +X267162Y299500D02*X258300D01* +Y301462D01* +X258538Y301700D01* +X278000D01* +X278000Y301700D01* +X284449D01* +X284500Y301696D01* +X284704Y301712D01* +X284704Y301712D01* +X284903Y301760D01* +X285092Y301838D01* +X285266Y301945D01* +X285422Y302078D01* +X285455Y302117D01* +X307838Y324500D01* +X316662D01* +X292462Y300300D01* +X268551D01* +X268500Y300304D01* +X268296Y300288D01* +X268097Y300240D01* +X267908Y300162D01* +X267734Y300055D01* +X267733Y300055D01* +X267578Y299922D01* +X267545Y299883D01* +X267162Y299500D01* +G37* +G36* +X311500Y315500D02*X317662D01* +X301662Y299500D01* +X295500D01* +X311500Y315500D01* +G37* +G36* +X300500Y294500D02*Y294662D01* +X314338Y308500D01* +X316500D01* +Y304338D01* +X306662Y294500D01* +X300500D01* +G37* +G36* +X313000Y304000D02*Y307162D01* +X323338Y317500D01* +X327500D01* +Y315338D01* +X316162Y304000D01* +X313000D01* +G37* +G36* +X308000Y290500D02*Y292162D01* +X322338Y306500D01* +X327500D01* +Y305338D01* +X312662Y290500D01* +X308000D01* +G37* +G36* +X333338Y317500D02*X338500D01* +Y316338D01* +X328162Y306000D01* +X321838D01* +X333338Y317500D01* +G37* +G36* +X326000Y305000D02*Y307500D01* +X329662D01* +X327162Y305000D01* +X326000D01* +G37* +G54D12*X175752Y154559D02*Y164748D01* +X179689Y155000D02*Y147811D01* +X180000Y147500D01* +X158941Y118063D02*X164437D01* +X165500Y117000D01* +X158941Y120032D02*X165532D01* +X158500Y122000D02*X164500D01* +X165000Y146000D02*Y147000D01* +X164500Y147500D01* +X153406Y127906D02*X153000Y127500D01* +X158941Y127906D02*X164906D01* +X165000Y128000D01* +X159094Y127906D02*X153406D01* +X160500Y123969D02*X153531D01* +X158469D02*X163969D01* +X164000Y124000D01* +X181658Y155342D02*Y148158D01* +X181500Y148000D01* +X171000Y161500D02*X118000D01* +X169000Y159500D02*X117000D01* +X116000Y145622D02*X115878D01* +X158941D02*X115878D01* +X115000Y143654D02*X114846D01* +X158941D02*X114846D01* +X113815Y141685D02*X158941D01* +Y139717D02*X112783D01* +X111752Y137748D02*X158941D01* +X110720Y135780D02*X158941D01* +Y133811D02*X109689D01* +X108657Y131843D02*X158941D01* +Y129874D02*X107626D01* +X110780Y135780D02*X110750Y135750D01* +X110000Y133811D02*X109689D01* +X107874Y129874D02*X107626D01* +X106405Y116095D02*X158941D01* +Y114126D02*X105374D01* +X158941Y112158D02*X104342D01* +X158941Y110189D02*X103311D01* +X158941Y108221D02*X138721D01* +X158941Y106252D02*X143252D01* +X158941Y102315D02*X145815D01* +X112894Y100950D02*X103550D01* +X103500Y101000D01* +X112894Y95950D02*X103550D01* +X112894Y90950D02*X104550D01* +X104500Y91000D01* +X112894Y85950D02*X104050D01* +X104000Y86000D01* +X103550Y95950D02*X103500Y96000D01* +X138721Y108221D02*X136500Y106000D01* +X128944D01* +X143252Y106252D02*X138000Y101000D01* +X128944D02*X128894Y100950D01* +X128944Y106000D02*X128894Y105950D01* +X138000Y101000D02*X128944D01* +X139500Y96000D02*X128944D01* +Y86000D02*X128894Y85950D01* +X128944Y96000D02*X128894Y95950D01* +X162500Y95500D02*X148500D01* +X145815Y102315D02*X139500Y96000D01* +X148500Y95500D02*X139000Y86000D01* +X128944D01* +X108000Y180500D02*X121000Y167500D01* +X105500Y180000D02*X120000Y165500D01* +X100500Y179000D02*X118000Y161500D01* +X98000Y178500D02*X117000Y159500D01* +X95500Y166000D02*X115878Y145622D01* +X93000Y165500D02*X114846Y143654D01* +X90500Y165000D02*X113815Y141685D01* +X88750Y163750D02*X112783Y139717D01* +X56500Y193000D02*X111752Y137748D01* +X54000Y192500D02*X110750Y135750D01* +X51500Y192000D02*X109689Y133811D01* +X49000Y191500D02*X108657Y131843D01* +X46500Y190874D02*X107500Y129874D01* +X44000Y178500D02*X106405Y116095D01* +X41500Y178000D02*X105374Y114126D01* +X39000Y177500D02*X104342Y112158D01* +X36500Y177000D02*X103311Y110189D01* +X112894Y105950D02*X101550D01* +X101500Y106000D01* +X62894Y92950D02*X55450D01* +X62894Y87950D02*X54550D01* +X62894Y82950D02*X49450D01* +X62894Y77950D02*X54550D01* +X64500Y257500D02*Y260500D01* +X74500Y257500D02*Y260500D01* +X73000Y262000D01* +X84500Y257500D02*Y261000D01* +X83500Y262000D01* +G54D13*X79500Y324500D02*Y321500D01* +G54D14*X76500Y309000D02*Y318000D01* +X79500Y321000D01* +X74500Y222000D02*Y213500D01* +Y230000D02*Y233500D01* +Y213500D02*X74000Y213000D01* +G54D12*X64500Y260500D02*X63000Y262000D01* +X56500Y256000D02*Y193000D01* +X54000Y260000D02*Y192500D01* +X51500Y264000D02*Y237000D01* +X46500Y272000D02*Y236000D01* +X44000Y276000D02*Y235500D01* +X41500Y280000D02*Y235000D01* +X39000Y284000D02*Y222500D01* +X46500Y271500D02*Y194000D01* +X51500Y264000D02*Y192000D01* +X49000Y268000D02*Y191500D01* +X46500Y194500D02*Y190874D01* +X44000Y276000D02*Y178500D01* +X41500Y280000D02*Y178000D01* +X39000Y284000D02*Y177500D01* +X36500Y288000D02*Y177000D01* +G54D13*X21000Y339000D02*Y321000D01* +Y309000D02*Y304500D01* +X26000Y299500D01* +G54D14*X20500Y234500D02*Y229000D01* +X27000Y222500D01* +X26000Y264000D02*Y256929D01* +G54D13*Y263500D02*Y299500D01* +G54D14*Y256929D02*X20500Y251429D01* +X41000Y313500D02*X55071D01* +X59571Y309000D01* +X41000Y303500D02*X32000D01* +X27000Y298500D01* +G54D12*X118000Y202250D02*Y214500D01* +X128000Y202250D02*Y214500D01* +X130500Y217000D01* +X118000Y214500D02*X120500Y217000D01* +Y229000D01* +X119500Y230000D01* +X128000Y222750D02*Y218500D01* +X125500Y216000D01* +X123000Y170500D02*Y202250D01* +X126500Y171500D02*X125500Y172500D01* +Y216000D02*Y172500D01* +X134000Y173500D02*X133000Y174500D01* +Y202250D01* +X135500Y176500D02*Y216000D01* +X143000Y178500D02*Y202250D01* +X145500Y180500D02*Y216000D01* +X108000Y294500D02*Y180500D01* +X115000Y246500D02*Y234500D01* +X119750Y229750D01* +X103000Y179500D02*X119000Y163500D01* +X118000Y222750D02*X115250D01* +X115000Y222500D01* +X123000Y222750D02*Y244000D01* +X125000Y246000D01* +X130500Y232000D02*Y241500D01* +X135000Y246000D01* +X133000Y222750D02*Y237000D01* +X135000Y239000D01* +X105500Y298500D02*Y180000D01* +X103000Y302500D02*Y179500D01* +X100500Y306500D02*Y179000D01* +X98000Y310500D02*Y178500D01* +X95500Y314500D02*Y166000D01* +X93000Y318500D02*Y165500D01* +X90500Y322500D02*Y165000D01* +X88000Y326500D02*Y164500D01* +X130500Y217000D02*Y232500D01* +X138000Y202250D02*Y214500D01* +X140500Y217000D01* +X138000Y218500D02*X135500Y216000D01* +X148000Y222750D02*Y218500D01* +X145500Y216000D01* +X153500Y210000D02*Y212000D01* +X138000Y222750D02*Y218500D01* +X140500Y217000D02*Y234500D01* +X143000Y222750D02*Y231500D01* +X144500Y233000D01* +X153000Y199000D02*X151250D01* +X153500Y206063D02*X151813D01* +X151250Y199000D02*X148000Y202250D01* +X151813Y206063D02*X148000Y202250D01* +X142000Y236000D02*X153000D01* +X155000Y238000D01* +Y245500D01* +X135000Y239000D02*X138000D01* +X145000Y246000D01* +X140500Y234500D02*X142000Y236000D01* +X144500Y233000D02*X164000D01* +X165000Y234000D01* +X88000Y164500D02*X89000Y163500D01* +G54D13*X90500Y335500D02*X101500D01* +X91000D02*X90500D01* +X101500D02*X106500Y340500D01* +X90500Y335500D02*X79500Y324500D01* +G54D12*X177721Y166279D02*X176500Y167500D01* +X186500Y173500D02*X134000D01* +X188000Y175500D02*X136500D01* +X196000Y177500D02*X144000D01* +X198500Y179500D02*X146500D01* +X169847Y154559D02*Y158653D01* +X169000Y159500D01* +X171815Y154559D02*Y160685D01* +X171000Y161500D01* +X173784Y154559D02*Y162716D01* +X173000Y163500D01* +X175752Y164748D02*X175000Y165500D01* +X167878Y154559D02*Y148122D01* +X168000Y148000D01* +X161000Y152500D02*Y149500D01* +X165941Y156437D02*X167878Y154500D01* +G54D14*X135000D02*X129500D01* +X143000D02*X152500D01* +X154000Y156000D01* +G54D12*X161000Y156437D02*X165941D01* +X177721Y154559D02*Y166279D01* +X179689Y154559D02*Y162689D01* +X180000Y163000D01* +X181658Y154559D02*Y161342D01* +X183626Y168874D02*X183000Y169500D01* +X185595Y170405D02*X184500Y171500D01* +X187563Y154559D02*Y172437D01* +X186500Y173500D01* +X189532Y173968D02*X188000Y175500D01* +X183626Y154559D02*Y168874D01* +X185595Y154559D02*Y170405D01* +X181658Y161342D02*X181000Y162000D01* +X136500Y175500D02*X135500Y176500D01* +X144000Y177500D02*X143000Y178500D01* +X146500Y179500D02*X145500Y180500D01* +X183000Y169500D02*X124000D01* +X123000Y170500D01* +X184500Y171500D02*X126500D01* +X121000Y167500D02*X176500D01* +X120000Y165500D02*X175000D01* +X173000Y163500D02*X119000D01* +X189532Y154559D02*Y173968D01* +X191500Y160000D02*X192500Y161000D01* +X197406Y176094D02*X196000Y177500D01* +X199374Y178626D02*X198500Y179500D01* +X197406Y154559D02*Y176094D01* +X193469Y157969D02*X195000Y159500D01* +X193563Y164937D02*X195000Y163500D01* +X192500Y164937D02*X193563D01* +X195437Y154559D02*Y145437D01* +X193469Y154559D02*Y148969D01* +X191500Y155000D02*Y160000D01* +X193469Y148969D02*Y157969D01* +X195437Y145437D02*X195000Y145000D01* +X191500Y155500D02*Y149000D01* +X189500Y147000D01* +X153531Y123969D02*X153000Y124500D01* +G54D14*X152000Y90000D02*X146500D01* +G54D12*X160000Y122000D02*X154000D01* +X159968Y120032D02*X154032D01* +X153500Y119500D01* +X165500Y117000D02*Y98500D01* +X162500Y95500D01* +X161000Y87500D02*X165878D01* +X163437Y91437D02*X163500Y91500D01* +X161000Y91437D02*Y93500D01* +X175752Y89441D02*Y95252D01* +X173783Y89441D02*Y94283D01* +X174500Y95000D01* +X175752Y89748D02*Y84752D01* +X173783Y90717D02*Y84783D01* +X175752Y84752D02*X175500Y84500D01* +X169846Y89154D02*Y84654D01* +X170000Y84500D01* +X171815Y89685D02*Y84815D01* +X171500Y84500D01* +X165878Y87500D02*X167878Y89500D01* +X171815Y89441D02*Y93815D01* +X173000Y95000D01* +X169846Y89441D02*Y93846D01* +X170000Y94000D01* +X167939Y89561D02*Y94939D01* +X170500Y97500D01* +X175752Y95252D02*X176000Y95500D01* +X177720Y89441D02*Y94780D01* +X179689Y89441D02*Y94811D01* +X177720Y94780D02*X177500Y95000D01* +X179689Y94811D02*X179500Y95000D01* +X181657Y89441D02*Y95843D01* +X181500Y96000D01* +X183626Y89441D02*Y94374D01* +X183500Y94500D01* +X183626Y89874D02*Y84626D01* +X181657Y89843D02*Y84657D01* +X179689Y90000D02*Y84689D01* +X170000Y84500D02*X175500D01* +X169846D01* +X173783Y84783D02*X173500Y84500D01* +X183500D02*X166500D01* +X177720Y89220D02*Y84720D01* +X177500Y84500D01* +X179689Y84689D02*X179500Y84500D01* +X181657Y84657D02*X181500Y84500D01* +X183626Y84626D02*X183500Y84500D01* +X224059Y100346D02*X229846D01* +X230000Y100500D01* +X224154Y100346D02*X217654D01* +X217500Y100500D01* +X215181Y87563D02*X215122Y87622D01* +X222000Y91500D02*Y94500D01* +Y87563D02*X215181D01* +X199374Y154559D02*Y178626D01* +X201343Y154559D02*Y180157D01* +Y178157D02*Y180157D01* +G54D14*X221500Y162000D02*Y170000D01* +G54D12*X217000Y166500D02*Y150000D01* +X222000Y156500D02*X220000D01* +X219500Y156000D01* +X205280Y154559D02*Y190280D01* +X203311Y154559D02*Y192311D01* +X203500Y192500D01* +X207248Y154559D02*Y188748D01* +X209217Y154559D02*Y185717D01* +X211185Y154559D02*Y183685D01* +X213154Y154559D02*Y181154D01* +X214000Y182000D01* +X215122Y154559D02*Y178622D01* +X216000Y179500D01* +X209217Y185717D02*X210500Y187000D01* +X211185Y183685D02*X212000Y184500D01* +X210500Y187000D02*X220000D01* +X221000Y188000D01* +X212000Y184500D02*X222500D01* +X223500Y185500D01* +Y191500D01* +X230811Y133811D02*X231000Y134000D01* +X224063Y125937D02*X230063D01* +G54D15*X228500Y123500D02*X232500D01* +G54D12*X224595Y127905D02*X226090D01* +X228000Y129815D01* +X230437Y125937D02*X236563D01* +X230811Y133811D02*X217189D01* +X224059Y131842D02*X246342D01* +X219185Y129815D02*X233185D01* +X233500Y129500D01* +X230315Y129815D02*X239685D01* +X240000Y129500D01* +X246158Y131842D02*X246342D01* +X247000Y132500D01* +X231221Y135779D02*X231500Y135500D01* +X239685Y141685D02*X240500Y142500D01* +X237653Y143653D02*X238500Y144500D01* +X247500Y209937D02*Y212000D01* +X244500Y139000D02*Y163500D01* +X242500Y140500D02*Y165000D01* +X240500Y142500D02*Y166000D01* +X241000Y182000D02*X246500Y187500D01* +X238500Y144500D02*Y167500D01* +X247000Y132500D02*Y155000D01* +X248000Y156000D01* +X201343Y180157D02*X201000Y180500D01* +Y202250D01* +X205280Y190280D02*X206500Y191500D01* +X209500D01* +X207248Y188748D02*X208000Y189500D01* +X212000D01* +X209500Y191500D02*X211000Y193000D01* +Y202250D01* +X213500Y191000D02*X212000Y189500D01* +X221000Y188000D02*Y202250D01* +X214000Y182000D02*X241000D01* +X216000Y179500D02*X245500D01* +X224059Y135779D02*X231221D01* +X224500D02*X217221D01* +X217000Y136000D01* +X217189Y133811D02*X217000Y134000D01* +X217622Y145622D02*X217500Y145500D01* +X217000Y150000D02*X215500Y148500D01* +X224059Y145622D02*X217622D01* +X222000Y152500D02*Y145622D01* +X224059Y137748D02*X243248D01* +X244500Y139000D01* +X224059Y139716D02*X241716D01* +X242500Y140500D01* +X224059Y141685D02*X239685D01* +X224059Y143653D02*X237653D01* +X218532Y123968D02*X218500Y124000D01* +X219185Y129815D02*X219000Y130000D01* +X224095Y127905D02*X219095D01* +X219000Y128000D01* +X224059Y125937D02*X214063D01* +X214000Y126000D01* +X224059Y123968D02*X218532D01* +G54D14*X324500Y148500D02*Y121500D01* +X352000D01* +Y148500D01* +X324500D01* +G54D12*X218626Y114126D02*X218000Y113500D01* +X224059Y112157D02*X218157D01* +X217500Y111500D01* +X224059Y110189D02*X215689D01* +X215500Y110000D01* +X224059Y108220D02*X219780D01* +X219000Y109000D01* +X218783Y104283D02*X218500Y104000D01* +G54D14*X347000Y93500D02*Y108750D01* +G54D12*X362500Y92000D02*Y99000D01* +Y107000D02*X348750D01* +X347000Y108750D01* +G54D14*X329000D02*Y93500D01* +G54D12*X318000D01* +X315000Y100000D02*Y96500D01* +X318000Y93500D01* +X315000Y108000D02*Y113500D01* +G54D13*X305000Y88000D02*X299500D01* +X259500D01* +G54D12*X224059Y120031D02*X219031D01* +X224059Y116094D02*X218594D01* +X218500Y116000D01* +X224059Y118063D02*X219063D01* +X219000Y118000D01* +G54D15*X224059Y123968D02*X228032D01* +X228500Y123500D01* +G54D12*X224059Y114126D02*X218626D01* +G54D15*X224059D02*X228126D01* +X224059Y118063D02*X227937D01* +X228500Y117500D01* +G54D12*X230535Y120435D02*X229065D01* +X227500Y122000D02*X229065Y120435D01* +X230500Y116563D02*Y114000D01* +X228500Y118500D02*Y118063D01* +X224059Y122000D02*X227500D01* +X216185Y102315D02*X216000Y102500D01* +X216185Y102315D02*X229315D01* +X229500Y102500D01* +X224059Y104283D02*X218783D01* +X223217D02*X229217D01* +X229500Y104000D01* +G54D15*X228500Y117500D02*Y104283D01* +X228343Y112157D02*X228500Y112000D01* +X224500Y110189D02*X228311D01* +X228500Y110000D01* +X228280Y108220D02*X228500Y108000D01* +X224059Y112157D02*X228343D01* +X224094Y116094D02*X228406D01* +X228500Y116000D01* +X223500Y108220D02*X228280D01* +G54D14*X195000Y96000D02*Y145000D01* +G54D12*X195437Y95437D02*X193500Y93500D01* +X195437Y92000D02*Y92937D01* +X201342Y95342D02*X201500Y95500D01* +X201342Y95342D02*Y84158D01* +X201500Y84000D01* +X197405Y93905D02*X199000Y95500D01* +X195437Y92937D02*X198000Y95500D01* +X224059Y98378D02*X246622D01* +X191500Y89441D02*Y96000D01* +X193500Y93500D02*Y89473D01* +X193468Y89441D01* +X195437D02*Y92032D01* +G54D15*X193500Y89409D02*X193468Y89441D01* +G54D12*X189500Y89410D02*X189531Y89441D01* +X195437Y89563D02*Y86468D01* +X197405Y84500D01* +X217000Y74000D02*X232500D01* +G54D14*X254000Y82500D02*X216000D01* +X254000D02*Y83000D01* +G54D12*X248000Y77500D02*Y63226D01* +X247887Y63113D01* +X197405Y89441D02*Y93905D01* +Y90500D02*Y84595D01* +X197500Y84500D01* +X198500Y81437D02*X197563D01* +X189531Y93969D02*X186500Y97000D01* +X189531Y93969D02*Y73469D01* +X187563Y89441D02*Y66063D01* +X185594Y89441D02*Y76594D01* +X193500Y89000D02*Y85500D01* +X193035Y81372D02*Y81496D01* +X189531Y85000D01* +X197563Y81437D02*X193500Y85500D01* +X193000Y77500D02*X198500D01* +X196937Y72000D02*X199500D01* +X203311Y89441D02*Y45189D01* +X205279Y89441D02*Y47721D01* +X207248Y89441D02*Y54752D01* +X209216Y89441D02*Y61284D01* +X213153Y89441D02*Y66347D01* +X211185Y89441D02*Y63815D01* +X215122Y90878D02*Y75878D01* +X217000Y74000D01* +X193000Y72000D02*X191000D01* +X189531Y73469D01* +X185000Y63500D02*X187500Y66000D01* +X187563Y66063D02*X187250Y65750D01* +X185594Y76594D02*X183500Y74500D01* +X176500Y63500D02*X185000D01* +X183500Y74500D02*X176686D01* +X176439Y74252D01* +X172725Y69966D02*X168439Y74252D01* +X170166Y61834D02*X168500Y63500D01* +X203311Y45189D02*X221000Y27500D01* +X205279Y47721D02*X225500Y27500D01* +X207248Y54752D02*X234500Y27500D01* +X209216Y61284D02*X243000Y27500D01* +X213153Y66347D02*X252000Y27500D01* +X211185Y63815D02*X247500Y27500D01* +X248000Y63226D02*X247686Y62912D01* +X225178Y63071D02*X247703D01* +X247774Y63000D01* +X240500Y74000D02*X248000D01* +X225500Y15000D02*Y22026D01* +Y27500D02*Y22302D01* +Y22026D02*X225638Y22164D01* +X225500Y22302D02*X225638Y22164D01* +X234500Y27500D02*Y22365D01* +X234299Y22164D01* +X221000Y27500D02*Y22471D01* +X221307Y22164D01* +X212000Y10500D02*X217518D01* +X218158Y11140D01* +X216000Y22500D02*X220971D01* +X221307Y22164D01* +X234500Y15000D02*Y21963D01* +X234299Y22164D01* +X230000Y15000D02*Y21345D01* +X229969Y21376D01* +G54D14*X238630Y10370D02*X239500Y9500D01* +G54D12*X243000Y22125D02*X242961Y22164D01* +G54D14*X238630Y21376D02*Y10370D01* +G54D12*X247500Y15000D02*Y23500D01* +X243000Y15000D02*Y23000D01* +X172725Y17032D02*Y69966D01* +X178000Y17000D02*Y24000D01* +X170166Y17032D02*Y61834D01* +G54D14*X157000Y33500D02*X167000Y23500D01* +G54D12*X168000Y17000D02*Y22500D01* +X167000Y23500D01* +G54D14*X127000Y33500D02*X126500Y34000D01* +X145000Y33500D02*Y55929D01* +X144929Y56000D01* +X128000D02*X112000D01* +X111500Y55500D01* +G54D12*X252000Y15000D02*Y21786D01* +X243000Y27500D02*Y22203D01* +X242961Y22164D01* +X252000Y27500D02*Y22500D01* +X247500Y27500D02*Y23948D01* +Y23530D01* +G54D14*X260000Y11000D02*Y88000D01* +X254000Y83000D02*X259000Y88000D01* +G54D12*X276500Y6500D02*X270829D01* +X270520Y6809D01* +G54D14*X239500Y9500D02*X258500D01* +X260000Y11000D01* +G54D12*X276563Y16000D02*X261500D01* +X280500D02*X283500D01* +X261500D02*X260000Y14500D01* +X277500Y31000D02*X343000D01* +X277500Y37000D02*X332500D01* +X334000Y38500D01* +X277500Y43000D02*X322000D01* +X323500Y44500D01* +X311500Y49500D02*X313000Y51000D01* +X277500Y49500D02*X311500D01* +X277500Y55500D02*X300500D01* +X277500Y62000D02*X289500D01* +X291000Y63500D01* +X300500Y55500D02*X302000Y57000D01* +Y71500D01* +X291000Y63500D02*Y71500D01* +X343000Y31000D02*X344500Y32500D01* +Y71500D01* +X334000Y38500D02*Y71500D01* +X323500Y44500D02*Y71500D01* +X313000Y51000D02*Y71500D01* +X287000Y75500D02*X350500D01* +X313000Y79500D02*Y84000D01* +X323500Y79500D02*Y84000D01* +X313000Y83500D02*Y86500D01* +X323500Y83500D02*Y86500D01* +X334000Y79500D02*Y84000D01* +X344500Y79500D02*Y84000D01* +X291000Y79500D02*Y88000D01* +X302000Y79500D02*Y88000D01* +X334000Y83000D02*Y86000D01* +X344500Y82500D02*Y86000D01* +X224000Y339500D02*Y338500D01* +X214000Y339500D02*Y338500D01* +X219500Y319500D02*X217500Y317500D01* +X270000Y315500D02*X228000D01* +X219500Y319500D02*X253500D01* +X228000Y315500D02*X227000Y314500D01* +X274500Y311000D02*X238500D01* +X237000Y309500D01* +X248500Y306500D02*X247000Y305000D01* +X294000Y323500D02*X277000Y306500D01* +X248500D01* +X297000Y333500D02*X274500Y311000D01* +X287000Y332500D02*X270000Y315500D01* +X267000Y333000D02*X253500Y319500D01* +X162000Y349500D02*X157000Y344500D01* +Y334500D01* +X155000Y332500D02*X157000Y334500D01* +X172000Y349500D02*X167000Y344500D01* +X192000Y349500D02*X187000Y344500D01* +X202000Y349500D02*X197000Y344500D01* +X167000D02*Y334000D01* +X165000Y332000D02*X167000Y334000D01* +X182000Y349500D02*X177000Y344500D01* +Y334000D01* +X175000Y332000D02*X177000Y334000D01* +X187000Y344500D02*Y334000D01* +X197000Y344500D02*Y334000D01* +X185000Y332000D02*X187000Y334000D01* +X195000Y332000D02*X197000Y334000D01* +X362000Y349500D02*X357000Y344500D01* +X357500Y345000D01* +X352000Y349500D02*X347000Y344500D01* +X342000Y349500D02*X337000Y344500D01* +X332000Y349500D02*X327000Y344500D01* +G54D13*X245000Y361500D02*X375000D01* +X378500Y358000D01* +G54D14*Y326500D02*X370500D01* +X362500D02*Y332000D01* +G54D13*X379000Y316000D02*Y357500D01* +X369500Y306500D02*X379000Y316000D01* +G54D12*X322000Y349500D02*Y349000D01* +X317000Y344000D01* +X312000Y349500D02*X311500D01* +X307000Y345000D01* +Y336500D01* +X293500Y323000D01* +X287000Y345000D02*Y332500D01* +X297000Y345000D02*Y333500D01* +X272000Y349000D02*X271000D01* +X267000Y345000D01* +X292000Y349500D02*X291500D01* +X287000Y345000D01* +X302000Y349500D02*X301500D01* +X297000Y345000D01* +X317000Y335500D02*Y344000D01* +X327000Y344500D02*Y333000D01* +X337000Y344500D02*Y333000D01* +X267000D02*Y345000D01* +X317000Y335500D02*X284500Y303000D01* +X277000D01* +X327000Y333000D02*X293000Y299000D01* +X268500D02*X293000D01* +X278000Y295000D02*X299000D01* +X337000Y333000D02*X299000Y295000D01* +X347000Y333000D02*X305000Y291000D01* +X347000Y333000D02*Y344500D01* +X357000Y333000D02*Y344500D01* +X288500Y291000D02*X305000D01* +X310500Y286500D02*X298500D01* +X357000Y333000D02*X310500Y286500D01* +X115000Y246000D02*Y342500D01* +X122000Y349500D01* +X132000D02*X127000Y344500D01* +Y334500D01* +X142000Y349500D02*X137000Y344500D01* +Y334500D01* +X152000Y349500D02*X147000Y344500D01* +Y334500D01* +X145000Y332500D02*X147000Y334500D01* +X125000Y332500D02*X127000Y334500D01* +X135000Y332500D02*X137000Y334500D01* +G54D13*X106500Y340500D02*Y356500D01* +X111500Y361500D01* +G54D12*X125000Y246000D02*Y332500D01* +X135000Y246000D02*Y332500D01* +X145000Y246000D02*Y332500D01* +X155000Y246000D02*Y332500D01* +X185000Y246000D02*Y332000D01* +X165000D02*Y234000D01* +X176500Y244500D02*X175000Y246000D01* +Y332000D02*Y235000D01* +X195000Y332000D02*Y240000D01* +X217500Y317500D02*Y246500D01* +X227000Y314500D02*Y246000D01* +X237000Y309500D02*Y246000D01* +G54D13*X241750Y349250D02*Y358250D01* +X245000Y361500D01* +X111500D02*X247000D01* +G54D12*X217500Y246500D02*X217250Y246250D01* +X247000Y234000D02*Y245500D01* +X257000Y235500D02*Y245500D01* +X273000Y238500D02*X276000D01* +X277000Y239500D01* +Y262500D02*Y239500D01* +X287000Y258000D02*Y240000D01* +X271500Y237000D02*X273000Y238500D01* +X279000Y235500D02*X282000Y238500D01* +X285500D01* +X287000Y240000D01* +X281500Y233500D02*X283000Y235000D01* +X296000D01* +X297000Y236000D01* +Y254500D02*Y236000D01* +X247000Y305000D02*Y246000D01* +X257000D02*Y302000D01* +X258000Y303000D01* +X267000Y265500D02*Y297500D01* +X268500Y299000D01* +X277000Y261500D02*Y294000D01* +X278000Y295000D01* +X287000Y257500D02*Y289500D01* +X288500Y291000D01* +X297000Y252500D02*Y285000D01* +X298500Y286500D01* +X278000Y303000D02*X258000D01* +X306000Y266000D02*Y274500D01* +Y274000D02*X301500D01* +X302000D02*X300000D01* +X306000Y258000D02*Y252500D01* +X196000Y214500D02*X198500Y217000D01* +X208500D02*X206000Y214500D01* +Y222750D02*Y218500D01* +X203500Y216000D01* +G54D14*X205000Y246000D02*Y257500D01* +X205500Y258000D01* +Y266000D02*Y274500D01* +X206000Y275000D01* +G54D12*X198500Y217000D02*Y229500D01* +X201000Y222750D02*Y233500D01* +X196000Y222750D02*X192250D01* +X192000Y223000D01* +X195000Y240000D02*X196000Y239000D01* +X207000D01* +X208500Y237500D01* +X211000Y240000D02*X217000Y246000D01* +X218500Y237500D02*X227000Y246000D01* +X221000Y230000D02*X237000Y246000D01* +X208500Y237500D02*Y217000D01* +X218500D02*Y237500D01* +X221000Y222750D02*Y230000D01* +X226000Y222750D02*Y218500D01* +X223500Y216000D01* +X216000Y222750D02*Y218500D01* +X213500Y216000D01* +X211000Y222750D02*Y240000D01* +X198500Y229500D02*X195000Y233000D01* +X177000D01* +X175000Y235000D01* +X201000Y233500D02*X198500Y236000D01* +X187000D01* +X185000Y238000D01* +Y245500D01* +X196000Y202250D02*Y214500D01* +X206000D02*Y202250D01* +X216000D02*Y214500D01* +X218500Y217000D01* +X223500Y216000D02*Y190500D01* +X231500Y210000D02*Y212000D01* +X231000Y199000D02*X229250D01* +X226000Y202250D01* +X231465Y206128D02*X229878D01* +X226000Y202250D01* +X203500Y192500D02*Y216000D01* +X213500D02*Y191000D01* +X256500Y202500D02*Y214500D01* +X266500Y202500D02*Y214500D01* +X276500Y202500D02*Y214500D01* +X261500Y191000D02*Y202500D01* +X256500Y214500D02*X259000Y217000D01* +X266500Y214500D02*X269000Y217000D01* +X276500Y214500D02*X279000Y217000D01* +X259000D02*Y230000D01* +X261500Y223000D02*Y231000D01* +X269000Y217000D02*Y230500D01* +X266500Y223000D02*Y219500D01* +X264000Y217000D01* +X259000Y230000D02*X258000Y231000D01* +X261500D02*X257000Y235500D01* +X258000Y231000D02*X250000D01* +X247000Y234000D01* +X269000Y230500D02*X267000Y232500D01* +Y267000D02*Y232500D01* +X271500Y223000D02*Y237000D01* +X279000Y217000D02*Y235500D01* +X276500Y223000D02*Y218500D01* +X274000Y216000D01* +X256500Y223000D02*X253500D01* +X257500Y176500D02*X277500D01* +X256000Y178500D02*X276000D01* +X244500Y163500D02*X257500Y176500D01* +X242500Y165000D02*X256000Y178500D01* +X240500Y166000D02*X255000Y180500D01* +X269000D01* +X238500Y167500D02*X253500Y182500D01* +X245500Y179500D02*X250500Y184500D01* +X253500Y182500D02*X266500D01* +X248000Y156000D02*X279000D01* +X281500Y223000D02*Y233500D01* +X284000Y183000D02*Y216000D01* +X286500Y223000D02*Y218500D01* +X284000Y216000D01* +X281500Y184000D02*Y202500D01* +X274000Y185500D02*Y216000D01* +X271500Y187500D02*Y202500D01* +X264000Y189000D02*Y217000D01* +X266500Y182500D02*X271500Y187500D01* +X250500Y184500D02*X259500D01* +X264000Y189000D01* +X246500Y187500D02*X258000D01* +X261500Y191000D01* +X291500Y199000D02*Y186000D01* +X293000Y208437D02*Y211000D01* +X291500Y198500D02*X290500D01* +X286500Y202500D01* +X293000Y204500D02*X288500D01* +X286500Y202500D01* +X269000Y180500D02*X274000Y185500D01* +X277500Y176500D02*X284000Y183000D01* +X276000Y178500D02*X281500Y184000D01* +X291500Y186000D02*X279500Y174000D01* +Y169000D01* +X287500D02*Y156500D01* +X279000Y156000D02*X279500Y156500D01* +G54D14*X329500Y221250D02*Y203000D01* +X348000D02*Y220625D01* +X325000Y234000D02*X352000D01* +G54D12*X348000Y220000D02*Y211000D01* +X339500Y202500D01* +G54D14*X352000Y234000D02*Y260000D01* +X325000D02*Y234000D01* +G54D12*X339500Y194500D02*X347000D01* +G54D14*X352000Y260500D02*X325000D01* +G54D16*X132000Y349500D03* +X142000D03* +X152000D03* +X162000D03* +X172000D03* +X182000D03* +X162000Y339500D03* +X172000D03* +X182000D03* +X192000Y349500D03* +X202000D03* +X212000D03* +X192000Y339500D03* +X202000D03* +X212000D03* +X222000D03* +Y349500D03* +X232000D03* +X242000D03* +X232000Y339500D03* +X242000D03* +X252000D03* +X262000D03* +X272000D03* +X282000D03* +X252000Y349500D03* +X262000D03* +X272000D03* +X282000D03* +X292000Y339500D03* +X302000D03* +X312000D03* +X292000Y349500D03* +X302000D03* +X312000D03* +X322000D03* +X332000D03* +X342000D03* +X322000Y339500D03* +X332000D03* +X342000D03* +X352000D03* +Y349500D03* +G54D11*G36* +X359000Y352500D02*Y346500D01* +X365000D01* +Y352500D01* +X359000D01* +G37* +G54D16*X362000Y339500D03* +G54D11*G36* +X304000Y249000D02*Y243000D01* +X310000D01* +Y249000D01* +X304000D01* +G37* +G54D16*X297000Y246000D03* +X287000D03* +X277000D03* +X267000D03* +X257000D03* +X247000D03* +X237000D03* +X227000D03* +X217000D03* +G54D11*G36* +X202000Y249000D02*Y243000D01* +X208000D01* +Y249000D01* +X202000D01* +G37* +G54D16*X195000Y246000D03* +X185000D03* +X175000D03* +X165000D03* +X155000D03* +X145000D03* +X135000D03* +X125000D03* +X115000D03* +X122000Y339500D03* +Y349500D03* +X132000Y339500D03* +X142000D03* +X152000D03* +G54D17*X61000D03* +X81000D03* +G54D18*X91000Y349500D03* +G54D19*X31000Y379500D03* +X71000D03* +G54D17*X21000Y339500D03* +X41000D03* +G54D18*X11000Y349500D03* +G54D11*G36* +X38000Y316500D02*Y310500D01* +X44000D01* +Y316500D01* +X38000D01* +G37* +G54D16*X41000Y303500D03* +G54D20*X362500Y327200D02*Y325800D01* +X370500Y327200D02*Y325800D01* +G54D21*X156492Y98378D02*X161390D01* +X167878Y91890D02*Y86992D01* +G54D11*G36* +X160016Y92422D02*Y90452D01* +X161984D01* +Y92422D01* +X160016D01* +G37* +G36* +Y88484D02*Y86516D01* +X161984D01* +Y88484D01* +X160016D01* +G37* +G54D21*X169846Y91890D02*Y86992D01* +X171815Y91890D02*Y86992D01* +X173783Y91890D02*Y86992D01* +X175752Y91890D02*Y86992D01* +G54D20*X168500Y64200D02*Y62800D01* +X176500Y64200D02*Y62800D01* +X168439Y74952D02*Y73552D01* +X176439Y74952D02*Y73552D01* +G54D21*X177720Y91890D02*Y86992D01* +X179689Y91890D02*Y86992D01* +X181657Y91890D02*Y86992D01* +X183626Y91890D02*Y86992D01* +X185594Y91890D02*Y86992D01* +X187563Y91890D02*Y86992D01* +X189531Y91890D02*Y86992D01* +X191500Y91890D02*Y86992D01* +G54D11*G36* +X195952Y72984D02*Y71016D01* +X197922D01* +Y72984D01* +X195952D01* +G37* +G36* +X192016D02*Y71016D01* +X193984D01* +Y72984D01* +X192016D01* +G37* +G36* +Y78484D02*Y76516D01* +X193984D01* +Y78484D01* +X192016D01* +G37* +G36* +Y82422D02*Y80452D01* +X193984D01* +Y82422D01* +X192016D01* +G37* +G36* +X197516Y78484D02*Y76516D01* +X199484D01* +Y78484D01* +X197516D01* +G37* +G36* +Y82422D02*Y80452D01* +X199484D01* +Y82422D01* +X197516D01* +G37* +G54D21*X193468Y91890D02*Y86992D01* +X195437Y91890D02*Y86992D01* +X197405Y91890D02*Y86992D01* +X199374Y91890D02*Y86992D01* +X201342Y91890D02*Y86992D01* +X203311Y91890D02*Y86992D01* +X205279Y91890D02*Y86992D01* +X207248Y91890D02*Y86992D01* +X209216Y91890D02*Y86992D01* +X211185Y91890D02*Y86992D01* +X213153Y91890D02*Y86992D01* +X215122Y91890D02*Y86992D01* +G54D11*G36* +X221016Y92484D02*Y90516D01* +X222984D01* +Y92484D01* +X221016D01* +G37* +G36* +Y88548D02*Y86578D01* +X222984D01* +Y88548D01* +X221016D01* +G37* +G54D21*X221610Y114126D02*X226508D01* +X221610Y116094D02*X226508D01* +X221610Y118063D02*X226508D01* +X221610Y120031D02*X226508D01* +X221610Y122000D02*X226508D01* +G54D11*G36* +X229516Y117547D02*Y115579D01* +X231484D01* +Y117547D01* +X229516D01* +G37* +G36* +Y121484D02*Y119516D01* +X231484D01* +Y121484D01* +X229516D01* +G37* +G54D20*X232500Y74700D02*Y73300D01* +G54D22*X224390Y63071D02*X225965D01* +G54D21*X221610Y123968D02*X226508D01* +X221610Y125937D02*X226508D01* +X221610Y127905D02*X226508D01* +X221610Y129874D02*X226508D01* +X221610Y131842D02*X226508D01* +X221610Y133811D02*X226508D01* +X221610Y135779D02*X226508D01* +X221610Y137748D02*X226508D01* +X221610Y139716D02*X226508D01* +X221610Y141685D02*X226508D01* +X221610Y143653D02*X226508D01* +X221610Y145622D02*X226508D01* +G54D11*G36* +X229516Y130421D02*Y128453D01* +X231484D01* +Y130421D01* +X229516D01* +G37* +G36* +X235088Y130349D02*Y128380D01* +X237056D01* +Y130349D01* +X235088D01* +G37* +G36* +X229516Y126484D02*Y124516D01* +X231484D01* +Y126484D01* +X229516D01* +G37* +G36* +X235088Y126412D02*Y124444D01* +X237056D01* +Y126412D01* +X235088D01* +G37* +G54D20*X287500Y157200D02*Y155800D01* +X279500Y157200D02*Y155800D01* +X287500Y169700D02*Y168300D01* +X279500Y169700D02*Y168300D01* +G54D23*X286500Y226250D02*Y219750D01* +G54D20*X305300Y266000D02*X306700D01* +X305300Y258000D02*X306700D01* +G54D23*X281500Y226250D02*Y219750D01* +X276500Y226250D02*Y219750D01* +X271500Y226250D02*Y219750D01* +X266500Y226250D02*Y219750D01* +X281500Y205750D02*Y199250D01* +X286500Y205750D02*Y199250D01* +G54D11*G36* +X292016Y209422D02*Y207452D01* +X293984D01* +Y209422D01* +X292016D01* +G37* +G36* +Y205484D02*Y203516D01* +X293984D01* +Y205484D01* +X292016D01* +G37* +G54D20*X338800Y194500D02*X340200D01* +X338800Y202500D02*X340200D01* +G54D11*G36* +X325250Y260750D02*Y234250D01* +X351750D01* +Y260750D01* +X325250D01* +G37* +G36* +Y245750D02*Y234250D01* +X336750D01* +Y245750D01* +X325250D01* +G37* +G36* +X340250Y260750D02*Y249250D01* +X351750D01* +Y260750D01* +X340250D01* +G37* +G36* +X325250D02*Y249250D01* +X336750D01* +Y260750D01* +X325250D01* +G37* +G54D24*X329500Y224000D02*Y218500D01* +G54D11*G36* +X340250Y245750D02*Y234250D01* +X351750D01* +Y245750D01* +X340250D01* +G37* +G54D24*X347500Y224000D02*Y218500D01* +G54D20*X314300Y108000D02*X315700D01* +G54D11*G36* +X324750Y148250D02*Y136750D01* +X336250D01* +Y148250D01* +X324750D01* +G37* +G36* +Y133250D02*Y121750D01* +X336250D01* +Y133250D01* +X324750D01* +G37* +G36* +Y148250D02*Y121750D01* +X351250D01* +Y148250D01* +X324750D01* +G37* +G36* +X339750D02*Y136750D01* +X351250D01* +Y148250D01* +X339750D01* +G37* +G36* +Y133250D02*Y121750D01* +X351250D01* +Y133250D01* +X339750D01* +G37* +G54D24*X347000Y111500D02*Y106000D01* +X329000Y111500D02*Y106000D01* +G54D20*X314300Y100000D02*X315700D01* +X343800Y71500D02*X345200D01* +X343800Y79500D02*X345200D01* +X333300Y71500D02*X334700D01* +X333300Y79500D02*X334700D01* +X322800Y71500D02*X324200D01* +X322800Y79500D02*X324200D01* +X312300Y71500D02*X313700D01* +X312300Y79500D02*X313700D01* +X301300Y71500D02*X302700D01* +X301300Y79500D02*X302700D01* +X290300Y71500D02*X291700D01* +X290300Y79500D02*X291700D01* +X361800Y99000D02*X363200D01* +X361800Y107000D02*X363200D01* +G54D21*X221610Y98378D02*X226508D01* +X221610Y100346D02*X226508D01* +X221610Y102315D02*X226508D01* +X221610Y104283D02*X226508D01* +X221610Y106252D02*X226508D01* +X221610Y108220D02*X226508D01* +X221610Y110189D02*X226508D01* +X221610Y112157D02*X226508D01* +G54D20*X240500Y74700D02*Y73300D01* +G54D22*X246831Y63071D02*X248406D01* +G54D25*X172725Y18902D02*Y15162D01* +X177843Y18902D02*Y15162D01* +X167607Y18902D02*Y15162D01* +X175284Y18902D02*Y15162D01* +X170166Y18902D02*Y15162D01* +G54D26*X159536Y16540D02*X161504D01* +X183945D02*X185914D01* +G54D27*X157764Y6697D02*Y6303D01* +G54D28*X157000Y34000D02*Y33000D01* +X145000Y34000D02*Y33000D01* +G54D29*X127607Y56000D02*X128394D01* +X144536D02*X145323D01* +G54D11*G36* +X164260Y10240D02*Y2760D01* +X171740D01* +Y10240D01* +X164260D01* +G37* +G36* +X173709D02*Y2760D01* +X181189D01* +Y10240D01* +X173709D01* +G37* +G54D27*X187685Y6697D02*Y6303D01* +G54D11*G36* +X279516Y16984D02*Y15016D01* +X281484D01* +Y16984D01* +X279516D01* +G37* +G36* +X275578D02*Y15016D01* +X277548D01* +Y16984D01* +X275578D01* +G37* +G54D22*X270453Y7953D02*Y5984D01* +G54D30*X251555Y23701D02*Y20945D01* +X247224Y25276D02*Y22520D01* +X242894Y23701D02*Y20945D01* +X238563Y22913D02*Y20157D01* +X234232Y23701D02*Y20945D01* +X229902Y22913D02*Y20157D01* +X225571Y23701D02*Y20945D01* +X221240Y23701D02*Y20945D01* +G54D22*X218091Y12283D02*Y10315D01* +G54D23*X148000Y226000D02*Y219500D01* +X143000Y226000D02*Y219500D01* +X138000Y226000D02*Y219500D01* +X133000Y226000D02*Y219500D01* +X128000Y226000D02*Y219500D01* +X123000Y226000D02*Y219500D01* +X118000Y226000D02*Y219500D01* +G54D20*X73800Y230000D02*X75200D01* +X73800Y222000D02*X75200D01* +G54D29*X20500Y234894D02*Y234107D01* +Y251823D02*Y251036D01* +G54D23*X118000Y205500D02*Y199000D01* +X123000Y205500D02*Y199000D01* +X128000Y205500D02*Y199000D01* +X133000Y205500D02*Y199000D01* +X138000Y205500D02*Y199000D01* +X143000Y205500D02*Y199000D01* +X148000Y205500D02*Y199000D01* +G54D11*G36* +X152516Y210984D02*Y209016D01* +X154484D01* +Y210984D01* +X152516D01* +G37* +G36* +Y207048D02*Y205078D01* +X154484D01* +Y207048D01* +X152516D01* +G37* +G54D28*X20500Y321000D02*X21500D01* +X20500Y309000D02*X21500D01* +G54D29*X76106D02*X76893D01* +X59177D02*X59964D01* +G54D21*X156492Y145622D02*X161390D01* +X156492Y143654D02*X161390D01* +X156492Y141685D02*X161390D01* +X156492Y139717D02*X161390D01* +X156492Y137748D02*X161390D01* +X156492Y135780D02*X161390D01* +G54D11*G36* +X160016Y153484D02*Y151516D01* +X161984D01* +Y153484D01* +X160016D01* +G37* +G54D20*X135000Y155200D02*Y153800D01* +X143000Y155200D02*Y153800D01* +G54D11*G36* +X160016Y157422D02*Y155452D01* +X161984D01* +Y157422D01* +X160016D01* +G37* +G54D21*X156492Y133811D02*X161390D01* +X156492Y131843D02*X161390D01* +X156492Y129874D02*X161390D01* +X156492Y127906D02*X161390D01* +X156492Y125937D02*X161390D01* +X156492Y123969D02*X161390D01* +X156492Y122000D02*X161390D01* +X156492Y120032D02*X161390D01* +X156492Y118063D02*X161390D01* +X156492Y116095D02*X161390D01* +X156492Y114126D02*X161390D01* +X156492Y112158D02*X161390D01* +X156492Y110189D02*X161390D01* +X156492Y108221D02*X161390D01* +X156492Y106252D02*X161390D01* +X156492Y104284D02*X161390D01* +X156492Y102315D02*X161390D01* +X156492Y100347D02*X161390D01* +G54D31*X124844Y85950D02*X132944D01* +X108844D02*X116944D01* +X124844Y90950D02*X132944D01* +X108844D02*X116944D01* +X108844Y95950D02*X116944D01* +X108844Y100950D02*X116944D01* +X108844Y105950D02*X116944D01* +X124844Y95950D02*X132944D01* +X124844Y100950D02*X132944D01* +X124844Y105950D02*X132944D01* +G54D21*X215122Y157008D02*Y152110D01* +X213154Y157008D02*Y152110D01* +X211185Y157008D02*Y152110D01* +X209217Y157008D02*Y152110D01* +X207248Y157008D02*Y152110D01* +X205280Y157008D02*Y152110D01* +G54D11*G36* +X221016Y157422D02*Y155452D01* +X222984D01* +Y157422D01* +X221016D01* +G37* +G36* +Y153484D02*Y151516D01* +X222984D01* +Y153484D01* +X221016D01* +G37* +G54D21*X203311Y157008D02*Y152110D01* +X201343Y157008D02*Y152110D01* +X199374Y157008D02*Y152110D01* +X197406Y157008D02*Y152110D01* +X195437Y157008D02*Y152110D01* +X193469Y157008D02*Y152110D01* +X191500Y157008D02*Y152110D01* +G54D11*G36* +X191516Y165922D02*Y163952D01* +X193484D01* +Y165922D01* +X191516D01* +G37* +G36* +Y161984D02*Y160016D01* +X193484D01* +Y161984D01* +X191516D01* +G37* +G54D21*X189532Y157008D02*Y152110D01* +X187563Y157008D02*Y152110D01* +X185595Y157008D02*Y152110D01* +X183626Y157008D02*Y152110D01* +X181658Y157008D02*Y152110D01* +X179689Y157008D02*Y152110D01* +X177721Y157008D02*Y152110D01* +X175752Y157008D02*Y152110D01* +X173784Y157008D02*Y152110D01* +X171815Y157008D02*Y152110D01* +X169847Y157008D02*Y152110D01* +X167878Y157008D02*Y152110D01* +G54D23*X261500Y226250D02*Y219750D01* +X256500Y226250D02*Y219750D01* +Y205750D02*Y199250D01* +X261500Y205750D02*Y199250D01* +X266500Y205750D02*Y199250D01* +X271500Y205750D02*Y199250D01* +X276500Y205750D02*Y199250D01* +G54D11*G36* +X230516Y210984D02*Y209016D01* +X232484D01* +Y210984D01* +X230516D01* +G37* +G36* +Y207048D02*Y205078D01* +X232484D01* +Y207048D01* +X230516D01* +G37* +G54D23*X216000Y226000D02*Y219500D01* +G54D20*X204800Y266000D02*X206200D01* +X204800Y258000D02*X206200D01* +G54D23*X211000Y226000D02*Y219500D01* +X206000Y226000D02*Y219500D01* +X201000Y226000D02*Y219500D01* +X196000Y226000D02*Y219500D01* +X226000Y226000D02*Y219500D01* +X221000Y226000D02*Y219500D01* +X196000Y205500D02*Y199000D01* +X201000Y205500D02*Y199000D01* +X206000Y205500D02*Y199000D01* +X211000Y205500D02*Y199000D01* +X216000Y205500D02*Y199000D01* +X221000Y205500D02*Y199000D01* +X226000Y205500D02*Y199000D01* +G54D32*X172500Y81500D03* +X197000Y67000D03* +X178000Y24000D03* +G54D33*X14000Y32000D03* +G54D16*X111500Y55500D03* +G54D32*X277500Y31000D03* +G54D33*X370000Y32000D03* +G54D32*X277500Y37000D03* +Y43000D03* +Y49500D03* +Y55500D03* +Y62000D03* +X276500Y6500D03* +G54D16*X347000Y93500D03* +G54D32*X362500Y92000D03* +G54D16*X329000Y93500D03* +G54D32*X252000Y15000D03* +X225500D03* +X234500D03* +X243000D03* +X247500D03* +X230000D03* +X212000Y10500D03* +X216000Y22500D03* +X248000Y77500D03* +X255000Y78000D03* +X242000Y90500D03* +X291500Y199000D03* +X250000Y224500D03* +X254500Y166500D03* +X246622Y98378D03* +X216500Y118000D03* +X231000Y111000D03* +X214000Y126000D03* +X216500Y106500D03* +X240500Y125500D03* +X231000Y199000D03* +X347000Y194500D03* +X293000Y214000D03* +G54D33*X370000Y207000D03* +G54D16*X329500Y203000D03* +X348000D03* +G54D32*X231500Y215500D03* +G54D34*X44000Y276000D03* +X46500Y272000D03* +X49000Y268000D03* +X51500Y264000D03* +X54000Y260000D03* +X56500Y256000D03* +X36500Y288000D03* +X39000Y284000D03* +X41500Y280000D03* +G54D16*X74000Y213000D03* +X74500Y239000D03* +G54D33*X14000Y207000D03* +G54D16*X27000Y222500D03* +G54D32*X217500Y145500D03* +X204000Y144000D03* +X216500Y130000D03* +X168000Y148000D03* +X193500Y173500D03* +X153500Y215000D03* +X154000Y156000D03* +X189500Y224500D03* +X151000Y122000D03* +X168000Y128000D03* +Y122000D03* +X101500Y106000D03* +X191000Y128000D03* +X186500Y97000D03* +X189500Y101500D03* +X170500Y97500D03* +X189500Y147000D03* +X126500Y152500D03* +X153000Y199000D03* +X112500Y224500D03* +G54D34*X93000Y318500D03* +X90500Y322500D03* +X88000Y326500D03* +X95500Y314500D03* +X98000Y310500D03* +X100500Y306500D03* +X103000Y302500D03* +X105500Y298500D03* +X108000Y294500D03* +G54D16*X369500Y306500D03* +G54D32*X111500Y252500D03* +X120000D03* +X140000D03* +X130000D03* +X150000D03* +X170000D03* +X160000Y252000D03* +X180000Y252500D03* +X190000D03* +X199000D03* +X211000D03* +X222000D03* +X232000D03* +X242000D03* +X252000D03* +X262000D03* +X272000D03* +X282000D03* +X292000D03* +X306000Y274000D03* +G54D35*G54D36*G54D37*G54D35*G54D36*G54D35*G54D37*G54D35*G54D37*G54D35*G54D36*G54D37*G54D35*G54D37*G54D36*G54D37*G54D35*G54D37*G54D35*G54D38*G54D32*G54D38*G54D16*G54D17*G54D39*G54D16*G54D17*G54D38*M02* diff --git a/hardware/gerber/scsi2sd.GTO b/hardware/gerber/scsi2sd.GTO new file mode 100644 index 0000000..a449200 --- /dev/null +++ b/hardware/gerber/scsi2sd.GTO @@ -0,0 +1,1617 @@ +G04 start of page 9 for group -4079 idx -4079 * +G04 Title: (unknown), topsilk * +G04 Creator: pcb 20110918 * +G04 CreationDate: Wed 02 Oct 2013 12:02:10 GMT UTC * +G04 For: michael * +G04 Format: Gerber/RS-274X * +G04 PCB-Dimensions: 384000 393500 * +G04 PCB-Coordinate-Origin: lower left * +%MOIN*% +%FSLAX25Y25*% +%LNTOPSILK*% +%ADD103C,0.0200*% +%ADD102C,0.0060*% +%ADD101C,0.0100*% +%ADD100C,0.0080*% +%ADD99C,0.0001*% +G54D99*G36* +X306500Y241000D02*X309000D01* +Y232500D01* +X306500D01* +Y241000D01* +G37* +G36* +X203500Y240500D02*X206000D01* +Y232500D01* +X203500D01* +Y240500D01* +G37* +G54D100*X231000Y131500D02*Y132500D01* +G54D101*X500Y298500D02*X16500D01* +Y263000D01* +X500D01* +Y500D01* +Y393000D02*X383500D01* +X500D02*Y298500D01* +X383500D02*Y393000D01* +X367500Y298500D02*X383500D01* +X500Y500D02*X383500D01* +Y263000D01* +X367500D01* +Y298500D01* +G54D102*X343500Y156500D02*X344000Y157000D01* +X345000D01* +X345500Y156500D01* +X345000Y153000D02*X345500Y153500D01* +X344000Y153000D02*X345000D01* +X343500Y153500D02*X344000Y153000D01* +Y155200D02*X345000D01* +X345500Y156500D02*Y155700D01* +Y154700D02*Y153500D01* +Y154700D02*X345000Y155200D01* +X345500Y155700D02*X345000Y155200D01* +X346700Y153000D02*X347200D01* +X348400Y156500D02*X348900Y157000D01* +X349900D01* +X350400Y156500D01* +X349900Y153000D02*X350400Y153500D01* +X348900Y153000D02*X349900D01* +X348400Y153500D02*X348900Y153000D01* +Y155200D02*X349900D01* +X350400Y156500D02*Y155700D01* +Y154700D02*Y153500D01* +Y154700D02*X349900Y155200D01* +X350400Y155700D02*X349900Y155200D01* +X351600Y157000D02*X352600Y153000D01* +X353600Y157000D01* +X339500Y268500D02*X340000Y269000D01* +X341500D01* +X342000Y268500D01* +Y267500D01* +X339500Y265000D02*X342000Y267500D01* +X339500Y265000D02*X342000D01* +X343200D02*X343700D01* +X344900Y265500D02*X345400Y265000D01* +X344900Y266300D02*Y265500D01* +Y266300D02*X345600Y267000D01* +X346200D01* +X346900Y266300D01* +Y265500D01* +X346400Y265000D02*X346900Y265500D01* +X345400Y265000D02*X346400D01* +X344900Y267700D02*X345600Y267000D01* +X344900Y268500D02*Y267700D01* +Y268500D02*X345400Y269000D01* +X346400D01* +X346900Y268500D01* +Y267700D01* +X346200Y267000D02*X346900Y267700D01* +X348100Y269000D02*X350100D01* +X348100D02*Y267000D01* +X348600Y267500D01* +X349600D01* +X350100Y267000D01* +Y265500D01* +X349600Y265000D02*X350100Y265500D01* +X348600Y265000D02*X349600D01* +X348100Y265500D02*X348600Y265000D01* +X351300Y269000D02*X352300Y265000D01* +X353300Y269000D01* +X113500Y336600D02*X113900Y337000D01* +Y335000D01* +X113500D02*X114250D01* +X40000Y296000D02*X42000D01* +X41000D02*Y292000D01* +X43200Y294200D02*X44700D01* +X43200Y292000D02*X45200D01* +X43200Y296000D02*Y292000D01* +Y296000D02*X45200D01* +X46400D02*X48400D01* +X48900Y295500D01* +Y294500D01* +X48400Y294000D02*X48900Y294500D01* +X46900Y294000D02*X48400D01* +X46900Y296000D02*Y292000D01* +X47700Y294000D02*X48900Y292000D01* +X50100Y296000D02*Y292000D01* +Y296000D02*X51600Y294000D01* +X53100Y296000D01* +Y292000D01* +X54800Y296000D02*Y292000D01* +X54300Y296000D02*X56300D01* +X56800Y295500D01* +Y294500D01* +X56300Y294000D02*X56800Y294500D01* +X54800Y294000D02*X56300D01* +X58000Y296000D02*Y294000D01* +X58500Y292000D01* +X59500Y294000D01* +X60500Y292000D01* +X61000Y294000D01* +Y296000D02*Y294000D01* +X62200Y296000D02*X64200D01* +X64700Y295500D01* +Y294500D01* +X64200Y294000D02*X64700Y294500D01* +X62700Y294000D02*X64200D01* +X62700Y296000D02*Y292000D01* +X63500Y294000D02*X64700Y292000D01* +X102500Y114500D02*X104500D01* +X102500D02*Y112500D01* +X103000Y113000D01* +X104000D01* +X104500Y112500D01* +Y111000D01* +X104000Y110500D02*X104500Y111000D01* +X103000Y110500D02*X104000D01* +X102500Y111000D02*X103000Y110500D01* +X105700Y114500D02*X106700Y110500D01* +X107700Y114500D01* +X137000Y107000D02*X137250Y106750D01* +X136250Y107000D02*X137000D01* +X136000Y106750D02*X136250Y107000D01* +X136000Y106750D02*Y106250D01* +X136250Y106000D01* +X137000D01* +X137250Y105750D01* +Y105250D01* +X137000Y105000D02*X137250Y105250D01* +X136250Y105000D02*X137000D01* +X136000Y105250D02*X136250Y105000D01* +X137850Y107000D02*Y106000D01* +X138100Y105000D01* +X138600Y106000D01* +X139100Y105000D01* +X139350Y106000D01* +Y107000D02*Y106000D01* +X140200Y107000D02*Y105000D01* +X140850Y107000D02*X141200Y106650D01* +Y105350D01* +X140850Y105000D02*X141200Y105350D01* +X139950Y105000D02*X140850D01* +X139950Y107000D02*X140850D01* +X141800D02*X142300D01* +X142050D02*Y105000D01* +X141800D02*X142300D01* +X142900Y106750D02*Y105250D01* +Y106750D02*X143150Y107000D01* +X143650D01* +X143900Y106750D01* +Y105250D01* +X143650Y105000D02*X143900Y105250D01* +X143150Y105000D02*X143650D01* +X142900Y105250D02*X143150Y105000D01* +X137000Y102000D02*X137250Y101750D01* +X136250Y102000D02*X137000D01* +X136000Y101750D02*X136250Y102000D01* +X136000Y101750D02*Y101250D01* +X136250Y101000D01* +X137000D01* +X137250Y100750D01* +Y100250D01* +X137000Y100000D02*X137250Y100250D01* +X136250Y100000D02*X137000D01* +X136000Y100250D02*X136250Y100000D01* +X137850Y102000D02*Y101000D01* +X138100Y100000D01* +X138600Y101000D01* +X139100Y100000D01* +X139350Y101000D01* +Y102000D02*Y101000D01* +X140200Y102000D02*Y100000D01* +X140850Y102000D02*X141200Y101650D01* +Y100350D01* +X140850Y100000D02*X141200Y100350D01* +X139950Y100000D02*X140850D01* +X139950Y102000D02*X140850D01* +X142150Y100000D02*X142800D01* +X141800Y100350D02*X142150Y100000D01* +X141800Y101650D02*Y100350D01* +Y101650D02*X142150Y102000D01* +X142800D01* +X143400D02*Y100000D01* +Y101000D02*X144400Y102000D01* +X143400Y101000D02*X144400Y100000D01* +X137000Y84500D02*X138250Y86500D01* +X137000D02*X138250Y84500D01* +X138850Y86500D02*X139850D01* +X140100Y86250D01* +Y85750D01* +X139850Y85500D02*X140100Y85750D01* +X139100Y85500D02*X139850D01* +X139100Y86500D02*Y84500D01* +X139500Y85500D02*X140100Y84500D01* +X140700Y85600D02*X141450D01* +X140700Y84500D02*X141700D01* +X140700Y86500D02*Y84500D01* +Y86500D02*X141700D01* +X143300D02*X143550Y86250D01* +X142550Y86500D02*X143300D01* +X142300Y86250D02*X142550Y86500D01* +X142300Y86250D02*Y85750D01* +X142550Y85500D01* +X143300D01* +X143550Y85250D01* +Y84750D01* +X143300Y84500D02*X143550Y84750D01* +X142550Y84500D02*X143300D01* +X142300Y84750D02*X142550Y84500D01* +X137500Y96500D02*X137750Y96250D01* +X136750Y96500D02*X137500D01* +X136500Y96250D02*X136750Y96500D01* +X136500Y96250D02*Y95750D01* +X136750Y95500D01* +X137500D01* +X137750Y95250D01* +Y94750D01* +X137500Y94500D02*X137750Y94750D01* +X136750Y94500D02*X137500D01* +X136500Y94750D02*X136750Y94500D01* +X138350Y96500D02*Y95500D01* +X138600Y94500D01* +X139100Y95500D01* +X139600Y94500D01* +X139850Y95500D01* +Y96500D02*Y95500D01* +X140450Y96500D02*X140950Y94500D01* +X141450Y96500D01* +X171500Y32500D02*X172000Y33000D01* +X172500D01* +X173000Y32500D01* +X173500D01* +X174000Y33000D01* +X177000Y35000D02*X179000D01* +X177000D02*Y33000D01* +X177500Y33500D01* +X178500D01* +X179000Y33000D01* +Y31500D01* +X178500Y31000D02*X179000Y31500D01* +X177500Y31000D02*X178500D01* +X177000Y31500D02*X177500Y31000D01* +X180200Y31500D02*X180700Y31000D01* +X180200Y34500D02*Y31500D01* +Y34500D02*X180700Y35000D01* +X181700D01* +X182200Y34500D01* +Y31500D01* +X181700Y31000D02*X182200Y31500D01* +X180700Y31000D02*X181700D01* +X180200Y32000D02*X182200Y34000D01* +X183400Y31500D02*X183900Y31000D01* +X183400Y34500D02*Y31500D01* +Y34500D02*X183900Y35000D01* +X184900D01* +X185400Y34500D01* +Y31500D01* +X184900Y31000D02*X185400Y31500D01* +X183900Y31000D02*X184900D01* +X183400Y32000D02*X185400Y34000D01* +X187100Y32500D02*Y31000D01* +Y32500D02*X187600Y33000D01* +X188100D01* +X188600Y32500D01* +Y31000D01* +Y32500D02*X189100Y33000D01* +X189600D01* +X190100Y32500D01* +Y31000D01* +X186600Y33000D02*X187100Y32500D01* +X191300Y34000D02*Y31000D01* +Y34000D02*X192000Y35000D01* +X193100D01* +X193800Y34000D01* +Y31000D01* +X191300Y33000D02*X193800D01* +G54D100*X31500Y92000D02*X32500Y91000D01* +X28500Y92000D02*X31500D01* +X27500Y91000D02*X28500Y92000D01* +X27500Y91000D02*Y89000D01* +X28500Y88000D01* +X31500D01* +X32500Y87000D01* +Y85000D01* +X31500Y84000D02*X32500Y85000D01* +X28500Y84000D02*X31500D01* +X27500Y85000D02*X28500Y84000D01* +X36300D02*X38900D01* +X34900Y85400D02*X36300Y84000D01* +X34900Y90600D02*Y85400D01* +Y90600D02*X36300Y92000D01* +X38900D01* +X45300D02*X46300Y91000D01* +X42300Y92000D02*X45300D01* +X41300Y91000D02*X42300Y92000D01* +X41300Y91000D02*Y89000D01* +X42300Y88000D01* +X45300D01* +X46300Y87000D01* +Y85000D01* +X45300Y84000D02*X46300Y85000D01* +X42300Y84000D02*X45300D01* +X41300Y85000D02*X42300Y84000D01* +X48700Y92000D02*X50700D01* +X49700D02*Y84000D01* +X48700D02*X50700D01* +X53100Y91000D02*X54100Y92000D01* +X57100D01* +X58100Y91000D01* +Y89000D01* +X53100Y84000D02*X58100Y89000D01* +X53100Y84000D02*X58100D01* +X64500Y92000D02*X65500Y91000D01* +X61500Y92000D02*X64500D01* +X60500Y91000D02*X61500Y92000D01* +X60500Y91000D02*Y89000D01* +X61500Y88000D01* +X64500D01* +X65500Y87000D01* +Y85000D01* +X64500Y84000D02*X65500Y85000D01* +X61500Y84000D02*X64500D01* +X60500Y85000D02*X61500Y84000D01* +X68900Y92000D02*Y84000D01* +X71500Y92000D02*X72900Y90600D01* +Y85400D01* +X71500Y84000D02*X72900Y85400D01* +X67900Y84000D02*X71500D01* +X67900Y92000D02*X71500D01* +X78900D02*X80900Y84000D01* +X82900Y92000D01* +X85300Y91000D02*X86300Y92000D01* +X88300D01* +X89300Y91000D01* +X88300Y84000D02*X89300Y85000D01* +X86300Y84000D02*X88300D01* +X85300Y85000D02*X86300Y84000D01* +Y88400D02*X88300D01* +X89300Y91000D02*Y89400D01* +Y87400D02*Y85000D01* +Y87400D02*X88300Y88400D01* +X89300Y89400D02*X88300Y88400D01* +X91700Y84000D02*X92700D01* +X95100Y85000D02*X96100Y84000D01* +X95100Y91000D02*Y85000D01* +Y91000D02*X96100Y92000D01* +X98100D01* +X99100Y91000D01* +Y85000D01* +X98100Y84000D02*X99100Y85000D01* +X96100Y84000D02*X98100D01* +X95100Y86000D02*X99100Y90000D01* +G54D102*X28500Y69500D02*Y68000D01* +Y69500D02*X29000Y70000D01* +X29500D01* +X30000Y69500D01* +Y68000D01* +Y69500D02*X30500Y70000D01* +X31000D01* +X31500Y69500D01* +Y68000D01* +X28000Y70000D02*X28500Y69500D01* +X32700Y71000D02*Y70900D01* +Y69500D02*Y68000D01* +X34200Y70000D02*X35700D01* +X33700Y69500D02*X34200Y70000D01* +X33700Y69500D02*Y68500D01* +X34200Y68000D01* +X35700D01* +X36900Y72000D02*Y68000D01* +Y69500D02*X37400Y70000D01* +X38400D01* +X38900Y69500D01* +Y68000D01* +X41600Y70000D02*X42100Y69500D01* +X40600Y70000D02*X41600D01* +X40100Y69500D02*X40600Y70000D01* +X40100Y69500D02*Y68500D01* +X40600Y68000D01* +X42100Y70000D02*Y68500D01* +X42600Y68000D01* +X40600D02*X41600D01* +X42100Y68500D01* +X44300Y68000D02*X45800D01* +X43800Y68500D02*X44300Y68000D01* +X43800Y69500D02*Y68500D01* +Y69500D02*X44300Y70000D01* +X45300D01* +X45800Y69500D01* +X43800Y69000D02*X45800D01* +Y69500D02*Y69000D01* +X47000Y72000D02*Y68500D01* +X47500Y68000D01* +X48500Y72000D02*Y69000D01* +X49500Y68000D01* +X52500D01* +X53500Y72000D02*Y69500D01* +Y72000D02*X52500Y73000D01* +X49500D02*X52500D01* +X49500D02*X48500Y72000D01* +X50000Y71000D02*Y70000D01* +X50500Y69500D01* +X51500D01* +X52000Y70000D01* +X52500Y69500D01* +X52000Y71500D02*Y70000D01* +Y71000D02*X51500Y71500D01* +X50500D02*X51500D01* +X50500D02*X50000Y71000D01* +X52500Y69500D02*X53500D01* +X55200Y70000D02*X56700D01* +X54700Y69500D02*X55200Y70000D01* +X54700Y69500D02*Y68500D01* +X55200Y68000D01* +X56700D01* +X57900Y69500D02*Y68500D01* +Y69500D02*X58400Y70000D01* +X59400D01* +X59900Y69500D01* +Y68500D01* +X59400Y68000D02*X59900Y68500D01* +X58400Y68000D02*X59400D01* +X57900Y68500D02*X58400Y68000D01* +X63100Y72000D02*Y68000D01* +X62600D02*X63100Y68500D01* +X61600Y68000D02*X62600D01* +X61100Y68500D02*X61600Y68000D01* +X61100Y69500D02*Y68500D01* +Y69500D02*X61600Y70000D01* +X62600D01* +X63100Y69500D01* +X64800Y68000D02*X66300D01* +X64300Y68500D02*X64800Y68000D01* +X64300Y69500D02*Y68500D01* +Y69500D02*X64800Y70000D01* +X65800D01* +X66300Y69500D01* +X64300Y69000D02*X66300D01* +Y69500D02*Y69000D01* +X68000Y68000D02*X69500D01* +X70000Y68500D01* +X69500Y69000D02*X70000Y68500D01* +X68000Y69000D02*X69500D01* +X67500Y69500D02*X68000Y69000D01* +X67500Y69500D02*X68000Y70000D01* +X69500D01* +X70000Y69500D01* +X67500Y68500D02*X68000Y68000D01* +X71700Y69500D02*Y68000D01* +Y69500D02*X72200Y70000D01* +X73200D01* +X71200D02*X71700Y69500D01* +X74900Y70000D02*X76400D01* +X74400Y69500D02*X74900Y70000D01* +X74400Y69500D02*Y68500D01* +X74900Y68000D01* +X76400D01* +X77600D02*X78100D01* +X79800Y70000D02*X81300D01* +X79300Y69500D02*X79800Y70000D01* +X79300Y69500D02*Y68500D01* +X79800Y68000D01* +X81300D01* +X82500Y69500D02*Y68500D01* +Y69500D02*X83000Y70000D01* +X84000D01* +X84500Y69500D01* +Y68500D01* +X84000Y68000D02*X84500Y68500D01* +X83000Y68000D02*X84000D01* +X82500Y68500D02*X83000Y68000D01* +X86200Y69500D02*Y68000D01* +Y69500D02*X86700Y70000D01* +X87200D01* +X87700Y69500D01* +Y68000D01* +Y69500D02*X88200Y70000D01* +X88700D01* +X89200Y69500D01* +Y68000D01* +X85700Y70000D02*X86200Y69500D01* +X28000Y80000D02*Y76000D01* +Y80000D02*X29500Y78000D01* +X31000Y80000D01* +Y76000D01* +X32200Y79000D02*Y78900D01* +Y77500D02*Y76000D01* +X33700Y78000D02*X35200D01* +X33200Y77500D02*X33700Y78000D01* +X33200Y77500D02*Y76500D01* +X33700Y76000D01* +X35200D01* +X36400Y80000D02*Y76000D01* +Y77500D02*X36900Y78000D01* +X37900D01* +X38400Y77500D01* +Y76000D01* +X41100Y78000D02*X41600Y77500D01* +X40100Y78000D02*X41100D01* +X39600Y77500D02*X40100Y78000D01* +X39600Y77500D02*Y76500D01* +X40100Y76000D01* +X41600Y78000D02*Y76500D01* +X42100Y76000D01* +X40100D02*X41100D01* +X41600Y76500D01* +X43800Y76000D02*X45300D01* +X43300Y76500D02*X43800Y76000D01* +X43300Y77500D02*Y76500D01* +Y77500D02*X43800Y78000D01* +X44800D01* +X45300Y77500D01* +X43300Y77000D02*X45300D01* +Y77500D02*Y77000D01* +X46500Y80000D02*Y76500D01* +X47000Y76000D01* +X49800Y80000D02*Y76000D01* +Y80000D02*X51300Y78000D01* +X52800Y80000D01* +Y76000D01* +X54500Y78000D02*X56000D01* +X54000Y77500D02*X54500Y78000D01* +X54000Y77500D02*Y76500D01* +X54500Y76000D01* +X56000D01* +X57200Y80000D02*Y76000D01* +Y80000D02*X58700Y78000D01* +X60200Y80000D01* +Y76000D01* +X62900Y78000D02*X63400Y77500D01* +X61900Y78000D02*X62900D01* +X61400Y77500D02*X61900Y78000D01* +X61400Y77500D02*Y76500D01* +X61900Y76000D01* +X63400Y78000D02*Y76500D01* +X63900Y76000D01* +X61900D02*X62900D01* +X63400Y76500D01* +X65600Y76000D02*X67100D01* +X67600Y76500D01* +X67100Y77000D02*X67600Y76500D01* +X65600Y77000D02*X67100D01* +X65100Y77500D02*X65600Y77000D01* +X65100Y77500D02*X65600Y78000D01* +X67100D01* +X67600Y77500D01* +X65100Y76500D02*X65600Y76000D01* +X69300Y80000D02*Y76500D01* +X69800Y76000D01* +X68800Y78500D02*X69800D01* +X71300Y76000D02*X72800D01* +X70800Y76500D02*X71300Y76000D01* +X70800Y77500D02*Y76500D01* +Y77500D02*X71300Y78000D01* +X72300D01* +X72800Y77500D01* +X70800Y77000D02*X72800D01* +Y77500D02*Y77000D01* +X74500Y77500D02*Y76000D01* +Y77500D02*X75000Y78000D01* +X76000D01* +X74000D02*X74500Y77500D01* +G54D100*X358800Y330900D02*Y322100D01* +X374200D01* +Y330900D02*Y322100D01* +X358800Y330900D02*X374200D01* +G54D101*X117000Y354500D02*X367000D01* +X117000D02*Y334500D01* +X367000D01* +Y354500D02*Y334500D01* +X357000Y354500D02*Y344500D01* +X367000D01* +Y389500D02*Y354500D01* +X117000Y389500D02*X367000D01* +X117000D02*Y354500D01* +X116000Y228000D02*X150000D01* +X116000D02*Y197000D01* +X150000D01* +Y228000D02*Y215000D01* +Y210000D02*Y197000D01* +Y215000D02*G75*G03X150000Y210000I0J-2500D01*G01* +G54D100*X201100Y269700D02*X209900D01* +X201100D02*Y254300D01* +X209900D01* +Y269700D02*Y254300D01* +G54D102*X151728Y204291D02*X155271D01* +Y211771D02*Y204291D01* +X151728Y211771D02*X155271D01* +X151728D02*Y204291D01* +G54D101*X5000Y330500D02*X97000D01* +X5000D02*Y389500D01* +X97000D01* +Y330500D01* +G54D100*X70100Y233700D02*X78900D01* +X70100D02*Y218300D01* +X78900D01* +Y233700D02*Y218300D01* +G54D101*X36000Y318500D02*X46000D01* +X36000D02*Y298500D01* +X46000D01* +Y318500D02*Y298500D01* +X36000Y318500D02*Y308500D01* +X46000D01* +X82208Y318646D02*Y299748D01* +X53468Y318646D02*X82208D01* +X53468D02*Y299748D01* +X82208D01* +X77484Y303685D02*Y299748D01* +X76696Y303685D02*X77484D01* +X76696D02*Y300536D01* +X77484Y318646D02*Y314709D01* +X76696D02*X77484D01* +X76696Y317859D02*Y314709D01* +X66854Y313528D02*X69216Y315890D01* +X66460Y318646D01* +Y313922D01* +X66854Y313528D01* +X60555Y315890D02*X66460D01* +X70003Y318646D02*Y313134D01* +X69216Y315890D02*X72366D01* +G54D100*X16000Y325500D02*X26000D01* +X16000D02*Y304500D01* +X26000D01* +Y325500D02*Y304500D01* +G54D101*X11248Y228792D02*X30146D01* +Y257532D02*Y228792D01* +X11248Y257532D02*X30146D01* +X11248D02*Y228792D01* +Y233516D02*X15185D01* +Y234304D02*Y233516D01* +X12036Y234304D02*X15185D01* +X26209Y233516D02*X30146D01* +X26209Y234304D02*Y233516D01* +Y234304D02*X29359D01* +X25028Y244146D02*X27390Y241784D01* +X30146Y244540D01* +X25422D02*X30146D01* +X25422D02*X25028Y244146D01* +X27390Y250445D02*Y244540D01* +X24634Y240997D02*X30146D01* +X27390Y241784D02*Y238634D01* +G54D103*X115000Y251000D02*X205000D01* +X115000Y241000D02*X205000D01* +G54D101*X200000Y251000D02*Y241000D01* +G54D103*X205000D02*G75*G03X205000Y251000I0J5000D01*G01* +X115000D02*G75*G03X115000Y241000I0J-5000D01*G01* +G54D100*X291200Y160900D02*Y152100D01* +X275800Y160900D02*X291200D01* +X275800D02*Y152100D01* +X291200D01* +X290200Y160900D02*Y152100D01* +G54D101*X254500Y228250D02*X288500D01* +X254500D02*Y197250D01* +X288500D01* +Y228250D02*Y215250D01* +Y210250D02*Y197250D01* +Y215250D02*G75*G03X288500Y210250I0J-2500D01*G01* +G54D100*X291200Y173400D02*Y164600D01* +X275800Y173400D02*X291200D01* +X275800D02*Y164600D01* +X291200D01* +G54D102*X291228Y202728D02*X294771D01* +Y210208D02*Y202728D01* +X291228Y210208D02*X294771D01* +X291228D02*Y202728D01* +G54D100*X335100Y190800D02*X343900D01* +Y206200D02*Y190800D01* +X335100Y206200D02*X343900D01* +X335100D02*Y190800D01* +X301600Y269700D02*X310400D01* +X301600D02*Y254300D01* +X310400D01* +Y269700D02*Y254300D01* +G54D102*X229728Y204291D02*X233271D01* +Y211771D02*Y204291D01* +X229728Y211771D02*X233271D01* +X229728D02*Y204291D01* +G54D101*X194000Y228000D02*X228000D01* +X194000D02*Y197000D01* +X228000D01* +Y228000D02*Y215000D01* +Y210000D02*Y197000D01* +Y215000D02*G75*G03X228000Y210000I0J-2500D01*G01* +G54D103*X217000Y251000D02*X307000D01* +X217000Y241000D02*X307000D01* +G54D101*X302000Y251000D02*Y241000D01* +G54D103*X307000D02*G75*G03X307000Y251000I0J5000D01*G01* +X217000D02*G75*G03X217000Y241000I0J-5000D01*G01* +G54D101*X354250Y263250D02*Y211250D01* +X322750D02*X354250D01* +X322750Y263250D02*Y211250D01* +Y263250D02*X354250D01* +X338750Y227250D02*Y223250D01* +G54D100*X167241Y149159D02*X218659D01* +Y94841D01* +X164341D01* +Y146259D01* +X167241Y149159D01* +X166241Y146259D02*G75*G03X166241Y146259I1000J0D01*G01* +G54D102*X190728Y159228D02*X194271D01* +Y166708D02*Y159228D01* +X190728Y166708D02*X194271D01* +X190728D02*Y159228D01* +X220228Y150728D02*X223771D01* +Y158208D02*Y150728D01* +X220228Y158208D02*X223771D01* +X220228D02*Y150728D01* +Y85791D02*X223771D01* +Y93271D02*Y85791D01* +X220228Y93271D02*X223771D01* +X220228D02*Y85791D01* +X228728Y123728D02*X232271D01* +Y131208D02*Y123728D01* +X228728Y131208D02*X232271D01* +X228728D02*Y123728D01* +X234300Y123656D02*X237843D01* +Y131136D02*Y123656D01* +X234300Y131136D02*X237843D01* +X234300D02*Y123656D01* +X228729Y122272D02*X232272D01* +X228729D02*Y114792D01* +X232272D01* +Y122272D02*Y114792D01* +X159229Y158209D02*X162772D01* +X159229D02*Y150729D01* +X162772D01* +Y158209D02*Y150729D01* +G54D100*X131300Y158900D02*Y150100D01* +X146700D01* +Y158900D02*Y150100D01* +X131300Y158900D02*X146700D01* +G54D102*X159228Y85728D02*X162771D01* +Y93208D02*Y85728D01* +X159228Y93208D02*X162771D01* +X159228D02*Y85728D01* +X191228Y70229D02*Y73772D01* +X198708Y70229D02*X191228D01* +X198708Y73772D02*Y70229D01* +X191228Y73772D02*X198708D01* +X196729Y83209D02*X200272D01* +X196729D02*Y75729D01* +X200272D01* +Y83209D02*Y75729D01* +G54D100*X164800Y67900D02*Y59100D01* +X180200D01* +Y67900D02*Y59100D01* +X164800Y67900D02*X180200D01* +X164739Y78652D02*Y69852D01* +X180139D01* +Y78652D01* +X164739D01* +G54D102*X191229Y83209D02*X194772D01* +X191229D02*Y75729D01* +X194772D01* +Y83209D02*Y75729D01* +G54D101*X113894Y73500D02*X127694D01* +X113894Y118500D02*X127694D01* +Y109406D01* +X127700Y109400D01* +X127600Y82300D02*Y73500D01* +X113900Y118500D02*Y109400D01* +Y82200D02*Y73500D01* +Y109400D02*X119300D01* +Y82200D01* +X113900D02*X119300D01* +G54D102*X274791Y17772D02*Y14229D01* +X282271D01* +Y17772D02*Y14229D01* +X274791Y17772D02*X282271D01* +G54D100*X310600Y96300D02*X319400D01* +Y111700D02*Y96300D01* +X310600Y111700D02*X319400D01* +X310600D02*Y96300D01* +X358100Y95300D02*X366900D01* +Y110700D02*Y95300D01* +X358100Y110700D02*X366900D01* +X358100D02*Y95300D01* +G54D101*X353750Y150750D02*Y98750D01* +X322250D02*X353750D01* +X322250Y150750D02*Y98750D01* +Y150750D02*X353750D01* +X338250Y114750D02*Y110750D01* +G54D100*X340100Y67800D02*X348900D01* +Y83200D02*Y67800D01* +X340100Y83200D02*X348900D01* +X340100D02*Y67800D01* +X329600D02*X338400D01* +Y83200D02*Y67800D01* +X329600Y83200D02*X338400D01* +X329600D02*Y67800D01* +X319100D02*X327900D01* +Y83200D02*Y67800D01* +X319100Y83200D02*X327900D01* +X319100D02*Y67800D01* +X308600D02*X317400D01* +Y83200D02*Y67800D01* +X308600Y83200D02*X317400D01* +X308600D02*Y67800D01* +X297600D02*X306400D01* +Y83200D02*Y67800D01* +X297600Y83200D02*X306400D01* +X297600D02*Y67800D01* +X286600D02*X295400D01* +Y83200D02*Y67800D01* +X286600Y83200D02*X295400D01* +X286600D02*Y67800D01* +G54D102*X154221Y791D02*X191229D01* +X157764D02*Y2169D01* +Y10634D02*Y12799D01* +X187882Y791D02*Y2169D01* +Y10634D02*Y12799D01* +X165047Y18508D02*X166229D01* +X179024D02*X180402D01* +G54D100*X161500Y38500D02*Y28500D01* +X140500Y38500D02*X161500D01* +X140500D02*Y28500D01* +X161500D01* +X244200Y78400D02*Y69600D01* +X228800Y78400D02*X244200D01* +X228800D02*Y69600D01* +X244200D01* +G54D102*X255000Y7000D02*X263000D01* +X255000Y12000D02*Y7000D01* +X224500Y12000D02*X255000D01* +X224500D02*X222000Y9500D01* +X263000Y7000D02*Y4500D01* +X266500D01* +X272000Y64500D02*Y11500D01* +X253000Y64500D02*X272000D01* +X230000D02*X243000D01* +X216500D02*X220500D01* +X216500D02*Y16500D01* +G54D101*X122292Y65252D02*Y46354D01* +X151032D01* +Y65252D01* +X122292D01* +X127016D02*Y61315D01* +X127804D01* +Y64464D01* +X127016Y46354D02*Y50291D01* +X127804D01* +Y47141D01* +X137646Y51472D02*X135284Y49110D01* +X138040Y46354D01* +Y51078D01* +X137646Y51472D01* +X138040Y49110D02*X143945D01* +X134497Y51866D02*Y46354D01* +X132134Y49110D02*X135284D01* +G54D102*X293200Y158600D02*Y154600D01* +X295200D01* +X296400Y156800D02*X297900D01* +X296400Y154600D02*X298400D01* +X296400Y158600D02*Y154600D01* +Y158600D02*X298400D01* +X300100D02*Y154600D01* +X301400Y158600D02*X302100Y157900D01* +Y155300D01* +X301400Y154600D02*X302100Y155300D01* +X299600Y154600D02*X301400D01* +X299600Y158600D02*X301400D01* +X303300Y157800D02*X304100Y158600D01* +Y154600D01* +X303300D02*X304800D01* +X328900Y192100D02*X330200D01* +X328200Y192800D02*X328900Y192100D01* +X328200Y195400D02*Y192800D01* +Y195400D02*X328900Y196100D01* +X330200D01* +X331400D02*X333400D01* +X331400D02*Y194100D01* +X331900Y194600D01* +X332900D01* +X333400Y194100D01* +Y192600D01* +X332900Y192100D02*X333400Y192600D01* +X331900Y192100D02*X332900D01* +X331400Y192600D02*X331900Y192100D01* +X293700Y171100D02*X295700D01* +X296200Y170600D01* +Y169600D01* +X295700Y169100D02*X296200Y169600D01* +X294200Y169100D02*X295700D01* +X294200Y171100D02*Y167100D01* +X295000Y169100D02*X296200Y167100D01* +X297400Y170600D02*X297900Y171100D01* +X298900D01* +X299400Y170600D01* +X298900Y167100D02*X299400Y167600D01* +X297900Y167100D02*X298900D01* +X297400Y167600D02*X297900Y167100D01* +Y169300D02*X298900D01* +X299400Y170600D02*Y169800D01* +Y168800D02*Y167600D01* +Y168800D02*X298900Y169300D01* +X299400Y169800D02*X298900Y169300D01* +X295965Y207865D02*Y206565D01* +X296665Y208565D02*X295965Y207865D01* +X296665Y208565D02*X299265D01* +X299965Y207865D01* +Y206565D01* +X299465Y205365D02*X299965Y204865D01* +Y203865D01* +X299465Y203365D01* +X295965Y203865D02*X296465Y203365D01* +X295965Y204865D02*Y203865D01* +X296465Y205365D02*X295965Y204865D01* +X298165D02*Y203865D01* +X298665Y203365D02*X299465D01* +X296465D02*X297665D01* +X298165Y203865D01* +X298665Y203365D02*X298165Y203865D01* +X285266Y14104D02*X286566D01* +X284566Y14804D02*X285266Y14104D01* +X284566Y17404D02*Y14804D01* +Y17404D02*X285266Y18104D01* +X286566D01* +X287766Y17604D02*X288266Y18104D01* +X289766D01* +X290266Y17604D01* +Y16604D01* +X287766Y14104D02*X290266Y16604D01* +X287766Y14104D02*X290266D01* +X291466Y17604D02*X291966Y18104D01* +X293466D01* +X293966Y17604D01* +Y16604D01* +X291466Y14104D02*X293966Y16604D01* +X291466Y14104D02*X293966D01* +X289400Y61700D02*Y59700D01* +Y61700D02*X289900Y62200D01* +X290900D01* +X291400Y61700D02*X290900Y62200D01* +X291400Y61700D02*Y60200D01* +X289400D02*X293400D01* +X291400Y61000D02*X293400Y62200D01* +X289400Y64900D02*X289900Y65400D01* +X289400Y64900D02*Y63900D01* +X289900Y63400D02*X289400Y63900D01* +X289900Y63400D02*X292900D01* +X293400Y63900D01* +X291200Y64900D02*X291700Y65400D01* +X291200Y64900D02*Y63400D01* +X293400Y64900D02*Y63900D01* +Y64900D02*X292900Y65400D01* +X291700D02*X292900D01* +X311400Y115100D02*X312700D01* +X310700Y115800D02*X311400Y115100D01* +X310700Y118400D02*Y115800D01* +Y118400D02*X311400Y119100D01* +X312700D01* +X313900Y118300D02*X314700Y119100D01* +Y115100D01* +X313900D02*X315400D01* +X316600Y118600D02*X317100Y119100D01* +X318100D01* +X318600Y118600D01* +X318100Y115100D02*X318600Y115600D01* +X317100Y115100D02*X318100D01* +X316600Y115600D02*X317100Y115100D01* +Y117300D02*X318100D01* +X318600Y118600D02*Y117800D01* +Y116800D02*Y115600D01* +Y116800D02*X318100Y117300D01* +X318600Y117800D02*X318100Y117300D01* +X358900Y113100D02*X360200D01* +X358200Y113800D02*X358900Y113100D01* +X358200Y116400D02*Y113800D01* +Y116400D02*X358900Y117100D01* +X360200D01* +X361400Y116300D02*X362200Y117100D01* +Y113100D01* +X361400D02*X362900D01* +X364100Y116600D02*X364600Y117100D01* +X366100D01* +X366600Y116600D01* +Y115600D01* +X364100Y113100D02*X366600Y115600D01* +X364100Y113100D02*X366600D01* +X335250Y156750D02*Y153250D01* +X335750Y152750D01* +X336750D01* +X337250Y153250D01* +Y156750D02*Y153250D01* +X339950Y156750D02*X340450Y156250D01* +X338950Y156750D02*X339950D01* +X338450Y156250D02*X338950Y156750D01* +X338450Y156250D02*Y153250D01* +X338950Y152750D01* +X339950Y154950D02*X340450Y154450D01* +X338450Y154950D02*X339950D01* +X338950Y152750D02*X339950D01* +X340450Y153250D01* +Y154450D02*Y153250D01* +X342900Y58700D02*Y56700D01* +Y58700D02*X343400Y59200D01* +X344400D01* +X344900Y58700D02*X344400Y59200D01* +X344900Y58700D02*Y57200D01* +X342900D02*X346900D01* +X344900Y58000D02*X346900Y59200D01* +X343700Y60400D02*X342900Y61200D01* +X346900D01* +Y61900D02*Y60400D01* +X343400Y63100D02*X342900Y63600D01* +Y65100D02*Y63600D01* +Y65100D02*X343400Y65600D01* +X344400D01* +X346900Y63100D02*X344400Y65600D01* +X346900D02*Y63100D01* +X332400Y59700D02*Y57700D01* +Y59700D02*X332900Y60200D01* +X333900D01* +X334400Y59700D02*X333900Y60200D01* +X334400Y59700D02*Y58200D01* +X332400D02*X336400D01* +X334400Y59000D02*X336400Y60200D01* +X333200Y61400D02*X332400Y62200D01* +X336400D01* +Y62900D02*Y61400D01* +X333200Y64100D02*X332400Y64900D01* +X336400D01* +Y65600D02*Y64100D01* +X322217Y59224D02*Y57224D01* +Y59224D02*X322717Y59724D01* +X323717D01* +X324217Y59224D02*X323717Y59724D01* +X324217Y59224D02*Y57724D01* +X322217D02*X326217D01* +X324217Y58524D02*X326217Y59724D01* +X323017Y60924D02*X322217Y61724D01* +X326217D01* +Y62424D02*Y60924D01* +X325717Y63624D02*X326217Y64124D01* +X322717Y63624D02*X325717D01* +X322717D02*X322217Y64124D01* +Y65124D02*Y64124D01* +Y65124D02*X322717Y65624D01* +X325717D01* +X326217Y65124D02*X325717Y65624D01* +X326217Y65124D02*Y64124D01* +X325217Y63624D02*X323217Y65624D01* +X311400Y61200D02*Y59200D01* +Y61200D02*X311900Y61700D01* +X312900D01* +X313400Y61200D02*X312900Y61700D01* +X313400Y61200D02*Y59700D01* +X311400D02*X315400D01* +X313400Y60500D02*X315400Y61700D01* +X314900Y62900D02*X315400Y63400D01* +X314100Y62900D02*X314900D01* +X314100D02*X313400Y63600D01* +Y64200D02*Y63600D01* +Y64200D02*X314100Y64900D01* +X314900D01* +X315400Y64400D02*X314900Y64900D01* +X315400Y64400D02*Y63400D01* +X312700Y62900D02*X313400Y63600D01* +X311900Y62900D02*X312700D01* +X311900D02*X311400Y63400D01* +Y64400D02*Y63400D01* +Y64400D02*X311900Y64900D01* +X312700D01* +X313400Y64200D02*X312700Y64900D01* +X300400Y61200D02*Y59200D01* +Y61200D02*X300900Y61700D01* +X301900D01* +X302400Y61200D02*X301900Y61700D01* +X302400Y61200D02*Y59700D01* +X300400D02*X304400D01* +X302400Y60500D02*X304400Y61700D01* +Y63400D02*X300400Y65400D01* +Y62900D01* +X144000Y233500D02*Y230000D01* +X144500Y229500D01* +X145500D01* +X146000Y230000D01* +Y233500D02*Y230000D01* +X147200Y233500D02*X149200D01* +X147200D02*Y231500D01* +X147700Y232000D01* +X148700D01* +X149200Y231500D01* +Y230000D01* +X148700Y229500D02*X149200Y230000D01* +X147700Y229500D02*X148700D01* +X147200Y230000D02*X147700Y229500D01* +X201900Y270600D02*X203200D01* +X201200Y271300D02*X201900Y270600D01* +X201200Y273900D02*Y271300D01* +Y273900D02*X201900Y274600D01* +X203200D01* +X204400Y273800D02*X205200Y274600D01* +Y270600D01* +X204400D02*X205900D01* +X207100Y272100D02*X209100Y274600D01* +X207100Y272100D02*X209600D01* +X209100Y274600D02*Y270600D01* +X221500Y233000D02*Y229500D01* +X222000Y229000D01* +X223000D01* +X223500Y229500D01* +Y233000D02*Y229500D01* +X224700Y230500D02*X226700Y233000D01* +X224700Y230500D02*X227200D01* +X226700Y233000D02*Y229000D01* +X192500Y238000D02*X194500D01* +X195000Y237500D01* +Y236500D01* +X194500Y236000D02*X195000Y236500D01* +X193000Y236000D02*X194500D01* +X193000Y238000D02*Y234000D01* +X193800Y236000D02*X195000Y234000D01* +X196200Y237200D02*X197000Y238000D01* +Y234000D01* +X196200D02*X197700D01* +X365900Y316100D02*X367200D01* +X365200Y316800D02*X365900Y316100D01* +X365200Y319400D02*Y316800D01* +Y319400D02*X365900Y320100D01* +X367200D01* +X368400Y319300D02*X369200Y320100D01* +Y316100D01* +X368400D02*X369900D01* +X282250Y233500D02*Y230000D01* +X282750Y229500D01* +X283750D01* +X284250Y230000D01* +Y233500D02*Y230000D01* +X285450Y233000D02*X285950Y233500D01* +X286950D01* +X287450Y233000D01* +X286950Y229500D02*X287450Y230000D01* +X285950Y229500D02*X286950D01* +X285450Y230000D02*X285950Y229500D01* +Y231700D02*X286950D01* +X287450Y233000D02*Y232200D01* +Y231200D02*Y230000D01* +Y231200D02*X286950Y231700D01* +X287450Y232200D02*X286950Y231700D01* +X313900Y263600D02*X315200D01* +X313200Y264300D02*X313900Y263600D01* +X313200Y266900D02*Y264300D01* +Y266900D02*X313900Y267600D01* +X315200D01* +X316900Y263600D02*X318900Y267600D01* +X316400D02*X318900D01* +X313500Y248500D02*X315500D01* +X316000Y248000D01* +Y247000D01* +X315500Y246500D02*X316000Y247000D01* +X314000Y246500D02*X315500D01* +X314000Y248500D02*Y244500D01* +X314800Y246500D02*X316000Y244500D01* +X317200Y248000D02*X317700Y248500D01* +X319200D01* +X319700Y248000D01* +Y247000D01* +X317200Y244500D02*X319700Y247000D01* +X317200Y244500D02*X319700D01* +X323250Y269250D02*Y265750D01* +X323750Y265250D01* +X324750D01* +X325250Y265750D01* +Y269250D02*Y265750D01* +X326450Y268750D02*X326950Y269250D01* +X328450D01* +X328950Y268750D01* +Y267750D01* +X326450Y265250D02*X328950Y267750D01* +X326450Y265250D02*X328950D01* +X49200Y362500D02*X50000D01* +Y359000D01* +X49500Y358500D02*X50000Y359000D01* +X49000Y358500D02*X49500D01* +X48500Y359000D02*X49000Y358500D01* +X48500Y359500D02*Y359000D01* +X51200Y361700D02*X52000Y362500D01* +Y358500D01* +X51200D02*X52700D01* +X243200Y373000D02*X244000D01* +Y369500D01* +X243500Y369000D02*X244000Y369500D01* +X243000Y369000D02*X243500D01* +X242500Y369500D02*X243000Y369000D01* +X242500Y370000D02*Y369500D01* +X245200Y372500D02*X245700Y373000D01* +X247200D01* +X247700Y372500D01* +Y371500D01* +X245200Y369000D02*X247700Y371500D01* +X245200Y369000D02*X247700D01* +X62400Y224600D02*X63700D01* +X61700Y225300D02*X62400Y224600D01* +X61700Y227900D02*Y225300D01* +Y227900D02*X62400Y228600D01* +X63700D01* +X64900Y228100D02*X65400Y228600D01* +X66900D01* +X67400Y228100D01* +Y227100D01* +X64900Y224600D02*X67400Y227100D01* +X64900Y224600D02*X67400D01* +X4091Y234910D02*X8091D01* +X4091Y236210D02*X4791Y236910D01* +X7391D01* +X8091Y236210D02*X7391Y236910D01* +X8091Y236210D02*Y234410D01* +X4091Y236210D02*Y234410D01* +X4591Y238110D02*X4091Y238610D01* +Y240110D02*Y238610D01* +Y240110D02*X4591Y240610D01* +X5591D01* +X8091Y238110D02*X5591Y240610D01* +X8091D02*Y238110D01* +X34200Y296000D02*X35000D01* +Y292500D01* +X34500Y292000D02*X35000Y292500D01* +X34000Y292000D02*X34500D01* +X33500Y292500D02*X34000Y292000D01* +X33500Y293000D02*Y292500D01* +X36200Y295500D02*X36700Y296000D01* +X37700D01* +X38200Y295500D01* +X37700Y292000D02*X38200Y292500D01* +X36700Y292000D02*X37700D01* +X36200Y292500D02*X36700Y292000D01* +Y294200D02*X37700D01* +X38200Y295500D02*Y294700D01* +Y293700D02*Y292500D01* +Y293700D02*X37700Y294200D01* +X38200Y294700D02*X37700Y294200D01* +X8500Y323000D02*Y319000D01* +Y323000D02*X10500D01* +X8500Y321200D02*X10000D01* +X11700Y322200D02*X12500Y323000D01* +Y319000D01* +X11700D02*X13200D01* +X75409Y297409D02*Y293409D01* +X76709Y297409D02*X77409Y296709D01* +Y294109D01* +X76709Y293409D02*X77409Y294109D01* +X74909Y293409D02*X76709D01* +X74909Y297409D02*X76709D01* +X78609Y296609D02*X79409Y297409D01* +Y293409D01* +X78609D02*X80109D01* +X156535Y211172D02*Y209872D01* +X157235Y211872D02*X156535Y211172D01* +X157235Y211872D02*X159835D01* +X160535Y211172D01* +Y209872D01* +X159735Y208672D02*X160535Y207872D01* +X156535D02*X160535D01* +X156535Y208672D02*Y207172D01* +X159735Y205972D02*X160535Y205172D01* +X156535D02*X160535D01* +X156535Y205972D02*Y204472D01* +X234535Y211672D02*Y210372D01* +X235235Y212372D02*X234535Y211672D01* +X235235Y212372D02*X237835D01* +X238535Y211672D01* +Y210372D01* +X237735Y209172D02*X238535Y208372D01* +X234535D02*X238535D01* +X234535Y209172D02*Y207672D01* +X235035Y206472D02*X234535Y205972D01* +X235035Y206472D02*X238035D01* +X238535Y205972D01* +Y204972D01* +X238035Y204472D01* +X235035D02*X238035D01* +X234535Y204972D02*X235035Y204472D01* +X234535Y205972D02*Y204972D01* +X235535Y206472D02*X237535Y204472D01* +X188500Y123000D02*Y119500D01* +X189000Y119000D01* +X190000D01* +X190500Y119500D01* +Y123000D02*Y119500D01* +X191700Y122200D02*X192500Y123000D01* +Y119000D01* +X191700D02*X193200D01* +X197265Y162035D02*X198565D01* +X196565Y162735D02*X197265Y162035D01* +X196565Y165335D02*Y162735D01* +Y165335D02*X197265Y166035D01* +X198565D01* +X199765Y165235D02*X200565Y166035D01* +Y162035D01* +X199765D02*X201265D01* +X202965D02*X204465Y164035D01* +Y165535D02*Y164035D01* +X203965Y166035D02*X204465Y165535D01* +X202965Y166035D02*X203965D01* +X202465Y165535D02*X202965Y166035D01* +X202465Y165535D02*Y164535D01* +X202965Y164035D01* +X204465D01* +X218765Y160035D02*X220065D01* +X218065Y160735D02*X218765Y160035D01* +X218065Y163335D02*Y160735D01* +Y163335D02*X218765Y164035D01* +X220065D01* +X221265Y163535D02*X221765Y164035D01* +X223265D01* +X223765Y163535D01* +Y162535D01* +X221265Y160035D02*X223765Y162535D01* +X221265Y160035D02*X223765D01* +X224965Y163235D02*X225765Y164035D01* +Y160035D01* +X224965D02*X226465D01* +X229765Y133035D02*X231065D01* +X229065Y133735D02*X229765Y133035D01* +X229065Y136335D02*Y133735D01* +Y136335D02*X229765Y137035D01* +X231065D01* +X232265Y136535D02*X232765Y137035D01* +X234265D01* +X234765Y136535D01* +Y135535D01* +X232265Y133035D02*X234765Y135535D01* +X232265Y133035D02*X234765D01* +X235965Y134535D02*X237965Y137035D01* +X235965Y134535D02*X238465D01* +X237965Y137035D02*Y133035D01* +X239693Y127963D02*X240993D01* +X238993Y128663D02*X239693Y127963D01* +X238993Y131263D02*Y128663D01* +Y131263D02*X239693Y131963D01* +X240993D01* +X242193Y131463D02*X242693Y131963D01* +X244193D01* +X244693Y131463D01* +Y130463D01* +X242193Y127963D02*X244693Y130463D01* +X242193Y127963D02*X244693D01* +X247393Y131963D02*X247893Y131463D01* +X246393Y131963D02*X247393D01* +X245893Y131463D02*X246393Y131963D01* +X245893Y131463D02*Y128463D01* +X246393Y127963D01* +X247393Y130163D02*X247893Y129663D01* +X245893Y130163D02*X247393D01* +X246393Y127963D02*X247393D01* +X247893Y128463D01* +Y129663D02*Y128463D01* +X233765Y116035D02*X235065D01* +X233065Y116735D02*X233765Y116035D01* +X233065Y119335D02*Y116735D01* +Y119335D02*X233765Y120035D01* +X235065D01* +X236265Y119535D02*X236765Y120035D01* +X238265D01* +X238765Y119535D01* +Y118535D01* +X236265Y116035D02*X238765Y118535D01* +X236265Y116035D02*X238765D01* +X240465D02*X242465Y120035D01* +X239965D02*X242465D01* +X157765Y160472D02*X159065D01* +X157065Y161172D02*X157765Y160472D01* +X157065Y163772D02*Y161172D01* +Y163772D02*X157765Y164472D01* +X159065D01* +X160265Y163672D02*X161065Y164472D01* +Y160472D01* +X160265D02*X161765D01* +X163465D02*X165465Y164472D01* +X162965D02*X165465D01* +X137400Y161100D02*X138700D01* +X136700Y161800D02*X137400Y161100D01* +X136700Y164400D02*Y161800D01* +Y164400D02*X137400Y165100D01* +X138700D01* +X139900Y162600D02*X141900Y165100D01* +X139900Y162600D02*X142400D01* +X141900Y165100D02*Y161100D01* +X119200Y125800D02*X120000D01* +Y122300D01* +X119500Y121800D02*X120000Y122300D01* +X119000Y121800D02*X119500D01* +X118500Y122300D02*X119000Y121800D01* +X118500Y122800D02*Y122300D01* +X121200Y123300D02*X123200Y125800D01* +X121200Y123300D02*X123700D01* +X123200Y125800D02*Y121800D01* +X228202Y87035D02*X229502D01* +X227502Y87735D02*X228202Y87035D01* +X227502Y90335D02*Y87735D01* +Y90335D02*X228202Y91035D01* +X229502D01* +X230702Y90535D02*X231202Y91035D01* +X232702D01* +X233202Y90535D01* +Y89535D01* +X230702Y87035D02*X233202Y89535D01* +X230702Y87035D02*X233202D01* +X234402Y87535D02*X234902Y87035D01* +X234402Y88335D02*Y87535D01* +Y88335D02*X235102Y89035D01* +X235702D01* +X236402Y88335D01* +Y87535D01* +X235902Y87035D02*X236402Y87535D01* +X234902Y87035D02*X235902D01* +X234402Y89735D02*X235102Y89035D01* +X234402Y90535D02*Y89735D01* +Y90535D02*X234902Y91035D01* +X235902D01* +X236402Y90535D01* +Y89735D01* +X235702Y89035D02*X236402Y89735D01* +X201765Y70035D02*X203065D01* +X201065Y70735D02*X201765Y70035D01* +X201065Y73335D02*Y70735D01* +Y73335D02*X201765Y74035D01* +X203065D01* +X204265Y70535D02*X204765Y70035D01* +X204265Y71335D02*Y70535D01* +Y71335D02*X204965Y72035D01* +X205565D01* +X206265Y71335D01* +Y70535D01* +X205765Y70035D02*X206265Y70535D01* +X204765Y70035D02*X205765D01* +X204265Y72735D02*X204965Y72035D01* +X204265Y73535D02*Y72735D01* +Y73535D02*X204765Y74035D01* +X205765D01* +X206265Y73535D01* +Y72735D01* +X205565Y72035D02*X206265Y72735D01* +X202828Y77535D02*X204128D01* +X202128Y78235D02*X202828Y77535D01* +X202128Y80835D02*Y78235D01* +Y80835D02*X202828Y81535D01* +X204128D01* +X205328Y81035D02*X205828Y81535D01* +X207328D01* +X207828Y81035D01* +Y80035D01* +X205328Y77535D02*X207828Y80035D01* +X205328Y77535D02*X207828D01* +X209028Y78035D02*X209528Y77535D01* +X209028Y81035D02*Y78035D01* +Y81035D02*X209528Y81535D01* +X210528D01* +X211028Y81035D01* +Y78035D01* +X210528Y77535D02*X211028Y78035D01* +X209528Y77535D02*X210528D01* +X209028Y78535D02*X211028Y80535D01* +X220200Y76100D02*X222200D01* +X222700Y75600D01* +Y74600D01* +X222200Y74100D02*X222700Y74600D01* +X220700Y74100D02*X222200D01* +X220700Y76100D02*Y72100D01* +X221500Y74100D02*X222700Y72100D01* +X224400D02*X225900Y74100D01* +Y75600D02*Y74100D01* +X225400Y76100D02*X225900Y75600D01* +X224400Y76100D02*X225400D01* +X223900Y75600D02*X224400Y76100D01* +X223900Y75600D02*Y74600D01* +X224400Y74100D01* +X225900D01* +X157200Y66100D02*X159200D01* +X159700Y65600D01* +Y64600D01* +X159200Y64100D02*X159700Y64600D01* +X157700Y64100D02*X159200D01* +X157700Y66100D02*Y62100D01* +X158500Y64100D02*X159700Y62100D01* +X160900Y66100D02*X162900D01* +X160900D02*Y64100D01* +X161400Y64600D01* +X162400D01* +X162900Y64100D01* +Y62600D01* +X162400Y62100D02*X162900Y62600D01* +X161400Y62100D02*X162400D01* +X160900Y62600D02*X161400Y62100D01* +X149288Y18299D02*X150088D01* +Y14799D01* +X149588Y14299D02*X150088Y14799D01* +X149088Y14299D02*X149588D01* +X148588Y14799D02*X149088Y14299D01* +X148588Y15299D02*Y14799D01* +X151288Y18299D02*X153288D01* +X151288D02*Y16299D01* +X151788Y16799D01* +X152788D01* +X153288Y16299D01* +Y14799D01* +X152788Y14299D02*X153288Y14799D01* +X151788Y14299D02*X152788D01* +X151288Y14799D02*X151788Y14299D01* +X164000Y35500D02*Y31500D01* +Y35500D02*X166000D01* +X164000Y33700D02*X165500D01* +X167200Y35000D02*X167700Y35500D01* +X169200D01* +X169700Y35000D01* +Y34000D01* +X167200Y31500D02*X169700Y34000D01* +X167200Y31500D02*X169700D01* +X152765Y87035D02*X154065D01* +X152065Y87735D02*X152765Y87035D01* +X152065Y90335D02*Y87735D01* +Y90335D02*X152765Y91035D01* +X154065D01* +X155765Y87035D02*X157265Y89035D01* +Y90535D02*Y89035D01* +X156765Y91035D02*X157265Y90535D01* +X155765Y91035D02*X156765D01* +X155265Y90535D02*X155765Y91035D01* +X155265Y90535D02*Y89535D01* +X155765Y89035D01* +X157265D01* +X156139Y76352D02*X158139D01* +X158639Y75852D01* +Y74852D01* +X158139Y74352D02*X158639Y74852D01* +X156639Y74352D02*X158139D01* +X156639Y76352D02*Y72352D01* +X157439Y74352D02*X158639Y72352D01* +X159839Y73852D02*X161839Y76352D01* +X159839Y73852D02*X162339D01* +X161839Y76352D02*Y72352D01* +X182328Y77535D02*X183628D01* +X181628Y78235D02*X182328Y77535D01* +X181628Y80835D02*Y78235D01* +Y80835D02*X182328Y81535D01* +X183628D01* +X184828Y81035D02*X185328Y81535D01* +X186828D01* +X187328Y81035D01* +Y80035D01* +X184828Y77535D02*X187328Y80035D01* +X184828Y77535D02*X187328D01* +X188528Y81035D02*X189028Y81535D01* +X190028D01* +X190528Y81035D01* +X190028Y77535D02*X190528Y78035D01* +X189028Y77535D02*X190028D01* +X188528Y78035D02*X189028Y77535D01* +Y79735D02*X190028D01* +X190528Y81035D02*Y80235D01* +Y79235D02*Y78035D01* +Y79235D02*X190028Y79735D01* +X190528Y80235D02*X190028Y79735D01* +X267200Y70500D02*X268000D01* +Y67000D01* +X267500Y66500D02*X268000Y67000D01* +X267000Y66500D02*X267500D01* +X266500Y67000D02*X267000Y66500D01* +X266500Y67500D02*Y67000D01* +X270700Y70500D02*X271200Y70000D01* +X269700Y70500D02*X270700D01* +X269200Y70000D02*X269700Y70500D01* +X269200Y70000D02*Y67000D01* +X269700Y66500D01* +X270700Y68700D02*X271200Y68200D01* +X269200Y68700D02*X270700D01* +X269700Y66500D02*X270700D01* +X271200Y67000D01* +Y68200D02*Y67000D01* +X123410Y44409D02*Y40409D01* +X124710Y44409D02*X125410Y43709D01* +Y41109D01* +X124710Y40409D02*X125410Y41109D01* +X122910Y40409D02*X124710D01* +X122910Y44409D02*X124710D01* +X126610Y43909D02*X127110Y44409D01* +X128110D01* +X128610Y43909D01* +X128110Y40409D02*X128610Y40909D01* +X127110Y40409D02*X128110D01* +X126610Y40909D02*X127110Y40409D01* +Y42609D02*X128110D01* +X128610Y43909D02*Y43109D01* +Y42109D02*Y40909D01* +Y42109D02*X128110Y42609D01* +X128610Y43109D02*X128110Y42609D01* +M02* diff --git a/hardware/gerber/scsi2sd.GTS b/hardware/gerber/scsi2sd.GTS new file mode 100644 index 0000000..4995dfa --- /dev/null +++ b/hardware/gerber/scsi2sd.GTS @@ -0,0 +1,605 @@ +G04 start of page 7 for group -4063 idx -4063 * +G04 Title: (unknown), componentmask * +G04 Creator: pcb 20110918 * +G04 CreationDate: Wed 02 Oct 2013 12:02:10 GMT UTC * +G04 For: michael * +G04 Format: Gerber/RS-274X * +G04 PCB-Dimensions: 384000 393500 * +G04 PCB-Coordinate-Origin: lower left * +%MOIN*% +%FSLAX25Y25*% +%LNTOPMASK*% +%ADD94C,0.0410*% +%ADD93R,0.0375X0.0375*% +%ADD92R,0.1006X0.1006*% +%ADD91R,0.0660X0.0660*% +%ADD90R,0.0769X0.0769*% +%ADD89R,0.0690X0.0690*% +%ADD88R,0.0218X0.0218*% +%ADD87R,0.0750X0.0750*% +%ADD86R,0.0300X0.0300*% +%ADD85R,0.0611X0.0611*% +%ADD84R,0.0140X0.0140*% +%ADD83R,0.0510X0.0510*% +%ADD82C,0.1600*% +%ADD81C,0.1100*% +%ADD80C,0.0001*% +%ADD79C,0.0660*% +G54D79*X132000Y349500D03* +X142000D03* +X152000D03* +X162000D03* +X172000D03* +X182000D03* +X162000Y339500D03* +X172000D03* +X182000D03* +X192000Y349500D03* +X202000D03* +X212000D03* +X192000Y339500D03* +X202000D03* +X212000D03* +X222000D03* +Y349500D03* +X232000D03* +X242000D03* +X232000Y339500D03* +X242000D03* +X252000D03* +X262000D03* +X272000D03* +X282000D03* +X252000Y349500D03* +X262000D03* +X272000D03* +X282000D03* +X292000Y339500D03* +X302000D03* +X312000D03* +X292000Y349500D03* +X302000D03* +X312000D03* +X322000D03* +X332000D03* +X342000D03* +X322000Y339500D03* +X332000D03* +X342000D03* +X352000D03* +Y349500D03* +G54D80*G36* +X358700Y352800D02*Y346200D01* +X365300D01* +Y352800D01* +X358700D01* +G37* +G54D79*X362000Y339500D03* +G54D80*G36* +X303700Y249300D02*Y242700D01* +X310300D01* +Y249300D01* +X303700D01* +G37* +G54D79*X297000Y246000D03* +X287000D03* +X277000D03* +X267000D03* +X257000D03* +X247000D03* +X237000D03* +X227000D03* +X217000D03* +G54D80*G36* +X201700Y249300D02*Y242700D01* +X208300D01* +Y249300D01* +X201700D01* +G37* +G54D79*X195000Y246000D03* +X185000D03* +X175000D03* +X165000D03* +X155000D03* +X145000D03* +X135000D03* +X125000D03* +X115000D03* +X122000Y339500D03* +Y349500D03* +X132000Y339500D03* +X142000D03* +X152000D03* +G54D81*X61000D03* +X81000D03* +X91000Y349500D03* +G54D82*X31000Y379500D03* +X71000D03* +G54D81*X21000Y339500D03* +X41000D03* +X11000Y349500D03* +G54D80*G36* +X37700Y316800D02*Y310200D01* +X44300D01* +Y316800D01* +X37700D01* +G37* +G54D79*X41000Y303500D03* +G54D83*X362500Y327200D02*Y325800D01* +X370500Y327200D02*Y325800D01* +G54D84*X156492Y98378D02*X161390D01* +X167878Y91890D02*Y86992D01* +G54D80*G36* +X159716Y92722D02*Y90152D01* +X162284D01* +Y92722D01* +X159716D01* +G37* +G36* +Y88784D02*Y86216D01* +X162284D01* +Y88784D01* +X159716D01* +G37* +G54D84*X169846Y91890D02*Y86992D01* +X171815Y91890D02*Y86992D01* +X173783Y91890D02*Y86992D01* +X175752Y91890D02*Y86992D01* +G54D83*X168500Y64200D02*Y62800D01* +X176500Y64200D02*Y62800D01* +X168439Y74952D02*Y73552D01* +X176439Y74952D02*Y73552D01* +G54D84*X177720Y91890D02*Y86992D01* +X179689Y91890D02*Y86992D01* +X181657Y91890D02*Y86992D01* +X183626Y91890D02*Y86992D01* +X185594Y91890D02*Y86992D01* +X187563Y91890D02*Y86992D01* +X189531Y91890D02*Y86992D01* +X191500Y91890D02*Y86992D01* +G54D80*G36* +X195652Y73284D02*Y70716D01* +X198222D01* +Y73284D01* +X195652D01* +G37* +G36* +X191716D02*Y70716D01* +X194284D01* +Y73284D01* +X191716D01* +G37* +G36* +Y78784D02*Y76216D01* +X194284D01* +Y78784D01* +X191716D01* +G37* +G36* +Y82722D02*Y80152D01* +X194284D01* +Y82722D01* +X191716D01* +G37* +G36* +X197216Y78784D02*Y76216D01* +X199784D01* +Y78784D01* +X197216D01* +G37* +G36* +Y82722D02*Y80152D01* +X199784D01* +Y82722D01* +X197216D01* +G37* +G54D84*X193468Y91890D02*Y86992D01* +X195437Y91890D02*Y86992D01* +X197405Y91890D02*Y86992D01* +X199374Y91890D02*Y86992D01* +X201342Y91890D02*Y86992D01* +X203311Y91890D02*Y86992D01* +X205279Y91890D02*Y86992D01* +X207248Y91890D02*Y86992D01* +X209216Y91890D02*Y86992D01* +X211185Y91890D02*Y86992D01* +X213153Y91890D02*Y86992D01* +X215122Y91890D02*Y86992D01* +G54D80*G36* +X220716Y92784D02*Y90216D01* +X223284D01* +Y92784D01* +X220716D01* +G37* +G36* +Y88848D02*Y86278D01* +X223284D01* +Y88848D01* +X220716D01* +G37* +G54D84*X221610Y114126D02*X226508D01* +X221610Y116094D02*X226508D01* +X221610Y118063D02*X226508D01* +X221610Y120031D02*X226508D01* +X221610Y122000D02*X226508D01* +G54D80*G36* +X229216Y117847D02*Y115279D01* +X231784D01* +Y117847D01* +X229216D01* +G37* +G36* +Y121784D02*Y119216D01* +X231784D01* +Y121784D01* +X229216D01* +G37* +G54D83*X232500Y74700D02*Y73300D01* +G54D85*X224390Y63071D02*X225965D01* +G54D84*X221610Y123968D02*X226508D01* +X221610Y125937D02*X226508D01* +X221610Y127905D02*X226508D01* +X221610Y129874D02*X226508D01* +X221610Y131842D02*X226508D01* +X221610Y133811D02*X226508D01* +X221610Y135779D02*X226508D01* +X221610Y137748D02*X226508D01* +X221610Y139716D02*X226508D01* +X221610Y141685D02*X226508D01* +X221610Y143653D02*X226508D01* +X221610Y145622D02*X226508D01* +G54D80*G36* +X229216Y130721D02*Y128153D01* +X231784D01* +Y130721D01* +X229216D01* +G37* +G36* +X234788Y130649D02*Y128080D01* +X237356D01* +Y130649D01* +X234788D01* +G37* +G36* +X229216Y126784D02*Y124216D01* +X231784D01* +Y126784D01* +X229216D01* +G37* +G36* +X234788Y126712D02*Y124144D01* +X237356D01* +Y126712D01* +X234788D01* +G37* +G54D83*X287500Y157200D02*Y155800D01* +X279500Y157200D02*Y155800D01* +X287500Y169700D02*Y168300D01* +X279500Y169700D02*Y168300D01* +G54D86*X286500Y226250D02*Y219750D01* +G54D83*X305300Y266000D02*X306700D01* +X305300Y258000D02*X306700D01* +G54D86*X281500Y226250D02*Y219750D01* +X276500Y226250D02*Y219750D01* +X271500Y226250D02*Y219750D01* +X266500Y226250D02*Y219750D01* +X281500Y205750D02*Y199250D01* +X286500Y205750D02*Y199250D01* +G54D80*G36* +X291716Y209722D02*Y207152D01* +X294284D01* +Y209722D01* +X291716D01* +G37* +G36* +Y205784D02*Y203216D01* +X294284D01* +Y205784D01* +X291716D01* +G37* +G54D83*X338800Y194500D02*X340200D01* +X338800Y202500D02*X340200D01* +G54D80*G36* +X324750Y261250D02*Y233750D01* +X352250D01* +Y261250D01* +X324750D01* +G37* +G36* +Y246250D02*Y233750D01* +X337250D01* +Y246250D01* +X324750D01* +G37* +G36* +X339750Y261250D02*Y248750D01* +X352250D01* +Y261250D01* +X339750D01* +G37* +G36* +X324750D02*Y248750D01* +X337250D01* +Y261250D01* +X324750D01* +G37* +G54D87*X329500Y224000D02*Y218500D01* +G54D80*G36* +X339750Y246250D02*Y233750D01* +X352250D01* +Y246250D01* +X339750D01* +G37* +G54D87*X347500Y224000D02*Y218500D01* +G54D83*X314300Y108000D02*X315700D01* +G54D80*G36* +X324250Y148750D02*Y136250D01* +X336750D01* +Y148750D01* +X324250D01* +G37* +G36* +Y133750D02*Y121250D01* +X336750D01* +Y133750D01* +X324250D01* +G37* +G36* +Y148750D02*Y121250D01* +X351750D01* +Y148750D01* +X324250D01* +G37* +G36* +X339250D02*Y136250D01* +X351750D01* +Y148750D01* +X339250D01* +G37* +G36* +Y133750D02*Y121250D01* +X351750D01* +Y133750D01* +X339250D01* +G37* +G54D87*X347000Y111500D02*Y106000D01* +X329000Y111500D02*Y106000D01* +G54D83*X314300Y100000D02*X315700D01* +X343800Y71500D02*X345200D01* +X343800Y79500D02*X345200D01* +X333300Y71500D02*X334700D01* +X333300Y79500D02*X334700D01* +X322800Y71500D02*X324200D01* +X322800Y79500D02*X324200D01* +X312300Y71500D02*X313700D01* +X312300Y79500D02*X313700D01* +X301300Y71500D02*X302700D01* +X301300Y79500D02*X302700D01* +X290300Y71500D02*X291700D01* +X290300Y79500D02*X291700D01* +X361800Y99000D02*X363200D01* +X361800Y107000D02*X363200D01* +G54D84*X221610Y98378D02*X226508D01* +X221610Y100346D02*X226508D01* +X221610Y102315D02*X226508D01* +X221610Y104283D02*X226508D01* +X221610Y106252D02*X226508D01* +X221610Y108220D02*X226508D01* +X221610Y110189D02*X226508D01* +X221610Y112157D02*X226508D01* +G54D83*X240500Y74700D02*Y73300D01* +G54D85*X246831Y63071D02*X248406D01* +G54D88*X172725Y18902D02*Y15162D01* +X177843Y18902D02*Y15162D01* +X167607Y18902D02*Y15162D01* +X175284Y18902D02*Y15162D01* +X170166Y18902D02*Y15162D01* +G54D89*X159536Y16540D02*X161504D01* +X183945D02*X185914D01* +G54D90*X157764Y6697D02*Y6303D01* +G54D91*X157000Y34000D02*Y33000D01* +X145000Y34000D02*Y33000D01* +G54D92*X127607Y56000D02*X128394D01* +X144536D02*X145323D01* +G54D80*G36* +X163960Y10540D02*Y2460D01* +X172040D01* +Y10540D01* +X163960D01* +G37* +G36* +X173409D02*Y2460D01* +X181489D01* +Y10540D01* +X173409D01* +G37* +G54D90*X187685Y6697D02*Y6303D01* +G54D80*G36* +X279216Y17284D02*Y14716D01* +X281784D01* +Y17284D01* +X279216D01* +G37* +G36* +X275278D02*Y14716D01* +X277848D01* +Y17284D01* +X275278D01* +G37* +G54D85*X270453Y7953D02*Y5984D01* +G54D93*X251555Y23701D02*Y20945D01* +X247224Y25276D02*Y22520D01* +X242894Y23701D02*Y20945D01* +X238563Y22913D02*Y20157D01* +X234232Y23701D02*Y20945D01* +X229902Y22913D02*Y20157D01* +X225571Y23701D02*Y20945D01* +X221240Y23701D02*Y20945D01* +G54D85*X218091Y12283D02*Y10315D01* +G54D86*X148000Y226000D02*Y219500D01* +X143000Y226000D02*Y219500D01* +X138000Y226000D02*Y219500D01* +X133000Y226000D02*Y219500D01* +X128000Y226000D02*Y219500D01* +X123000Y226000D02*Y219500D01* +X118000Y226000D02*Y219500D01* +G54D83*X73800Y230000D02*X75200D01* +X73800Y222000D02*X75200D01* +G54D92*X20500Y234894D02*Y234107D01* +Y251823D02*Y251036D01* +G54D86*X118000Y205500D02*Y199000D01* +X123000Y205500D02*Y199000D01* +X128000Y205500D02*Y199000D01* +X133000Y205500D02*Y199000D01* +X138000Y205500D02*Y199000D01* +X143000Y205500D02*Y199000D01* +X148000Y205500D02*Y199000D01* +G54D80*G36* +X152216Y211284D02*Y208716D01* +X154784D01* +Y211284D01* +X152216D01* +G37* +G36* +Y207348D02*Y204778D01* +X154784D01* +Y207348D01* +X152216D01* +G37* +G54D91*X20500Y321000D02*X21500D01* +X20500Y309000D02*X21500D01* +G54D92*X76106D02*X76893D01* +X59177D02*X59964D01* +G54D84*X156492Y145622D02*X161390D01* +X156492Y143654D02*X161390D01* +X156492Y141685D02*X161390D01* +X156492Y139717D02*X161390D01* +X156492Y137748D02*X161390D01* +X156492Y135780D02*X161390D01* +G54D80*G36* +X159716Y153784D02*Y151216D01* +X162284D01* +Y153784D01* +X159716D01* +G37* +G54D83*X135000Y155200D02*Y153800D01* +X143000Y155200D02*Y153800D01* +G54D80*G36* +X159716Y157722D02*Y155152D01* +X162284D01* +Y157722D01* +X159716D01* +G37* +G54D84*X156492Y133811D02*X161390D01* +X156492Y131843D02*X161390D01* +X156492Y129874D02*X161390D01* +X156492Y127906D02*X161390D01* +X156492Y125937D02*X161390D01* +X156492Y123969D02*X161390D01* +X156492Y122000D02*X161390D01* +X156492Y120032D02*X161390D01* +X156492Y118063D02*X161390D01* +X156492Y116095D02*X161390D01* +X156492Y114126D02*X161390D01* +X156492Y112158D02*X161390D01* +X156492Y110189D02*X161390D01* +X156492Y108221D02*X161390D01* +X156492Y106252D02*X161390D01* +X156492Y104284D02*X161390D01* +X156492Y102315D02*X161390D01* +X156492Y100347D02*X161390D01* +G54D94*X124844Y85950D02*X132944D01* +X108844D02*X116944D01* +X124844Y90950D02*X132944D01* +X108844D02*X116944D01* +X108844Y95950D02*X116944D01* +X108844Y100950D02*X116944D01* +X108844Y105950D02*X116944D01* +X124844Y95950D02*X132944D01* +X124844Y100950D02*X132944D01* +X124844Y105950D02*X132944D01* +G54D84*X215122Y157008D02*Y152110D01* +X213154Y157008D02*Y152110D01* +X211185Y157008D02*Y152110D01* +X209217Y157008D02*Y152110D01* +X207248Y157008D02*Y152110D01* +X205280Y157008D02*Y152110D01* +G54D80*G36* +X220716Y157722D02*Y155152D01* +X223284D01* +Y157722D01* +X220716D01* +G37* +G36* +Y153784D02*Y151216D01* +X223284D01* +Y153784D01* +X220716D01* +G37* +G54D84*X203311Y157008D02*Y152110D01* +X201343Y157008D02*Y152110D01* +X199374Y157008D02*Y152110D01* +X197406Y157008D02*Y152110D01* +X195437Y157008D02*Y152110D01* +X193469Y157008D02*Y152110D01* +X191500Y157008D02*Y152110D01* +G54D80*G36* +X191216Y166222D02*Y163652D01* +X193784D01* +Y166222D01* +X191216D01* +G37* +G36* +Y162284D02*Y159716D01* +X193784D01* +Y162284D01* +X191216D01* +G37* +G54D84*X189532Y157008D02*Y152110D01* +X187563Y157008D02*Y152110D01* +X185595Y157008D02*Y152110D01* +X183626Y157008D02*Y152110D01* +X181658Y157008D02*Y152110D01* +X179689Y157008D02*Y152110D01* +X177721Y157008D02*Y152110D01* +X175752Y157008D02*Y152110D01* +X173784Y157008D02*Y152110D01* +X171815Y157008D02*Y152110D01* +X169847Y157008D02*Y152110D01* +X167878Y157008D02*Y152110D01* +G54D86*X261500Y226250D02*Y219750D01* +X256500Y226250D02*Y219750D01* +Y205750D02*Y199250D01* +X261500Y205750D02*Y199250D01* +X266500Y205750D02*Y199250D01* +X271500Y205750D02*Y199250D01* +X276500Y205750D02*Y199250D01* +G54D80*G36* +X230216Y211284D02*Y208716D01* +X232784D01* +Y211284D01* +X230216D01* +G37* +G36* +Y207348D02*Y204778D01* +X232784D01* +Y207348D01* +X230216D01* +G37* +G54D86*X216000Y226000D02*Y219500D01* +G54D83*X204800Y266000D02*X206200D01* +X204800Y258000D02*X206200D01* +G54D86*X211000Y226000D02*Y219500D01* +X206000Y226000D02*Y219500D01* +X201000Y226000D02*Y219500D01* +X196000Y226000D02*Y219500D01* +X226000Y226000D02*Y219500D01* +X221000Y226000D02*Y219500D01* +X196000Y205500D02*Y199000D01* +X201000Y205500D02*Y199000D01* +X206000Y205500D02*Y199000D01* +X211000Y205500D02*Y199000D01* +X216000Y205500D02*Y199000D01* +X221000Y205500D02*Y199000D01* +X226000Y205500D02*Y199000D01* +M02* diff --git a/hardware/gerber/scsi2sd.TXT b/hardware/gerber/scsi2sd.TXT new file mode 100644 index 0000000..ef1827a --- /dev/null +++ b/hardware/gerber/scsi2sd.TXT @@ -0,0 +1,206 @@ +M48 +INCH +T78C0.035 +T77C0.125 +T76C0.012 +T75C0.157 +T74C0.100 +T73C0.060 +T72C0.028 +T71C0.038 +% +T76 +X017250Y008150 +X019700Y006700 +X017800Y002400 +X027750Y003100 +X027750Y003700 +X027750Y004300 +X027750Y004950 +X027750Y005550 +X027750Y006200 +X027650Y000650 +X036250Y009200 +X025200Y001500 +X022550Y001500 +X023450Y001500 +X024300Y001500 +X024750Y001500 +X023000Y001500 +X021200Y001050 +X021600Y002250 +X024800Y007750 +X025500Y007800 +X024200Y009050 +X029150Y019900 +X025000Y022450 +X025450Y016650 +X024662Y009838 +X021650Y011800 +X023100Y011100 +X021400Y012600 +X021650Y010650 +X024050Y012550 +X023100Y019900 +X034700Y019450 +X029300Y021400 +X023150Y021550 +X004400Y027600 +X004650Y027200 +X004900Y026800 +X005150Y026400 +X005400Y026000 +X005650Y025600 +X003650Y028800 +X003900Y028400 +X004150Y028000 +X021750Y014550 +X020400Y014400 +X021650Y013000 +X016800Y014800 +X019350Y017350 +X015350Y021500 +X015400Y015600 +X018950Y022450 +X015100Y012200 +X016800Y012800 +X016800Y012200 +X010150Y010600 +X019100Y012800 +X018650Y009700 +X018950Y010150 +X017050Y009750 +X018950Y014700 +X012650Y015250 +X015300Y019900 +X011250Y022450 +X009300Y031850 +X009050Y032250 +X008800Y032650 +X009550Y031450 +X009800Y031050 +X010050Y030650 +X010300Y030250 +X010550Y029850 +X010800Y029450 +X011150Y025250 +X012000Y025250 +X014000Y025250 +X013000Y025250 +X015000Y025250 +X017000Y025250 +X016000Y025200 +X018000Y025250 +X019000Y025250 +X019900Y025250 +X021100Y025250 +X022200Y025250 +X023200Y025250 +X024200Y025250 +X025200Y025250 +X026200Y025250 +X027200Y025250 +X028200Y025250 +X029200Y025250 +X030600Y027400 +T72 +X030700Y024600 +X029700Y024600 +X028700Y024600 +X027700Y024600 +X026700Y024600 +X025700Y024600 +X024700Y024600 +X023700Y024600 +X022700Y024600 +X021700Y024600 +X020500Y024600 +X019500Y024600 +X018500Y024600 +X017500Y024600 +X016500Y024600 +X015500Y024600 +X014500Y024600 +X013500Y024600 +X012500Y024600 +X011500Y024600 +T78 +X011150Y005550 +X034700Y009350 +X032900Y009350 +X032950Y020300 +X034800Y020300 +X007400Y021300 +X007450Y023900 +X002700Y022250 +X036950Y030650 +T71 +X013200Y034950 +X014200Y034950 +X015200Y034950 +X016200Y034950 +X017200Y034950 +X018200Y034950 +X016200Y033950 +X017200Y033950 +X018200Y033950 +X019200Y034950 +X020200Y034950 +X021200Y034950 +X019200Y033950 +X020200Y033950 +X021200Y033950 +X022200Y033950 +X022200Y034950 +X023200Y034950 +X024200Y034950 +X023200Y033950 +X024200Y033950 +X025200Y033950 +X026200Y033950 +X027200Y033950 +X028200Y033950 +X025200Y034950 +X026200Y034950 +X027200Y034950 +X028200Y034950 +X029200Y033950 +X030200Y033950 +X031200Y033950 +X029200Y034950 +X030200Y034950 +X031200Y034950 +X032200Y034950 +X033200Y034950 +X034200Y034950 +X032200Y033950 +X033200Y033950 +X034200Y033950 +X035200Y033950 +X035200Y034950 +X036200Y034950 +X036200Y033950 +X012200Y033950 +X012200Y034950 +X013200Y033950 +X014200Y033950 +X015200Y033950 +X004100Y031350 +X004100Y030350 +T73 +X006100Y033950 +X008100Y033950 +X002100Y033950 +X004100Y033950 +T74 +X009100Y034950 +X001100Y034950 +T77 +X001400Y003200 +X037000Y003200 +X037000Y020700 +X001400Y020700 +T75 +X003100Y037950 +X007100Y037950 +M30 diff --git a/hardware/gerber/scsi2sd.outline b/hardware/gerber/scsi2sd.outline new file mode 100644 index 0000000..c858689 --- /dev/null +++ b/hardware/gerber/scsi2sd.outline @@ -0,0 +1,25 @@ +G04 start of page 4 for group 2 idx 2 * +G04 Title: (unknown), outline * +G04 Creator: pcb 20110918 * +G04 CreationDate: Wed 02 Oct 2013 12:02:10 GMT UTC * +G04 For: michael * +G04 Format: Gerber/RS-274X * +G04 PCB-Dimensions: 384000 393500 * +G04 PCB-Coordinate-Origin: lower left * +%MOIN*% +%FSLAX25Y25*% +%LNOUTLINE*% +%ADD56C,0.0100*% +G54D56*X367500Y263000D02*X383500D01* +Y500D01* +X500D01* +Y263000D01* +X16500D01* +X500Y393000D02*X383500D01* +Y298500D01* +X367500D01* +Y263000D01* +X16500D02*Y298500D01* +X500D01* +Y393000D01* +M02* diff --git a/hardware/gerber/scsi2sd.stencil b/hardware/gerber/scsi2sd.stencil new file mode 100644 index 0000000..38692ce --- /dev/null +++ b/hardware/gerber/scsi2sd.stencil @@ -0,0 +1,490 @@ +G04 start of page 10 for group -4015 idx -4015 * +G04 Title: (unknown), toppaste * +G04 Creator: pcb 20110918 * +G04 CreationDate: Wed 02 Oct 2013 12:02:10 GMT UTC * +G04 For: michael * +G04 Format: Gerber/RS-274X * +G04 PCB-Dimensions: 384000 393500 * +G04 PCB-Coordinate-Origin: lower left * +%MOIN*% +%FSLAX25Y25*% +%LNTOPPASTE*% +%ADD116R,0.0906X0.0906*% +%ADD115C,0.0290*% +%ADD114R,0.0551X0.0551*% +%ADD113R,0.0315X0.0315*% +%ADD112R,0.0600X0.0600*% +%ADD111R,0.0709X0.0709*% +%ADD110R,0.0630X0.0630*% +%ADD109R,0.0158X0.0158*% +%ADD108R,0.0650X0.0650*% +%ADD107C,0.0001*% +%ADD106R,0.0110X0.0110*% +%ADD105R,0.0200X0.0200*% +%ADD104R,0.0450X0.0450*% +G54D104*X362500Y327200D02*Y325800D01* +X370500Y327200D02*Y325800D01* +G54D105*X148000Y226000D02*Y219500D01* +X143000Y226000D02*Y219500D01* +X138000Y226000D02*Y219500D01* +X133000Y226000D02*Y219500D01* +X128000Y226000D02*Y219500D01* +X123000Y226000D02*Y219500D01* +X118000Y226000D02*Y219500D01* +Y205500D02*Y199000D01* +X123000Y205500D02*Y199000D01* +X128000Y205500D02*Y199000D01* +X133000Y205500D02*Y199000D01* +X138000Y205500D02*Y199000D01* +X143000Y205500D02*Y199000D01* +X148000Y205500D02*Y199000D01* +G54D104*X287500Y157200D02*Y155800D01* +X279500Y157200D02*Y155800D01* +G54D105*X286500Y226250D02*Y219750D01* +X281500Y226250D02*Y219750D01* +X276500Y226250D02*Y219750D01* +X271500Y226250D02*Y219750D01* +X266500Y226250D02*Y219750D01* +X261500Y226250D02*Y219750D01* +X256500Y226250D02*Y219750D01* +Y205750D02*Y199250D01* +X261500Y205750D02*Y199250D01* +X266500Y205750D02*Y199250D01* +X271500Y205750D02*Y199250D01* +X276500Y205750D02*Y199250D01* +X281500Y205750D02*Y199250D01* +X286500Y205750D02*Y199250D01* +G54D104*X204800Y266000D02*X206200D01* +X204800Y258000D02*X206200D01* +G54D106*X156492Y145622D02*X161390D01* +X156492Y143654D02*X161390D01* +X156492Y141685D02*X161390D01* +X156492Y139717D02*X161390D01* +X156492Y137748D02*X161390D01* +X156492Y135780D02*X161390D01* +X156492Y133811D02*X161390D01* +X156492Y131843D02*X161390D01* +X156492Y129874D02*X161390D01* +X156492Y127906D02*X161390D01* +X156492Y125937D02*X161390D01* +X156492Y123969D02*X161390D01* +X156492Y122000D02*X161390D01* +X156492Y120032D02*X161390D01* +X156492Y118063D02*X161390D01* +X156492Y116095D02*X161390D01* +X156492Y114126D02*X161390D01* +X156492Y112158D02*X161390D01* +X156492Y110189D02*X161390D01* +X156492Y108221D02*X161390D01* +X156492Y106252D02*X161390D01* +X156492Y104284D02*X161390D01* +X156492Y102315D02*X161390D01* +X156492Y100347D02*X161390D01* +X156492Y98378D02*X161390D01* +X167878Y91890D02*Y86992D01* +X169846Y91890D02*Y86992D01* +X171815Y91890D02*Y86992D01* +X173783Y91890D02*Y86992D01* +X175752Y91890D02*Y86992D01* +X177720Y91890D02*Y86992D01* +X179689Y91890D02*Y86992D01* +X181657Y91890D02*Y86992D01* +X183626Y91890D02*Y86992D01* +X185594Y91890D02*Y86992D01* +X187563Y91890D02*Y86992D01* +X189531Y91890D02*Y86992D01* +X191500Y91890D02*Y86992D01* +X193468Y91890D02*Y86992D01* +X195437Y91890D02*Y86992D01* +X197405Y91890D02*Y86992D01* +X199374Y91890D02*Y86992D01* +X201342Y91890D02*Y86992D01* +X203311Y91890D02*Y86992D01* +X205279Y91890D02*Y86992D01* +X207248Y91890D02*Y86992D01* +X209216Y91890D02*Y86992D01* +X211185Y91890D02*Y86992D01* +X213153Y91890D02*Y86992D01* +X215122Y91890D02*Y86992D01* +X221610Y98378D02*X226508D01* +X221610Y100346D02*X226508D01* +X221610Y102315D02*X226508D01* +X221610Y104283D02*X226508D01* +X221610Y106252D02*X226508D01* +X221610Y108220D02*X226508D01* +X221610Y110189D02*X226508D01* +X221610Y112157D02*X226508D01* +X221610Y114126D02*X226508D01* +X221610Y116094D02*X226508D01* +X221610Y118063D02*X226508D01* +X221610Y120031D02*X226508D01* +X221610Y122000D02*X226508D01* +X221610Y123968D02*X226508D01* +X221610Y125937D02*X226508D01* +X221610Y127905D02*X226508D01* +X221610Y129874D02*X226508D01* +X221610Y131842D02*X226508D01* +X221610Y133811D02*X226508D01* +X221610Y135779D02*X226508D01* +X221610Y137748D02*X226508D01* +X221610Y139716D02*X226508D01* +X221610Y141685D02*X226508D01* +X221610Y143653D02*X226508D01* +X221610Y145622D02*X226508D01* +X215122Y157008D02*Y152110D01* +X213154Y157008D02*Y152110D01* +X211185Y157008D02*Y152110D01* +X209217Y157008D02*Y152110D01* +X207248Y157008D02*Y152110D01* +X205280Y157008D02*Y152110D01* +X203311Y157008D02*Y152110D01* +X201343Y157008D02*Y152110D01* +X199374Y157008D02*Y152110D01* +X197406Y157008D02*Y152110D01* +X195437Y157008D02*Y152110D01* +X193469Y157008D02*Y152110D01* +X191500Y157008D02*Y152110D01* +X189532Y157008D02*Y152110D01* +X187563Y157008D02*Y152110D01* +X185595Y157008D02*Y152110D01* +X183626Y157008D02*Y152110D01* +X181658Y157008D02*Y152110D01* +X179689Y157008D02*Y152110D01* +X177721Y157008D02*Y152110D01* +X175752Y157008D02*Y152110D01* +X173784Y157008D02*Y152110D01* +X171815Y157008D02*Y152110D01* +X169847Y157008D02*Y152110D01* +X167878Y157008D02*Y152110D01* +G54D107*G36* +X191516Y165922D02*Y163952D01* +X193484D01* +Y165922D01* +X191516D01* +G37* +G36* +Y161984D02*Y160016D01* +X193484D01* +Y161984D01* +X191516D01* +G37* +G36* +X160016Y153484D02*Y151516D01* +X161984D01* +Y153484D01* +X160016D01* +G37* +G36* +Y157422D02*Y155452D01* +X161984D01* +Y157422D01* +X160016D01* +G37* +G36* +X221016D02*Y155452D01* +X222984D01* +Y157422D01* +X221016D01* +G37* +G36* +Y153484D02*Y151516D01* +X222984D01* +Y153484D01* +X221016D01* +G37* +G36* +Y92484D02*Y90516D01* +X222984D01* +Y92484D01* +X221016D01* +G37* +G36* +Y88548D02*Y86578D01* +X222984D01* +Y88548D01* +X221016D01* +G37* +G36* +X152516Y210984D02*Y209016D01* +X154484D01* +Y210984D01* +X152516D01* +G37* +G36* +Y207048D02*Y205078D01* +X154484D01* +Y207048D01* +X152516D01* +G37* +G36* +X292016Y209422D02*Y207452D01* +X293984D01* +Y209422D01* +X292016D01* +G37* +G36* +Y205484D02*Y203516D01* +X293984D01* +Y205484D01* +X292016D01* +G37* +G36* +X160016Y92422D02*Y90452D01* +X161984D01* +Y92422D01* +X160016D01* +G37* +G36* +Y88484D02*Y86516D01* +X161984D01* +Y88484D01* +X160016D01* +G37* +G36* +X279516Y16984D02*Y15016D01* +X281484D01* +Y16984D01* +X279516D01* +G37* +G36* +X275578D02*Y15016D01* +X277548D01* +Y16984D01* +X275578D01* +G37* +G36* +X229516Y130421D02*Y128453D01* +X231484D01* +Y130421D01* +X229516D01* +G37* +G36* +Y126484D02*Y124516D01* +X231484D01* +Y126484D01* +X229516D01* +G37* +G36* +X195952Y72984D02*Y71016D01* +X197922D01* +Y72984D01* +X195952D01* +G37* +G36* +X192016D02*Y71016D01* +X193984D01* +Y72984D01* +X192016D01* +G37* +G36* +X235088Y130349D02*Y128380D01* +X237056D01* +Y130349D01* +X235088D01* +G37* +G36* +Y126412D02*Y124444D01* +X237056D01* +Y126412D01* +X235088D01* +G37* +G36* +X197516Y78484D02*Y76516D01* +X199484D01* +Y78484D01* +X197516D01* +G37* +G36* +Y82422D02*Y80452D01* +X199484D01* +Y82422D01* +X197516D01* +G37* +G36* +X229516Y117547D02*Y115579D01* +X231484D01* +Y117547D01* +X229516D01* +G37* +G36* +Y121484D02*Y119516D01* +X231484D01* +Y121484D01* +X229516D01* +G37* +G54D104*X338800Y194500D02*X340200D01* +X338800Y202500D02*X340200D01* +X73800Y230000D02*X75200D01* +X73800Y222000D02*X75200D01* +X305300Y266000D02*X306700D01* +X305300Y258000D02*X306700D01* +G54D107*G36* +X230516Y210984D02*Y209016D01* +X232484D01* +Y210984D01* +X230516D01* +G37* +G36* +Y207048D02*Y205078D01* +X232484D01* +Y207048D01* +X230516D01* +G37* +G54D105*X226000Y226000D02*Y219500D01* +X221000Y226000D02*Y219500D01* +X216000Y226000D02*Y219500D01* +X211000Y226000D02*Y219500D01* +X206000Y226000D02*Y219500D01* +X201000Y226000D02*Y219500D01* +X196000Y226000D02*Y219500D01* +Y205500D02*Y199000D01* +X201000Y205500D02*Y199000D01* +X206000Y205500D02*Y199000D01* +X211000Y205500D02*Y199000D01* +X216000Y205500D02*Y199000D01* +X221000Y205500D02*Y199000D01* +X226000Y205500D02*Y199000D01* +G54D104*X135000Y155200D02*Y153800D01* +X143000Y155200D02*Y153800D01* +X314300Y100000D02*X315700D01* +X314300Y108000D02*X315700D01* +G54D107*G36* +X339750Y148250D02*Y136750D01* +X351250D01* +Y148250D01* +X339750D01* +G37* +G36* +X324750D02*Y136750D01* +X336250D01* +Y148250D01* +X324750D01* +G37* +G36* +X339750Y133250D02*Y121750D01* +X351250D01* +Y133250D01* +X339750D01* +G37* +G36* +X324750D02*Y121750D01* +X336250D01* +Y133250D01* +X324750D01* +G37* +G54D108*X347000Y111500D02*Y106000D01* +X329000Y111500D02*Y106000D01* +G54D104*X168500Y64200D02*Y62800D01* +X176500Y64200D02*Y62800D01* +X168439Y74952D02*Y73552D01* +X176439Y74952D02*Y73552D01* +G54D109*X172725Y18902D02*Y15162D01* +X177843Y18902D02*Y15162D01* +X167607Y18902D02*Y15162D01* +X175284Y18902D02*Y15162D01* +X170166Y18902D02*Y15162D01* +G54D110*X159536Y16540D02*X161504D01* +X183945D02*X185914D01* +G54D111*X157764Y6697D02*Y6303D01* +G54D107*G36* +X164260Y10240D02*Y2760D01* +X171740D01* +Y10240D01* +X164260D01* +G37* +G36* +X173709D02*Y2760D01* +X181189D01* +Y10240D01* +X173709D01* +G37* +G54D111*X187685Y6697D02*Y6303D01* +G54D112*X157000Y34000D02*Y33000D01* +X145000Y34000D02*Y33000D01* +X20500Y321000D02*X21500D01* +X20500Y309000D02*X21500D01* +G54D104*X343800Y71500D02*X345200D01* +X343800Y79500D02*X345200D01* +X333300Y71500D02*X334700D01* +X333300Y79500D02*X334700D01* +X322800Y71500D02*X324200D01* +X322800Y79500D02*X324200D01* +X240500Y74700D02*Y73300D01* +X232500Y74700D02*Y73300D01* +X312300Y71500D02*X313700D01* +X312300Y79500D02*X313700D01* +X301300Y71500D02*X302700D01* +X301300Y79500D02*X302700D01* +X290300Y71500D02*X291700D01* +X290300Y79500D02*X291700D01* +X287500Y169700D02*Y168300D01* +X279500Y169700D02*Y168300D01* +G54D107*G36* +X192016Y78484D02*Y76516D01* +X193984D01* +Y78484D01* +X192016D01* +G37* +G36* +Y82422D02*Y80452D01* +X193984D01* +Y82422D01* +X192016D01* +G37* +G54D113*X251555Y23701D02*Y20945D01* +X247224Y25276D02*Y22520D01* +X242894Y23701D02*Y20945D01* +X238563Y22913D02*Y20157D01* +X234232Y23701D02*Y20945D01* +X229902Y22913D02*Y20157D01* +X225571Y23701D02*Y20945D01* +X221240Y23701D02*Y20945D01* +G54D114*X218091Y12283D02*Y10315D01* +X224390Y63071D02*X225965D01* +X246831D02*X248406D01* +X270453Y7953D02*Y5984D01* +G54D115*X124844Y85950D02*X132944D01* +X124844Y90950D02*X132944D01* +X124844Y95950D02*X132944D01* +X124844Y100950D02*X132944D01* +X124844Y105950D02*X132944D01* +X108844Y85950D02*X116944D01* +X108844Y90950D02*X116944D01* +X108844Y95950D02*X116944D01* +X108844Y100950D02*X116944D01* +X108844Y105950D02*X116944D01* +G54D104*X361800Y99000D02*X363200D01* +X361800Y107000D02*X363200D01* +G54D107*G36* +X340250Y260750D02*Y249250D01* +X351750D01* +Y260750D01* +X340250D01* +G37* +G36* +X325250D02*Y249250D01* +X336750D01* +Y260750D01* +X325250D01* +G37* +G36* +X340250Y245750D02*Y234250D01* +X351750D01* +Y245750D01* +X340250D01* +G37* +G36* +X325250D02*Y234250D01* +X336750D01* +Y245750D01* +X325250D01* +G37* +G54D108*X347500Y224000D02*Y218500D01* +X329500Y224000D02*Y218500D01* +G54D116*X127607Y56000D02*X128394D01* +X144536D02*X145323D01* +X76106Y309000D02*X76893D01* +X59177D02*X59964D01* +X20500Y234894D02*Y234107D01* +Y251823D02*Y251036D01* +M02* diff --git a/hardware/scsi2sd.bom b/hardware/scsi2sd.bom new file mode 100644 index 0000000..8c17c0d --- /dev/null +++ b/hardware/scsi2sd.bom @@ -0,0 +1,23 @@ +.START +..device value footprint quantity refdes +7406 unknown SO14 3 U3 U4 U5 +CAPACITOR 100nF cap_0402 11 C10 C11 C17 C19 C21 C22 C23 C24 C28 C3 C9 +CAPACITOR 10uF SMD_SIMPLE 80 50 8 C1 C12 C13 C14 C2 C4 C5 C7 +CAPACITOR 1uF cap_0402 4 C20 C26 C27 C8 +CY8C53 unknown TQFP100_14 1 U1 +DIODE unknown diode-DO-214AA-SMB 3 D1 D2 D3 +FUSE 1.5A Hold SMD_SIMPLE 120 60 1 F1 +FUSE 500mA Hold SMD_SIMPLE 120 60 1 F2 +HEADER10 unknown FTSH-105-01-L-DV-K 1 J4 +HEADER50 unknown HEADER50_2_RA 1 J2 +JUMPER unknown HEADER2_2 1 J3 +LD1117 unknown DPAK 2 U2 U6 +LED unknown SMD_DIODE 80 50 1 LED1 +MOLEX8981 unknown MOLEX8981 1 J1 +RESISTOR 10K SMD_SIMPLE 80 50 7 R10 R11 R12 R6 R7 R8 R9 +RESISTOR 1600 SMD_SIMPLE 80 50 1 R3 +RESISTOR 22Ω SMD_SIMPLE 80 50 2 R4 R5 +RESISTORPACK_10 unknown SIP10 2 R1 R2 +USB unknown fci-10118192-0001LF 1 J5 +wurth-693071010811 unknown wurth-microsd 1 J6 +.END diff --git a/hardware/scsi2sd.cmd b/hardware/scsi2sd.cmd new file mode 100644 index 0000000..61165e2 --- /dev/null +++ b/hardware/scsi2sd.cmd @@ -0,0 +1,434 @@ +# Pin name action command file + +# Start of element J6 +ChangePinName(J6, 10, \_CD\_) +ChangePinName(J6, 9, GND) +ChangePinName(J6, 8, DAT1) +ChangePinName(J6, 7, DAT0) +ChangePinName(J6, 6, GND) +ChangePinName(J6, 5, CLK) +ChangePinName(J6, 4, Vcc) +ChangePinName(J6, 3, CMD) +ChangePinName(J6, 2, DAT3) +ChangePinName(J6, 1, DAT2) + +# Start of element R2 +ChangePinName(R2, 10, 10) +ChangePinName(R2, 9, 9) +ChangePinName(R2, 8, 8) +ChangePinName(R2, 7, 7) +ChangePinName(R2, 6, 6) +ChangePinName(R2, 1, 1) +ChangePinName(R2, 5, 5) +ChangePinName(R2, 4, 4) +ChangePinName(R2, 3, 3) +ChangePinName(R2, 2, 2) + +# Start of element R1 +ChangePinName(R1, 10, 10) +ChangePinName(R1, 9, 9) +ChangePinName(R1, 8, 8) +ChangePinName(R1, 7, 7) +ChangePinName(R1, 6, 6) +ChangePinName(R1, 1, 1) +ChangePinName(R1, 5, 5) +ChangePinName(R1, 4, 4) +ChangePinName(R1, 3, 3) +ChangePinName(R1, 2, 2) + +# Start of element R5 +ChangePinName(R5, 1, 1) +ChangePinName(R5, 2, 2) + +# Start of element R4 +ChangePinName(R4, 1, 1) +ChangePinName(R4, 2, 2) + +# Start of element J5 +ChangePinName(J5, 5, GND) +ChangePinName(J5, 1, VCC) +ChangePinName(J5, 2, D-) +ChangePinName(J5, 3, D+) +ChangePinName(J5, 4, ID) + +# Start of element D3 +ChangePinName(D3, 1, cathode) +ChangePinName(D3, 2, anode) + +# Start of element F2 +ChangePinName(F2, 2, 2) +ChangePinName(F2, 1, 1) + +# Start of element F1 +ChangePinName(F1, 2, 2) +ChangePinName(F1, 1, 1) + +# Start of element D1 +ChangePinName(D1, 1, cathode) +ChangePinName(D1, 2, anode) + +# Start of element D2 +ChangePinName(D2, 1, cathode) +ChangePinName(D2, 2, anode) + +# Start of element J3 +ChangePinName(J3, 1, 1) +ChangePinName(J3, 2, 2) + +# Start of element C14 +ChangePinName(C14, 2, 2) +ChangePinName(C14, 1, 1) + +# Start of element C4 +ChangePinName(C4, 2, 2) +ChangePinName(C4, 1, 1) + +# Start of element C7 +ChangePinName(C7, 2, 2) +ChangePinName(C7, 1, 1) + +# Start of element U6 +ChangePinName(U6, 3, IN) +ChangePinName(U6, 2, OUT) +ChangePinName(U6, 1, GND) + +# Start of element U2 +ChangePinName(U2, 3, IN) +ChangePinName(U2, 2, OUT) +ChangePinName(U2, 1, GND) + +# Start of element C12 +ChangePinName(C12, 2, 2) +ChangePinName(C12, 1, 1) + +# Start of element C13 +ChangePinName(C13, 2, 2) +ChangePinName(C13, 1, 1) + +# Start of element C5 +ChangePinName(C5, 2, 2) +ChangePinName(C5, 1, 1) + +# Start of element C8 +ChangePinName(C8, 2, 2) +ChangePinName(C8, 1, 1) + +# Start of element U1 +ChangePinName(U1, 76, P0[4]) +ChangePinName(U1, 77, P0[5]) +ChangePinName(U1, 78, P0[6]) +ChangePinName(U1, 79, P0[7]) +ChangePinName(U1, 80, P4[2]) +ChangePinName(U1, 81, P4[3]) +ChangePinName(U1, 82, P4[4]) +ChangePinName(U1, 83, P4[5]) +ChangePinName(U1, 84, P4[6]) +ChangePinName(U1, 85, P4[7]) +ChangePinName(U1, 86, VCCD) +ChangePinName(U1, 87, VSSD) +ChangePinName(U1, 88, VDDD) +ChangePinName(U1, 89, P6[0]) +ChangePinName(U1, 90, P6[1]) +ChangePinName(U1, 91, P6[2]) +ChangePinName(U1, 92, P6[3]) +ChangePinName(U1, 93, P15[4]) +ChangePinName(U1, 94, P15[5]) +ChangePinName(U1, 95, P2[0]) +ChangePinName(U1, 96, P2[1]) +ChangePinName(U1, 97, P2[2]) +ChangePinName(U1, 98, P2[3]) +ChangePinName(U1, 99, P2[4]) +ChangePinName(U1, 100, VDDIO2) +ChangePinName(U1, 51, P3[6]) +ChangePinName(U1, 52, P3[7]) +ChangePinName(U1, 53, P12[0]) +ChangePinName(U1, 54, P12[1]) +ChangePinName(U1, 55, "XO XTAL P15[2],KHZ") +ChangePinName(U1, 56, "XI XTAL P15[3],KHZ") +ChangePinName(U1, 57, NC) +ChangePinName(U1, 58, NC) +ChangePinName(U1, 59, NC) +ChangePinName(U1, 60, NC) +ChangePinName(U1, 61, NC) +ChangePinName(U1, 62, NC) +ChangePinName(U1, 63, VCCA) +ChangePinName(U1, 64, VSSA) +ChangePinName(U1, 65, VDDA) +ChangePinName(U1, 66, VSSD) +ChangePinName(U1, 67, P12[2]) +ChangePinName(U1, 68, P12[3]) +ChangePinName(U1, 69, P4[0]) +ChangePinName(U1, 70, P4[1]) +ChangePinName(U1, 71, P0[0]) +ChangePinName(U1, 72, P0[1]) +ChangePinName(U1, 73, P0[2]) +ChangePinName(U1, 74, P0[3]) +ChangePinName(U1, 75, VDDIO0) +ChangePinName(U1, 50, VDDIO3) +ChangePinName(U1, 49, P3[5]) +ChangePinName(U1, 48, P3[4]) +ChangePinName(U1, 47, P3[3]) +ChangePinName(U1, 46, P3[2]) +ChangePinName(U1, 45, P3[1]) +ChangePinName(U1, 44, P3[0]) +ChangePinName(U1, 43, MHZ XTAL XI) +ChangePinName(U1, 42, MHZ XTAL XO) +ChangePinName(U1, 41, NC) +ChangePinName(U1, 40, NC) +ChangePinName(U1, 39, VCCD) +ChangePinName(U1, 38, VSSD) +ChangePinName(U1, 37, VDDD) +ChangePinName(U1, 36, "SWDCK,USB D-") +ChangePinName(U1, 35, "SWDIO,USB D+") +ChangePinName(U1, 34, P5[7]) +ChangePinName(U1, 33, P5[6]) +ChangePinName(U1, 32, P5[5]) +ChangePinName(U1, 31, P5[4]) +ChangePinName(U1, 30, P12[7]) +ChangePinName(U1, 29, P12[6]) +ChangePinName(U1, 28, P1[7]) +ChangePinName(U1, 27, P1[6]) +ChangePinName(U1, 26, VDDIO1) +ChangePinName(U1, 25, P1[5]) +ChangePinName(U1, 24, P1[4]) +ChangePinName(U1, 23, "SWV,P1[3]") +ChangePinName(U1, 22, P1[2]) +ChangePinName(U1, 21, "SWDCK,P1[1]") +ChangePinName(U1, 20, "SWDIO,P1[0]") +ChangePinName(U1, 19, P5[3]) +ChangePinName(U1, 18, P5[2]) +ChangePinName(U1, 17, P5[1]) +ChangePinName(U1, 16, P5[0]) +ChangePinName(U1, 15, \_XRES\_) +ChangePinName(U1, 14, VSSD) +ChangePinName(U1, 13, VSSD) +ChangePinName(U1, 12, VSSD) +ChangePinName(U1, 11, NC) +ChangePinName(U1, 10, VSSD) +ChangePinName(U1, 9, P6[7]) +ChangePinName(U1, 8, P6[6]) +ChangePinName(U1, 7, P6[5]) +ChangePinName(U1, 6, P6[4]) +ChangePinName(U1, 5, P12[5]) +ChangePinName(U1, 4, P12[4]) +ChangePinName(U1, 3, P2[7]) +ChangePinName(U1, 2, P2[6]) +ChangePinName(U1, 1, P2[5]) + +# Start of element R12 +ChangePinName(R12, 1, 1) +ChangePinName(R12, 2, 2) + +# Start of element R11 +ChangePinName(R11, 1, 1) +ChangePinName(R11, 2, 2) + +# Start of element R10 +ChangePinName(R10, 1, 1) +ChangePinName(R10, 2, 2) + +# Start of element R9 +ChangePinName(R9, 1, 1) +ChangePinName(R9, 2, 2) + +# Start of element R8 +ChangePinName(R8, 1, 1) +ChangePinName(R8, 2, 2) + +# Start of element R7 +ChangePinName(R7, 1, 1) +ChangePinName(R7, 2, 2) + +# Start of element R6 +ChangePinName(R6, 1, 1) +ChangePinName(R6, 2, 2) + +# Start of element C26 +ChangePinName(C26, 2, 2) +ChangePinName(C26, 1, 1) + +# Start of element C20 +ChangePinName(C20, 2, 2) +ChangePinName(C20, 1, 1) + +# Start of element C27 +ChangePinName(C27, 2, 2) +ChangePinName(C27, 1, 1) + +# Start of element C19 +ChangePinName(C19, 2, 2) +ChangePinName(C19, 1, 1) + +# Start of element C24 +ChangePinName(C24, 2, 2) +ChangePinName(C24, 1, 1) + +# Start of element C23 +ChangePinName(C23, 2, 2) +ChangePinName(C23, 1, 1) + +# Start of element C17 +ChangePinName(C17, 2, 2) +ChangePinName(C17, 1, 1) + +# Start of element C21 +ChangePinName(C21, 2, 2) +ChangePinName(C21, 1, 1) + +# Start of element C28 +ChangePinName(C28, 2, 2) +ChangePinName(C28, 1, 1) + +# Start of element R3 +ChangePinName(R3, 1, 1) +ChangePinName(R3, 2, 2) + +# Start of element LED1 +ChangePinName(LED1, 2, K) +ChangePinName(LED1, 1, A) + +# Start of element C11 +ChangePinName(C11, 2, 2) +ChangePinName(C11, 1, 1) + +# Start of element C10 +ChangePinName(C10, 2, 2) +ChangePinName(C10, 1, 1) + +# Start of element C3 +ChangePinName(C3, 2, 2) +ChangePinName(C3, 1, 1) + +# Start of element C9 +ChangePinName(C9, 2, 2) +ChangePinName(C9, 1, 1) + +# Start of element J4 +ChangePinName(J4, 6, 6) +ChangePinName(J4, 7, 7) +ChangePinName(J4, 8, 8) +ChangePinName(J4, 9, 9) +ChangePinName(J4, 10, 10) +ChangePinName(J4, 5, 5) +ChangePinName(J4, 1, 1) +ChangePinName(J4, 4, 4) +ChangePinName(J4, 3, 3) +ChangePinName(J4, 2, 2) + +# Start of element C1 +ChangePinName(C1, 2, 2) +ChangePinName(C1, 1, 1) + +# Start of element U5 +ChangePinName(U5, 8, \_Y3\_) +ChangePinName(U5, 9, A3) +ChangePinName(U5, 10, \_Y4\_) +ChangePinName(U5, 11, A4) +ChangePinName(U5, 12, \_Y5\_) +ChangePinName(U5, 13, A5) +ChangePinName(U5, 14, Vcc) +ChangePinName(U5, 7, GND) +ChangePinName(U5, 6, \_Y2\_) +ChangePinName(U5, 5, A2) +ChangePinName(U5, 4, \_Y1\_) +ChangePinName(U5, 3, A1) +ChangePinName(U5, 2, \_Y0\_) +ChangePinName(U5, 1, A0) + +# Start of element U4 +ChangePinName(U4, 8, \_Y3\_) +ChangePinName(U4, 9, A3) +ChangePinName(U4, 10, \_Y4\_) +ChangePinName(U4, 11, A4) +ChangePinName(U4, 12, \_Y5\_) +ChangePinName(U4, 13, A5) +ChangePinName(U4, 14, Vcc) +ChangePinName(U4, 7, GND) +ChangePinName(U4, 6, \_Y2\_) +ChangePinName(U4, 5, A2) +ChangePinName(U4, 4, \_Y1\_) +ChangePinName(U4, 3, A1) +ChangePinName(U4, 2, \_Y0\_) +ChangePinName(U4, 1, A0) + +# Start of element U3 +ChangePinName(U3, 8, \_Y3\_) +ChangePinName(U3, 9, A3) +ChangePinName(U3, 10, \_Y4\_) +ChangePinName(U3, 11, A4) +ChangePinName(U3, 12, \_Y5\_) +ChangePinName(U3, 13, A5) +ChangePinName(U3, 14, Vcc) +ChangePinName(U3, 7, GND) +ChangePinName(U3, 6, \_Y2\_) +ChangePinName(U3, 5, A2) +ChangePinName(U3, 4, \_Y1\_) +ChangePinName(U3, 3, A1) +ChangePinName(U3, 2, \_Y0\_) +ChangePinName(U3, 1, A0) + +# Start of element C2 +ChangePinName(C2, 2, 2) +ChangePinName(C2, 1, 1) + +# Start of element C22 +ChangePinName(C22, 2, 2) +ChangePinName(C22, 1, 1) + +# Start of element J1 +ChangePinName(J1, 4, +5V) +ChangePinName(J1, 3, GND) +ChangePinName(J1, 2, GND) +ChangePinName(J1, 1, +12V) + +# Start of element J2 +ChangePinName(J2, 50, 50) +ChangePinName(J2, 49, 49) +ChangePinName(J2, 48, 48) +ChangePinName(J2, 47, 47) +ChangePinName(J2, 46, 46) +ChangePinName(J2, 45, 45) +ChangePinName(J2, 44, 44) +ChangePinName(J2, 43, 43) +ChangePinName(J2, 42, 42) +ChangePinName(J2, 41, 41) +ChangePinName(J2, 40, 40) +ChangePinName(J2, 39, 39) +ChangePinName(J2, 38, 38) +ChangePinName(J2, 37, 37) +ChangePinName(J2, 36, 36) +ChangePinName(J2, 35, 35) +ChangePinName(J2, 34, 34) +ChangePinName(J2, 33, 33) +ChangePinName(J2, 32, 32) +ChangePinName(J2, 31, 31) +ChangePinName(J2, 30, 30) +ChangePinName(J2, 29, 29) +ChangePinName(J2, 28, 28) +ChangePinName(J2, 27, 27) +ChangePinName(J2, 26, 26) +ChangePinName(J2, 25, 25) +ChangePinName(J2, 24, 24) +ChangePinName(J2, 23, 23) +ChangePinName(J2, 22, 22) +ChangePinName(J2, 21, 21) +ChangePinName(J2, 20, 20) +ChangePinName(J2, 19, 19) +ChangePinName(J2, 18, 18) +ChangePinName(J2, 17, 17) +ChangePinName(J2, 16, 16) +ChangePinName(J2, 15, 15) +ChangePinName(J2, 14, 14) +ChangePinName(J2, 13, 13) +ChangePinName(J2, 12, 12) +ChangePinName(J2, 11, 11) +ChangePinName(J2, 10, 10) +ChangePinName(J2, 9, 9) +ChangePinName(J2, 8, 8) +ChangePinName(J2, 7, 7) +ChangePinName(J2, 6, 6) +ChangePinName(J2, 5, 5) +ChangePinName(J2, 4, 4) +ChangePinName(J2, 3, 3) +ChangePinName(J2, 2, 2) +ChangePinName(J2, 1, 1) diff --git a/hardware/scsi2sd.net b/hardware/scsi2sd.net new file mode 100644 index 0000000..a77931a --- /dev/null +++ b/hardware/scsi2sd.net @@ -0,0 +1,77 @@ +unnamed_net28 R5-1 J5-2 +unnamed_net27 R4-1 J5-3 +unnamed_net26 J5-4 +unnamed_net25 D3-2 F2-2 +unnamed_net24 J5-1 F2-1 +unnamed_net23 D1-2 J3-1 +drive_5V F1-2 D2-2 J3-2 +unnamed_net22 R1-1 R2-1 C14-2 C7-2 U2-2 +unnamed_net21 U1-55 +unnamed_net20 U1-42 +unnamed_net19 R5-2 U1-36 +unnamed_net18 R4-2 U1-35 +unnamed_net17 U1-25 +unnamed_net16 U1-24 +unnamed_net15 U1-22 +unnamed_net14 U1-11 +SD_DAT2 J6-1 U1-49 R12-1 +\_SD_CS\_ J6-2 U1-48 R11-1 +SD_MOSI J6-3 U1-47 R10-1 +\_SD_CD\_ J6-10 U1-51 R9-1 +SD_SCK J6-5 U1-46 R8-1 +SD_MISO J6-7 U1-45 R7-1 +SD_DAT1 J6-8 U1-44 R6-1 +unnamed_net13 U1-86 U1-39 C20-2 +unnamed_net12 U1-63 C27-2 +unnamed_net11 U1-68 LED1-2 +unnamed_net10 R3-1 LED1-1 +unnamed_net9 U1-23 J4-6 +unnamed_net8 J4-8 +unnamed_net7 U1-15 J4-10 +unnamed_net6 U1-21 J4-4 +unnamed_net5 U1-20 J4-2 +DB0 U1-92 U5-9 +DB2 U1-90 U5-11 +DB4 U1-85 U5-13 +DB1 U1-91 U5-5 +DB3 U1-89 U5-3 +DB5 U1-84 U5-1 +DB6 U1-83 U4-9 +DBP U1-81 U4-11 +BSY U1-79 U4-13 +DB7 U1-82 U4-5 +ATN U1-80 U4-3 +ACK U1-78 U4-1 +RST U1-77 U3-9 +SEL U1-74 U3-11 +REQ U1-72 U3-13 +MSG U1-76 U3-5 +C/D U1-73 U3-3 +I/O U1-71 U3-1 +5V D3-1 U6-3 C12-2 C26-2 U1-65 C24-2 U1-88 C8-2 C19-2 U1-37 C23-2 U1-100 C17-2 U1-75 C21-2 R3-2 U1-26 C9-2 J4-1 C11-2 U5-14 C10-2 U4-14 C3-2 U3-14 D2-1 C4-2 C2-2 +3.3V U6-2 C13-2 R12-2 R11-2 R10-2 R9-2 R8-2 R7-2 R6-2 U1-50 C28-2 J6-4 C22-2 +unnamed_net4 F1-1 J1-4 +unnamed_net3 J1-1 +\_I/O\_ R2-2 U1-19 U3-2 J2-50 +\_REQ\_ R2-3 U1-18 U3-12 J2-48 +\_C/D\_ R2-4 U1-17 U3-4 J2-46 +\_SEL\_ R2-5 U1-16 U3-10 J2-44 +\_MSG\_ R2-6 U1-9 U3-6 J2-42 +\_RST\_ R2-7 U1-8 U3-8 J2-40 +\_ACK\_ R2-8 U1-7 U4-2 J2-38 +\_BSY\_ R2-9 U1-6 U4-12 J2-36 +\_ATN\_ R2-10 U1-5 U4-4 J2-32 +unnamed_net2 D1-1 U2-3 C5-2 C1-2 J2-26 +unnamed_net1 J2-25 +\_DBP\_ R1-2 U1-95 U4-10 J2-18 +\_DB7\_ R1-3 U1-96 U4-6 J2-16 +\_DB6\_ R1-4 U1-97 U4-8 J2-14 +\_DB5\_ R1-5 U1-98 U5-2 J2-12 +\_DB4\_ R1-6 U1-99 U5-12 J2-10 +\_DB3\_ R1-7 U1-1 U5-4 J2-8 +\_DB2\_ R1-8 U1-2 U5-10 J2-6 +\_DB1\_ R1-9 U1-3 U5-6 J2-4 +\_DB0\_ R1-10 U1-4 U5-8 J2-2 +GND J6-9 J6-6 J5-5 C14-1 C4-1 C7-1 U6-1 U2-1 C12-1 C13-1 C5-1 C8-1 U1-87 U1-93 U1-94 U1-52 U1-53 U1-54 U1-56 U1-57 U1-58 U1-59 U1-60 U1-61 U1-62 U1-64 U1-66 U1-67 U1-69 U1-70 U1-43 U1-41 U1-40 U1-38 \ + U1-34 U1-33 U1-32 U1-31 U1-30 U1-29 U1-28 U1-27 U1-14 U1-13 U1-12 U1-10 C26-1 C20-1 C27-1 C19-1 C24-1 C23-1 C17-1 C21-1 C28-1 C11-1 C10-1 C3-1 C9-1 J4-7 J4-9 J4-5 J4-3 C1-1 U5-7 U4-7 U3-7 C2-1 C22-1 \ + J1-3 J1-2 J2-34 J2-30 J2-28 J2-22 J2-24 J2-20 J2-49 J2-47 J2-45 J2-43 J2-41 J2-39 J2-37 J2-35 J2-33 J2-31 J2-29 J2-27 J2-19 J2-17 J2-15 J2-13 J2-11 J2-9 J2-7 J2-5 J2-3 J2-23 J2-21 J2-1 diff --git a/hardware/scsi2sd.pcb b/hardware/scsi2sd.pcb new file mode 100644 index 0000000..283a64c --- /dev/null +++ b/hardware/scsi2sd.pcb @@ -0,0 +1,3874 @@ +# release: pcb 20110918 + +# To read pcb files, the pcb version (or the git source date) must be >= the file version +FileVersion[20070407] + +PCB["" 384000 393500] + +Grid[500.0 0 0 1] +Cursor[234500 70000 0.000000] +PolyArea[200000000.000000] +Thermal[0.500000] +DRC[600 100 600 600 1200 600] +Flags("showdrc,nameonpcb,clearnew,snappin") +Groups("1,c:2,s:3:4:5:6:7:8") +Styles["Signal,1000,2800,1200,800:Power,2500,6000,3500,1000:Fat,5000,25000,12500,1000:Skinny,800,2400,1200,600"] + +Symbol[' ' 1800] +( +) +Symbol['!' 1200] +( + SymbolLine[0 4500 0 5000 800] + SymbolLine[0 1000 0 3500 800] +) +Symbol['"' 1200] +( + SymbolLine[0 1000 0 2000 800] + SymbolLine[1000 1000 1000 2000 800] +) +Symbol['#' 1200] +( + SymbolLine[0 3500 2000 3500 800] + SymbolLine[0 2500 2000 2500 800] + SymbolLine[1500 2000 1500 4000 800] + SymbolLine[500 2000 500 4000 800] +) +Symbol['$' 1200] +( + SymbolLine[1500 1500 2000 2000 800] + SymbolLine[500 1500 1500 1500 800] + SymbolLine[0 2000 500 1500 800] + SymbolLine[0 2000 0 2500 800] + SymbolLine[0 2500 500 3000 800] + SymbolLine[500 3000 1500 3000 800] + SymbolLine[1500 3000 2000 3500 800] + SymbolLine[2000 3500 2000 4000 800] + SymbolLine[1500 4500 2000 4000 800] + SymbolLine[500 4500 1500 4500 800] + SymbolLine[0 4000 500 4500 800] + SymbolLine[1000 1000 1000 5000 800] +) +Symbol['%' 1200] +( + SymbolLine[0 1500 0 2000 800] + SymbolLine[0 1500 500 1000 800] + SymbolLine[500 1000 1000 1000 800] + SymbolLine[1000 1000 1500 1500 800] + SymbolLine[1500 1500 1500 2000 800] + SymbolLine[1000 2500 1500 2000 800] + SymbolLine[500 2500 1000 2500 800] + SymbolLine[0 2000 500 2500 800] + SymbolLine[0 5000 4000 1000 800] + SymbolLine[3500 5000 4000 4500 800] + SymbolLine[4000 4000 4000 4500 800] + SymbolLine[3500 3500 4000 4000 800] + SymbolLine[3000 3500 3500 3500 800] + SymbolLine[2500 4000 3000 3500 800] + SymbolLine[2500 4000 2500 4500 800] + SymbolLine[2500 4500 3000 5000 800] + SymbolLine[3000 5000 3500 5000 800] +) +Symbol['&' 1200] +( + SymbolLine[0 4500 500 5000 800] + SymbolLine[0 1500 0 2500 800] + SymbolLine[0 1500 500 1000 800] + SymbolLine[0 3500 1500 2000 800] + SymbolLine[500 5000 1000 5000 800] + SymbolLine[1000 5000 2000 4000 800] + SymbolLine[0 2500 2500 5000 800] + SymbolLine[500 1000 1000 1000 800] + SymbolLine[1000 1000 1500 1500 800] + SymbolLine[1500 1500 1500 2000 800] + SymbolLine[0 3500 0 4500 800] +) +Symbol[''' 1200] +( + SymbolLine[0 2000 1000 1000 800] +) +Symbol['(' 1200] +( + SymbolLine[0 4500 500 5000 800] + SymbolLine[0 1500 500 1000 800] + SymbolLine[0 1500 0 4500 800] +) +Symbol[')' 1200] +( + SymbolLine[0 1000 500 1500 800] + SymbolLine[500 1500 500 4500 800] + SymbolLine[0 5000 500 4500 800] +) +Symbol['*' 1200] +( + SymbolLine[0 2000 2000 4000 800] + SymbolLine[0 4000 2000 2000 800] + SymbolLine[0 3000 2000 3000 800] + SymbolLine[1000 2000 1000 4000 800] +) +Symbol['+' 1200] +( + SymbolLine[0 3000 2000 3000 800] + SymbolLine[1000 2000 1000 4000 800] +) +Symbol[',' 1200] +( + SymbolLine[0 6000 1000 5000 800] +) +Symbol['-' 1200] +( + SymbolLine[0 3000 2000 3000 800] +) +Symbol['.' 1200] +( + SymbolLine[0 5000 500 5000 800] +) +Symbol['/' 1200] +( + SymbolLine[0 4500 3000 1500 800] +) +Symbol['0' 1200] +( + SymbolLine[0 4500 500 5000 800] + SymbolLine[0 1500 0 4500 800] + SymbolLine[0 1500 500 1000 800] + SymbolLine[500 1000 1500 1000 800] + SymbolLine[1500 1000 2000 1500 800] + SymbolLine[2000 1500 2000 4500 800] + SymbolLine[1500 5000 2000 4500 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[0 4000 2000 2000 800] +) +Symbol['1' 1200] +( + SymbolLine[0 1800 800 1000 800] + SymbolLine[800 1000 800 5000 800] + SymbolLine[0 5000 1500 5000 800] +) +Symbol['2' 1200] +( + SymbolLine[0 1500 500 1000 800] + SymbolLine[500 1000 2000 1000 800] + SymbolLine[2000 1000 2500 1500 800] + SymbolLine[2500 1500 2500 2500 800] + SymbolLine[0 5000 2500 2500 800] + SymbolLine[0 5000 2500 5000 800] +) +Symbol['3' 1200] +( + SymbolLine[0 1500 500 1000 800] + SymbolLine[500 1000 1500 1000 800] + SymbolLine[1500 1000 2000 1500 800] + SymbolLine[1500 5000 2000 4500 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[500 2800 1500 2800 800] + SymbolLine[2000 1500 2000 2300 800] + SymbolLine[2000 3300 2000 4500 800] + SymbolLine[2000 3300 1500 2800 800] + SymbolLine[2000 2300 1500 2800 800] +) +Symbol['4' 1200] +( + SymbolLine[0 3500 2000 1000 800] + SymbolLine[0 3500 2500 3500 800] + SymbolLine[2000 1000 2000 5000 800] +) +Symbol['5' 1200] +( + SymbolLine[0 1000 2000 1000 800] + SymbolLine[0 1000 0 3000 800] + SymbolLine[0 3000 500 2500 800] + SymbolLine[500 2500 1500 2500 800] + SymbolLine[1500 2500 2000 3000 800] + SymbolLine[2000 3000 2000 4500 800] + SymbolLine[1500 5000 2000 4500 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[0 4500 500 5000 800] +) +Symbol['6' 1200] +( + SymbolLine[1500 1000 2000 1500 800] + SymbolLine[500 1000 1500 1000 800] + SymbolLine[0 1500 500 1000 800] + SymbolLine[0 1500 0 4500 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[1500 2800 2000 3300 800] + SymbolLine[0 2800 1500 2800 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[1500 5000 2000 4500 800] + SymbolLine[2000 3300 2000 4500 800] +) +Symbol['7' 1200] +( + SymbolLine[500 5000 2500 1000 800] + SymbolLine[0 1000 2500 1000 800] +) +Symbol['8' 1200] +( + SymbolLine[0 4500 500 5000 800] + SymbolLine[0 3700 0 4500 800] + SymbolLine[0 3700 700 3000 800] + SymbolLine[700 3000 1300 3000 800] + SymbolLine[1300 3000 2000 3700 800] + SymbolLine[2000 3700 2000 4500 800] + SymbolLine[1500 5000 2000 4500 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[0 2300 700 3000 800] + SymbolLine[0 1500 0 2300 800] + SymbolLine[0 1500 500 1000 800] + SymbolLine[500 1000 1500 1000 800] + SymbolLine[1500 1000 2000 1500 800] + SymbolLine[2000 1500 2000 2300 800] + SymbolLine[1300 3000 2000 2300 800] +) +Symbol['9' 1200] +( + SymbolLine[500 5000 2000 3000 800] + SymbolLine[2000 1500 2000 3000 800] + SymbolLine[1500 1000 2000 1500 800] + SymbolLine[500 1000 1500 1000 800] + SymbolLine[0 1500 500 1000 800] + SymbolLine[0 1500 0 2500 800] + SymbolLine[0 2500 500 3000 800] + SymbolLine[500 3000 2000 3000 800] +) +Symbol[':' 1200] +( + SymbolLine[0 2500 500 2500 800] + SymbolLine[0 3500 500 3500 800] +) +Symbol[';' 1200] +( + SymbolLine[0 5000 1000 4000 800] + SymbolLine[1000 2500 1000 3000 800] +) +Symbol['<' 1200] +( + SymbolLine[0 3000 1000 2000 800] + SymbolLine[0 3000 1000 4000 800] +) +Symbol['=' 1200] +( + SymbolLine[0 2500 2000 2500 800] + SymbolLine[0 3500 2000 3500 800] +) +Symbol['>' 1200] +( + SymbolLine[0 2000 1000 3000 800] + SymbolLine[0 4000 1000 3000 800] +) +Symbol['?' 1200] +( + SymbolLine[1000 3000 1000 3500 800] + SymbolLine[1000 4500 1000 5000 800] + SymbolLine[0 1500 0 2000 800] + SymbolLine[0 1500 500 1000 800] + SymbolLine[500 1000 1500 1000 800] + SymbolLine[1500 1000 2000 1500 800] + SymbolLine[2000 1500 2000 2000 800] + SymbolLine[1000 3000 2000 2000 800] +) +Symbol['@' 1200] +( + SymbolLine[0 1000 0 4000 800] + SymbolLine[0 4000 1000 5000 800] + SymbolLine[1000 5000 4000 5000 800] + SymbolLine[5000 3500 5000 1000 800] + SymbolLine[5000 1000 4000 0 800] + SymbolLine[4000 0 1000 0 800] + SymbolLine[1000 0 0 1000 800] + SymbolLine[1500 2000 1500 3000 800] + SymbolLine[1500 3000 2000 3500 800] + SymbolLine[2000 3500 3000 3500 800] + SymbolLine[3000 3500 3500 3000 800] + SymbolLine[3500 3000 4000 3500 800] + SymbolLine[3500 3000 3500 1500 800] + SymbolLine[3500 2000 3000 1500 800] + SymbolLine[2000 1500 3000 1500 800] + SymbolLine[2000 1500 1500 2000 800] + SymbolLine[4000 3500 5000 3500 800] +) +Symbol['A' 1200] +( + SymbolLine[0 2000 0 5000 800] + SymbolLine[0 2000 700 1000 800] + SymbolLine[700 1000 1800 1000 800] + SymbolLine[1800 1000 2500 2000 800] + SymbolLine[2500 2000 2500 5000 800] + SymbolLine[0 3000 2500 3000 800] +) +Symbol['B' 1200] +( + SymbolLine[0 5000 2000 5000 800] + SymbolLine[2000 5000 2500 4500 800] + SymbolLine[2500 3300 2500 4500 800] + SymbolLine[2000 2800 2500 3300 800] + SymbolLine[500 2800 2000 2800 800] + SymbolLine[500 1000 500 5000 800] + SymbolLine[0 1000 2000 1000 800] + SymbolLine[2000 1000 2500 1500 800] + SymbolLine[2500 1500 2500 2300 800] + SymbolLine[2000 2800 2500 2300 800] +) +Symbol['C' 1200] +( + SymbolLine[700 5000 2000 5000 800] + SymbolLine[0 4300 700 5000 800] + SymbolLine[0 1700 0 4300 800] + SymbolLine[0 1700 700 1000 800] + SymbolLine[700 1000 2000 1000 800] +) +Symbol['D' 1200] +( + SymbolLine[500 1000 500 5000 800] + SymbolLine[1800 1000 2500 1700 800] + SymbolLine[2500 1700 2500 4300 800] + SymbolLine[1800 5000 2500 4300 800] + SymbolLine[0 5000 1800 5000 800] + SymbolLine[0 1000 1800 1000 800] +) +Symbol['E' 1200] +( + SymbolLine[0 2800 1500 2800 800] + SymbolLine[0 5000 2000 5000 800] + SymbolLine[0 1000 0 5000 800] + SymbolLine[0 1000 2000 1000 800] +) +Symbol['F' 1200] +( + SymbolLine[0 1000 0 5000 800] + SymbolLine[0 1000 2000 1000 800] + SymbolLine[0 2800 1500 2800 800] +) +Symbol['G' 1200] +( + SymbolLine[2000 1000 2500 1500 800] + SymbolLine[500 1000 2000 1000 800] + SymbolLine[0 1500 500 1000 800] + SymbolLine[0 1500 0 4500 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[500 5000 2000 5000 800] + SymbolLine[2000 5000 2500 4500 800] + SymbolLine[2500 3500 2500 4500 800] + SymbolLine[2000 3000 2500 3500 800] + SymbolLine[1000 3000 2000 3000 800] +) +Symbol['H' 1200] +( + SymbolLine[0 1000 0 5000 800] + SymbolLine[2500 1000 2500 5000 800] + SymbolLine[0 3000 2500 3000 800] +) +Symbol['I' 1200] +( + SymbolLine[0 1000 1000 1000 800] + SymbolLine[500 1000 500 5000 800] + SymbolLine[0 5000 1000 5000 800] +) +Symbol['J' 1200] +( + SymbolLine[700 1000 1500 1000 800] + SymbolLine[1500 1000 1500 4500 800] + SymbolLine[1000 5000 1500 4500 800] + SymbolLine[500 5000 1000 5000 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[0 4500 0 4000 800] +) +Symbol['K' 1200] +( + SymbolLine[0 1000 0 5000 800] + SymbolLine[0 3000 2000 1000 800] + SymbolLine[0 3000 2000 5000 800] +) +Symbol['L' 1200] +( + SymbolLine[0 1000 0 5000 800] + SymbolLine[0 5000 2000 5000 800] +) +Symbol['M' 1200] +( + SymbolLine[0 1000 0 5000 800] + SymbolLine[0 1000 1500 3000 800] + SymbolLine[1500 3000 3000 1000 800] + SymbolLine[3000 1000 3000 5000 800] +) +Symbol['N' 1200] +( + SymbolLine[0 1000 0 5000 800] + SymbolLine[0 1000 2500 5000 800] + SymbolLine[2500 1000 2500 5000 800] +) +Symbol['O' 1200] +( + SymbolLine[0 1500 0 4500 800] + SymbolLine[0 1500 500 1000 800] + SymbolLine[500 1000 1500 1000 800] + SymbolLine[1500 1000 2000 1500 800] + SymbolLine[2000 1500 2000 4500 800] + SymbolLine[1500 5000 2000 4500 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[0 4500 500 5000 800] +) +Symbol['P' 1200] +( + SymbolLine[500 1000 500 5000 800] + SymbolLine[0 1000 2000 1000 800] + SymbolLine[2000 1000 2500 1500 800] + SymbolLine[2500 1500 2500 2500 800] + SymbolLine[2000 3000 2500 2500 800] + SymbolLine[500 3000 2000 3000 800] +) +Symbol['Q' 1200] +( + SymbolLine[0 1500 0 4500 800] + SymbolLine[0 1500 500 1000 800] + SymbolLine[500 1000 1500 1000 800] + SymbolLine[1500 1000 2000 1500 800] + SymbolLine[2000 1500 2000 4000 800] + SymbolLine[1000 5000 2000 4000 800] + SymbolLine[500 5000 1000 5000 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[1000 3500 2000 5000 800] +) +Symbol['R' 1200] +( + SymbolLine[0 1000 2000 1000 800] + SymbolLine[2000 1000 2500 1500 800] + SymbolLine[2500 1500 2500 2500 800] + SymbolLine[2000 3000 2500 2500 800] + SymbolLine[500 3000 2000 3000 800] + SymbolLine[500 1000 500 5000 800] + SymbolLine[1300 3000 2500 5000 800] +) +Symbol['S' 1200] +( + SymbolLine[2000 1000 2500 1500 800] + SymbolLine[500 1000 2000 1000 800] + SymbolLine[0 1500 500 1000 800] + SymbolLine[0 1500 0 2500 800] + SymbolLine[0 2500 500 3000 800] + SymbolLine[500 3000 2000 3000 800] + SymbolLine[2000 3000 2500 3500 800] + SymbolLine[2500 3500 2500 4500 800] + SymbolLine[2000 5000 2500 4500 800] + SymbolLine[500 5000 2000 5000 800] + SymbolLine[0 4500 500 5000 800] +) +Symbol['T' 1200] +( + SymbolLine[0 1000 2000 1000 800] + SymbolLine[1000 1000 1000 5000 800] +) +Symbol['U' 1200] +( + SymbolLine[0 1000 0 4500 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[1500 5000 2000 4500 800] + SymbolLine[2000 1000 2000 4500 800] +) +Symbol['V' 1200] +( + SymbolLine[0 1000 1000 5000 800] + SymbolLine[1000 5000 2000 1000 800] +) +Symbol['W' 1200] +( + SymbolLine[0 1000 0 3000 800] + SymbolLine[0 3000 500 5000 800] + SymbolLine[500 5000 1500 3000 800] + SymbolLine[1500 3000 2500 5000 800] + SymbolLine[2500 5000 3000 3000 800] + SymbolLine[3000 3000 3000 1000 800] +) +Symbol['X' 1200] +( + SymbolLine[0 5000 2500 1000 800] + SymbolLine[0 1000 2500 5000 800] +) +Symbol['Y' 1200] +( + SymbolLine[0 1000 1000 3000 800] + SymbolLine[1000 3000 2000 1000 800] + SymbolLine[1000 3000 1000 5000 800] +) +Symbol['Z' 1200] +( + SymbolLine[0 1000 2500 1000 800] + SymbolLine[0 5000 2500 1000 800] + SymbolLine[0 5000 2500 5000 800] +) +Symbol['[' 1200] +( + SymbolLine[0 1000 500 1000 800] + SymbolLine[0 1000 0 5000 800] + SymbolLine[0 5000 500 5000 800] +) +Symbol['\' 1200] +( + SymbolLine[0 1500 3000 4500 800] +) +Symbol[']' 1200] +( + SymbolLine[0 1000 500 1000 800] + SymbolLine[500 1000 500 5000 800] + SymbolLine[0 5000 500 5000 800] +) +Symbol['^' 1200] +( + SymbolLine[0 1500 500 1000 800] + SymbolLine[500 1000 1000 1500 800] +) +Symbol['_' 1200] +( + SymbolLine[0 5000 2000 5000 800] +) +Symbol['a' 1200] +( + SymbolLine[1500 3000 2000 3500 800] + SymbolLine[500 3000 1500 3000 800] + SymbolLine[0 3500 500 3000 800] + SymbolLine[0 3500 0 4500 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[2000 3000 2000 4500 800] + SymbolLine[2000 4500 2500 5000 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[1500 5000 2000 4500 800] +) +Symbol['b' 1200] +( + SymbolLine[0 1000 0 5000 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[1500 5000 2000 4500 800] + SymbolLine[2000 3500 2000 4500 800] + SymbolLine[1500 3000 2000 3500 800] + SymbolLine[500 3000 1500 3000 800] + SymbolLine[0 3500 500 3000 800] +) +Symbol['c' 1200] +( + SymbolLine[500 3000 2000 3000 800] + SymbolLine[0 3500 500 3000 800] + SymbolLine[0 3500 0 4500 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[500 5000 2000 5000 800] +) +Symbol['d' 1200] +( + SymbolLine[2000 1000 2000 5000 800] + SymbolLine[1500 5000 2000 4500 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[0 3500 0 4500 800] + SymbolLine[0 3500 500 3000 800] + SymbolLine[500 3000 1500 3000 800] + SymbolLine[1500 3000 2000 3500 800] +) +Symbol['e' 1200] +( + SymbolLine[500 5000 2000 5000 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[0 3500 0 4500 800] + SymbolLine[0 3500 500 3000 800] + SymbolLine[500 3000 1500 3000 800] + SymbolLine[1500 3000 2000 3500 800] + SymbolLine[0 4000 2000 4000 800] + SymbolLine[2000 4000 2000 3500 800] +) +Symbol['f' 1000] +( + SymbolLine[500 1500 500 5000 800] + SymbolLine[500 1500 1000 1000 800] + SymbolLine[1000 1000 1500 1000 800] + SymbolLine[0 3000 1000 3000 800] +) +Symbol['g' 1200] +( + SymbolLine[1500 3000 2000 3500 800] + SymbolLine[500 3000 1500 3000 800] + SymbolLine[0 3500 500 3000 800] + SymbolLine[0 3500 0 4500 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[1500 5000 2000 4500 800] + SymbolLine[0 6000 500 6500 800] + SymbolLine[500 6500 1500 6500 800] + SymbolLine[1500 6500 2000 6000 800] + SymbolLine[2000 3000 2000 6000 800] +) +Symbol['h' 1200] +( + SymbolLine[0 1000 0 5000 800] + SymbolLine[0 3500 500 3000 800] + SymbolLine[500 3000 1500 3000 800] + SymbolLine[1500 3000 2000 3500 800] + SymbolLine[2000 3500 2000 5000 800] +) +Symbol['i' 1000] +( + SymbolLine[0 2000 0 2100 1000] + SymbolLine[0 3500 0 5000 800] +) +Symbol['j' 1000] +( + SymbolLine[500 2000 500 2100 1000] + SymbolLine[500 3500 500 6000 800] + SymbolLine[0 6500 500 6000 800] +) +Symbol['k' 1200] +( + SymbolLine[0 1000 0 5000 800] + SymbolLine[0 3500 1500 5000 800] + SymbolLine[0 3500 1000 2500 800] +) +Symbol['l' 1000] +( + SymbolLine[0 1000 0 4500 800] + SymbolLine[0 4500 500 5000 800] +) +Symbol['m' 1200] +( + SymbolLine[500 3500 500 5000 800] + SymbolLine[500 3500 1000 3000 800] + SymbolLine[1000 3000 1500 3000 800] + SymbolLine[1500 3000 2000 3500 800] + SymbolLine[2000 3500 2000 5000 800] + SymbolLine[2000 3500 2500 3000 800] + SymbolLine[2500 3000 3000 3000 800] + SymbolLine[3000 3000 3500 3500 800] + SymbolLine[3500 3500 3500 5000 800] + SymbolLine[0 3000 500 3500 800] +) +Symbol['n' 1200] +( + SymbolLine[500 3500 500 5000 800] + SymbolLine[500 3500 1000 3000 800] + SymbolLine[1000 3000 1500 3000 800] + SymbolLine[1500 3000 2000 3500 800] + SymbolLine[2000 3500 2000 5000 800] + SymbolLine[0 3000 500 3500 800] +) +Symbol['o' 1200] +( + SymbolLine[0 3500 0 4500 800] + SymbolLine[0 3500 500 3000 800] + SymbolLine[500 3000 1500 3000 800] + SymbolLine[1500 3000 2000 3500 800] + SymbolLine[2000 3500 2000 4500 800] + SymbolLine[1500 5000 2000 4500 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[0 4500 500 5000 800] +) +Symbol['p' 1200] +( + SymbolLine[500 3500 500 6500 800] + SymbolLine[0 3000 500 3500 800] + SymbolLine[500 3500 1000 3000 800] + SymbolLine[1000 3000 2000 3000 800] + SymbolLine[2000 3000 2500 3500 800] + SymbolLine[2500 3500 2500 4500 800] + SymbolLine[2000 5000 2500 4500 800] + SymbolLine[1000 5000 2000 5000 800] + SymbolLine[500 4500 1000 5000 800] +) +Symbol['q' 1200] +( + SymbolLine[2000 3500 2000 6500 800] + SymbolLine[1500 3000 2000 3500 800] + SymbolLine[500 3000 1500 3000 800] + SymbolLine[0 3500 500 3000 800] + SymbolLine[0 3500 0 4500 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[1500 5000 2000 4500 800] +) +Symbol['r' 1200] +( + SymbolLine[500 3500 500 5000 800] + SymbolLine[500 3500 1000 3000 800] + SymbolLine[1000 3000 2000 3000 800] + SymbolLine[0 3000 500 3500 800] +) +Symbol['s' 1200] +( + SymbolLine[500 5000 2000 5000 800] + SymbolLine[2000 5000 2500 4500 800] + SymbolLine[2000 4000 2500 4500 800] + SymbolLine[500 4000 2000 4000 800] + SymbolLine[0 3500 500 4000 800] + SymbolLine[0 3500 500 3000 800] + SymbolLine[500 3000 2000 3000 800] + SymbolLine[2000 3000 2500 3500 800] + SymbolLine[0 4500 500 5000 800] +) +Symbol['t' 1000] +( + SymbolLine[500 1000 500 4500 800] + SymbolLine[500 4500 1000 5000 800] + SymbolLine[0 2500 1000 2500 800] +) +Symbol['u' 1200] +( + SymbolLine[0 3000 0 4500 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[1500 5000 2000 4500 800] + SymbolLine[2000 3000 2000 4500 800] +) +Symbol['v' 1200] +( + SymbolLine[0 3000 1000 5000 800] + SymbolLine[2000 3000 1000 5000 800] +) +Symbol['w' 1200] +( + SymbolLine[0 3000 0 4500 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[500 5000 1000 5000 800] + SymbolLine[1000 5000 1500 4500 800] + SymbolLine[1500 3000 1500 4500 800] + SymbolLine[1500 4500 2000 5000 800] + SymbolLine[2000 5000 2500 5000 800] + SymbolLine[2500 5000 3000 4500 800] + SymbolLine[3000 3000 3000 4500 800] +) +Symbol['x' 1200] +( + SymbolLine[0 3000 2000 5000 800] + SymbolLine[0 5000 2000 3000 800] +) +Symbol['y' 1200] +( + SymbolLine[0 3000 0 4500 800] + SymbolLine[0 4500 500 5000 800] + SymbolLine[2000 3000 2000 6000 800] + SymbolLine[1500 6500 2000 6000 800] + SymbolLine[500 6500 1500 6500 800] + SymbolLine[0 6000 500 6500 800] + SymbolLine[500 5000 1500 5000 800] + SymbolLine[1500 5000 2000 4500 800] +) +Symbol['z' 1200] +( + SymbolLine[0 3000 2000 3000 800] + SymbolLine[0 5000 2000 3000 800] + SymbolLine[0 5000 2000 5000 800] +) +Symbol['{' 1200] +( + SymbolLine[500 1500 1000 1000 800] + SymbolLine[500 1500 500 2500 800] + SymbolLine[0 3000 500 2500 800] + SymbolLine[0 3000 500 3500 800] + SymbolLine[500 3500 500 4500 800] + SymbolLine[500 4500 1000 5000 800] +) +Symbol['|' 1200] +( + SymbolLine[0 1000 0 5000 800] +) +Symbol['}' 1200] +( + SymbolLine[0 1000 500 1500 800] + SymbolLine[500 1500 500 2500 800] + SymbolLine[500 2500 1000 3000 800] + SymbolLine[500 3500 1000 3000 800] + SymbolLine[500 3500 500 4500 800] + SymbolLine[0 5000 500 4500 800] +) +Symbol['~' 1200] +( + SymbolLine[0 3500 500 3000 800] + SymbolLine[500 3000 1000 3000 800] + SymbolLine[1000 3000 1500 3500 800] + SymbolLine[1500 3500 2000 3500 800] + SymbolLine[2000 3500 2500 3000 800] +) +Attribute("PCB::grid::unit" "mil") +Via[36500 105500 2400 1600 0 1200 "" ""] +Via[39000 109500 2400 1600 0 1200 "" ""] +Via[41500 113500 2400 1600 0 1200 "" ""] +Via[44000 117500 2400 1600 0 1200 "" ""] +Via[46500 121500 2400 1600 0 1200 "" ""] +Via[49000 125500 2400 1600 0 1200 "" ""] +Via[51500 129500 2400 1600 0 1200 "" ""] +Via[217500 248000 2800 1600 0 1200 "" ""] +Via[168000 245500 2800 1600 0 1200 "" ""] +Via[151000 271500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[242000 303000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[193500 220000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[204000 249500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[191000 265500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[216500 263500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[216500 275500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[291500 194500 2800 1600 0 1200 "" ""] +Via[153500 178500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[250000 169000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[231000 282500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[168000 265500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[168000 271500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[214000 267500 2800 1600 0 1200 "" ""] +Via[216500 287000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[240500 268000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[186500 296500 2800 1600 0 1200 "" ""] +Via[189500 292000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[170500 296000 2800 2000 0 1200 "" ""] +Via[172500 312000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[254500 227000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[93000 75000 2400 1600 0 1200 "" ""] +Via[95500 79000 2400 1600 0 1200 "" ""] +Via[98000 83000 2400 1600 0 1200 "" ""] +Via[100500 87000 2400 1600 0 1200 "" ""] +Via[103000 91000 2400 1600 0 1200 "" ""] +Via[105500 95000 2400 1600 0 1200 "" ""] +Via[90500 71000 2400 1600 0 1200 "" ""] +Via[88000 67000 2400 1600 0 1200 "" ""] +Via[108000 99000 2400 1600 0 1200 "" ""] +Via[54000 133500 2400 1600 0 1200 "" ""] +Via[56500 137500 2400 1600 0 1200 "" ""] +Via[189500 246500 2800 1600 0 1200 "" ""] +Via[126500 241000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[154000 237500 2800 1600 0 1200 "" ""] +Via[111500 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[120000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[140000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[130000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[170000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[150000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[160000 141500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[180000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[190000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[199000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[211000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[222000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[232000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[242000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[252000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[262000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[272000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[282000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[292000 141000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[197000 326500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[178000 369500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[225500 378500 2800 1600 0 1200 "" ""] +Via[234500 378500 2800 1600 0 1200 "" ""] +Via[243000 378500 2800 1600 0 1200 "" ""] +Via[247500 378500 2800 1600 0 1200 "" ""] +Via[252000 378500 2800 1600 0 1200 "" ""] +Via[230000 378500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[277500 362500 2800 1600 0 1200 "" ""] +Via[277500 356500 2800 1600 0 1200 "" ""] +Via[277500 350500 2800 1600 0 1200 "" ""] +Via[277500 344000 2800 1600 0 1200 "" ""] +Via[277500 338000 2800 1600 0 1200 "" ""] +Via[277500 331500 2800 1600 0 1200 "" ""] +Via[212000 383000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[276500 387000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[216000 371000 2800 1600 0 1200 "" ""] +Via[153000 194500 2800 1600 0 1200 "" ""] +Via[231000 194500 2800 1600 0 1200 "" ""] +Via[74000 180500 6000 2000 0 3500 "" ""] +Via[74500 154500 6000 2000 0 3500 "" "thermal(0X,1X)"] +Via[347000 300000 6000 2000 0 3500 "" ""] +Via[329000 300000 6000 2000 0 3500 "" "thermal(1X)"] +Via[246622 295122 2800 1600 0 1200 "" ""] +Via[248000 316000 2800 1600 0 1200 "" ""] +Via[101500 287500 2800 1600 0 1200 "" ""] +Via[293000 179500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[189500 169000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[112500 169000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[231500 178000 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[255000 315500 2800 1600 0 1200 "" "thermal(0X,1X)"] +Via[347000 199000 2800 1600 0 1200 "" "thermal(1X)"] +Via[362500 301500 2800 1600 0 1200 "" "thermal(1X)"] +Via[370000 186500 25000 2000 0 12500 "" "lock"] +Via[14000 186500 25000 2000 0 12500 "" "lock"] +Via[370000 361500 25000 2000 0 12500 "" "lock"] +Via[14000 361500 25000 2000 0 12500 "" "lock"] +Via[369500 87000 6000 2000 0 3500 "" ""] +Via[329500 190500 6000 2000 0 3500 "" "thermal(1X)"] +Via[348000 190500 6000 2000 0 3500 "" ""] +Via[306000 119500 2800 1600 0 1200 "" "thermal(1X)"] +Via[111500 338000 6000 2000 0 3500 "" ""] +Via[27000 171000 6000 2000 0 3500 "" ""] + +Element["" "SMD_SIMPLE-80-50" "C1" "10uF" 362500 67000 2700 5400 0 100 ""] +( + Pad[0 -700 0 700 4500 3000 5100 "1" "1" "square"] + Pad[8000 -700 8000 700 4500 3000 5100 "2" "2" "square"] + ElementLine [-3700 -4400 -3700 4400 800] + ElementLine [-3700 4400 11700 4400 800] + ElementLine [11700 -4400 11700 4400 800] + ElementLine [-3700 -4400 11700 -4400 800] + + ) + +Element["" "SO14" "U5" "unknown" 133000 181000 11000 -22000 0 100 ""] +( + Pad[15000 -13500 15000 -7000 2000 1800 3000 "A0" "1" "square"] + Pad[10000 -13500 10000 -7000 2000 1800 3000 "_Y0_" "2" "square"] + Pad[5000 -13500 5000 -7000 2000 1800 3000 "A1" "3" "square"] + Pad[0 -13500 0 -7000 2000 1800 3000 "_Y1_" "4" "square"] + Pad[-5000 -13500 -5000 -7000 2000 1800 3000 "A2" "5" "square"] + Pad[-10000 -13500 -10000 -7000 2000 1800 3000 "_Y2_" "6" "square"] + Pad[-15000 -13500 -15000 -7000 2000 1800 3000 "GND" "7" "square"] + Pad[-15000 7000 -15000 13500 2000 1800 3000 "_Y3_" "8" "square,edge2"] + Pad[-10000 7000 -10000 13500 2000 1800 3000 "A3" "9" "square,edge2"] + Pad[-5000 7000 -5000 13500 2000 1800 3000 "_Y4_" "10" "square,edge2"] + Pad[0 7000 0 13500 2000 1800 3000 "A4" "11" "square,edge2"] + Pad[5000 7000 5000 13500 2000 1800 3000 "_Y5_" "12" "square,edge2"] + Pad[10000 7000 10000 13500 2000 1800 3000 "A5" "13" "square,edge2"] + Pad[15000 7000 15000 13500 2000 1800 3000 "Vcc" "14" "square,edge2"] + ElementLine [-17000 -15500 17000 -15500 1000] + ElementLine [-17000 -15500 -17000 15500 1000] + ElementLine [-17000 15500 17000 15500 1000] + ElementLine [17000 -15500 17000 -2500 1000] + ElementLine [17000 2500 17000 15500 1000] + ElementArc [17000 0 2500 2500 270 180 1000] + + ) + +Element["" "SMD_DIODE-80-50" "LED1" "unknown" 287500 237000 5700 -3100 0 100 ""] +( + Pad[0 -700 0 700 4500 3000 5100 "A" "1" "square"] + Pad[-8000 -700 -8000 700 4500 3000 5100 "K" "2" "square"] + ElementLine [3700 -4400 3700 4400 800] + ElementLine [-11700 -4400 3700 -4400 800] + ElementLine [-11700 -4400 -11700 4400 800] + ElementLine [-11700 4400 3700 4400 800] + ElementLine [2700 -4400 2700 4400 800] + + ) + +Element["" "SO14" "U3" "unknown" 271500 180750 10750 -21750 0 100 ""] +( + Pad[15000 -13500 15000 -7000 2000 1800 3000 "A0" "1" "square"] + Pad[10000 -13500 10000 -7000 2000 1800 3000 "_Y0_" "2" "square"] + Pad[5000 -13500 5000 -7000 2000 1800 3000 "A1" "3" "square"] + Pad[0 -13500 0 -7000 2000 1800 3000 "_Y1_" "4" "square"] + Pad[-5000 -13500 -5000 -7000 2000 1800 3000 "A2" "5" "square"] + Pad[-10000 -13500 -10000 -7000 2000 1800 3000 "_Y2_" "6" "square"] + Pad[-15000 -13500 -15000 -7000 2000 1800 3000 "GND" "7" "square"] + Pad[-15000 7000 -15000 13500 2000 1800 3000 "_Y3_" "8" "square,edge2"] + Pad[-10000 7000 -10000 13500 2000 1800 3000 "A3" "9" "square,edge2"] + Pad[-5000 7000 -5000 13500 2000 1800 3000 "_Y4_" "10" "square,edge2"] + Pad[0 7000 0 13500 2000 1800 3000 "A4" "11" "square,edge2"] + Pad[5000 7000 5000 13500 2000 1800 3000 "_Y5_" "12" "square,edge2"] + Pad[10000 7000 10000 13500 2000 1800 3000 "A5" "13" "square,edge2"] + Pad[15000 7000 15000 13500 2000 1800 3000 "Vcc" "14" "square,edge2"] + ElementLine [-17000 -15500 17000 -15500 1000] + ElementLine [-17000 -15500 -17000 15500 1000] + ElementLine [-17000 15500 17000 15500 1000] + ElementLine [17000 -15500 17000 -2500 1000] + ElementLine [17000 2500 17000 15500 1000] + ElementArc [17000 0 2500 2500 270 180 1000] + + ) + +Element["" "SMD_SIMPLE-80-50" "C14" "10uF" 205500 127500 -4300 -9600 0 100 ""] +( + Pad[-700 0 700 0 4500 3000 5100 "1" "1" "square"] + Pad[-700 8000 700 8000 4500 3000 5100 "2" "2" "square"] + ElementLine [-4400 -3700 4400 -3700 800] + ElementLine [-4400 -3700 -4400 11700 800] + ElementLine [-4400 11700 4400 11700 800] + ElementLine [4400 -3700 4400 11700 800] + + ) + +Element["" "TQFP100_14" "U1" "unknown" 191500 271500 -3000 -2000 0 100 ""] +( + Pad[-35008 -23622 -30110 -23622 1102 3000 1402 "P2[5]" "1" "square"] + Pad[-35008 -21654 -30110 -21654 1102 3000 1402 "P2[6]" "2" "square"] + Pad[-35008 -19685 -30110 -19685 1102 3000 1402 "P2[7]" "3" "square"] + Pad[-35008 -17717 -30110 -17717 1102 3000 1402 "P12[4]" "4" "square"] + Pad[-35008 -15748 -30110 -15748 1102 3000 1402 "P12[5]" "5" "square"] + Pad[-35008 -13780 -30110 -13780 1102 3000 1402 "P6[4]" "6" "square"] + Pad[-35008 -11811 -30110 -11811 1102 3000 1402 "P6[5]" "7" "square"] + Pad[-35008 -9843 -30110 -9843 1102 3000 1402 "P6[6]" "8" "square"] + Pad[-35008 -7874 -30110 -7874 1102 3000 1402 "P6[7]" "9" "square"] + Pad[-35008 -5906 -30110 -5906 1102 3000 1402 "VSSD" "10" "square"] + Pad[-35008 -3937 -30110 -3937 1102 3000 1402 "NC" "11" "square"] + Pad[-35008 -1969 -30110 -1969 1102 3000 1402 "VSSD" "12" "square"] + Pad[-35008 0 -30110 0 1102 3000 1402 "VSSD" "13" "square"] + Pad[-35008 1968 -30110 1968 1102 3000 1402 "VSSD" "14" "square"] + Pad[-35008 3937 -30110 3937 1102 3000 1402 "_XRES_" "15" "square"] + Pad[-35008 5905 -30110 5905 1102 3000 1402 "P5[0]" "16" "square"] + Pad[-35008 7874 -30110 7874 1102 3000 1402 "P5[1]" "17" "square"] + Pad[-35008 9842 -30110 9842 1102 3000 1402 "P5[2]" "18" "square"] + Pad[-35008 11811 -30110 11811 1102 3000 1402 "P5[3]" "19" "square"] + Pad[-35008 13779 -30110 13779 1102 3000 1402 "SWDIO,P1[0]" "20" "square"] + Pad[-35008 15748 -30110 15748 1102 3000 1402 "SWDCK,P1[1]" "21" "square"] + Pad[-35008 17716 -30110 17716 1102 3000 1402 "P1[2]" "22" "square"] + Pad[-35008 19685 -30110 19685 1102 3000 1402 "SWV,P1[3]" "23" "square"] + Pad[-35008 21653 -30110 21653 1102 3000 1402 "P1[4]" "24" "square"] + Pad[-35008 23622 -30110 23622 1102 3000 1402 "P1[5]" "25" "square"] + Pad[-23622 30110 -23622 35008 1102 3000 1402 "VDDIO1" "26" "square,octagon,edge2"] + Pad[-21654 30110 -21654 35008 1102 3000 1402 "P1[6]" "27" "square,octagon,edge2"] + Pad[-19685 30110 -19685 35008 1102 3000 1402 "P1[7]" "28" "square,octagon,edge2"] + Pad[-17717 30110 -17717 35008 1102 3000 1402 "P12[6]" "29" "square,octagon,edge2"] + Pad[-15748 30110 -15748 35008 1102 3000 1402 "P12[7]" "30" "square,octagon,edge2"] + Pad[-13780 30110 -13780 35008 1102 3000 1402 "P5[4]" "31" "square,octagon,edge2"] + Pad[-11811 30110 -11811 35008 1102 3000 1402 "P5[5]" "32" "square,octagon,edge2"] + Pad[-9843 30110 -9843 35008 1102 3000 1402 "P5[6]" "33" "square,octagon,edge2"] + Pad[-7874 30110 -7874 35008 1102 3000 1402 "P5[7]" "34" "square,octagon,edge2"] + Pad[-5906 30110 -5906 35008 1102 3000 1402 "SWDIO,USB D+" "35" "square,octagon,edge2"] + Pad[-3937 30110 -3937 35008 1102 3000 1402 "SWDCK,USB D-" "36" "square,octagon,edge2"] + Pad[-1969 30110 -1969 35008 1102 3000 1402 "VDDD" "37" "square,octagon,edge2"] + Pad[0 30110 0 35008 1102 3000 1402 "VSSD" "38" "square,octagon,edge2"] + Pad[1968 30110 1968 35008 1102 3000 1402 "VCCD" "39" "square,octagon,edge2"] + Pad[3937 30110 3937 35008 1102 3000 1402 "NC" "40" "square,octagon,edge2"] + Pad[5905 30110 5905 35008 1102 3000 1402 "NC" "41" "square,octagon,edge2"] + Pad[7874 30110 7874 35008 1102 3000 1402 "MHZ XTAL XO" "42" "square,octagon,edge2"] + Pad[9842 30110 9842 35008 1102 3000 1402 "MHZ XTAL XI" "43" "square,octagon,edge2"] + Pad[11811 30110 11811 35008 1102 3000 1402 "P3[0]" "44" "square,octagon,edge2"] + Pad[13779 30110 13779 35008 1102 3000 1402 "P3[1]" "45" "square,octagon,edge2"] + Pad[15748 30110 15748 35008 1102 3000 1402 "P3[2]" "46" "square,octagon,edge2"] + Pad[17716 30110 17716 35008 1102 3000 1402 "P3[3]" "47" "square,octagon,edge2"] + Pad[19685 30110 19685 35008 1102 3000 1402 "P3[4]" "48" "square,octagon,edge2"] + Pad[21653 30110 21653 35008 1102 3000 1402 "P3[5]" "49" "square,octagon,edge2"] + Pad[23622 30110 23622 35008 1102 3000 1402 "VDDIO3" "50" "square,octagon,edge2"] + Pad[30110 23622 35008 23622 1102 3000 1402 "P3[6]" "51" "square,edge2"] + Pad[30110 21654 35008 21654 1102 3000 1402 "P3[7]" "52" "square,edge2"] + Pad[30110 19685 35008 19685 1102 3000 1402 "P12[0]" "53" "square,edge2"] + Pad[30110 17717 35008 17717 1102 3000 1402 "P12[1]" "54" "square,edge2"] + Pad[30110 15748 35008 15748 1102 3000 1402 "XO XTAL P15[2],KHZ" "55" "square,edge2"] + Pad[30110 13780 35008 13780 1102 3000 1402 "XI XTAL P15[3],KHZ" "56" "square,edge2"] + Pad[30110 11811 35008 11811 1102 3000 1402 "NC" "57" "square,edge2"] + Pad[30110 9843 35008 9843 1102 3000 1402 "NC" "58" "square,edge2"] + Pad[30110 7874 35008 7874 1102 3000 1402 "NC" "59" "square,edge2"] + Pad[30110 5906 35008 5906 1102 3000 1402 "NC" "60" "square,edge2"] + Pad[30110 3937 35008 3937 1102 3000 1402 "NC" "61" "square,edge2"] + Pad[30110 1969 35008 1969 1102 3000 1402 "NC" "62" "square,edge2"] + Pad[30110 0 35008 0 1102 3000 1402 "VCCA" "63" "square,edge2"] + Pad[30110 -1968 35008 -1968 1102 3000 1402 "VSSA" "64" "square,edge2"] + Pad[30110 -3937 35008 -3937 1102 3000 1402 "VDDA" "65" "square,edge2"] + Pad[30110 -5905 35008 -5905 1102 3000 1402 "VSSD" "66" "square,edge2"] + Pad[30110 -7874 35008 -7874 1102 3000 1402 "P12[2]" "67" "square,edge2"] + Pad[30110 -9842 35008 -9842 1102 3000 1402 "P12[3]" "68" "square,edge2"] + Pad[30110 -11811 35008 -11811 1102 3000 1402 "P4[0]" "69" "square,edge2"] + Pad[30110 -13779 35008 -13779 1102 3000 1402 "P4[1]" "70" "square,edge2"] + Pad[30110 -15748 35008 -15748 1102 3000 1402 "P0[0]" "71" "square,edge2"] + Pad[30110 -17716 35008 -17716 1102 3000 1402 "P0[1]" "72" "square,edge2"] + Pad[30110 -19685 35008 -19685 1102 3000 1402 "P0[2]" "73" "square,edge2"] + Pad[30110 -21653 35008 -21653 1102 3000 1402 "P0[3]" "74" "square,edge2"] + Pad[30110 -23622 35008 -23622 1102 3000 1402 "VDDIO0" "75" "square,edge2"] + Pad[23622 -35008 23622 -30110 1102 3000 1402 "P0[4]" "76" "square,octagon"] + Pad[21654 -35008 21654 -30110 1102 3000 1402 "P0[5]" "77" "square,octagon"] + Pad[19685 -35008 19685 -30110 1102 3000 1402 "P0[6]" "78" "square,octagon"] + Pad[17717 -35008 17717 -30110 1102 3000 1402 "P0[7]" "79" "square,octagon"] + Pad[15748 -35008 15748 -30110 1102 3000 1402 "P4[2]" "80" "square,octagon"] + Pad[13780 -35008 13780 -30110 1102 3000 1402 "P4[3]" "81" "square,octagon"] + Pad[11811 -35008 11811 -30110 1102 3000 1402 "P4[4]" "82" "square,octagon"] + Pad[9843 -35008 9843 -30110 1102 3000 1402 "P4[5]" "83" "square,octagon"] + Pad[7874 -35008 7874 -30110 1102 3000 1402 "P4[6]" "84" "square,octagon"] + Pad[5906 -35008 5906 -30110 1102 3000 1402 "P4[7]" "85" "square,octagon"] + Pad[3937 -35008 3937 -30110 1102 3000 1402 "VCCD" "86" "square,octagon"] + Pad[1969 -35008 1969 -30110 1102 3000 1402 "VSSD" "87" "square,octagon"] + Pad[0 -35008 0 -30110 1102 3000 1402 "VDDD" "88" "square,octagon"] + Pad[-1968 -35008 -1968 -30110 1102 3000 1402 "P6[0]" "89" "square,octagon"] + Pad[-3937 -35008 -3937 -30110 1102 3000 1402 "P6[1]" "90" "square,octagon"] + Pad[-5905 -35008 -5905 -30110 1102 3000 1402 "P6[2]" "91" "square,octagon"] + Pad[-7874 -35008 -7874 -30110 1102 3000 1402 "P6[3]" "92" "square,octagon"] + Pad[-9842 -35008 -9842 -30110 1102 3000 1402 "P15[4]" "93" "square,octagon"] + Pad[-11811 -35008 -11811 -30110 1102 3000 1402 "P15[5]" "94" "square,octagon"] + Pad[-13779 -35008 -13779 -30110 1102 3000 1402 "P2[0]" "95" "square,octagon"] + Pad[-15748 -35008 -15748 -30110 1102 3000 1402 "P2[1]" "96" "square,octagon"] + Pad[-17716 -35008 -17716 -30110 1102 3000 1402 "P2[2]" "97" "square,octagon"] + Pad[-19685 -35008 -19685 -30110 1102 3000 1402 "P2[3]" "98" "square,octagon"] + Pad[-21653 -35008 -21653 -30110 1102 3000 1402 "P2[4]" "99" "square,octagon"] + Pad[-23622 -35008 -23622 -30110 1102 3000 1402 "VDDIO2" "100" "square,octagon"] + ElementLine [-24259 -27159 27159 -27159 800] + ElementLine [27159 -27159 27159 27159 800] + ElementLine [27159 27159 -27159 27159 800] + ElementLine [-27159 27159 -27159 -24259 800] + ElementLine [-27159 -24259 -24259 -27159 800] + ElementArc [-24259 -24259 1000 1000 0 360 800] + + ) + +Element["" "cap_0402" "C19" "100nF" 192465 232435 4100 -5970 0 100 ""] +( + Pad[35 -3872 35 -3872 1969 1200 2569 "1" "1" "square,edge2"] + Pad[35 65 35 65 1969 1200 2569 "2" "2" "square,edge2"] + ElementLine [-1737 1837 1806 1837 600] + ElementLine [1806 -5643 1806 1837 600] + ElementLine [-1737 -5643 1806 -5643 600] + ElementLine [-1737 -5643 -1737 1837 600] + + ) + +Element["" "cap_0402" "C17" "100nF" 161035 237128 -3970 -9100 0 100 ""] +( + Pad[-35 3872 -35 3872 1969 1200 2569 "1" "1" "square"] + Pad[-35 -65 -35 -65 1969 1200 2569 "2" "2" "square"] + ElementLine [-1806 -1837 1737 -1837 600] + ElementLine [-1806 -1837 -1806 5643 600] + ElementLine [-1806 5643 1737 5643 600] + ElementLine [1737 -1837 1737 5643 600] + + ) + +Element["" "cap_0402" "C21" "100nF" 221965 240935 -3900 -12470 0 100 ""] +( + Pad[35 -3872 35 -3872 1969 1200 2569 "1" "1" "square,edge2"] + Pad[35 65 35 65 1969 1200 2569 "2" "2" "square,edge2"] + ElementLine [-1737 1837 1806 1837 600] + ElementLine [1806 -5643 1806 1837 600] + ElementLine [-1737 -5643 1806 -5643 600] + ElementLine [-1737 -5643 -1737 1837 600] + + ) + +Element["" "cap_0402" "C28" "100nF" 221965 305872 5537 -4407 0 100 ""] +( + Pad[35 -3872 35 -3872 1969 1200 2569 "1" "1" "square,edge2"] + Pad[35 65 35 65 1969 1200 2569 "2" "2" "square,edge2"] + ElementLine [-1737 1837 1806 1837 600] + ElementLine [1806 -5643 1806 1837 600] + ElementLine [-1737 -5643 1806 -5643 600] + ElementLine [-1737 -5643 -1737 1837 600] + + ) + +Element["" "cap_0402" "C11" "100nF" 153465 187372 8070 -5744 3 100 ""] +( + Pad[35 -3872 35 -3872 1969 1200 2569 "1" "1" "square,edge2"] + Pad[35 65 35 65 1969 1200 2569 "2" "2" "square,edge2"] + ElementLine [-1737 1837 1806 1837 600] + ElementLine [1806 -5643 1806 1837 600] + ElementLine [-1737 -5643 1806 -5643 600] + ElementLine [-1737 -5643 -1737 1837 600] + + ) + +Element["" "cap_0402" "C3" "100nF" 292965 188935 8000 -4000 3 100 ""] +( + Pad[35 -3872 35 -3872 1969 1200 2569 "1" "1" "square,edge2"] + Pad[35 65 35 65 1969 1200 2569 "2" "2" "square,edge2"] + ElementLine [-1737 1837 1806 1837 600] + ElementLine [1806 -5643 1806 1837 600] + ElementLine [-1737 -5643 1806 -5643 600] + ElementLine [-1737 -5643 -1737 1837 600] + + ) + +Element["" "cap_0402" "C9" "100nF" 160965 305935 -8900 -4470 0 100 ""] +( + Pad[35 -3872 35 -3872 1969 1200 2569 "1" "1" "square,edge2"] + Pad[35 65 35 65 1969 1200 2569 "2" "2" "square,edge2"] + ElementLine [-1737 1837 1806 1837 600] + ElementLine [1806 -5643 1806 1837 600] + ElementLine [-1737 -5643 1806 -5643 600] + ElementLine [-1737 -5643 -1737 1837 600] + + ) + +Element["" "cap_0402" "C22" "100nF" 276628 377465 7938 -3069 0 100 ""] +( + Pad[3872 35 3872 35 1969 2000 2569 "1" "1" "square,edge2"] + Pad[-65 35 -65 35 1969 2000 2569 "2" "2" "square"] + ElementLine [-1837 -1737 -1837 1806 600] + ElementLine [-1837 1806 5643 1806 600] + ElementLine [5643 -1737 5643 1806 600] + ElementLine [-1837 -1737 5643 -1737 600] + + ) + +Element["" "cap_0402" "C24" "100nF" 230465 267935 -1400 -12470 0 100 ""] +( + Pad[35 -3872 35 -3872 1969 1200 2569 "1" "1" "square,edge2"] + Pad[35 65 35 65 1969 1200 2569 "2" "2" "square,edge2"] + ElementLine [-1737 1837 1806 1837 600] + ElementLine [1806 -5643 1806 1837 600] + ElementLine [-1737 -5643 1806 -5643 600] + ElementLine [-1737 -5643 -1737 1837 600] + + ) + +Element["" "cap_0402" "C8" "1uF" 193065 321465 8000 -3000 0 100 ""] +( + Pad[3872 35 3872 35 1969 1200 2569 "1" "1" "square,edge2"] + Pad[-65 35 -65 35 1969 1200 2569 "2" "2" "square"] + ElementLine [-1837 1806 -1837 -1737 600] + ElementLine [5643 1806 -1837 1806 600] + ElementLine [5643 -1737 5643 1806 600] + ElementLine [-1837 -1737 5643 -1737 600] + + ) + +Element["" "cap_0402" "C26" "1uF" 236037 268007 2956 -7470 0 100 ""] +( + Pad[35 -3872 35 -3872 1969 1200 2569 "1" "1" "square,edge2"] + Pad[35 65 35 65 1969 1200 2569 "2" "2" "square,edge2"] + ElementLine [-1737 1837 1806 1837 600] + ElementLine [1806 -5643 1806 1837 600] + ElementLine [-1737 -5643 1806 -5643 600] + ElementLine [-1737 -5643 -1737 1837 600] + + ) + +Element["" "cap_0402" "C20" "1uF" 198535 312128 3593 -1163 0 100 ""] +( + Pad[-35 3872 -35 3872 1969 1200 2569 "1" "1" "square"] + Pad[-35 -65 -35 -65 1969 1200 2569 "2" "2" "square"] + ElementLine [-1806 -1837 1737 -1837 600] + ElementLine [-1806 -1837 -1806 5643 600] + ElementLine [-1806 5643 1737 5643 600] + ElementLine [1737 -1837 1737 5643 600] + + ) + +Element["" "cap_0402" "C27" "1uF" 230535 273065 2530 -600 0 100 ""] +( + Pad[-35 3872 -35 3872 1969 1200 2569 "1" "1" "square"] + Pad[-35 -65 -35 -65 1969 1200 2569 "2" "2" "square"] + ElementLine [-1806 -1837 1737 -1837 600] + ElementLine [-1806 -1837 -1806 5643 600] + ElementLine [-1806 5643 1737 5643 600] + ElementLine [1737 -1837 1737 5643 600] + + ) + +Element["lock" "MOLEX8981" "J1" "unknown" 21000 54000 27500 -24000 0 100 "selected"] +( + Pin[0 0 10000 3000 11000 6000 "+5V" "4" "lock,edge2"] + Pin[20000 0 10000 3000 11000 6000 "GND" "3" "lock,edge2,thermal(0X,1X)"] + Pin[40000 0 10000 3000 11000 6000 "GND" "2" "lock,edge2,thermal(0X,1X)"] + Pin[60000 0 10000 3000 11000 6000 "+12V" "1" "lock,edge2"] + Pin[-10000 -10000 11000 3000 11000 10000 "LeftHole" "" "lock,edge2"] + Pin[70000 -10000 11000 3000 11000 10000 "RightHole" "" "lock,edge2"] + Pin[10000 -40000 16000 3000 16000 15700 "BackLeftHole" "" "lock,edge2"] + Pin[50000 -40000 16000 3000 16000 15700 "BackRightHole" "" "lock,edge2"] + ElementLine [-16000 9000 76000 9000 1000] + ElementLine [-16000 9000 -16000 -50000 1000] + ElementLine [-16000 -50000 76000 -50000 1000] + ElementLine [76000 -50000 76000 9000 1000] + + ) + +Element["lock" "HEADER50_2_RA" "J2" "unknown" 362000 44000 -119500 -24500 0 100 ""] +( + Pin[-240000 10000 6000 3000 6600 3800 "1" "1" "lock,edge2,thermal(0X,1X)"] + Pin[-240000 0 6000 3000 6600 3800 "2" "2" "lock,edge2"] + Pin[-230000 10000 6000 3000 6600 3800 "3" "3" "lock,edge2,thermal(0X,1X)"] + Pin[-230000 0 6000 3000 6600 3800 "4" "4" "lock,edge2"] + Pin[-220000 10000 6000 3000 6600 3800 "5" "5" "lock,edge2,thermal(0X,1X)"] + Pin[-220000 0 6000 3000 6600 3800 "6" "6" "lock,edge2"] + Pin[-210000 10000 6000 3000 6600 3800 "7" "7" "lock,edge2,thermal(0X,1X)"] + Pin[-210000 0 6000 3000 6600 3800 "8" "8" "lock,edge2"] + Pin[-200000 10000 6000 3000 6600 3800 "9" "9" "lock,edge2,thermal(0X,1X)"] + Pin[-200000 0 6000 3000 6600 3800 "10" "10" "lock,edge2"] + Pin[-190000 10000 6000 3000 6600 3800 "11" "11" "lock,edge2,thermal(0X,1X)"] + Pin[-190000 0 6000 3000 6600 3800 "12" "12" "lock,edge2"] + Pin[-180000 10000 6000 3000 6600 3800 "13" "13" "lock,edge2,thermal(0X,1X)"] + Pin[-180000 0 6000 3000 6600 3800 "14" "14" "lock,edge2"] + Pin[-170000 10000 6000 3000 6600 3800 "15" "15" "lock,edge2,thermal(0X,1X)"] + Pin[-170000 0 6000 3000 6600 3800 "16" "16" "lock,edge2"] + Pin[-160000 10000 6000 3000 6600 3800 "17" "17" "lock,edge2,thermal(0X,1X)"] + Pin[-160000 0 6000 3000 6600 3800 "18" "18" "lock,edge2"] + Pin[-150000 10000 6000 3000 6600 3800 "19" "19" "lock,edge2,thermal(0X,1X)"] + Pin[-150000 0 6000 3000 6600 3800 "20" "20" "lock,edge2,thermal(0X,1X)"] + Pin[-140000 10000 6000 3000 6600 3800 "21" "21" "lock,edge2,thermal(0X,1X)"] + Pin[-140000 0 6000 3000 6600 3800 "22" "22" "lock,edge2,thermal(0X,1X)"] + Pin[-130000 10000 6000 3000 6600 3800 "23" "23" "lock,edge2,thermal(0X,1X)"] + Pin[-130000 0 6000 3000 6600 3800 "24" "24" "lock,edge2,thermal(0X,1X)"] + Pin[-120000 10000 6000 3000 6600 3800 "25" "25" "lock,edge2"] + Pin[-120000 0 6000 3000 6600 3800 "26" "26" "lock,edge2"] + Pin[-110000 10000 6000 3000 6600 3800 "27" "27" "lock,edge2,thermal(0X,1X)"] + Pin[-110000 0 6000 3000 6600 3800 "28" "28" "lock,edge2,thermal(0X,1X)"] + Pin[-100000 10000 6000 3000 6600 3800 "29" "29" "lock,edge2,thermal(0X,1X)"] + Pin[-100000 0 6000 3000 6600 3800 "30" "30" "lock,edge2,thermal(0X,1X)"] + Pin[-90000 10000 6000 3000 6600 3800 "31" "31" "lock,edge2,thermal(0X,1X)"] + Pin[-90000 0 6000 3000 6600 3800 "32" "32" "lock,edge2"] + Pin[-80000 10000 6000 3000 6600 3800 "33" "33" "lock,edge2,thermal(0X,1X)"] + Pin[-80000 0 6000 3000 6600 3800 "34" "34" "lock,edge2,thermal(0X,1X)"] + Pin[-70000 10000 6000 3000 6600 3800 "35" "35" "lock,edge2,thermal(0X,1X)"] + Pin[-70000 0 6000 3000 6600 3800 "36" "36" "lock,edge2"] + Pin[-60000 10000 6000 3000 6600 3800 "37" "37" "lock,edge2,thermal(0X,1X)"] + Pin[-60000 0 6000 3000 6600 3800 "38" "38" "lock,edge2"] + Pin[-50000 10000 6000 3000 6600 3800 "39" "39" "lock,edge2,thermal(0X,1X)"] + Pin[-50000 0 6000 3000 6600 3800 "40" "40" "lock,edge2"] + Pin[-40000 10000 6000 3000 6600 3800 "41" "41" "lock,edge2,thermal(0X,1X)"] + Pin[-40000 0 6000 3000 6600 3800 "42" "42" "lock,edge2"] + Pin[-30000 10000 6000 3000 6600 3800 "43" "43" "lock,edge2,thermal(0X,1X)"] + Pin[-30000 0 6000 3000 6600 3800 "44" "44" "lock,edge2"] + Pin[-20000 10000 6000 3000 6600 3800 "45" "45" "lock,edge2,thermal(0X,1X)"] + Pin[-20000 0 6000 3000 6600 3800 "46" "46" "lock,edge2"] + Pin[-10000 10000 6000 3000 6600 3800 "47" "47" "lock,edge2,thermal(0X,1X)"] + Pin[-10000 0 6000 3000 6600 3800 "48" "48" "lock,edge2"] + Pin[0 10000 6000 3000 6600 3800 "49" "49" "lock,edge2,thermal(0X,1X)"] + Pin[0 0 6000 3000 6600 3800 "50" "50" "square,lock,edge2"] + ElementLine [-245000 -5000 5000 -5000 1000] + ElementLine [-245000 -5000 -245000 15000 1000] + ElementLine [-245000 15000 5000 15000 1000] + ElementLine [5000 -5000 5000 15000 1000] + ElementLine [-5000 -5000 -5000 5000 1000] + ElementLine [-5000 5000 5000 5000 1000] + ElementLine [5000 -40000 5000 -5000 1000] + ElementLine [-245000 -40000 5000 -40000 1000] + ElementLine [-245000 -40000 -245000 -5000 1000] + + ) + +Element["" "SMD_SIMPLE-80-50" "C5" "10uF" 339500 199000 -11300 -2600 0 100 ""] +( + Pad[-700 0 700 0 4500 3000 5100 "1" "1" "square"] + Pad[-700 -8000 700 -8000 4500 3000 5100 "2" "2" "square"] + ElementLine [-4400 3700 4400 3700 800] + ElementLine [4400 -11700 4400 3700 800] + ElementLine [-4400 -11700 4400 -11700 800] + ElementLine [-4400 -11700 -4400 3700 800] + + ) + +Element["" "SMD_SIMPLE-80-50" "C2" "10uF" 74500 163500 -12800 400 0 100 ""] +( + Pad[-700 0 700 0 4500 3000 5100 "1" "1" "square"] + Pad[-700 8000 700 8000 4500 3000 5100 "2" "2" "square"] + ElementLine [-4400 -3700 4400 -3700 800] + ElementLine [-4400 -3700 -4400 11700 800] + ElementLine [-4400 11700 4400 11700 800] + ElementLine [4400 -3700 4400 11700 800] + + ) + +Element["" "SMD_SIMPLE-80-50" "C7" "10uF" 306000 127500 7200 -2600 0 100 ""] +( + Pad[-700 0 700 0 4500 3000 5100 "1" "1" "square"] + Pad[-700 8000 700 8000 4500 3000 5100 "2" "2" "square"] + ElementLine [-4400 -3700 4400 -3700 800] + ElementLine [-4400 -3700 -4400 11700 800] + ElementLine [-4400 11700 4400 11700 800] + ElementLine [4400 -3700 4400 11700 800] + + ) + +Element["" "HEADER2_2" "J3" "unknown" 41000 80000 -7500 16500 0 100 ""] +( + Pin[0 0 6000 4400 6600 3800 "1" "1" "square"] + Pin[0 10000 6000 4200 6600 3800 "2" "2" ""] + ElementLine [-5000 -5000 5000 -5000 1000] + ElementLine [-5000 -5000 -5000 15000 1000] + ElementLine [-5000 15000 5000 15000 1000] + ElementLine [5000 -5000 5000 15000 1000] + ElementLine [-5000 -5000 -5000 5000 1000] + ElementLine [-5000 5000 5000 5000 1000] + + ) + +Element["" "cap_0402" "C10" "100nF" 231465 187372 8070 -6244 3 100 ""] +( + Pad[35 -3872 35 -3872 1969 1200 2569 "1" "1" "square,edge2"] + Pad[35 65 35 65 1969 1200 2569 "2" "2" "square,edge2"] + ElementLine [-1737 1837 1806 1837 600] + ElementLine [1806 -5643 1806 1837 600] + ElementLine [-1737 -5643 1806 -5643 600] + ElementLine [-1737 -5643 -1737 1837 600] + + ) + +Element["" "SO14" "U4" "unknown" 211000 181000 10500 -21500 0 100 ""] +( + Pad[15000 -13500 15000 -7000 2000 1800 3000 "A0" "1" "square"] + Pad[10000 -13500 10000 -7000 2000 1800 3000 "_Y0_" "2" "square"] + Pad[5000 -13500 5000 -7000 2000 1800 3000 "A1" "3" "square"] + Pad[0 -13500 0 -7000 2000 1800 3000 "_Y1_" "4" "square"] + Pad[-5000 -13500 -5000 -7000 2000 1800 3000 "A2" "5" "square"] + Pad[-10000 -13500 -10000 -7000 2000 1800 3000 "_Y2_" "6" "square"] + Pad[-15000 -13500 -15000 -7000 2000 1800 3000 "GND" "7" "square"] + Pad[-15000 7000 -15000 13500 2000 1800 3000 "_Y3_" "8" "square,edge2"] + Pad[-10000 7000 -10000 13500 2000 1800 3000 "A3" "9" "square,edge2"] + Pad[-5000 7000 -5000 13500 2000 1800 3000 "_Y4_" "10" "square,edge2"] + Pad[0 7000 0 13500 2000 1800 3000 "A4" "11" "square,edge2"] + Pad[5000 7000 5000 13500 2000 1800 3000 "_Y5_" "12" "square,edge2"] + Pad[10000 7000 10000 13500 2000 1800 3000 "A5" "13" "square,edge2"] + Pad[15000 7000 15000 13500 2000 1800 3000 "Vcc" "14" "square,edge2"] + ElementLine [-17000 -15500 17000 -15500 1000] + ElementLine [-17000 -15500 -17000 15500 1000] + ElementLine [-17000 15500 17000 15500 1000] + ElementLine [17000 -15500 17000 -2500 1000] + ElementLine [17000 2500 17000 15500 1000] + ElementArc [17000 0 2500 2500 270 180 1000] + + ) + +Element["" "SMD_SIMPLE-80-50" "C4" "10uF" 135000 239000 1700 -11600 0 100 ""] +( + Pad[0 -700 0 700 4500 3000 5100 "1" "1" "square"] + Pad[8000 -700 8000 700 4500 3000 5100 "2" "2" "square"] + ElementLine [-3700 -4400 -3700 4400 800] + ElementLine [-3700 4400 11700 4400 800] + ElementLine [11700 -4400 11700 4400 800] + ElementLine [-3700 -4400 11700 -4400 800] + + ) + +Element["" "SMD_SIMPLE-80-50" "C13" "10uF" 315000 293500 -4300 -20100 0 100 ""] +( + Pad[-700 0 700 0 4500 3000 5100 "1" "1" "square"] + Pad[-700 -8000 700 -8000 4500 3000 5100 "2" "2" "square"] + ElementLine [-4400 3700 4400 3700 800] + ElementLine [4400 -11700 4400 3700 800] + ElementLine [-4400 -11700 4400 -11700 800] + ElementLine [-4400 -11700 -4400 3700 800] + + ) + +Element["" "DPAK" "U6" "unknown" 348750 250250 -13500 -14500 0 100 ""] +( + Pad[-10750 8250 -10750 8250 26500 0 27500 "OUT" "2" "square,nopaste"] + Pad[-3250 750 -3250 750 11500 2000 12500 "OUT" "2" "square,edge2"] + Pad[-18250 750 -18250 750 11500 2000 12500 "OUT" "2" "square"] + Pad[-3250 15750 -3250 15750 11500 2000 12500 "OUT" "2" "square,edge2"] + Pad[-18250 15750 -18250 15750 11500 2000 12500 "OUT" "2" "square"] + Pad[-1750 31750 -1750 37250 6500 3200 7500 "IN" "3" "square,edge2"] + Pad[-19750 31750 -19750 37250 6500 3200 7500 "GND" "1" "square,edge2"] + ElementLine [5000 -7500 5000 44500 1000] + ElementLine [-26500 44500 5000 44500 1000] + ElementLine [-26500 -7500 -26500 44500 1000] + ElementLine [-26500 -7500 5000 -7500 1000] + ElementLine [-10500 28500 -10500 32500 1000] + + ) + +Element["" "SIP10" "R2" "unknown" 307000 147500 6500 -3500 0 100 ""] +( + Pin[0 0 6000 3000 6600 2800 "1" "1" "square,edge2,thermal(0X)"] + Pin[-10000 0 6000 3000 6600 2800 "2" "2" "edge2"] + Pin[-20000 0 6000 3000 6600 2800 "3" "3" "edge2"] + Pin[-30000 0 6000 3000 6600 2800 "4" "4" "edge2"] + Pin[-40000 0 6000 3000 6600 2800 "5" "5" "edge2"] + Pin[-50000 0 6000 3000 6600 2800 "6" "6" "edge2"] + Pin[-60000 0 6000 3000 6600 2800 "7" "7" "edge2"] + Pin[-70000 0 6000 3000 6600 2800 "8" "8" "edge2"] + Pin[-80000 0 6000 3000 6600 2800 "9" "9" "edge2"] + Pin[-90000 0 6000 3000 6600 2800 "10" "10" "edge2"] + ElementLine [-90000 -5000 0 -5000 2000] + ElementLine [-90000 5000 0 5000 2000] + ElementLine [-5000 -5000 -5000 5000 1000] + ElementArc [0 0 5000 5000 90 180 2000] + ElementArc [-90000 0 5000 5000 270 180 2000] + + ) + +Element["" "SMD_SIMPLE-80-50" "R5" "22Ω" 168500 330000 -11300 -3600 0 100 ""] +( + Pad[0 -700 0 700 4500 3000 5100 "1" "1" "square"] + Pad[8000 -700 8000 700 4500 3000 5100 "2" "2" "square"] + ElementLine [-3700 -4400 -3700 4400 800] + ElementLine [-3700 4400 11700 4400 800] + ElementLine [11700 -4400 11700 4400 800] + ElementLine [-3700 -4400 11700 -4400 800] + + ) + +Element["" "SMD_SIMPLE-80-50" "R4" "22Ω" 168439 319248 -12300 -3100 0 100 ""] +( + Pad[0 -700 0 700 4500 3000 5100 "1" "1" "square"] + Pad[8000 -700 8000 700 4500 3000 5100 "2" "2" "square"] + ElementLine [-3700 -4400 -3700 4400 800] + ElementLine [-3700 4400 11700 4400 800] + ElementLine [11700 4400 11700 -4400 800] + ElementLine [11700 -4400 -3700 -4400 800] + + ) + +Element["lock" "fci-10118192-0001LF" "J5" "unknown" 172788 382869 -24200 -8668 0 100 ""] +( + Pad[-63 -8271 -63 -4531 1575 1200 2175 "D+" "3" "square,lock"] + Pad[5055 -8271 5055 -4531 1575 1200 2175 "GND" "5" "square,lock"] + Pad[-5181 -8271 -5181 -4531 1575 1200 2175 "VCC" "1" "square,lock"] + Pad[2496 -8271 2496 -4531 1575 1200 2175 "ID" "4" "square,lock"] + Pad[-2622 -8271 -2622 -4531 1575 1200 2175 "D-" "2" "square,lock"] + Pad[-13252 -5909 -11284 -5909 6299 1200 6899 "" "6" "square,lock"] + Pad[11157 -5909 13126 -5909 6299 1200 6899 "" "7" "square,lock,edge2"] + Pad[-15024 3934 -15024 4328 7087 1200 7687 "" "8" "square,lock,edge2"] + Pad[-4788 4131 -4788 4131 7480 1200 8080 "" "9" "square,lock"] + Pad[4661 4131 4661 4131 7480 1200 8080 "" "10" "square,lock,edge2"] + Pad[14897 3934 14897 4328 7087 1200 7687 "" "11" "square,lock,edge2"] + ElementLine [-18567 9840 18441 9840 600] + ElementLine [-15024 9840 -15024 8462 600] + ElementLine [-15024 -3 -15024 -2168 600] + ElementLine [15094 9840 15094 8462 600] + ElementLine [15094 -3 15094 -2168 600] + ElementLine [-7741 -7877 -6559 -7877 600] + ElementLine [6236 -7877 7614 -7877 600] + + ) + +Element["" "SMD_SIMPLE-120-60" "F2" "500mA Hold" 157000 360000 7000 -3000 0 100 ""] +( + Pad[0 -500 0 500 6000 3000 6600 "1" "1" "square"] + Pad[-12000 -500 -12000 500 6000 3000 6600 "2" "2" "square"] + ElementLine [4500 -5000 4500 5000 800] + ElementLine [-16500 -5000 4500 -5000 800] + ElementLine [-16500 -5000 -16500 5000 800] + ElementLine [-16500 5000 4500 5000 800] + + ) + +Element["" "SMD_SIMPLE-120-60" "F1" "1.5A_Hold" 21000 72500 -12500 -3000 0 100 ""] +( + Pad[-500 0 500 0 6000 3000 6600 "1" "1" "square"] + Pad[-500 12000 500 12000 6000 3000 6600 "2" "2" "square"] + ElementLine [-5000 -4500 5000 -4500 800] + ElementLine [-5000 -4500 -5000 16500 800] + ElementLine [-5000 16500 5000 16500 800] + ElementLine [5000 -4500 5000 16500 800] + + ) + +Element["" "SMD_SIMPLE-80-50" "R12" "10K" 344500 322000 -2600 14800 1 100 ""] +( + Pad[-700 0 700 0 4500 3000 5100 "1" "1" "square"] + Pad[-700 -8000 700 -8000 4500 3000 5100 "2" "2" "square"] + ElementLine [-4400 3700 4400 3700 800] + ElementLine [4400 -11700 4400 3700 800] + ElementLine [-4400 -11700 4400 -11700 800] + ElementLine [-4400 -11700 -4400 3700 800] + + ) + +Element["" "SMD_SIMPLE-80-50" "R11" "10K" 334000 322000 -2600 13800 1 100 ""] +( + Pad[-700 0 700 0 4500 3000 5100 "1" "1" "square"] + Pad[-700 -8000 700 -8000 4500 3000 5100 "2" "2" "square"] + ElementLine [-4400 3700 4400 3700 800] + ElementLine [4400 -11700 4400 3700 800] + ElementLine [-4400 -11700 4400 -11700 800] + ElementLine [-4400 -11700 -4400 3700 800] + + ) + +Element["" "SMD_SIMPLE-80-50" "R10" "10K" 323500 322000 -2283 14276 1 100 ""] +( + Pad[-700 0 700 0 4500 3000 5100 "1" "1" "square"] + Pad[-700 -8000 700 -8000 4500 3000 5100 "2" "2" "square"] + ElementLine [-4400 3700 4400 3700 800] + ElementLine [4400 -11700 4400 3700 800] + ElementLine [-4400 -11700 4400 -11700 800] + ElementLine [-4400 -11700 -4400 3700 800] + + ) + +Element["" "SMD_SIMPLE-80-50" "R9" "10K" 240500 319500 -20300 -3100 0 100 ""] +( + Pad[0 -700 0 700 4500 3000 5100 "1" "1" "square"] + Pad[-8000 -700 -8000 700 4500 3000 5100 "2" "2" "square"] + ElementLine [3700 -4400 3700 4400 800] + ElementLine [-11700 -4400 3700 -4400 800] + ElementLine [-11700 -4400 -11700 4400 800] + ElementLine [-11700 4400 3700 4400 800] + + ) + +Element["" "SMD_SIMPLE-80-50" "R8" "10K" 313000 322000 -2600 12300 1 100 ""] +( + Pad[-700 0 700 0 4500 3000 5100 "1" "1" "square"] + Pad[-700 -8000 700 -8000 4500 3000 5100 "2" "2" "square"] + ElementLine [-4400 3700 4400 3700 800] + ElementLine [4400 -11700 4400 3700 800] + ElementLine [-4400 -11700 4400 -11700 800] + ElementLine [-4400 -11700 -4400 3700 800] + + ) + +Element["" "SMD_SIMPLE-80-50" "R7" "10K" 302000 322000 -2600 12300 1 100 ""] +( + Pad[-700 0 700 0 4500 3000 5100 "1" "1" "square"] + Pad[-700 -8000 700 -8000 4500 3000 5100 "2" "2" "square"] + ElementLine [-4400 3700 4400 3700 800] + ElementLine [4400 -11700 4400 3700 800] + ElementLine [-4400 -11700 4400 -11700 800] + ElementLine [-4400 -11700 -4400 3700 800] + + ) + +Element["" "SMD_SIMPLE-80-50" "R6" "10K" 291000 322000 -2600 11800 1 100 ""] +( + Pad[-700 0 700 0 4500 3000 5100 "1" "1" "square"] + Pad[-700 -8000 700 -8000 4500 3000 5100 "2" "2" "square"] + ElementLine [-4400 3700 4400 3700 800] + ElementLine [4400 -11700 4400 3700 800] + ElementLine [-4400 -11700 4400 -11700 800] + ElementLine [-4400 -11700 -4400 3700 800] + + ) + +Element["" "SMD_SIMPLE-80-50" "R3" "1600" 287500 224500 6200 -3100 0 100 ""] +( + Pad[0 -700 0 700 4500 3000 5100 "1" "1" "square"] + Pad[-8000 -700 -8000 700 4500 3000 5100 "2" "2" "square"] + ElementLine [3700 -4400 3700 4400 800] + ElementLine [-11700 -4400 3700 -4400 800] + ElementLine [-11700 -4400 -11700 4400 800] + ElementLine [-11700 4400 3700 4400 800] + + ) + +Element["" "SIP10" "R1" "unknown" 205000 147500 -12500 7000 0 100 ""] +( + Pin[0 0 6000 3000 6600 2800 "1" "1" "square,edge2"] + Pin[-10000 0 6000 3000 6600 2800 "2" "2" "edge2"] + Pin[-20000 0 6000 3000 6600 2800 "3" "3" "edge2"] + Pin[-30000 0 6000 3000 6600 2800 "4" "4" "edge2"] + Pin[-40000 0 6000 3000 6600 2800 "5" "5" "edge2"] + Pin[-50000 0 6000 3000 6600 2800 "6" "6" "edge2"] + Pin[-60000 0 6000 3000 6600 2800 "7" "7" "edge2"] + Pin[-70000 0 6000 3000 6600 2800 "8" "8" "edge2"] + Pin[-80000 0 6000 3000 6600 2800 "9" "9" "edge2"] + Pin[-90000 0 6000 3000 6600 2800 "10" "10" "edge2"] + ElementLine [-90000 -5000 0 -5000 2000] + ElementLine [-90000 5000 0 5000 2000] + ElementLine [-5000 -5000 -5000 5000 1000] + ElementArc [0 0 5000 5000 90 180 2000] + ElementArc [-90000 0 5000 5000 270 180 2000] + + ) + +Element["" "cap_0402" "C23" "100nF" 193035 312128 -11407 -1163 0 100 ""] +( + Pad[-35 3872 -35 3872 1969 1200 2569 "1" "1" "square"] + Pad[-35 -65 -35 -65 1969 1200 2569 "2" "2" "square"] + ElementLine [-1806 -1837 1737 -1837 600] + ElementLine [-1806 -1837 -1806 5643 600] + ElementLine [-1806 5643 1737 5643 600] + ElementLine [1737 -1837 1737 5643 600] + + ) + +Element["" "wurth-microsd" "J6" "unknown" 244000 355000 22500 -33000 0 100 ""] +( + Pad[7555 14799 7555 17555 3150 1200 3750 "DAT2" "1" "square,edge2"] + Pad[3224 13224 3224 15980 3150 1200 3750 "DAT3" "2" "square,edge2"] + Pad[-1106 14799 -1106 17555 3150 1200 3750 "CMD" "3" "square,edge2"] + Pad[-5437 15587 -5437 18343 3150 1200 3750 "Vcc" "4" "square,edge2"] + Pad[-9768 14799 -9768 17555 3150 1200 3750 "CLK" "5" "square,edge2"] + Pad[-14098 15587 -14098 18343 3150 1200 3750 "GND" "6" "square,edge2"] + Pad[-18429 14799 -18429 17555 3150 1200 3750 "DAT0" "7" "square,edge2"] + Pad[-22760 14799 -22760 17555 3150 1200 3750 "DAT1" "8" "square,edge2"] + Pad[-25909 26217 -25909 28185 5512 1200 6112 "GND" "9" "square,edge2"] + Pad[-19610 -24571 -18035 -24571 5512 1200 6112 "_CD_" "10" "square"] + Pad[2831 -24571 4406 -24571 5512 1200 6112 "_CD_" "10" "square,edge2"] + Pad[26453 30547 26453 32516 5512 1200 6112 "GND" "9" "square,edge2"] + ElementLine [11000 31500 19000 31500 600] + ElementLine [11000 26500 11000 31500 600] + ElementLine [-19500 26500 11000 26500 600] + ElementLine [-19500 26500 -22000 29000 600] + ElementLine [19000 31500 19000 34000 600] + ElementLine [19000 34000 22500 34000 600] + ElementLine [28000 -26000 28000 27000 600] + ElementLine [9000 -26000 28000 -26000 600] + ElementLine [-14000 -26000 -1000 -26000 600] + ElementLine [-27500 -26000 -23500 -26000 600] + ElementLine [-27500 -26000 -27500 22000 600] + + ) + +Element["" "FTSH-105-01-L-DV-K" "J4" "unknown" 133300 287000 -14800 -20300 0 100 ""] +( + Pad[-8456 20550 -356 20550 2900 2400 4100 "10" "10" "edge2"] + Pad[-8456 15550 -356 15550 2900 2400 4100 "8" "8" "edge2"] + Pad[-8456 10550 -356 10550 2900 2400 4100 "6" "6" "edge2"] + Pad[-8456 5550 -356 5550 2900 2400 4100 "4" "4" "edge2"] + Pad[-8456 550 -356 550 2900 2400 4100 "2" "2" "edge2"] + Pad[-24456 20550 -16356 20550 2900 2400 4100 "9" "9" ""] + Pad[-24456 15550 -16356 15550 2900 2400 4100 "7" "7" ""] + Pad[-24456 10550 -16356 10550 2900 2400 4100 "5" "5" ""] + Pad[-24456 5550 -16356 5550 2900 2400 4100 "3" "3" ""] + Pad[-24456 550 -16356 550 2900 2400 4100 "1" "1" ""] + ElementLine [-19406 33000 -5606 33000 1000] + ElementLine [-19406 -12000 -5606 -12000 1000] + ElementLine [-5606 -12000 -5606 -2906 1000] + ElementLine [-5606 -2906 -5600 -2900 1000] + ElementLine [-5700 24200 -5700 33000 1000] + ElementLine [-19400 -12000 -19400 -2900 1000] + ElementLine [-19400 24300 -19400 33000 1000] + ElementLine [-19400 -2900 -14000 -2900 1000] + ElementLine [-14000 -2900 -14000 24300 1000] + ElementLine [-19400 24300 -14000 24300 1000] + + ) + +Element["" "SMD_SIMPLE-80-50" "C12" "10uF" 362500 294500 -4300 -19100 0 100 ""] +( + Pad[-700 0 700 0 4500 3000 5100 "1" "1" "square"] + Pad[-700 -8000 700 -8000 4500 3400 5100 "2" "2" "square"] + ElementLine [-4400 3700 4400 3700 800] + ElementLine [4400 -11700 4400 3700 800] + ElementLine [-4400 -11700 4400 -11700 800] + ElementLine [-4400 -11700 -4400 3700 800] + + ) + +Element["" "DPAK" "U2" "unknown" 349250 137750 -26000 -14500 0 100 ""] +( + Pad[-10750 8250 -10750 8250 26500 0 27500 "OUT" "2" "square,nopaste"] + Pad[-3250 750 -3250 750 11500 2000 12500 "OUT" "2" "square,edge2"] + Pad[-18250 750 -18250 750 11500 2000 12500 "OUT" "2" "square"] + Pad[-3250 15750 -3250 15750 11500 2000 12500 "OUT" "2" "square,edge2"] + Pad[-18250 15750 -18250 15750 11500 2000 12500 "OUT" "2" "square"] + Pad[-1750 31750 -1750 37250 6500 3200 7500 "IN" "3" "square,edge2"] + Pad[-19750 31750 -19750 37250 6500 3200 7500 "GND" "1" "square,edge2"] + ElementLine [5000 -7500 5000 44500 1000] + ElementLine [-26500 44500 5000 44500 1000] + ElementLine [-26500 -7500 -26500 44500 1000] + ElementLine [-26500 -7500 5000 -7500 1000] + ElementLine [-10500 28500 -10500 32500 1000] + + ) + +Element["" "diode-DO-214AA-SMB" "D3" "unknown" 127410 338091 -4500 10000 0 100 ""] +( + Pad[197 -591 984 -591 9055 4400 10055 "cathode" "1" "square"] + Pad[17126 -591 17913 -591 9055 4400 10055 "anode" "2" "square,edge2"] + ElementLine [-5118 -9843 -5118 9055 1000] + ElementLine [-5118 9055 23622 9055 1000] + ElementLine [23622 9055 23622 -9843 1000] + ElementLine [23622 -9843 -5118 -9843 1000] + ElementLine [-394 -9843 -394 -5906 1000] + ElementLine [-394 -5906 394 -5906 1000] + ElementLine [394 -5906 394 -9055 1000] + ElementLine [-394 9055 -394 5118 1000] + ElementLine [-394 5118 394 5118 1000] + ElementLine [394 5118 394 8268 1000] + ElementLine [10236 3937 7874 6299 1000] + ElementLine [7874 6299 10630 9055 1000] + ElementLine [10630 9055 10630 4331 1000] + ElementLine [10630 4331 10236 3937 1000] + ElementLine [10630 6299 16535 6299 1000] + ElementLine [7087 3543 7087 9055 1000] + ElementLine [4724 6299 7874 6299 1000] + + ) + +Element["" "diode-DO-214AA-SMB" "D1" "unknown" 77090 83909 -2181 11182 0 100 ""] +( + Pad[-984 591 -197 591 9055 4400 10055 "cathode" "1" "square,edge2"] + Pad[-17913 591 -17126 591 9055 4400 10055 "anode" "2" "square"] + ElementLine [5118 -9055 5118 9843 1000] + ElementLine [-23622 -9055 5118 -9055 1000] + ElementLine [-23622 -9055 -23622 9843 1000] + ElementLine [-23622 9843 5118 9843 1000] + ElementLine [394 5906 394 9843 1000] + ElementLine [-394 5906 394 5906 1000] + ElementLine [-394 5906 -394 9055 1000] + ElementLine [394 -9055 394 -5118 1000] + ElementLine [-394 -5118 394 -5118 1000] + ElementLine [-394 -8268 -394 -5118 1000] + ElementLine [-10236 -3937 -7874 -6299 1000] + ElementLine [-7874 -6299 -10630 -9055 1000] + ElementLine [-10630 -9055 -10630 -4331 1000] + ElementLine [-10630 -4331 -10236 -3937 1000] + ElementLine [-16535 -6299 -10630 -6299 1000] + ElementLine [-7087 -9055 -7087 -3543 1000] + ElementLine [-7874 -6299 -4724 -6299 1000] + + ) + +Element["" "diode-DO-214AA-SMB" "D2" "unknown" 21091 159590 -18000 -500 1 100 ""] +( + Pad[-591 -984 -591 -197 9055 4400 10055 "cathode" "1" "square,edge2"] + Pad[-591 -17913 -591 -17126 9055 4400 10055 "anode" "2" "square"] + ElementLine [-9843 5118 9055 5118 1000] + ElementLine [9055 -23622 9055 5118 1000] + ElementLine [-9843 -23622 9055 -23622 1000] + ElementLine [-9843 -23622 -9843 5118 1000] + ElementLine [-9843 394 -5906 394 1000] + ElementLine [-5906 -394 -5906 394 1000] + ElementLine [-9055 -394 -5906 -394 1000] + ElementLine [5118 394 9055 394 1000] + ElementLine [5118 -394 5118 394 1000] + ElementLine [5118 -394 8268 -394 1000] + ElementLine [3937 -10236 6299 -7874 1000] + ElementLine [6299 -7874 9055 -10630 1000] + ElementLine [4331 -10630 9055 -10630 1000] + ElementLine [4331 -10630 3937 -10236 1000] + ElementLine [6299 -16535 6299 -10630 1000] + ElementLine [3543 -7087 9055 -7087 1000] + ElementLine [6299 -7874 6299 -4724 1000] + + ) +Layer(1 "component") +( + Line[224000 54000 224000 55000 1000 1600 "clearline"] + Line[214000 54000 214000 55000 1000 1600 "clearline"] + Line[118000 191250 118000 179000 1000 1600 "clearline"] + Line[118000 179000 120500 176500 1000 1600 "clearline"] + Line[120500 176500 120500 164500 1000 1600 "clearline"] + Line[120500 164500 119500 163500 1000 1600 "clearline"] + Line[64500 136000 64500 133000 1000 1600 ""] + Line[64500 133000 63000 131500 1000 1600 ""] + Line[74500 136000 74500 133000 1000 1600 ""] + Line[74500 133000 73000 131500 1000 1600 ""] + Line[84500 136000 84500 132500 1000 1600 ""] + Line[84500 132500 83500 131500 1000 1600 ""] + Line[128000 191250 128000 179000 1000 1600 "clearline"] + Line[128000 179000 130500 176500 1000 1600 "clearline"] + Line[130500 176500 130500 161000 1000 1600 "clearline"] + Line[138000 191250 138000 179000 1000 1600 "clearline"] + Line[138000 179000 140500 176500 1000 1600 "clearline"] + Line[128000 170750 128000 175000 1000 1600 "clearline"] + Line[128000 175000 125500 177500 1000 1600 "clearline"] + Line[138000 170750 138000 175000 1000 1600 "clearline"] + Line[138000 175000 135500 177500 1000 1600 "clearline"] + Line[169847 238941 169847 234847 1000 1600 "clearline"] + Line[169847 234847 169000 234000 1000 1600 "clearline"] + Line[171815 238941 171815 232815 1000 1600 "clearline"] + Line[171815 232815 171000 232000 1000 1600 "clearline"] + Line[173784 238941 173784 230784 1000 1600 "clearline"] + Line[173784 230784 173000 230000 1000 1600 "clearline"] + Line[175752 238941 175752 228752 1000 1600 "clearline"] + Line[175752 228752 175000 228000 1000 1600 "clearline"] + Line[177721 238941 177721 227221 1000 1600 "clearline"] + Line[177721 227221 176500 226000 1000 1600 "clearline"] + Line[183626 238941 183626 224626 1000 1600 "clearline"] + Line[183626 224626 183000 224000 1000 1600 "clearline"] + Line[183000 224000 124000 224000 1000 1600 "clearline"] + Line[124000 224000 123000 223000 1000 1600 "clearline"] + Line[123000 223000 123000 191250 1000 1600 "clearline"] + Line[185595 238941 185595 223095 1000 1600 "clearline"] + Line[185595 223095 184500 222000 1000 1600 "clearline"] + Line[184500 222000 126500 222000 1000 1600 "clearline"] + Line[126500 222000 125500 221000 1000 1600 "clearline"] + Line[125500 177500 125500 221000 1000 1600 "clearline"] + Line[187563 238941 187563 221063 1000 1600 "clearline"] + Line[187563 221063 186500 220000 1000 1600 "clearline"] + Line[186500 220000 134000 220000 1000 1600 "clearline"] + Line[134000 220000 133000 219000 1000 1600 "clearline"] + Line[133000 219000 133000 191250 1000 1600 "clearline"] + Line[189532 238941 189532 219532 1000 1600 "clearline"] + Line[189532 219532 188000 218000 1000 1600 "clearline"] + Line[188000 218000 136500 218000 1000 1600 "clearline"] + Line[136500 218000 135500 217000 1000 1600 "clearline"] + Line[135500 217000 135500 177500 1000 1600 "clearline"] + Line[144000 216000 143000 215000 1000 1600 "clearline"] + Line[143000 215000 143000 191250 1000 1600 "clearline"] + Line[148000 170750 148000 175000 1000 1600 "clearline"] + Line[148000 175000 145500 177500 1000 1600 "clearline"] + Line[146500 214000 145500 213000 1000 1600 "clearline"] + Line[145500 213000 145500 177500 1000 1600 "clearline"] + Line[197406 238941 197406 217406 1000 1600 "clearline"] + Line[197406 217406 196000 216000 1000 1600 "clearline"] + Line[196000 216000 144000 216000 1000 1600 "clearline"] + Line[199374 238941 199374 214874 1000 1600 "clearline"] + Line[199374 214874 198500 214000 1000 1600 "clearline"] + Line[201343 238941 201343 213343 1000 1600 "clearline"] + Line[167878 238941 167878 245378 1000 1600 "clearline"] + Line[167878 245378 168000 245500 1000 1600 "clearline"] + Line[217622 247878 217500 248000 1000 1600 "clearline"] + Line[158941 275437 164437 275437 1000 1600 "clearline"] + Line[164437 275437 165500 276500 1000 1600 "clearline"] + Line[195437 298063 193500 300000 1000 1600 "clearline"] + Line[158941 265594 164906 265594 1000 1600 ""] + Line[164906 265594 165000 265500 1000 1600 ""] + Line[158941 273468 165532 273468 1000 1600 ""] + Line[191500 304059 191500 297500 1000 1600 ""] + Line[159094 265594 153406 265594 1000 1600 ""] + Line[153406 265594 153000 266000 1000 1600 ""] + Line[160500 269531 153531 269531 1000 1600 ""] + Line[153531 269531 153000 269000 1000 1600 ""] + Line[160000 271500 154000 271500 1000 1600 ""] + Line[159968 273468 154032 273468 1000 1600 ""] + Line[154032 273468 153500 274000 1000 1600 ""] + Line[158469 269531 163969 269531 1000 1600 ""] + Line[163969 269531 164000 269500 1000 1600 ""] + Line[158500 271500 164500 271500 1000 1600 ""] + Line[152000 303500 146500 303500 2500 2000 ""] + Line[193500 300000 193500 304027 1000 1600 "clearline"] + Line[193500 304027 193468 304059 1000 1600 "clearline"] + Line[221500 231500 221500 223500 2500 2000 ""] + Line[217000 227000 217000 243500 1000 1600 ""] + Line[217000 243500 215500 245000 1000 1600 ""] + Line[165000 247500 165000 246500 1000 1600 ""] + Line[165000 246500 164500 246000 1000 1600 ""] + Line[224059 257721 231221 257721 1000 1600 ""] + Line[231221 257721 231500 258000 1000 1600 ""] + Line[230811 259689 231000 259500 1000 1600 ""] + Line[224500 257721 217221 257721 1000 1600 ""] + Line[217221 257721 217000 257500 1000 1600 ""] + Line[230811 259689 217189 259689 1000 1600 ""] + Line[217189 259689 217000 259500 1000 1600 ""] + Line[179689 238941 179689 230811 1000 1600 ""] + Line[179689 230811 180000 230500 1000 1600 ""] + Line[181658 238941 181658 232158 1000 1600 ""] + Line[181658 232158 181000 231500 1000 1600 ""] + Line[179689 238500 179689 245689 1000 1600 ""] + Line[179689 245689 180000 246000 1000 1600 ""] + Line[181658 238158 181658 245342 1000 1600 ""] + Line[181658 245342 181500 245500 1000 1600 ""] + Line[224059 273469 219031 273469 1000 1600 ""] + Line[224059 279374 218626 279374 1000 1600 ""] + Line[218626 279374 218000 280000 1000 1600 ""] + Line[224059 281343 218157 281343 1000 1600 ""] + Line[218157 281343 217500 282000 1000 1600 ""] + Line[224059 283311 215689 283311 1000 1600 ""] + Line[215689 283311 215500 283500 1000 1600 ""] + Line[216185 291185 216000 291000 1000 1600 ""] + Line[201342 298158 201500 298000 1000 1600 ""] + Line[197405 304059 197405 299595 1000 1600 ""] + Line[197405 299595 199000 298000 1000 1600 ""] + Line[195437 304059 195437 301468 1000 1600 ""] + Line[195437 301500 195437 300563 1000 1600 ""] + Line[195437 300563 198000 298000 1000 1600 ""] + Line[175752 304059 175752 298248 1000 1600 ""] + Line[175752 298248 176000 298000 1000 1600 ""] + Line[173783 304059 173783 299217 1000 1600 ""] + Line[173783 299217 174500 298500 1000 1600 ""] + Line[171815 304059 171815 299685 1000 1600 ""] + Line[171815 299685 173000 298500 1000 1600 ""] + Line[169846 304346 169846 308846 1000 1600 ""] + Line[169846 308846 170000 309000 1000 1600 ""] + Line[170000 309000 175500 309000 1000 1600 ""] + Line[175752 303752 175752 308748 1000 1600 ""] + Line[175752 308748 175500 309000 1000 1600 ""] + Line[175500 309000 169846 309000 1000 1600 ""] + Line[173783 302783 173783 308717 1000 1600 ""] + Line[173783 308717 173500 309000 1000 1600 ""] + Line[171815 303815 171815 308685 1000 1600 ""] + Line[171815 308685 171500 309000 1000 1600 ""] + Line[224059 261658 246342 261658 1000 1600 "clearline"] + Line[165500 276500 165500 295000 1000 1600 "clearline"] + Line[165500 295000 162500 298000 1000 1600 "clearline"] + Line[224059 247878 217622 247878 1000 1600 "clearline"] + Line[195000 297500 195000 248500 2500 2000 "clearline"] + Line[195437 238941 195437 248063 1000 1600 "clearline"] + Line[195437 248063 195000 248500 1000 1600 "clearline"] + Line[218532 269532 218500 269500 1000 1600 ""] + Line[219185 263685 219000 263500 1000 1600 ""] + Line[224095 265595 219095 265595 1000 1600 ""] + Line[219095 265595 219000 265500 1000 1600 ""] + Line[224059 277406 218594 277406 1000 1600 ""] + Line[218594 277406 218500 277500 1000 1600 ""] + Line[224059 275437 219063 275437 1000 1600 ""] + Line[219063 275437 219000 275500 1000 1600 ""] + Line[193469 238941 193469 244531 1000 1600 ""] + Line[153500 183500 153500 181500 1000 1600 ""] + Line[247500 183563 247500 181500 1000 1600 ""] + Line[51500 129500 51500 156500 1000 1600 "clearline"] + Line[121000 226000 176500 226000 1000 1600 "clearline"] + Line[120000 228000 175000 228000 1000 1600 "clearline"] + Line[46500 121500 46500 157500 1000 1600 "clearline"] + Line[173000 230000 119000 230000 1000 1600 "clearline"] + Line[44000 117500 44000 158000 1000 1600 "clearline"] + Line[171000 232000 118000 232000 1000 1600 "clearline"] + Line[169000 234000 117000 234000 1000 1600 "clearline"] + Line[41500 113500 41500 158500 1000 1600 "clearline"] + Line[116000 247878 115878 247878 1000 1600 "clearline"] + Line[158941 247878 115878 247878 1000 1600 "clearline"] + Line[39000 109500 39000 171000 1000 1600 "clearline"] + Line[115000 249846 114846 249846 1000 1600 "clearline"] + Line[158941 249846 114846 249846 1000 1600 "clearline"] + Line[113815 251815 158941 251815 1000 1600 "clearline"] + Line[158941 253783 112783 253783 1000 1600 "clearline"] + Line[111752 255752 158941 255752 1000 1600 "clearline"] + Line[110720 257720 158941 257720 1000 1600 "clearline"] + Line[110780 257720 110750 257750 1000 1600 "clearline"] + Line[110000 259689 109689 259689 1000 1600 "clearline"] + Line[158941 259689 109689 259689 1000 1600 "clearline"] + Line[108657 261657 158941 261657 1000 1600 "clearline"] + Line[107874 263626 107626 263626 1000 1600 "clearline"] + Line[158941 263626 107626 263626 1000 1600 "clearline"] + Line[106405 277405 158941 277405 1000 1600 "clearline"] + Line[158941 279374 105374 279374 1000 1600 "clearline"] + Line[158941 281342 104342 281342 1000 1600 "clearline"] + Line[158941 283311 103311 283311 1000 1600 "clearline"] + Line[165941 237063 167878 239000 1000 1600 "clearline"] + Line[161000 241000 161000 244000 1000 1600 ""] + Line[191500 238500 191500 233500 1000 1600 "clearline"] + Line[191500 233500 192500 232500 1000 1600 "clearline"] + Line[193469 235531 195000 234000 1000 1600 ""] + Line[193469 244531 193469 235531 1000 1600 ""] + Line[192500 228563 193563 228563 1000 1600 ""] + Line[193563 228563 195000 230000 1000 1600 ""] + Line[191500 238000 191500 244500 1000 1600 "clearline"] + Line[191500 244500 189500 246500 1000 1600 "clearline"] + Line[222000 241000 222000 247878 1000 1600 "clearline"] + Line[222000 237000 220000 237000 1000 1600 ""] + Line[220000 237000 219500 237500 1000 1600 ""] + Line[224059 267563 214063 267563 1000 1600 "clearline"] + Line[214063 267563 214000 267500 1000 1600 "clearline"] + Line[224059 285280 219780 285280 1000 1600 ""] + Line[219780 285280 219000 284500 1000 1600 ""] + Line[224059 289217 218783 289217 1000 1600 ""] + Line[218783 289217 218500 289500 1000 1600 ""] + Line[224063 267563 230063 267563 1000 1600 "clearline"] + Line[224059 269532 218532 269532 1000 1600 ""] + Line[223217 289217 229217 289217 1000 1600 ""] + Line[229217 289217 229500 289500 1000 1600 ""] + Line[216185 291185 229315 291185 1000 1600 ""] + Line[229315 291185 229500 291000 1000 1600 ""] + Line[224059 269532 228032 269532 800 1600 ""] + Line[228032 269532 228500 270000 800 1600 ""] + Line[228500 270000 232500 270000 800 1600 ""] + Line[224059 279374 228126 279374 800 1600 ""] + Line[224059 275437 227937 275437 800 1600 ""] + Line[227937 275437 228500 276000 800 1600 ""] + Line[228500 276000 228500 289217 800 1600 ""] + Line[224059 281343 228343 281343 800 1600 ""] + Line[228343 281343 228500 281500 800 1600 ""] + Line[224094 277406 228406 277406 800 1600 ""] + Line[228406 277406 228500 277500 800 1600 ""] + Line[224500 283311 228311 283311 800 1600 ""] + Line[228311 283311 228500 283500 800 1600 ""] + Line[223500 285280 228280 285280 800 1600 ""] + Line[228280 285280 228500 285500 800 1600 ""] + Line[224059 271500 227500 271500 1000 1600 "clearline"] + Line[219185 263685 233185 263685 1000 1600 ""] + Line[233185 263685 233500 264000 1000 1600 ""] + Line[224595 265595 226090 265595 1000 1600 ""] + Line[226090 265595 228000 263685 1000 1600 ""] + Line[230437 267563 236563 267563 1000 1600 "clearline"] + Line[189531 299531 186500 296500 1000 1600 "clearline"] + Line[161000 306000 165878 306000 1000 1600 "clearline"] + Line[165878 306000 167878 304000 1000 1600 "clearline"] + Line[169846 304059 169846 299654 1000 1600 ""] + Line[169846 299654 170000 299500 1000 1600 ""] + Line[167939 303939 167939 298561 1000 1600 "clearline"] + Line[167939 298561 170500 296000 1000 1600 "clearline"] + Line[163437 302063 163500 302000 1000 1600 ""] + Line[161000 302063 161000 300000 1000 1600 ""] + Line[230315 263685 239685 263685 1000 1600 ""] + Line[239685 263685 240000 264000 1000 1600 ""] + Line[230535 273065 229065 273065 1000 1600 "clearline"] + Line[227500 271500 229065 273065 1000 1600 "clearline"] + Line[230500 276937 230500 279500 1000 1600 ""] + Line[228500 275000 228500 275437 1000 1600 ""] + Line[197405 303000 197405 308905 1000 1600 ""] + Line[197405 308905 197500 309000 1000 1600 ""] + Line[193500 304091 193468 304059 800 1200 "clearline"] + Line[189500 304090 189531 304059 1000 1600 "clearline"] + Line[108000 99000 108000 213000 1000 1600 "clearline"] + Line[115000 147500 115000 51000 1000 1600 "clearline"] + Line[115000 51000 122000 44000 1000 1600 "clearline"] + Line[132000 44000 127000 49000 1000 1600 "clearline"] + Line[127000 49000 127000 59000 1000 1600 "clearline"] + Line[142000 44000 137000 49000 1000 1600 "clearline"] + Line[137000 49000 137000 59000 1000 1600 "clearline"] + Line[152000 44000 147000 49000 1000 1600 "clearline"] + Line[147000 49000 147000 59000 1000 1600 "clearline"] + Line[162000 44000 157000 49000 1000 1600 "clearline"] + Line[157000 49000 157000 59000 1000 1600 "clearline"] + Line[172000 44000 167000 49000 1000 1600 "clearline"] + Line[167000 49000 167000 59500 1000 1600 "clearline"] + Line[182000 44000 177000 49000 1000 1600 "clearline"] + Line[177000 49000 177000 59500 1000 1600 "clearline"] + Line[192000 44000 187000 49000 1000 1600 "clearline"] + Line[187000 49000 187000 59500 1000 1600 "clearline"] + Line[202000 44000 197000 49000 1000 1600 "clearline"] + Line[197000 49000 197000 59500 1000 1600 "clearline"] + Line[125000 147500 125000 61000 1000 1600 "clearline"] + Line[125000 61000 127000 59000 1000 1600 "clearline"] + Line[135000 147500 135000 61000 1000 1600 "clearline"] + Line[135000 61000 137000 59000 1000 1600 "clearline"] + Line[145000 147500 145000 61000 1000 1600 "clearline"] + Line[145000 61000 147000 59000 1000 1600 "clearline"] + Line[155000 147500 155000 61000 1000 1600 "clearline"] + Line[155000 61000 157000 59000 1000 1600 "clearline"] + Line[165000 61500 167000 59500 1000 1600 "clearline"] + Line[175000 61500 177000 59500 1000 1600 "clearline"] + Line[185000 147500 185000 61500 1000 1600 "clearline"] + Line[185000 61500 187000 59500 1000 1600 "clearline"] + Line[195000 61500 197000 59500 1000 1600 "clearline"] + Line[115000 147000 115000 159000 1000 1600 "clearline"] + Line[115000 159000 119750 163750 1000 1600 "clearline"] + Line[123000 170750 123000 149500 1000 1600 "clearline"] + Line[123000 149500 125000 147500 1000 1600 "clearline"] + Line[130500 161500 130500 152000 1000 1600 "clearline"] + Line[130500 152000 135000 147500 1000 1600 "clearline"] + Line[133000 170750 133000 156500 1000 1600 "clearline"] + Line[133000 156500 135000 154500 1000 1600 "clearline"] + Line[135000 154500 138000 154500 1000 1600 "clearline"] + Line[138000 154500 145000 147500 1000 1600 "clearline"] + Line[140500 176500 140500 159000 1000 1600 "clearline"] + Line[140500 159000 142000 157500 1000 1600 "clearline"] + Line[142000 157500 153000 157500 1000 1600 "clearline"] + Line[153000 157500 155000 155500 1000 1600 "clearline"] + Line[155000 155500 155000 148000 1000 1600 "clearline"] + Line[143000 170750 143000 162000 1000 1600 "clearline"] + Line[143000 162000 144500 160500 1000 1600 "clearline"] + Line[144500 160500 164000 160500 1000 1600 "clearline"] + Line[164000 160500 165000 159500 1000 1600 "clearline"] + Line[165000 61500 165000 159500 1000 1600 "clearline"] + Line[176500 149000 175000 147500 1000 1600 "clearline"] + Line[196000 191250 196000 179000 1000 1600 "clearline"] + Line[196000 179000 198500 176500 1000 1600 "clearline"] + Line[198500 176500 198500 164000 1000 1600 "clearline"] + Line[198500 164000 195000 160500 1000 1600 "clearline"] + Line[195000 160500 177000 160500 1000 1600 "clearline"] + Line[177000 160500 175000 158500 1000 1600 "clearline"] + Line[175000 61500 175000 158500 1000 1600 "clearline"] + Line[201000 170750 201000 160000 1000 1600 "clearline"] + Line[201000 160000 198500 157500 1000 1600 "clearline"] + Line[198500 157500 187000 157500 1000 1600 "clearline"] + Line[187000 157500 185000 155500 1000 1600 "clearline"] + Line[185000 155500 185000 148000 1000 1600 "clearline"] + Line[195000 61500 195000 153500 1000 1600 "clearline"] + Line[195000 153500 196000 154500 1000 1600 "clearline"] + Line[196000 154500 207000 154500 1000 1600 "clearline"] + Line[207000 154500 208500 156000 1000 1600 "clearline"] + Line[208500 156000 208500 176500 1000 1600 "clearline"] + Line[208500 176500 206000 179000 1000 1600 "clearline"] + Line[206000 179000 206000 191250 1000 1600 "clearline"] + Line[211000 153500 217000 147500 1000 1600 "clearline"] + Line[216000 191250 216000 179000 1000 1600 "clearline"] + Line[216000 179000 218500 176500 1000 1600 "clearline"] + Line[218500 176500 218500 156000 1000 1600 "clearline"] + Line[218500 156000 227000 147500 1000 1600 "clearline"] + Line[221000 170750 221000 163500 1000 1600 "clearline"] + Line[221000 163500 237000 147500 1000 1600 "clearline"] + Line[226000 170750 226000 175000 1000 1600 "clearline"] + Line[226000 175000 223500 177500 1000 1600 "clearline"] + Line[223500 177500 223500 203000 1000 1600 "clearline"] + Line[198500 214000 146500 214000 1000 1600 "clearline"] + Line[201343 215343 201343 213343 1000 1600 "clearline"] + Line[201343 213343 201000 213000 1000 1600 "clearline"] + Line[201000 213000 201000 191250 1000 1600 "clearline"] + Line[206000 170750 206000 175000 1000 1600 "clearline"] + Line[206000 175000 203500 177500 1000 1600 "clearline"] + Line[205280 238941 205280 203220 1000 1600 "clearline"] + Line[205280 203220 206500 202000 1000 1600 "clearline"] + Line[206500 202000 209500 202000 1000 1600 "clearline"] + Line[209500 202000 211000 200500 1000 1600 "clearline"] + Line[203311 238941 203311 201189 1000 1600 "clearline"] + Line[203311 201189 203500 201000 1000 1600 "clearline"] + Line[203500 201000 203500 177500 1000 1600 "clearline"] + Line[211000 200500 211000 191250 1000 1600 "clearline"] + Line[216000 170750 216000 175000 1000 1600 "clearline"] + Line[216000 175000 213500 177500 1000 1600 "clearline"] + Line[213500 177500 213500 202500 1000 1600 "clearline"] + Line[213500 202500 212000 204000 1000 1600 "clearline"] + Line[207248 238941 207248 204752 1000 1600 "clearline"] + Line[207248 204752 208000 204000 1000 1600 "clearline"] + Line[208000 204000 212000 204000 1000 1600 "clearline"] + Line[209217 238941 209217 207783 1000 1600 "clearline"] + Line[209217 207783 210500 206500 1000 1600 "clearline"] + Line[221000 205500 221000 191250 1000 1600 "clearline"] + Line[210500 206500 220000 206500 1000 1600 "clearline"] + Line[220000 206500 221000 205500 1000 1600 "clearline"] + Line[211185 238941 211185 209815 1000 1600 "clearline"] + Line[211185 209815 212000 209000 1000 1600 "clearline"] + Line[212000 209000 222500 209000 1000 1600 "clearline"] + Line[222500 209000 223500 208000 1000 1600 "clearline"] + Line[223500 208000 223500 202000 1000 1600 "clearline"] + Line[105500 95000 105500 213500 1000 1600 "clearline"] + Line[103000 91000 103000 214000 1000 1600 "clearline"] + Line[100500 87000 100500 214500 1000 1600 "clearline"] + Line[98000 83000 98000 215000 1000 1600 "clearline"] + Line[95500 79000 95500 227500 1000 1600 "clearline"] + Line[93000 75000 93000 228000 1000 1600 "clearline"] + Line[90500 71000 90500 228500 1000 1600 "clearline"] + Line[88000 67000 88000 229000 1000 1600 "clearline"] + Line[88000 229000 89000 230000 1000 1600 "clearline"] + Line[108000 213000 121000 226000 1000 1600 "clearline"] + Line[105500 213500 120000 228000 1000 1600 "clearline"] + Line[103000 214000 119000 230000 1000 1600 "clearline"] + Line[100500 214500 118000 232000 1000 1600 "clearline"] + Line[98000 215000 117000 234000 1000 1600 "clearline"] + Line[95500 227500 115878 247878 1000 1600 "clearline"] + Line[93000 228000 114846 249846 1000 1600 "clearline"] + Line[90500 228500 113815 251815 1000 1600 "clearline"] + Line[88750 229750 112783 253783 1000 1600 "clearline"] + Line[56500 137500 56500 200500 1000 1600 "clearline"] + Line[54000 133500 54000 201000 1000 1600 "clearline"] + Line[46500 122000 46500 199500 1000 1600 "clearline"] + Line[51500 129500 51500 201500 1000 1600 "clearline"] + Line[56500 200500 111752 255752 1000 1600 "clearline"] + Line[54000 201000 110750 257750 1000 1600 "clearline"] + Line[51500 201500 109689 259689 1000 1600 "clearline"] + Line[49000 125500 49000 202000 1000 1600 "clearline"] + Line[49000 202000 108657 261657 1000 1600 "clearline"] + Line[46500 199000 46500 202626 1000 1600 "clearline"] + Line[46500 202626 107500 263626 1000 1600 "clearline"] + Line[44000 117500 44000 215000 1000 1600 "clearline"] + Line[44000 215000 106405 277405 1000 1600 "clearline"] + Line[41500 113500 41500 215500 1000 1600 "clearline"] + Line[41500 215500 105374 279374 1000 1600 "clearline"] + Line[39000 109500 39000 216000 1000 1600 "clearline"] + Line[39000 216000 104342 281342 1000 1600 "clearline"] + Line[36500 105500 36500 216500 1000 1600 "clearline"] + Line[36500 216500 103311 283311 1000 1600 "clearline"] + Line[205000 147500 205000 136000 2500 2000 "clearline"] + Line[205000 136000 205500 135500 2500 2000 "clearline"] + Line[205500 127500 205500 119000 2500 2000 ""] + Line[205500 119000 206000 118500 2500 2000 ""] + Line[362000 44000 357000 49000 1000 1600 "clearline"] + Line[135000 239000 129500 239000 2500 2000 ""] + Line[143000 239000 152500 239000 2500 2000 "clearline"] + Line[152500 239000 154000 237500 2500 2000 "clearline"] + Line[161000 237063 165941 237063 1000 1600 "clearline"] + Line[352000 44000 347000 49000 1000 1600 "clearline"] + Line[342000 44000 337000 49000 1000 1600 "clearline"] + Line[332000 44000 327000 49000 1000 1600 "clearline"] + Line[322000 44000 322000 44500 1000 1600 "clearline"] + Line[322000 44500 317000 49500 1000 1600 "clearline"] + Line[312000 44000 311500 44000 1000 1600 "clearline"] + Line[311500 44000 307000 48500 1000 1600 "clearline"] + Line[307000 48500 307000 57000 1000 1600 "clearline"] + Line[307000 57000 293500 70500 1000 1600 "clearline"] + Line[272000 44500 271000 44500 1000 1600 "clearline"] + Line[271000 44500 267000 48500 1000 1600 "clearline"] + Line[219500 74000 217500 76000 1000 1600 "clearline"] + Line[217500 76000 217500 147000 1000 1600 "clearline"] + Line[217500 147000 217250 147250 1000 1600 "clearline"] + Line[292000 44000 291500 44000 1000 1600 "clearline"] + Line[291500 44000 287000 48500 1000 1600 "clearline"] + Line[287000 48500 287000 61000 1000 1600 "clearline"] + Line[270000 78000 228000 78000 1000 1600 "clearline"] + Line[228000 78000 227000 79000 1000 1600 "clearline"] + Line[227000 79000 227000 147500 1000 1600 "clearline"] + Line[302000 44000 301500 44000 1000 1600 "clearline"] + Line[301500 44000 297000 48500 1000 1600 "clearline"] + Line[297000 48500 297000 60000 1000 1600 "clearline"] + Line[274500 82500 238500 82500 1000 1600 "clearline"] + Line[238500 82500 237000 84000 1000 1600 "clearline"] + Line[237000 84000 237000 147500 1000 1600 "clearline"] + Line[248500 87000 247000 88500 1000 1600 "clearline"] + Line[247000 88500 247000 147500 1000 1600 "clearline"] + Line[257000 147500 257000 91500 1000 1600 "clearline"] + Line[257000 91500 258000 90500 1000 1600 "clearline"] + Line[267000 128000 267000 96000 1000 1600 "clearline"] + Line[267000 96000 268500 94500 1000 1600 "clearline"] + Line[277000 132000 277000 99500 1000 1600 "clearline"] + Line[277000 99500 278000 98500 1000 1600 "clearline"] + Line[287000 136000 287000 104000 1000 1600 "clearline"] + Line[287000 104000 288500 102500 1000 1600 "clearline"] + Line[297000 141000 297000 108500 1000 1600 "clearline"] + Line[297000 108500 298500 107000 1000 1600 "clearline"] + Line[241750 44250 241750 35250 5000 2000 "clearline"] + Line[241750 35250 245000 32000 5000 2000 "clearline"] + Line[245000 32000 375000 32000 5000 2000 "clearline"] + Line[375000 32000 378500 35500 5000 2000 "clearline"] + Line[256500 191000 256500 179000 1000 1600 "clearline"] + Line[256500 179000 259000 176500 1000 1600 "clearline"] + Line[259000 176500 259000 163500 1000 1600 "clearline"] + Line[259000 163500 258000 162500 1000 1600 "clearline"] + Line[258000 162500 250000 162500 1000 1600 "clearline"] + Line[250000 162500 247000 159500 1000 1600 "clearline"] + Line[247000 159500 247000 148000 1000 1600 "clearline"] + Line[261500 170500 261500 162500 1000 1600 "clearline"] + Line[261500 162500 257000 158000 1000 1600 "clearline"] + Line[257000 158000 257000 148000 1000 1600 "clearline"] + Line[266500 191000 266500 179000 1000 1600 "clearline"] + Line[266500 179000 269000 176500 1000 1600 "clearline"] + Line[269000 176500 269000 163000 1000 1600 "clearline"] + Line[269000 163000 267000 161000 1000 1600 "clearline"] + Line[267000 126500 267000 161000 1000 1600 "clearline"] + Line[271500 170500 271500 156500 1000 1600 "clearline"] + Line[271500 156500 273000 155000 1000 1600 "clearline"] + Line[273000 155000 276000 155000 1000 1600 "clearline"] + Line[276000 155000 277000 154000 1000 1600 "clearline"] + Line[277000 131000 277000 154000 1000 1600 "clearline"] + Line[276500 191000 276500 179000 1000 1600 "clearline"] + Line[276500 179000 279000 176500 1000 1600 "clearline"] + Line[279000 176500 279000 158000 1000 1600 "clearline"] + Line[279000 158000 282000 155000 1000 1600 "clearline"] + Line[282000 155000 285500 155000 1000 1600 "clearline"] + Line[285500 155000 287000 153500 1000 1600 "clearline"] + Line[287000 135500 287000 153500 1000 1600 "clearline"] + Line[281500 170500 281500 160000 1000 1600 "clearline"] + Line[281500 160000 283000 158500 1000 1600 "clearline"] + Line[283000 158500 296000 158500 1000 1600 "clearline"] + Line[296000 158500 297000 157500 1000 1600 "clearline"] + Line[297000 139000 297000 157500 1000 1600 "clearline"] + Line[224059 255752 243248 255752 1000 1600 "clearline"] + Line[243248 255752 244500 254500 1000 1600 "clearline"] + Line[244500 254500 244500 230000 1000 1600 "clearline"] + Line[244500 230000 257500 217000 1000 1600 "clearline"] + Line[257500 217000 277500 217000 1000 1600 "clearline"] + Line[277500 217000 284000 210500 1000 1600 "clearline"] + Line[284000 210500 284000 177500 1000 1600 "clearline"] + Line[286500 170500 286500 175000 1000 1600 "clearline"] + Line[286500 175000 284000 177500 1000 1600 "clearline"] + Line[224059 253784 241716 253784 1000 1600 "clearline"] + Line[241716 253784 242500 253000 1000 1600 "clearline"] + Line[242500 253000 242500 228500 1000 1600 "clearline"] + Line[242500 228500 256000 215000 1000 1600 "clearline"] + Line[256000 215000 276000 215000 1000 1600 "clearline"] + Line[276000 215000 281500 209500 1000 1600 "clearline"] + Line[281500 209500 281500 191000 1000 1600 "clearline"] + Line[224059 251815 239685 251815 1000 1600 "clearline"] + Line[239685 251815 240500 251000 1000 1600 "clearline"] + Line[240500 251000 240500 227500 1000 1600 "clearline"] + Line[240500 227500 255000 213000 1000 1600 "clearline"] + Line[255000 213000 269000 213000 1000 1600 "clearline"] + Line[269000 213000 274000 208000 1000 1600 "clearline"] + Line[274000 208000 274000 177500 1000 1600 "clearline"] + Line[276500 170500 276500 175000 1000 1600 "clearline"] + Line[276500 175000 274000 177500 1000 1600 "clearline"] + Line[224059 249847 237653 249847 1000 1600 "clearline"] + Line[237653 249847 238500 249000 1000 1600 "clearline"] + Line[238500 249000 238500 226000 1000 1600 "clearline"] + Line[238500 226000 253500 211000 1000 1600 "clearline"] + Line[253500 211000 266500 211000 1000 1600 "clearline"] + Line[266500 211000 271500 206000 1000 1600 "clearline"] + Line[271500 206000 271500 191000 1000 1600 "clearline"] + Line[213154 238941 213154 212346 1000 1600 "clearline"] + Line[213154 212346 214000 211500 1000 1600 "clearline"] + Line[214000 211500 241000 211500 1000 1600 "clearline"] + Line[241000 211500 246500 206000 1000 1600 "clearline"] + Line[215122 238941 215122 214878 1000 1600 "clearline"] + Line[215122 214878 216000 214000 1000 1600 "clearline"] + Line[216000 214000 245500 214000 1000 1600 "clearline"] + Line[245500 214000 250500 209000 1000 1600 "clearline"] + Line[250500 209000 259500 209000 1000 1600 "clearline"] + Line[259500 209000 264000 204500 1000 1600 "clearline"] + Line[264000 204500 264000 176500 1000 1600 "clearline"] + Line[266500 170500 266500 174000 1000 1600 "clearline"] + Line[266500 174000 264000 176500 1000 1600 "clearline"] + Line[246500 206000 258000 206000 1000 1600 "clearline"] + Line[258000 206000 261500 202500 1000 1600 "clearline"] + Line[261500 202500 261500 191000 1000 1600 "clearline"] + Line[62894 300550 55450 300550 1000 1600 ""] + Line[62894 305550 54550 305550 1000 1600 ""] + Line[62894 310550 49450 310550 1000 1600 ""] + Line[62894 315550 54550 315550 1000 1600 ""] + Line[294000 70000 277000 87000 1000 1600 "clearline"] + Line[277000 87000 248500 87000 1000 1600 "clearline"] + Line[278000 90500 258000 90500 1000 1600 "clearline"] + Line[297000 60000 274500 82500 1000 1600 "clearline"] + Line[287000 61000 270000 78000 1000 1600 "clearline"] + Line[267000 60500 253500 74000 1000 1600 "clearline"] + Line[267000 60500 267000 48500 1000 1600 "clearline"] + Line[219500 74000 253500 74000 1000 1600 "clearline"] + Line[317000 58000 317000 49500 1000 1600 "clearline"] + Line[211000 170750 211000 153500 1000 1600 "clearline"] + Line[189531 299531 189531 320031 1000 1600 "clearline"] + Line[177720 304059 177720 298720 1000 1600 ""] + Line[177720 298720 177500 298500 1000 1600 ""] + Line[179689 304059 179689 298689 1000 1600 ""] + Line[179689 298689 179500 298500 1000 1600 ""] + Line[181657 304059 181657 297657 1000 1600 ""] + Line[181657 297657 181500 297500 1000 1600 ""] + Line[183626 304059 183626 299126 1000 1600 ""] + Line[183626 299126 183500 299000 1000 1600 ""] + Line[183626 303626 183626 308874 1000 1600 ""] + Line[183500 309000 166500 309000 1000 1600 ""] + Line[177720 304280 177720 308780 1000 1600 ""] + Line[177720 308780 177500 309000 1000 1600 ""] + Line[179689 303500 179689 308811 1000 1600 ""] + Line[179689 308811 179500 309000 1000 1600 ""] + Line[181657 303657 181657 308843 1000 1600 ""] + Line[181657 308843 181500 309000 1000 1600 ""] + Line[183626 308874 183500 309000 1000 1600 ""] + Line[201342 298158 201342 309342 1000 1600 ""] + Line[201342 309342 201500 309500 1000 1600 ""] + Line[193500 304500 193500 308000 1000 1600 "clearline"] + Line[193035 312128 193035 312004 1000 1600 "clearline"] + Line[193035 312004 189531 308500 1000 1600 "clearline"] + Line[198500 312063 197563 312063 1000 1600 "clearline"] + Line[197563 312063 193500 308000 1000 1600 "clearline"] + Line[193000 316000 198500 316000 1000 1600 ""] + Line[193000 321500 191000 321500 1000 1600 "clearline"] + Line[191000 321500 189531 320031 1000 1600 "clearline"] + Line[196937 321500 199500 321500 1000 1600 ""] + Line[195437 303937 195437 307032 1000 1600 ""] + Line[195437 307032 197405 309000 1000 1600 ""] + Line[176500 330000 185000 330000 1000 1600 "clearline"] + Line[185000 330000 187500 327500 1000 1600 "clearline"] + Line[187563 304059 187563 327437 1000 1600 "clearline"] + Line[187563 327437 187250 327750 1000 1600 "clearline"] + Line[185594 304059 185594 316906 1000 1600 "clearline"] + Line[185594 316906 183500 319000 1000 1600 "clearline"] + Line[183500 319000 176686 319000 1000 1600 "clearline"] + Line[176686 319000 176439 319248 1000 1600 "clearline"] + Line[172725 376468 172725 323534 1000 1600 "clearline"] + Line[172725 323534 168439 319248 1000 1600 "clearline"] + Line[170166 376468 170166 331666 1000 1600 "clearline"] + Line[170166 331666 168500 330000 1000 1600 "clearline"] + Line[178000 376500 178000 369500 1000 1600 ""] + Line[252000 378500 252000 371714 1000 1600 "clearline"] + Line[243000 371375 242961 371336 1000 1600 "clearline"] + Line[234500 378500 234500 371537 1000 1600 "clearline"] + Line[234500 371537 234299 371336 1000 1600 "clearline"] + Line[230000 378500 230000 372155 1000 1600 "clearline"] + Line[230000 372155 229969 372124 1000 1600 "clearline"] + Line[225500 378500 225500 371474 1000 1600 "clearline"] + Line[225500 371474 225638 371336 1000 1600 "clearline"] + Line[203311 304059 203311 348311 1000 1600 "clearline"] + Line[203311 348311 221000 366000 1000 1600 "clearline"] + Line[221000 366000 221000 371029 1000 1600 "clearline"] + Line[221000 371029 221307 371336 1000 1600 "clearline"] + Line[205279 304059 205279 345779 1000 1600 "clearline"] + Line[205279 345779 225500 366000 1000 1600 "clearline"] + Line[225500 366000 225500 371198 1000 1600 "clearline"] + Line[225500 371198 225638 371336 1000 1600 "clearline"] + Line[207248 304059 207248 338748 1000 1600 "clearline"] + Line[207248 338748 234500 366000 1000 1600 "clearline"] + Line[234500 366000 234500 371135 1000 1600 "clearline"] + Line[234500 371135 234299 371336 1000 1600 "clearline"] + Line[209216 332216 243000 366000 1000 1600 "clearline"] + Line[243000 366000 243000 371297 1000 1600 "clearline"] + Line[243000 371297 242961 371336 1000 1600 "clearline"] + Line[209216 304059 209216 332216 1000 1600 "clearline"] + Line[213153 304059 213153 327153 1000 1600 "clearline"] + Line[213153 327153 252000 366000 1000 1600 "clearline"] + Line[252000 366000 252000 371000 1000 1600 "clearline"] + Line[211185 304059 211185 329685 1000 1600 "clearline"] + Line[211185 329685 247500 366000 1000 1600 "clearline"] + Line[247500 366000 247500 369552 1000 1600 "clearline"] + Line[247500 369552 247500 369970 1000 1600 "clearline"] + Line[224059 295122 246622 295122 1000 1600 "clearline"] + Line[248000 330274 247686 330588 1000 1600 "clearline"] + Line[212000 383000 217518 383000 1000 1600 "clearline"] + Line[217518 383000 218158 382360 1000 1600 "clearline"] + Line[276500 387000 270829 387000 1000 1600 "clearline"] + Line[270829 387000 270520 386691 1000 1600 "clearline"] + Line[216000 371000 220971 371000 1000 1600 "clearline"] + Line[220971 371000 221307 371336 1000 1600 "clearline"] + Line[238630 372124 238630 383130 2500 2000 "clearline"] + Line[238630 383130 239500 384000 2500 2000 "clearline"] + Line[239500 384000 258500 384000 2500 2000 "clearline"] + Line[258500 384000 260000 382500 2500 2000 "clearline"] + Line[277500 362500 343000 362500 1000 1600 "clearline"] + Line[343000 362500 344500 361000 1000 1600 "clearline"] + Line[344500 361000 344500 322000 1000 1600 "clearline"] + Line[277500 356500 332500 356500 1000 1600 "clearline"] + Line[332500 356500 334000 355000 1000 1600 "clearline"] + Line[334000 355000 334000 322000 1000 1600 "clearline"] + Line[277500 350500 322000 350500 1000 1600 "clearline"] + Line[322000 350500 323500 349000 1000 1600 "clearline"] + Line[323500 349000 323500 322000 1000 1600 "clearline"] + Line[277500 344000 311500 344000 1000 1600 "clearline"] + Line[311500 344000 313000 342500 1000 1600 "clearline"] + Line[313000 342500 313000 322000 1000 1600 "clearline"] + Line[277500 338000 300500 338000 1000 1600 "clearline"] + Line[300500 338000 302000 336500 1000 1600 "clearline"] + Line[302000 336500 302000 322000 1000 1600 "clearline"] + Line[277500 331500 289500 331500 1000 1600 "clearline"] + Line[289500 331500 291000 330000 1000 1600 "clearline"] + Line[291000 330000 291000 322000 1000 1600 "clearline"] + Line[225178 330429 247703 330429 1000 1600 "clearline"] + Line[247703 330429 247774 330500 1000 1600 "clearline"] + Line[240500 319500 248000 319500 1000 1600 "clearline"] + Line[215122 302622 215122 317622 1000 1600 "clearline"] + Line[215122 317622 217000 319500 1000 1600 "clearline"] + Line[217000 319500 232500 319500 1000 1600 "clearline"] + Line[378500 67000 370500 67000 2500 2000 "clearline"] + Line[362500 67000 362500 61500 2500 2000 ""] + Line[157000 360000 167000 370000 2500 2000 "clearline"] + Line[168000 376500 168000 371000 1000 1600 "clearline"] + Line[168000 371000 167000 370000 1000 1600 "clearline"] + Line[127000 360000 126500 359500 2500 2000 "clearline"] + Line[74500 171500 74500 180000 2500 2000 "clearline"] + Line[74500 180000 74000 180500 2500 2000 "clearline"] + Line[74500 163500 74500 160000 2500 2000 ""] + Line[324500 245000 324500 272000 2500 2000 ""] + Line[324500 272000 352000 272000 2500 2000 ""] + Line[352000 272000 352000 245000 2500 2000 ""] + Line[352000 245000 324500 245000 2500 2000 ""] + Line[347000 300000 347000 284750 2500 2000 "clearline"] + Line[329000 284750 329000 300000 2500 2000 "clearline"] + Line[305000 305500 299500 305500 5000 2000 ""] + Line[299500 305500 259500 305500 5000 2000 "clearline"] + Line[260000 382500 260000 305500 2500 2000 "clearline"] + Line[287000 318000 350500 318000 1000 1600 ""] + Line[291000 314000 291000 305500 1000 1600 "clearline"] + Line[302000 314000 302000 305500 1000 1600 "clearline"] + Line[313000 314000 313000 309500 1000 1600 "clearline"] + Line[323500 314000 323500 309500 1000 1600 "clearline"] + Line[334000 314000 334000 309500 1000 1600 "clearline"] + Line[344500 314000 344500 309500 1000 1600 "clearline"] + Line[313000 310000 313000 307000 1000 1600 ""] + Line[323500 310000 323500 307000 1000 1600 ""] + Line[334000 310500 334000 307500 1000 1600 ""] + Line[344500 311000 344500 307500 1000 1600 ""] + Line[215181 305937 215122 305878 1000 1600 ""] + Line[291500 194500 291500 207500 1000 1600 "clearline"] + Line[291500 207500 279500 219500 1000 1600 "clearline"] + Line[279500 219500 279500 224500 1000 1600 "clearline"] + Line[287500 224500 287500 237000 1000 1600 "clearline"] + Line[246158 261658 246342 261658 1000 1600 "clearline"] + Line[246342 261658 247000 261000 1000 1600 "clearline"] + Line[247000 261000 247000 238500 1000 1600 "clearline"] + Line[247000 238500 248000 237500 1000 1600 "clearline"] + Line[248000 237500 279000 237500 1000 1600 "clearline"] + Line[279000 237500 279500 237000 1000 1600 "clearline"] + Line[247500 378500 247500 370000 1000 1600 "clearline"] + Line[243000 378500 243000 370500 1000 1600 "clearline"] + Line[158941 285279 138721 285279 1000 1600 "clearline"] + Line[138721 285279 136500 287500 1000 1600 "clearline"] + Line[136500 287500 128944 287500 1000 1600 "clearline"] + Line[128944 287500 128894 287550 1000 1600 "clearline"] + Line[158941 287248 143252 287248 1000 1600 "clearline"] + Line[143252 287248 138000 292500 1000 1600 "clearline"] + Line[138000 292500 128944 292500 1000 1600 "clearline"] + Line[128944 292500 128894 292550 1000 1600 "clearline"] + Line[158941 291185 145815 291185 1000 1600 "clearline"] + Line[145815 291185 139500 297500 1000 1600 "clearline"] + Line[139500 297500 128944 297500 1000 1600 "clearline"] + Line[128944 297500 128894 297550 1000 1600 "clearline"] + Line[162500 298000 148500 298000 1000 1600 "clearline"] + Line[148500 298000 139000 307500 1000 1600 "clearline"] + Line[139000 307500 128944 307500 1000 1600 "clearline"] + Line[128944 307500 128894 307550 1000 1600 "clearline"] + Line[112894 287550 101550 287550 1000 1600 "clearline"] + Line[101550 287550 101500 287500 1000 1600 "clearline"] + Line[112894 292550 103550 292550 1000 1600 ""] + Line[103550 292550 103500 292500 1000 1600 ""] + Line[112894 297550 103550 297550 1000 1600 ""] + Line[103550 297550 103500 297500 1000 1600 ""] + Line[112894 302550 104550 302550 1000 1600 ""] + Line[104550 302550 104500 302500 1000 1600 ""] + Line[112894 307550 104050 307550 1000 1600 ""] + Line[104050 307550 104000 307500 1000 1600 ""] + Line[254000 311000 216000 311000 2500 2000 "clearline"] + Line[254000 311000 254000 310500 2500 2000 "clearline"] + Line[254000 310500 259000 305500 2500 2000 "clearline"] + Line[248000 316000 248000 330274 1000 1600 "clearline"] + Line[248000 330274 247887 330387 1000 1600 "clearline"] + Line[222000 302000 222000 299000 1000 1600 ""] + Line[329000 300000 318000 300000 1000 1600 "clearline"] + Line[291500 195000 290500 195000 1000 1600 "clearline"] + Line[290500 195000 286500 191000 1000 1600 "clearline"] + Line[293000 185063 293000 182500 1000 1600 ""] + Line[256500 170500 253500 170500 1000 1600 ""] + Line[196000 170750 192250 170750 1000 1600 ""] + Line[192250 170750 192000 170500 1000 1600 ""] + Line[118000 170750 115250 170750 1000 1600 ""] + Line[115250 170750 115000 171000 1000 1600 ""] + Line[153000 194500 151250 194500 1000 1600 "clearline"] + Line[151250 194500 148000 191250 1000 1600 "clearline"] + Line[153500 187437 151813 187437 1000 1600 "clearline"] + Line[151813 187437 148000 191250 1000 1600 "clearline"] + Line[293000 189000 288500 189000 1000 1600 "clearline"] + Line[288500 189000 286500 191000 1000 1600 "clearline"] + Line[231500 183500 231500 181500 1000 1600 ""] + Line[231000 194500 229250 194500 1000 1600 "clearline"] + Line[229250 194500 226000 191250 1000 1600 "clearline"] + Line[231465 187372 229878 187372 1000 1600 "clearline"] + Line[229878 187372 226000 191250 1000 1600 "clearline"] + Line[224059 293154 229846 293154 1000 1600 ""] + Line[229846 293154 230000 293000 1000 1600 ""] + Line[224154 293154 217654 293154 1000 1600 ""] + Line[217654 293154 217500 293000 1000 1600 ""] + Line[276563 377500 261500 377500 1000 1600 "clearline"] + Line[261500 377500 260000 379000 1000 1600 "clearline"] + Line[280500 377500 283500 377500 1000 1600 ""] + Line[315000 293500 315000 297000 1000 1600 "clearline"] + Line[315000 297000 318000 300000 1000 1600 "clearline"] + Line[306000 127500 306000 119000 1000 1600 "clearline"] + Line[306000 135500 306000 141000 1000 1600 ""] + Line[222000 305937 215181 305937 1000 1600 "clearline"] + Line[315000 285500 315000 280000 1000 1600 ""] + Line[379000 77500 379000 36000 5000 2000 "clearline"] + Line[369500 87000 379000 77500 5000 2000 "clearline"] + Line[329500 172250 329500 190500 2500 2000 "clearline"] + Line[348000 190500 348000 172875 2500 2000 "clearline"] + Line[325000 159500 352000 159500 2500 2000 ""] + Line[352000 159500 352000 133500 2500 2000 ""] + Line[325000 133500 325000 159500 2500 2000 ""] + Line[348000 173500 348000 182500 1000 1600 ""] + Line[339500 199000 347000 199000 1000 1600 "clearline"] + Line[352000 133000 325000 133000 2500 2000 ""] + Line[348000 182500 339500 191000 1000 1600 "clearline"] + Line[306000 119500 301500 119500 1000 1600 "clearline"] + Line[302000 119500 300000 119500 1000 1600 ""] + Line[362500 301500 362500 294500 1000 1600 "clearline"] + Line[362500 286500 348750 286500 1000 1600 "clearline"] + Line[348750 286500 347000 284750 1000 1600 "clearline"] + Line[21000 54500 21000 72500 5000 2000 "clearline"] + Line[21000 84500 21000 89000 5000 2000 "clearline"] + Line[21000 89000 26000 94000 5000 2000 "clearline"] + Line[90500 58000 101500 58000 5000 2000 "clearline"] + Line[101500 58000 106500 53000 5000 2000 "clearline"] + Line[106500 53000 106500 37000 5000 2000 "clearline"] + Line[106500 37000 111500 32000 5000 2000 "clearline"] + Line[91000 58000 90500 58000 5000 2000 "clearline"] + Line[90500 58000 79500 69000 5000 2000 "clearline"] + Line[79500 69000 79500 72000 5000 2000 "clearline"] + Line[111500 32000 247000 32000 5000 2000 "clearline"] + Line[145000 360000 145000 337571 2500 2000 "clearline"] + Line[145000 337571 144929 337500 2500 2000 "clearline"] + Line[128000 337500 112000 337500 2500 2000 "clearline"] + Line[112000 337500 111500 338000 2500 2000 "clearline"] + Line[20500 159000 20500 164500 2500 2000 "clearline"] + Line[20500 164500 27000 171000 2500 2000 "clearline"] + Line[26000 129500 26000 136571 2500 2000 "clearline"] + Line[26000 136571 20500 142071 2500 2000 "clearline"] + Line[76500 84500 76500 75500 2500 2000 "clearline"] + Line[76500 75500 79500 72500 2500 2000 "clearline"] + Line[41000 80000 55071 80000 2500 2000 "clearline"] + Line[55071 80000 59571 84500 2500 2000 "clearline"] + Line[41000 90000 32000 90000 2500 2000 "clearline"] + Line[32000 90000 27000 95000 2500 2000 "clearline"] + Line[26000 130000 26000 94000 5000 2000 "clearline"] + Line[317000 58000 284500 90500 1000 1600 "clearline"] + Line[284500 90500 277000 90500 1000 1600 "clearline"] + Line[327000 60500 293000 94500 1000 1600 "clearline"] + Line[327000 49000 327000 60500 1000 1600 "clearline"] + Line[268500 94500 293000 94500 1000 1600 "clearline"] + Line[337000 60500 299000 98500 1000 1600 "clearline"] + Line[278000 98500 299000 98500 1000 1600 "clearline"] + Line[337000 49000 337000 60500 1000 1600 "clearline"] + Line[347000 60500 305000 102500 1000 1600 "clearline"] + Line[347000 60500 347000 49000 1000 1600 "clearline"] + Line[288500 102500 305000 102500 1000 1600 "clearline"] + Line[357000 60500 310500 107000 1000 1600 "clearline"] + Line[357000 60500 357000 49000 1000 1600 "clearline"] + Line[357000 49000 357500 48500 1000 1600 "clearline"] + Line[310500 107000 298500 107000 1000 1600 "clearline"] + Polygon("clearpoly") + ( + [226000 233000] [215500 233000] [215500 188000] [226000 188000] + ) + Polygon("clearpoly") + ( + [202500 335000] [184000 335000] [184000 297500] [202500 297500] + ) + Polygon("clearpoly") + ( + [52500 131000] [124000 131000] [124000 226000] [52500 226000] + ) + Polygon("clearpoly") + ( + [149500 163500] [111000 163500] [111000 126000] [149500 126000] + ) + Polygon("clearpoly") + ( + [239000 257000] [227500 257000] [227500 260500] [239000 260500] + ) + Polygon("clearpoly") + ( + [178000 225000] [183626 225000] [183626 238941] [178000 238941] + ) + Polygon("clearpoly") + ( + [288000 75000] [262000 75000] [262000 34500] [288000 34500] + ) + Polygon("clearpoly") + ( + [258500 123000] [287000 123000] [287000 145000] [258500 145000] + ) + Polygon("clearpoly") + ( + [253500 4000] [381500 4000] [381500 78500] [253500 78500] + ) + Polygon("clearpoly") + ( + [269000 143000] [276000 143000] [276000 155500] [269000 155500] + ) + Polygon("clearpoly") + ( + [259500 381500] [342000 381500] [342000 309500] [259500 309500] + ) + Polygon("clearpoly") + ( + [190000 216500] [196500 216500] [196500 235500] [190000 235500] + ) + Polygon("clearpoly") + ( + [260500 144000] [270500 144000] [270500 159000] [260500 159000] + ) + Polygon("clearpoly") + ( + [51500 129500] [65000 129500] [65000 168000] [51500 168000] + ) + Polygon("clearpoly") + ( + [64500 161000] [136500 161000] [136500 226000] [64500 226000] + ) + Polygon("clearpoly") + ( + [194500 309500] [137500 309500] [137500 233000] [194500 233000] + ) + Polygon("clearpoly") + ( + [41000 159500] [116500 235000] [139500 235000] [139500 247000] [116000 247000] + [39500 170500] [39500 157500] [41000 159000] + ) + Polygon("clearpoly") + ( + [250500 262500] [216500 262500] [216500 293000] + ) + Polygon("clearpoly") + ( + [259500 130000] [49000 130000] [49000 228500] [259500 228500] + ) + Polygon("clearpoly") + ( + [17500 176000] [17500 187000] [107000 276500] [154500 276500] [154500 265000] + [107000 265000] [18000 176000] + ) + Polygon("clearpoly") + ( + [197000 283500] [226000 283500] [226000 231000] [197000 231000] + ) + Polygon("clearpoly") + ( + [200500 213000] [126500 213000] [126500 124000] [200500 124000] + ) + Polygon("clearpoly") + ( + [108500 131500] [117500 131500] [117500 197500] [108500 197500] + ) + Polygon("clearpoly") + ( + [116000 132000] [125500 132000] [125500 197500] [116000 197500] + ) + Polygon("clearpoly") + ( + [135000 129000] [144000 129000] [144000 196000] [135000 196000] + ) + Polygon("clearpoly") + ( + [147000 132500] [153500 132500] [153500 163500] [147000 163500] + ) + Polygon("clearpoly") + ( + [156500 131000] [165500 131000] [165500 169000] [156500 169000] + ) + Polygon("clearpoly") + ( + [176500 131000] [185500 131000] [185500 164500] [176500 164500] + ) + Polygon("clearpoly") + ( + [185500 131500] [210000 131500] [210000 186500] [185500 186500] + ) + Polygon("clearpoly") + ( + [184500 130000] [196000 130000] [196000 170750] [184500 170750] + ) + Polygon("clearpoly") + ( + [259500 159500] [215000 159500] [215000 70500] [259500 70500] + ) + Polygon("clearpoly") + ( + [216500 73000] [295000 73000] [295000 91500] [216500 91500] + ) + Polygon("clearpoly") + ( + [212500 165500] [243500 165500] [243500 72500] [212500 72500] + ) + Polygon("clearpoly") + ( + [237000 155000] [225500 155000] [225500 69000] [237000 69000] + ) + Polygon("clearpoly") + ( + [217000 153500] [239500 153500] [239500 162500] [217000 162500] + ) + Polygon("clearpoly") + ( + [228500 153500] [217500 153500] [217500 166000] [228500 166000] + ) + Polygon("clearpoly") + ( + [241000 73500] [256500 73500] [256500 77500] [241000 77500] + ) + Polygon("clearpoly") + ( + [235000 77000] [287000 77000] [287000 89500] [235000 89500] + ) + Polygon("clearpoly") + ( + [235000 77500] [275500 77500] [275500 82500] [235000 82500] + ) + Polygon("clearpoly") + ( + [209000 131000] [217500 131000] [217500 157000] [209000 157000] + ) + Polygon("clearpoly") + ( + [248000 89500] [257000 89500] [257000 160500] [248000 160500] + ) + Polygon("clearpoly") + ( + [382000 2000] [3000 2000] [3000 79500] [382000 79500] + ) + Polygon("clearpoly") + ( + [298500 176000] [282000 176000] [282000 144500] [298500 144500] + ) + Polygon("clearpoly") + ( + [267000 93500] [334500 93500] [334500 98500] [267000 98500] + ) + Polygon("clearpoly") + ( + [329500 94000] [252000 94000] [252000 69000] [329500 69000] + ) + Polygon("clearpoly") + ( + [257500 93000] [267500 93000] [267500 185000] [257500 185000] + ) + Polygon("clearpoly") + ( + [267500 97500] [274500 97500] [274500 185500] [267500 185500] + ) + Polygon("clearpoly") + ( + [276500 101000] [286500 101000] [286500 170500] [276500 170500] + ) + Polygon("clearpoly") + ( + [287500 105500] [296500 105500] [296500 164500] [287500 164500] + ) + Polygon("clearpoly") + ( + [108500 195500] [123000 195500] [123000 225500] [108500 225500] + ) + Polygon("clearpoly") + ( + [135000 224500] [115000 224500] [115000 158000] [135000 158000] + ) + Polygon("clearpoly") + ( + [134500 221500] [125000 221500] [125000 162500] [134500 162500] + ) + Polygon("clearpoly") + ( + [150500 149000] [127500 149000] [127500 180500] [150500 180500] + ) + Polygon("clearpoly") + ( + [209000 162500] [216500 162500] [216500 208500] [209000 208500] + ) + Polygon("clearpoly") + ( + [203500 202000] [213000 202000] [213000 175500] [203500 175500] + ) + Polygon("clearpoly") + ( + [208000 203500] [223500 203500] [223500 206500] [208000 206500] + ) + Polygon("clearpoly") + ( + [221000 206500] [212000 206500] [212000 160500] [221000 160500] + ) + Polygon("clearpoly") + ( + [218500 188000] [237500 188000] [237500 159500] [218500 159500] + ) + Polygon("clearpoly") + ( + [235000 156500] [265500 156500] [265500 211500] [235000 211500] + ) + Polygon("clearpoly") + ( + [237000 187000] [220500 187000] [220500 211500] [237000 211500] + ) + Polygon("clearpoly") + ( + [271500 155500] [277000 155500] [277000 202000] [271500 202000] + ) + Polygon("clearpoly") + ( + [274500 175500] [262000 175500] [262000 208500] [274500 208500] + ) + Polygon("clearpoly") + ( + [286500 170500] [267500 170500] [267500 208000] [286500 208000] + ) + Polygon("clearpoly") + ( + [223000 214500] [242500 214500] [242500 252500] [223000 252500] + ) + Polygon("clearpoly") + ( + [239000 211500] [253500 211500] [253500 222000] [239000 222000] + ) + Polygon("clearpoly") + ( + [269000 214000] [243000 214000] [243000 205000] [269000 205000] + ) + Polygon("clearpoly") + ( + [298500 204500] [191500 204500] [191500 304059] [298500 304059] + ) + Polygon("clearpoly") + ( + [234000 255500] [249500 255500] [249500 262000] [234000 262000] + ) + Polygon("clearpoly") + ( + [258000 325500] [204000 325500] [204000 386000] [258000 386000] + ) + Polygon("clearpoly") + ( + [216500 314000] [260000 314000] [260000 307500] [216500 307500] + ) + Polygon("clearpoly") + ( + [257000 301000] [216000 301000] [216000 308000] [257000 308000] + ) + Polygon("clearpoly") + ( + [290000 206000] [284500 206000] [284500 192000] [290000 192000] + ) + Polygon("clearpoly") + ( + [214500 326000] [260000 326000] [260000 313500] [214500 313500] + ) + Polygon("clearpoly") + ( + [216000 312500] [258000 312500] [258000 315000] [216000 315000] + ) + Polygon("clearpoly") + ( + [298500 174500] [284500 174500] [284500 210500] [298500 210500] + ) + Polygon("clearpoly") + ( + [19000 127500] [35000 127500] [35000 126500] [19000 126500] + ) + Polygon("clearpoly") + ( + [19000 127000] [255000 127000] [255000 35000] [19000 35000] + ) + Polygon("clearpoly") + ( + [88000 125000] [48500 125000] [48500 131500] [88000 131500] + ) + Polygon("clearpoly") + ( + [109000 125000] [114000 125000] [114000 134000] [109000 134000] + ) + Polygon("clearpoly") + ( + [115500 124500] [124000 124500] [124000 133500] [115500 133500] + ) + Polygon("clearpoly") + ( + [136000 125500] [145500 125500] [145500 130000] [136000 130000] + ) + Polygon("clearpoly") + ( + [146000 122000] [154500 122000] [154500 144000] [146000 144000] + ) + Polygon("clearpoly") + ( + [156000 124000] [165000 124000] [165000 133500] [156000 133500] + ) + Polygon("clearpoly") + ( + [176000 125500] [185000 125500] [185000 133000] [176000 133000] + ) + Polygon("clearpoly") + ( + [186000 125000] [194500 125000] [194500 131000] [186000 131000] + ) + Polygon("clearpoly") + ( + [195500 124000] [217500 124000] [217500 132500] [195500 132500] + ) + Polygon("clearpoly") + ( + [365000 391500] [283000 391500] [283000 309000] [365000 309000] + ) + Polygon("clearpoly") + ( + [19000 391500] [290000 391500] [290000 94000] [19000 94000] + ) + Polygon("clearpoly") + ( + [362500 391500] [382000 391500] [382000 309000] [362500 309000] + ) + Polygon("clearpoly") + ( + [363500 391500] [382000 391500] [382000 365000] [363500 365000] + ) + Polygon("clearpoly") + ( + [364500 308000] [300500 308000] [300500 207000] [364500 207000] + ) + Polygon("clearpoly") + ( + [364500 132000] [382000 132000] [382000 183000] [364500 183000] + ) + Polygon("clearpoly") + ( + [363500 308000] [382000 308000] [382000 207000] [363500 207000] + ) + Polygon("clearpoly") + ( + [300500 108500] [297000 108500] [297000 147500] [300500 147500] + ) + Polygon("clearpoly") + ( + [301500 110500] [365500 110500] [365500 193500] [301500 193500] + ) + Polygon("clearpoly") + ( + [298000 206000] [300500 206000] [300500 145500] [298000 145500] + ) + Polygon("clearpoly") + ( + [301500 192500] [382000 192500] [382000 206000] [301500 206000] + ) + Polygon("clearpoly") + ( + [2000 391500] [33000 391500] [33000 132000] [2000 132000] + ) + Polygon("clearpoly") + ( + [2000 93500] [22000 93500] [22000 2000] [2000 2000] + ) + Polygon("clearpoly") + ( + [76500 96500] [90500 96500] [90500 64500] [76500 64500] + ) + Polygon("clearpoly") + ( + [12500 76000] [81000 76000] [81000 103000] [12500 103000] + ) + Polygon("clearpoly") + ( + [37000 73500] [23500 73500] [23500 96500] [37000 96500] + ) + Polygon("clearpoly") + ( + [18000 133000] [25000 133000] [25000 91500] [18000 91500] + ) + Polygon("clearpoly") + ( + [247500 91000] [298000 91000] [298000 76000] [247500 76000] + ) + Polygon("clearpoly") + ( + [275000 78000] [277500 78000] [277500 80000] [275000 80000] + ) + Polygon("clearpoly") + ( + [272500 145000] [284000 145000] [284000 92500] [272500 92500] + ) + Polygon("clearpoly") + ( + [274000 97500] [278000 97500] [278000 144000] [274000 144000] + ) + Polygon("clearpoly") + ( + [280500 98500] [310500 98500] [310500 102000] [280500 102000] + ) + Polygon("clearpoly") + ( + [285000 101000] [312000 101000] [312000 109500] [285000 109500] + ) + Polygon("clearpoly") + ( + [311500 78000] [295500 94000] [302000 94000] [318000 78000] + ) + Polygon("clearpoly") + ( + [300500 99000] [316500 99000] [316500 85000] [300500 85000] + ) + Polygon("clearpoly") + ( + [313000 89500] [327500 89500] [327500 76000] [313000 76000] + ) + Polygon("clearpoly") + ( + [308000 103000] [327500 103000] [327500 87000] [308000 87000] + ) + Polygon("clearpoly") + ( + [311500 102000] [313500 102000] [313500 104000] [311500 104000] + ) + Polygon("clearpoly") + ( + [321000 87500] [338500 87500] [338500 76000] [321000 76000] + ) + Polygon("clearpoly") + ( + [326000 88500] [330500 88500] [330500 86000] [326000 86000] + ) + Polygon("clearpoly") + ( + [365500 111000] [365500 79500] [343000 79500] [311500 111000] [366000 111000] + ) + Polygon("clearpoly") + ( + [300000 109500] [312000 109500] [343500 78000] [341500 78000] [311000 108500] + [300000 108500] + ) +) +Layer(2 "solder") +( + Line[194437 374398 194437 379437 1000 1600 ""] + Line[194437 379437 194500 379500 1000 1600 ""] + Line[202500 109500 39000 109500 1000 1600 "clearline"] + Line[212500 113500 41500 113500 1000 1600 "clearline"] + Line[222500 117500 44000 117500 1000 1600 "clearline"] + Line[232500 121500 46500 121500 1000 1600 "clearline"] + Line[168000 250000 168000 245500 2500 2000 "clearline"] + Line[193906 212594 194000 212500 2500 2000 ""] + Line[202500 199500 203000 200000 2500 2000 "clearline"] + Line[249000 200000 256000 200000 5000 2000 "clearline"] + Line[186500 296500 178750 288750 2500 2000 "clearline"] + Line[132000 44000 127000 49000 1000 1600 "clearline"] + Line[127000 49000 127000 70000 1000 1600 "clearline"] + Line[127000 70000 126000 71000 1000 1600 "clearline"] + Line[126000 71000 90500 71000 1000 1600 "clearline"] + Line[122000 44000 115500 50500 1000 1600 "clearline"] + Line[115500 50500 115500 66000 1000 1600 "clearline"] + Line[115500 66000 114500 67000 1000 1600 "clearline"] + Line[114500 67000 88000 67000 1000 1600 "clearline"] + Line[142000 44000 137000 49000 1000 1600 "clearline"] + Line[137000 49000 137000 74000 1000 1600 "clearline"] + Line[137000 74000 136000 75000 1000 1600 "clearline"] + Line[136000 75000 93000 75000 1000 1600 "clearline"] + Line[152000 44000 147000 49000 1000 1600 "clearline"] + Line[147000 49000 147000 78000 1000 1600 "clearline"] + Line[147000 78000 146000 79000 1000 1600 "clearline"] + Line[146000 79000 95500 79000 1000 1600 "clearline"] + Line[162000 44000 157000 49000 1000 1600 "clearline"] + Line[157000 49000 157000 82000 1000 1600 "clearline"] + Line[157000 82000 156000 83000 1000 1600 "clearline"] + Line[156000 83000 98000 83000 1000 1600 "clearline"] + Line[172000 44000 167000 49000 1000 1600 "clearline"] + Line[167000 49000 167000 85500 1000 1600 "clearline"] + Line[167000 85500 165500 87000 1000 1600 "clearline"] + Line[165500 87000 100500 87000 1000 1600 "clearline"] + Line[182000 44000 177000 49000 1000 1600 "clearline"] + Line[177000 49000 177000 89500 1000 1600 "clearline"] + Line[177000 89500 175500 91000 1000 1600 "clearline"] + Line[175500 91000 103000 91000 1000 1600 "clearline"] + Line[192000 44000 187000 49000 1000 1600 "clearline"] + Line[187000 49000 187000 93500 1000 1600 "clearline"] + Line[187000 93500 185500 95000 1000 1600 "clearline"] + Line[185500 95000 105500 95000 1000 1600 "clearline"] + Line[202000 44000 197000 49000 1000 1600 "clearline"] + Line[197000 49000 197000 98000 1000 1600 "clearline"] + Line[197000 98000 196000 99000 1000 1600 "clearline"] + Line[196000 99000 108000 99000 1000 1600 "clearline"] + Line[272000 44000 271500 44000 1000 1600 "clearline"] + Line[193000 105500 36500 105500 1000 1600 "clearline"] + Line[266000 105500 188500 105500 1000 1600 "clearline"] + Line[242500 125500 49000 125500 1000 1600 "clearline"] + Line[292000 44000 291500 44000 1000 1600 "clearline"] + Line[270000 109500 200500 109500 1000 1600 "clearline"] + Line[302000 44000 301500 44000 1000 1600 "clearline"] + Line[274500 113500 211000 113500 1000 1600 "clearline"] + Line[312000 44000 311500 44000 1000 1600 "clearline"] + Line[163000 256000 171000 256000 5000 2000 "clearline"] + Line[168000 250000 162500 255500 2500 2000 "clearline"] + Line[169500 256000 211000 256000 5000 2000 "clearline"] + Line[211000 256000 212000 255000 5000 2000 "clearline"] + Line[178750 288750 178750 257750 5000 2000 "clearline"] + Line[178750 257750 177000 256000 5000 2000 "clearline"] + Line[170500 296000 171250 296000 2500 2000 "clearline"] + Line[171250 296000 178750 288500 2500 2000 "clearline"] + Line[189500 246500 189500 255500 2500 2000 "clearline"] + Line[189500 255500 189000 256000 2500 2000 "clearline"] + Line[214000 267500 212000 267500 2500 2000 "clearline"] + Line[212000 267500 210500 266000 2500 2000 "clearline"] + Line[210500 266000 210500 259500 2500 2000 "clearline"] + Line[210500 259500 207000 256000 2500 2000 "clearline"] + Line[217500 248000 217500 249500 2500 2000 "clearline"] + Line[217500 249500 212750 254250 2500 2000 "clearline"] + Line[162500 256000 162000 256000 5000 2000 "clearline"] + Line[162000 256000 141500 235500 5000 2000 "clearline"] + Line[141500 235500 110000 235500 5000 2000 "clearline"] + Line[116000 235500 116000 237000 2500 2000 "clearline"] + Line[154000 237500 148750 242750 2500 2000 "clearline"] + Line[205000 147500 205000 151500 2500 2000 "clearline"] + Line[205000 151500 209000 155500 2500 2000 "clearline"] + Line[209000 155500 307000 155500 2500 2000 "clearline"] + Line[307000 155500 307000 147500 2500 2000 "clearline"] + Line[217000 138500 216000 137500 1000 1600 "clearline"] + Line[217000 147500 217000 138500 1000 1600 "clearline"] + Line[227000 147500 227000 135000 1000 1600 "clearline"] + Line[227000 135000 225500 133500 1000 1600 "clearline"] + Line[237000 147500 237000 131000 1000 1600 "clearline"] + Line[237000 131000 235500 129500 1000 1600 "clearline"] + Line[247000 147500 247000 127000 1000 1600 "clearline"] + Line[247000 127000 245500 125500 1000 1600 "clearline"] + Line[245500 125500 242000 125500 1000 1600 "clearline"] + Line[257000 147500 257000 123000 1000 1600 "clearline"] + Line[257000 123000 255500 121500 1000 1600 "clearline"] + Line[267000 147500 267000 119000 1000 1600 "clearline"] + Line[267000 119000 265500 117500 1000 1600 "clearline"] + Line[231500 121500 255500 121500 1000 1600 "clearline"] + Line[221500 117500 265500 117500 1000 1600 "clearline"] + Line[277000 147500 277000 114500 1000 1600 "clearline"] + Line[277000 114500 276000 113500 1000 1600 "clearline"] + Line[276000 113500 273000 113500 1000 1600 "clearline"] + Line[287000 147500 287000 111000 1000 1600 "clearline"] + Line[287000 111000 285500 109500 1000 1600 "clearline"] + Line[285500 109500 269000 109500 1000 1600 "clearline"] + Line[297000 147500 297000 106500 1000 1600 "clearline"] + Line[297000 106500 296000 105500 1000 1600 "clearline"] + Line[296000 105500 263500 105500 1000 1600 "clearline"] + Line[51500 129500 235500 129500 1000 1600 "clearline"] + Line[225500 133500 54000 133500 1000 1600 "clearline"] + Line[56500 137500 216000 137500 1000 1600 "clearline"] + Line[252000 378500 252000 364000 1000 1600 "clearline"] + Line[252000 364000 253500 362500 1000 1600 "clearline"] + Line[253500 362500 277500 362500 1000 1600 "clearline"] + Line[247500 378500 247500 357500 1000 1600 "clearline"] + Line[247500 357500 248500 356500 1000 1600 "clearline"] + Line[248500 356500 277500 356500 1000 1600 "clearline"] + Line[243000 352000 244500 350500 1000 1600 "clearline"] + Line[244500 350500 277500 350500 1000 1600 "clearline"] + Line[234500 345500 236000 344000 1000 1600 "clearline"] + Line[236000 344000 277500 344000 1000 1600 "clearline"] + Line[234500 378500 234500 345500 1000 1600 "clearline"] + Line[225500 378500 225500 339500 1000 1600 "clearline"] + Line[225500 339500 227000 338000 1000 1600 "clearline"] + Line[227000 338000 277500 338000 1000 1600 "clearline"] + Line[216000 333000 217500 331500 1000 1600 "clearline"] + Line[217500 331500 277500 331500 1000 1600 "clearline"] + Line[216000 333000 216000 371000 1000 1600 "clearline"] + Line[153000 194500 153000 200000 2500 2000 "clearline"] + Line[231000 194500 231000 200000 2500 2000 "clearline"] + Line[291500 194500 291500 200000 2500 2000 "clearline"] + Line[74000 180500 74000 195500 5000 2000 "clearline"] + Line[74000 195500 73250 196250 5000 2000 "clearline"] + Line[254000 200000 294500 200000 5000 2000 "clearline"] + Line[347000 300000 347000 252500 5000 2000 "clearline"] + Line[294500 200000 347000 252500 5000 2000 "clearline"] + Line[248000 316000 248000 296500 1000 1600 "clearline"] + Line[248000 296500 246622 295122 1000 1600 "clearline"] + Line[243000 352000 243000 378500 1000 1600 "clearline"] + Line[101550 287550 111800 287550 1000 1600 "clearline"] + Line[111800 287550 112250 288000 1000 1600 "clearline"] + Line[362000 91000 362000 142500 5000 2000 "clearline"] + Line[369500 87000 366000 87000 5000 2000 "clearline"] + Line[366000 87000 362000 91000 5000 2000 "clearline"] + Line[348000 190500 348000 156500 5000 2000 "clearline"] + Line[348000 156500 362000 142500 5000 2000 "clearline"] + Line[249500 200000 77000 200000 5000 2000 "clearline"] + Line[77000 200000 75750 198750 5000 2000 "clearline"] + Line[111500 328500 111500 235500 10000 2000 "clearline"] + Line[111500 338000 111500 330000 2500 2000 "clearline"] + Line[27000 171000 48000 171000 2500 2000 "clearline"] + Line[48000 171000 49250 172250 2500 2000 "clearline"] + Line[112000 235500 50500 174000 10000 2000 "clearline"] + Polygon("clearpoly") + ( + [382000 2000] [382000 93500] [366000 93500] [366000 132000] [382000 132000] + [382000 391500] [2000 391500] [2000 132000] [18000 132000] [18000 93500] + [2000 93500] [2000 2000] + ) +) +Layer(3 "outline") +( + Line[500 500 383500 500 1000 1600 ""] + Line[383500 500 383500 95000 1000 1600 "clearline"] + Line[383500 95000 367500 95000 1000 1600 "clearline"] + Line[367500 95000 367500 130500 1000 1600 "clearline"] + Line[367500 130500 383500 130500 1000 1600 "clearline"] + Line[383500 130500 383500 393000 1000 1600 "found,clearline"] + Line[383500 393000 500 393000 1000 1600 "clearline"] + Line[500 393000 500 130500 1000 1600 "clearline"] + Line[500 130500 16500 130500 1000 1600 "clearline"] + Line[16500 130500 16500 95000 1000 1600 "clearline"] + Line[16500 95000 500 95000 1000 1600 "clearline"] + Line[500 95000 500 500 1000 1600 "clearline"] +) +Layer(4 "GND") +( +) +Layer(5 "power") +( +) +Layer(6 "signal1") +( + Line[8500 186650 8504 186654 1000 1600 "clearline"] +) +Layer(7 "signal2") +( +) +Layer(8 "signal3") +( +) +Layer(9 "silk") +( +) +Layer(10 "silk") +( + Line[500 500 383500 500 1000 1600 "clearline"] + Line[231000 262000 231000 261000 800 1200 ""] + Line[500 500 500 95000 1000 1600 "clearline"] + Line[500 95000 16500 95000 1000 1600 "clearline"] + Line[16500 95000 16500 130500 1000 1600 "clearline"] + Line[16500 130500 500 130500 1000 1600 "clearline"] + Line[500 130500 500 393000 1000 1600 "clearline"] + Line[500 393000 383500 393000 1000 1600 "clearline"] + Line[383500 393000 383500 130500 1000 1600 "clearline"] + Line[383500 130500 367500 130500 1000 1600 "clearline"] + Line[367500 130500 367500 95000 1000 1600 "clearline"] + Line[383500 95000 383500 500 1000 1600 "clearline"] + Line[367500 95000 383500 95000 1000 1600 "clearline"] + Text[102500 278000 0 100 "5V" "clearline"] + Text[136000 286000 0 50 "SWDIO" "clearline"] + Text[136000 291000 0 50 "SWDCK" "clearline"] + Text[137000 306500 0 50 "XRES" "clearline"] + Text[113500 56000 0 50 "1" "clearline"] + Text[40000 96500 0 100 "TERMPWR" "clearline"] + Text[343500 235500 0 100 "3.3V" "clearline"] + Text[136500 296500 0 50 "SWV" "clearline"] + Text[27500 299500 0 200 "SCSI2SD V3.0" "clearline"] + Text[28000 320500 0 100 "michael@codesrc.com" "clearline"] + Text[28000 312500 0 100 "Michael McMaster" "clearline"] + Text[339500 123500 0 100 "2.85V" "clearline"] + Text[171500 357500 0 100 "~ 500mA" "clearline"] + Polygon("clearpoly") + ( + [306500 152500] [309000 152500] [309000 161000] [306500 161000] + ) + Polygon("clearpoly") + ( + [203500 153000] [206000 153000] [206000 161000] [203500 161000] + ) +) +NetList() +( + Net("3.3V" "(unknown)") + ( + Connect("C13-2") + Connect("C22-2") + Connect("C28-2") + Connect("J6-4") + Connect("R6-2") + Connect("R7-2") + Connect("R8-2") + Connect("R9-2") + Connect("R10-2") + Connect("R11-2") + Connect("R12-2") + Connect("U1-50") + Connect("U6-2") + ) + Net("5V" "(unknown)") + ( + Connect("C2-2") + Connect("C3-2") + Connect("C4-2") + Connect("C8-2") + Connect("C9-2") + Connect("C10-2") + Connect("C11-2") + Connect("C12-2") + Connect("C17-2") + Connect("C19-2") + Connect("C21-2") + Connect("C23-2") + Connect("C24-2") + Connect("C26-2") + Connect("D2-1") + Connect("D3-1") + Connect("J4-1") + Connect("R3-2") + Connect("U1-26") + Connect("U1-37") + Connect("U1-65") + Connect("U1-75") + Connect("U1-88") + Connect("U1-100") + Connect("U3-14") + Connect("U4-14") + Connect("U5-14") + Connect("U6-3") + ) + Net("\\_ACK\\_" "(unknown)") + ( + Connect("J2-38") + Connect("R2-8") + Connect("U1-7") + Connect("U4-2") + ) + Net("\\_ATN\\_" "(unknown)") + ( + Connect("J2-32") + Connect("R2-10") + Connect("U1-5") + Connect("U4-4") + ) + Net("\\_BSY\\_" "(unknown)") + ( + Connect("J2-36") + Connect("R2-9") + Connect("U1-6") + Connect("U4-12") + ) + Net("\\_C/D\\_" "(unknown)") + ( + Connect("J2-46") + Connect("R2-4") + Connect("U1-17") + Connect("U3-4") + ) + Net("\\_DB0\\_" "(unknown)") + ( + Connect("J2-2") + Connect("R1-10") + Connect("U1-4") + Connect("U5-8") + ) + Net("\\_DB1\\_" "(unknown)") + ( + Connect("J2-4") + Connect("R1-9") + Connect("U1-3") + Connect("U5-6") + ) + Net("\\_DB2\\_" "(unknown)") + ( + Connect("J2-6") + Connect("R1-8") + Connect("U1-2") + Connect("U5-10") + ) + Net("\\_DB3\\_" "(unknown)") + ( + Connect("J2-8") + Connect("R1-7") + Connect("U1-1") + Connect("U5-4") + ) + Net("\\_DB4\\_" "(unknown)") + ( + Connect("J2-10") + Connect("R1-6") + Connect("U1-99") + Connect("U5-12") + ) + Net("\\_DB5\\_" "(unknown)") + ( + Connect("J2-12") + Connect("R1-5") + Connect("U1-98") + Connect("U5-2") + ) + Net("\\_DB6\\_" "(unknown)") + ( + Connect("J2-14") + Connect("R1-4") + Connect("U1-97") + Connect("U4-8") + ) + Net("\\_DB7\\_" "(unknown)") + ( + Connect("J2-16") + Connect("R1-3") + Connect("U1-96") + Connect("U4-6") + ) + Net("\\_DBP\\_" "(unknown)") + ( + Connect("J2-18") + Connect("R1-2") + Connect("U1-95") + Connect("U4-10") + ) + Net("\\_I/O\\_" "(unknown)") + ( + Connect("J2-50") + Connect("R2-2") + Connect("U1-19") + Connect("U3-2") + ) + Net("\\_MSG\\_" "(unknown)") + ( + Connect("J2-42") + Connect("R2-6") + Connect("U1-9") + Connect("U3-6") + ) + Net("\\_REQ\\_" "(unknown)") + ( + Connect("J2-48") + Connect("R2-3") + Connect("U1-18") + Connect("U3-12") + ) + Net("\\_RST\\_" "(unknown)") + ( + Connect("J2-40") + Connect("R2-7") + Connect("U1-8") + Connect("U3-8") + ) + Net("\\_SD_CD\\_" "(unknown)") + ( + Connect("J6-10") + Connect("R9-1") + Connect("U1-51") + ) + Net("\\_SD_CS\\_" "(unknown)") + ( + Connect("J6-2") + Connect("R11-1") + Connect("U1-48") + ) + Net("\\_SEL\\_" "(unknown)") + ( + Connect("J2-44") + Connect("R2-5") + Connect("U1-16") + Connect("U3-10") + ) + Net("ACK" "(unknown)") + ( + Connect("U1-78") + Connect("U4-1") + ) + Net("ATN" "(unknown)") + ( + Connect("U1-80") + Connect("U4-3") + ) + Net("BSY" "(unknown)") + ( + Connect("U1-79") + Connect("U4-13") + ) + Net("C/D" "(unknown)") + ( + Connect("U1-73") + Connect("U3-3") + ) + Net("DB0" "(unknown)") + ( + Connect("U1-92") + Connect("U5-9") + ) + Net("DB1" "(unknown)") + ( + Connect("U1-91") + Connect("U5-5") + ) + Net("DB2" "(unknown)") + ( + Connect("U1-90") + Connect("U5-11") + ) + Net("DB3" "(unknown)") + ( + Connect("U1-89") + Connect("U5-3") + ) + Net("DB4" "(unknown)") + ( + Connect("U1-85") + Connect("U5-13") + ) + Net("DB5" "(unknown)") + ( + Connect("U1-84") + Connect("U5-1") + ) + Net("DB6" "(unknown)") + ( + Connect("U1-83") + Connect("U4-9") + ) + Net("DB7" "(unknown)") + ( + Connect("U1-82") + Connect("U4-5") + ) + Net("DBP" "(unknown)") + ( + Connect("U1-81") + Connect("U4-11") + ) + Net("drive_5V" "(unknown)") + ( + Connect("D2-2") + Connect("F1-2") + Connect("J3-2") + ) + Net("GND" "(unknown)") + ( + Connect("C1-1") + Connect("C2-1") + Connect("C3-1") + Connect("C4-1") + Connect("C5-1") + Connect("C7-1") + Connect("C8-1") + Connect("C9-1") + Connect("C10-1") + Connect("C11-1") + Connect("C12-1") + Connect("C13-1") + Connect("C14-1") + Connect("C17-1") + Connect("C19-1") + Connect("C20-1") + Connect("C21-1") + Connect("C22-1") + Connect("C23-1") + Connect("C24-1") + Connect("C26-1") + Connect("C27-1") + Connect("C28-1") + Connect("J1-2") + Connect("J1-3") + Connect("J2-1") + Connect("J2-3") + Connect("J2-5") + Connect("J2-7") + Connect("J2-9") + Connect("J2-11") + Connect("J2-13") + Connect("J2-15") + Connect("J2-17") + Connect("J2-19") + Connect("J2-20") + Connect("J2-21") + Connect("J2-22") + Connect("J2-23") + Connect("J2-24") + Connect("J2-27") + Connect("J2-28") + Connect("J2-29") + Connect("J2-30") + Connect("J2-31") + Connect("J2-33") + Connect("J2-34") + Connect("J2-35") + Connect("J2-37") + Connect("J2-39") + Connect("J2-41") + Connect("J2-43") + Connect("J2-45") + Connect("J2-47") + Connect("J2-49") + Connect("J4-3") + Connect("J4-5") + Connect("J4-7") + Connect("J4-9") + Connect("J5-5") + Connect("J6-6") + Connect("J6-9") + Connect("U1-10") + Connect("U1-12") + Connect("U1-13") + Connect("U1-14") + Connect("U1-27") + Connect("U1-28") + Connect("U1-29") + Connect("U1-30") + Connect("U1-31") + Connect("U1-32") + Connect("U1-33") + Connect("U1-34") + Connect("U1-38") + Connect("U1-40") + Connect("U1-41") + Connect("U1-43") + Connect("U1-52") + Connect("U1-53") + Connect("U1-54") + Connect("U1-56") + Connect("U1-57") + Connect("U1-58") + Connect("U1-59") + Connect("U1-60") + Connect("U1-61") + Connect("U1-62") + Connect("U1-64") + Connect("U1-66") + Connect("U1-67") + Connect("U1-69") + Connect("U1-70") + Connect("U1-87") + Connect("U1-93") + Connect("U1-94") + Connect("U2-1") + Connect("U3-7") + Connect("U4-7") + Connect("U5-7") + Connect("U6-1") + ) + Net("I/O" "(unknown)") + ( + Connect("U1-71") + Connect("U3-1") + ) + Net("MSG" "(unknown)") + ( + Connect("U1-76") + Connect("U3-5") + ) + Net("REQ" "(unknown)") + ( + Connect("U1-72") + Connect("U3-13") + ) + Net("RST" "(unknown)") + ( + Connect("U1-77") + Connect("U3-9") + ) + Net("SD_DAT1" "(unknown)") + ( + Connect("J6-8") + Connect("R6-1") + Connect("U1-44") + ) + Net("SD_DAT2" "(unknown)") + ( + Connect("J6-1") + Connect("R12-1") + Connect("U1-49") + ) + Net("SD_MISO" "(unknown)") + ( + Connect("J6-7") + Connect("R7-1") + Connect("U1-45") + ) + Net("SD_MOSI" "(unknown)") + ( + Connect("J6-3") + Connect("R10-1") + Connect("U1-47") + ) + Net("SD_SCK" "(unknown)") + ( + Connect("J6-5") + Connect("R8-1") + Connect("U1-46") + ) + Net("SEL" "(unknown)") + ( + Connect("U1-74") + Connect("U3-11") + ) + Net("unnamed_net1" "(unknown)") + ( + Connect("J2-25") + ) + Net("unnamed_net2" "(unknown)") + ( + Connect("C1-2") + Connect("C5-2") + Connect("D1-1") + Connect("J2-26") + Connect("U2-3") + ) + Net("unnamed_net3" "(unknown)") + ( + Connect("J1-1") + ) + Net("unnamed_net4" "(unknown)") + ( + Connect("F1-1") + Connect("J1-4") + ) + Net("unnamed_net5" "(unknown)") + ( + Connect("J4-2") + Connect("U1-20") + ) + Net("unnamed_net6" "(unknown)") + ( + Connect("J4-4") + Connect("U1-21") + ) + Net("unnamed_net7" "(unknown)") + ( + Connect("J4-10") + Connect("U1-15") + ) + Net("unnamed_net8" "(unknown)") + ( + Connect("J4-8") + ) + Net("unnamed_net9" "(unknown)") + ( + Connect("J4-6") + Connect("U1-23") + ) + Net("unnamed_net10" "(unknown)") + ( + Connect("LED1-1") + Connect("R3-1") + ) + Net("unnamed_net11" "(unknown)") + ( + Connect("LED1-2") + Connect("U1-68") + ) + Net("unnamed_net12" "(unknown)") + ( + Connect("C27-2") + Connect("U1-63") + ) + Net("unnamed_net13" "(unknown)") + ( + Connect("C20-2") + Connect("U1-39") + Connect("U1-86") + ) + Net("unnamed_net14" "(unknown)") + ( + Connect("U1-11") + ) + Net("unnamed_net15" "(unknown)") + ( + Connect("U1-22") + ) + Net("unnamed_net16" "(unknown)") + ( + Connect("U1-24") + ) + Net("unnamed_net17" "(unknown)") + ( + Connect("U1-25") + ) + Net("unnamed_net18" "(unknown)") + ( + Connect("R4-2") + Connect("U1-35") + ) + Net("unnamed_net19" "(unknown)") + ( + Connect("R5-2") + Connect("U1-36") + ) + Net("unnamed_net20" "(unknown)") + ( + Connect("U1-42") + ) + Net("unnamed_net21" "(unknown)") + ( + Connect("U1-55") + ) + Net("unnamed_net22" "(unknown)") + ( + Connect("C7-2") + Connect("C14-2") + Connect("R1-1") + Connect("R2-1") + Connect("U2-2") + ) + Net("unnamed_net23" "(unknown)") + ( + Connect("D1-2") + Connect("J3-1") + ) + Net("unnamed_net24" "(unknown)") + ( + Connect("F2-1") + Connect("J5-1") + ) + Net("unnamed_net25" "(unknown)") + ( + Connect("D3-2") + Connect("F2-2") + ) + Net("unnamed_net26" "(unknown)") + ( + Connect("J5-4") + ) + Net("unnamed_net27" "(unknown)") + ( + Connect("J5-3") + Connect("R4-1") + ) + Net("unnamed_net28" "(unknown)") + ( + Connect("J5-2") + Connect("R5-1") + ) +) diff --git a/hardware/scsi2sd.sch b/hardware/scsi2sd.sch new file mode 100644 index 0000000..1457b8f --- /dev/null +++ b/hardware/scsi2sd.sch @@ -0,0 +1,2458 @@ +v 20110115 2 +C 30400 39400 1 0 0 header50-1.sym +{ +T 30650 50100 5 10 0 0 0 0 1 +device=HEADER50 +T 31000 49500 1 10 1 1 0 0 1 +refdes=J2 +T 30400 39400 5 10 0 0 0 0 1 +footprint=HEADER50_2_RA +} +C 31700 53400 1 180 0 MOLEX8981.sym +{ +T 30500 52700 5 10 1 1 180 6 1 +refdes=J1 +T 31300 51000 5 10 0 0 180 0 1 +device=MOLEX8981 +T 31300 50800 5 10 0 0 180 0 1 +footprint=MOLEX8981 +} +C 32100 51800 1 0 0 gnd-1.sym +{ +T 32100 51800 5 10 0 0 0 0 1 +net=GND:1 +} +C 29800 43700 1 0 0 gnd-1.sym +{ +T 29800 43700 5 10 0 0 0 0 1 +net=GND:1 +} +N 30400 44800 30400 49200 1 +N 30400 39600 30400 44000 1 +N 30400 44800 29900 44800 1 +N 29900 44800 29900 44000 1 +N 29900 44000 30400 44000 1 +C 32400 44500 1 0 0 gnd-1.sym +{ +T 32400 44500 5 10 0 0 0 0 1 +net=GND:1 +} +N 31800 44800 32500 44800 1 +N 32500 44800 32500 45600 1 +N 32500 45200 31800 45200 1 +N 31800 45600 32500 45600 1 +C 32000 43500 1 0 0 gnd-1.sym +{ +T 32000 43500 5 10 0 0 0 0 1 +net=GND:1 +} +N 31800 43800 32100 43800 1 +N 31800 43600 31800 44000 1 +C 31800 42500 1 0 0 gnd-1.sym +{ +T 31800 42500 5 10 0 0 0 0 1 +net=GND:1 +} +N 31800 42800 31900 42800 1 +N 31600 52100 32200 52100 4 +N 32200 52100 32200 52500 4 +N 31600 52500 32200 52500 4 +C 70600 32700 1 90 0 capacitor-1.sym +{ +T 69900 32900 5 10 0 0 90 0 1 +device=CAPACITOR +T 70100 32900 5 10 1 1 90 0 1 +refdes=C22 +T 69700 32900 5 10 0 0 90 0 1 +symversion=0.1 +T 70600 32700 5 10 1 1 90 0 1 +value=100nF +T 70600 32700 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 70600 32700 5 10 0 0 0 0 1 +footprint=cap_0402 +} +N 31800 49200 33800 49200 4 +{ +T 31800 49200 5 10 1 1 0 0 1 +netname=\_DB0\_ +} +C 70300 32400 1 0 0 gnd-1.sym +C 32100 51600 1 0 1 nc-left-1.sym +{ +T 32100 52000 5 10 0 0 0 6 1 +value=NoConnection +T 32100 52400 5 10 0 0 0 6 1 +device=DRC_Directive +} +C 29900 44300 1 0 0 nc-left-1.sym +{ +T 29900 44700 5 10 0 0 0 0 1 +value=NoConnection +T 29900 45100 5 10 0 0 0 0 1 +device=DRC_Directive +} +C 35200 53200 1 0 0 5V-plus-1.sym +{ +T 35200 53200 5 10 0 0 0 0 1 +net=5V:1 +} +C 69500 37900 1 270 0 3.3V-plus-1.sym +{ +T 69500 37900 5 10 0 0 270 0 1 +net=3.3V:1 +} +C 70200 33600 1 0 0 3.3V-plus-1.sym +{ +T 70200 33600 5 10 0 0 0 0 1 +net=3.3V:1 +} +C 35300 43200 1 0 0 gnd-1.sym +{ +T 35300 43200 5 10 0 0 0 0 1 +net=GND:1 +} +N 31800 44400 35400 44400 4 +C 35600 52000 1 90 0 capacitor-1.sym +{ +T 34900 52200 5 10 0 0 90 0 1 +device=CAPACITOR +T 35300 52200 5 10 1 1 90 0 1 +refdes=C2 +T 34700 52200 5 10 0 0 90 0 1 +symversion=0.1 +T 35600 52000 5 10 1 1 90 0 1 +value=10uF +T 35600 52000 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 35600 52000 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +} +U 34000 49400 34000 39400 10 -1 +C 33800 49200 1 270 0 busripper-1.sym +{ +T 34200 49200 5 8 0 0 270 0 1 +device=none +} +N 31800 48800 33800 48800 4 +{ +T 31800 48800 5 10 1 1 0 0 1 +netname=\_DB1\_ +} +C 33800 48800 1 270 0 busripper-1.sym +{ +T 34200 48800 5 8 0 0 270 0 1 +device=none +} +N 31800 48400 33800 48400 4 +{ +T 31800 48400 5 10 1 1 0 0 1 +netname=\_DB2\_ +} +C 33800 48400 1 270 0 busripper-1.sym +{ +T 34200 48400 5 8 0 0 270 0 1 +device=none +} +N 31800 48000 33800 48000 4 +{ +T 31800 48000 5 10 1 1 0 0 1 +netname=\_DB3\_ +} +C 33800 48000 1 270 0 busripper-1.sym +{ +T 34200 48000 5 8 0 0 270 0 1 +device=none +} +N 31800 47600 33800 47600 4 +{ +T 31800 47600 5 10 1 1 0 0 1 +netname=\_DB4\_ +} +C 33800 47600 1 270 0 busripper-1.sym +{ +T 34200 47600 5 8 0 0 270 0 1 +device=none +} +N 31800 47200 33800 47200 4 +{ +T 31800 47200 5 10 1 1 0 0 1 +netname=\_DB5\_ +} +C 33800 47200 1 270 0 busripper-1.sym +{ +T 34200 47200 5 8 0 0 270 0 1 +device=none +} +N 31800 46800 33800 46800 4 +{ +T 31800 46800 5 10 1 1 0 0 1 +netname=\_DB6\_ +} +C 33800 46800 1 270 0 busripper-1.sym +{ +T 34200 46800 5 8 0 0 270 0 1 +device=none +} +N 31800 46400 33800 46400 4 +{ +T 31800 46400 5 10 1 1 0 0 1 +netname=\_DB7\_ +} +C 33800 46400 1 270 0 busripper-1.sym +{ +T 34200 46400 5 8 0 0 270 0 1 +device=none +} +N 31800 46000 33800 46000 4 +{ +T 31800 46000 5 10 1 1 0 0 1 +netname=\_DBP\_ +} +N 31800 42400 33800 42400 4 +{ +T 31800 42400 5 10 1 1 0 0 1 +netname=\_BSY\_ +} +C 33800 42400 1 270 0 busripper-1.sym +{ +T 34200 42400 5 8 0 0 270 0 1 +device=none +} +N 31800 42000 33800 42000 4 +{ +T 31800 42000 5 10 1 1 0 0 1 +netname=\_ACK\_ +} +C 33800 42000 1 270 0 busripper-1.sym +{ +T 34200 42000 5 8 0 0 270 0 1 +device=none +} +N 31800 41600 33800 41600 4 +{ +T 31800 41600 5 10 1 1 0 0 1 +netname=\_RST\_ +} +C 33800 41600 1 270 0 busripper-1.sym +{ +T 34200 41600 5 8 0 0 270 0 1 +device=none +} +N 31800 41200 33800 41200 4 +{ +T 31800 41200 5 10 1 1 0 0 1 +netname=\_MSG\_ +} +C 33800 41200 1 270 0 busripper-1.sym +{ +T 34200 41200 5 8 0 0 270 0 1 +device=none +} +N 31800 40800 33800 40800 4 +{ +T 31800 40800 5 10 1 1 0 0 1 +netname=\_SEL\_ +} +C 33800 40800 1 270 0 busripper-1.sym +{ +T 34200 40800 5 8 0 0 270 0 1 +device=none +} +N 31800 40400 33800 40400 4 +{ +T 31800 40400 5 10 1 1 0 0 1 +netname=\_C/D\_ +} +C 33800 40400 1 270 0 busripper-1.sym +{ +T 34200 40400 5 8 0 0 270 0 1 +device=none +} +N 31800 40000 33800 40000 4 +{ +T 31800 40000 5 10 1 1 0 0 1 +netname=\_REQ\_ +} +C 33800 40000 1 270 0 busripper-1.sym +{ +T 34200 40000 5 8 0 0 270 0 1 +device=none +} +N 31800 39600 33800 39600 4 +{ +T 31800 39600 5 10 1 1 0 0 1 +netname=\_I/O\_ +} +C 33800 39600 1 270 0 busripper-1.sym +{ +T 34200 39600 5 8 0 0 270 0 1 +device=none +} +C 36500 45900 1 0 0 7406.sym +{ +T 37900 49300 5 10 1 1 0 6 1 +refdes=U3 +T 36900 49500 5 10 0 0 0 0 1 +device=7406 +T 36900 49700 5 10 0 0 0 0 1 +footprint=SO14 +} +C 36500 42100 1 0 0 7406.sym +{ +T 37900 45500 5 10 1 1 0 6 1 +refdes=U4 +T 36900 45700 5 10 0 0 0 0 1 +device=7406 +T 36900 45900 5 10 0 0 0 0 1 +footprint=SO14 +} +C 36500 38400 1 0 0 7406.sym +{ +T 37900 41800 5 10 1 1 0 6 1 +refdes=U5 +T 36900 42000 5 10 0 0 0 0 1 +device=7406 +T 36900 42200 5 10 0 0 0 0 1 +footprint=SO14 +} +U 34000 49400 36000 49400 10 0 +U 36000 49400 36000 38500 10 -1 +N 31800 43200 33800 43200 4 +{ +T 31800 43200 5 10 1 1 0 0 1 +netname=\_ATN\_ +} +C 33800 43200 1 270 0 busripper-1.sym +{ +T 34200 43200 5 8 0 0 270 0 1 +device=none +} +U 45100 49200 39000 49200 10 1 +U 39000 49200 39000 38500 10 -1 +N 38200 48400 38800 48400 4 +{ +T 38200 48400 5 10 1 1 0 0 1 +netname=REQ +} +C 38800 48400 1 270 0 busripper-1.sym +{ +T 39200 48400 5 8 0 0 270 0 1 +device=none +} +N 38200 47600 38800 47600 4 +{ +T 38200 47600 5 10 1 1 0 0 1 +netname=SEL +} +C 38800 47600 1 270 0 busripper-1.sym +{ +T 39200 47600 5 8 0 0 270 0 1 +device=none +} +N 38200 46800 38800 46800 4 +{ +T 38200 46800 5 10 1 1 0 0 1 +netname=RST +} +C 38800 46800 1 270 0 busripper-1.sym +{ +T 39200 46800 5 8 0 0 270 0 1 +device=none +} +N 37200 48600 38800 48600 4 +{ +T 38200 48600 5 10 1 1 0 0 1 +netname=I/O +} +C 38800 48600 1 270 0 busripper-1.sym +{ +T 39200 48600 5 8 0 0 270 0 1 +device=none +} +N 37200 47800 38800 47800 4 +{ +T 38200 47800 5 10 1 1 0 0 1 +netname=C/D +} +C 38800 47800 1 270 0 busripper-1.sym +{ +T 39200 47800 5 8 0 0 270 0 1 +device=none +} +N 37200 47000 38800 47000 4 +{ +T 38200 47000 5 10 1 1 0 0 1 +netname=MSG +} +C 38800 47000 1 270 0 busripper-1.sym +{ +T 39200 47000 5 8 0 0 270 0 1 +device=none +} +N 38200 44600 38800 44600 4 +{ +T 38200 44600 5 10 1 1 0 0 1 +netname=BSY +} +C 38800 44600 1 270 0 busripper-1.sym +{ +T 39200 44600 5 8 0 0 270 0 1 +device=none +} +N 38200 43800 38800 43800 4 +{ +T 38200 43800 5 10 1 1 0 0 1 +netname=DBP +} +C 38800 43800 1 270 0 busripper-1.sym +{ +T 39200 43800 5 8 0 0 270 0 1 +device=none +} +N 38200 43000 38800 43000 4 +{ +T 38200 43000 5 10 1 1 0 0 1 +netname=DB6 +} +C 38800 43000 1 270 0 busripper-1.sym +{ +T 39200 43000 5 8 0 0 270 0 1 +device=none +} +N 37200 44800 38800 44800 4 +{ +T 38200 44800 5 10 1 1 0 0 1 +netname=ACK +} +C 38800 44800 1 270 0 busripper-1.sym +{ +T 39200 44800 5 8 0 0 270 0 1 +device=none +} +N 37200 44000 38800 44000 4 +{ +T 38200 44000 5 10 1 1 0 0 1 +netname=ATN +} +C 38800 44000 1 270 0 busripper-1.sym +{ +T 39200 44000 5 8 0 0 270 0 1 +device=none +} +N 37200 43200 38800 43200 4 +{ +T 38200 43200 5 10 1 1 0 0 1 +netname=DB7 +} +C 38800 43200 1 270 0 busripper-1.sym +{ +T 39200 43200 5 8 0 0 270 0 1 +device=none +} +N 38200 40900 38800 40900 4 +{ +T 38200 40900 5 10 1 1 0 0 1 +netname=DB4 +} +C 38800 40900 1 270 0 busripper-1.sym +{ +T 39200 40900 5 8 0 0 270 0 1 +device=none +} +N 38200 40100 38800 40100 4 +{ +T 38200 40100 5 10 1 1 0 0 1 +netname=DB2 +} +C 38800 40100 1 270 0 busripper-1.sym +{ +T 39200 40100 5 8 0 0 270 0 1 +device=none +} +N 38200 39300 38800 39300 4 +{ +T 38200 39300 5 10 1 1 0 0 1 +netname=DB0 +} +C 38800 39300 1 270 0 busripper-1.sym +{ +T 39200 39300 5 8 0 0 270 0 1 +device=none +} +N 37200 41100 38800 41100 4 +{ +T 38200 41100 5 10 1 1 0 0 1 +netname=DB5 +} +C 38800 41100 1 270 0 busripper-1.sym +{ +T 39200 41100 5 8 0 0 270 0 1 +device=none +} +N 37200 40300 38800 40300 4 +{ +T 38200 40300 5 10 1 1 0 0 1 +netname=DB3 +} +C 38800 40300 1 270 0 busripper-1.sym +{ +T 39200 40300 5 8 0 0 270 0 1 +device=none +} +N 37200 39500 38800 39500 4 +{ +T 38200 39500 5 10 1 1 0 0 1 +netname=DB1 +} +C 38800 39500 1 270 0 busripper-1.sym +{ +T 39200 39500 5 8 0 0 270 0 1 +device=none +} +N 36600 48800 37200 48800 4 +N 37200 48800 37200 48600 4 +N 36600 48000 37200 48000 4 +N 37200 48000 37200 47800 4 +N 36600 47200 37200 47200 4 +N 37200 47200 37200 47000 4 +N 36600 48400 36200 48400 4 +{ +T 36200 48400 5 10 1 1 0 0 1 +netname=\_I/O\_ +} +C 36200 48400 1 180 0 busripper-1.sym +{ +T 36200 48000 5 8 0 0 180 0 1 +device=none +} +N 36600 47600 36200 47600 4 +{ +T 36200 47600 5 10 1 1 0 0 1 +netname=\_C/D\_ +} +C 36200 47600 1 180 0 busripper-1.sym +{ +T 36200 47200 5 8 0 0 180 0 1 +device=none +} +N 36600 46800 36200 46800 4 +{ +T 36200 46800 5 10 1 1 0 0 1 +netname=\_MSG\_ +} +C 36200 46800 1 180 0 busripper-1.sym +{ +T 36200 46400 5 8 0 0 180 0 1 +device=none +} +N 38200 48000 37600 48000 4 +N 37600 48000 37600 48200 4 +N 37600 48200 36200 48200 4 +{ +T 36200 48200 5 10 1 1 0 0 1 +netname=\_REQ\_ +} +C 36200 48200 1 180 0 busripper-1.sym +{ +T 36200 47800 5 8 0 0 180 0 1 +device=none +} +N 38200 47200 37600 47200 4 +N 37600 47200 37600 47400 4 +N 37600 47400 36200 47400 4 +{ +T 36200 47400 5 10 1 1 0 0 1 +netname=\_SEL\_ +} +C 36200 47400 1 180 0 busripper-1.sym +{ +T 36200 47000 5 8 0 0 180 0 1 +device=none +} +N 38200 46400 37600 46400 4 +N 37600 46400 37600 46600 4 +N 37600 46600 36200 46600 4 +{ +T 36200 46600 5 10 1 1 0 0 1 +netname=\_RST\_ +} +C 36200 46600 1 180 0 busripper-1.sym +{ +T 36200 46200 5 8 0 0 180 0 1 +device=none +} +N 36600 45000 37200 45000 4 +N 37200 45000 37200 44800 4 +N 36600 44200 37200 44200 4 +N 37200 44200 37200 44000 4 +N 36600 43400 37200 43400 4 +N 37200 43400 37200 43200 4 +N 36600 41300 37200 41300 4 +N 37200 41300 37200 41100 4 +N 36600 40500 37200 40500 4 +N 37200 40500 37200 40300 4 +N 36600 39700 37200 39700 4 +N 37200 39700 37200 39500 4 +N 36600 44600 36200 44600 4 +{ +T 36200 44600 5 10 1 1 0 0 1 +netname=\_ACK\_ +} +C 36200 44600 1 180 0 busripper-1.sym +{ +T 36200 44200 5 8 0 0 180 0 1 +device=none +} +N 38200 44200 37600 44200 4 +N 37600 44200 37600 44400 4 +N 37600 44400 36200 44400 4 +{ +T 36200 44400 5 10 1 1 0 0 1 +netname=\_BSY\_ +} +C 36200 44400 1 180 0 busripper-1.sym +{ +T 36200 44000 5 8 0 0 180 0 1 +device=none +} +N 36600 43800 36200 43800 4 +{ +T 36200 43800 5 10 1 1 0 0 1 +netname=\_ATN\_ +} +C 36200 43800 1 180 0 busripper-1.sym +{ +T 36200 43400 5 8 0 0 180 0 1 +device=none +} +N 38200 43400 37600 43400 4 +N 37600 43400 37600 43600 4 +N 37600 43600 36200 43600 4 +{ +T 36200 43600 5 10 1 1 0 0 1 +netname=\_DBP\_ +} +C 36200 43600 1 180 0 busripper-1.sym +{ +T 36200 43200 5 8 0 0 180 0 1 +device=none +} +N 36600 43000 36200 43000 4 +{ +T 36200 43000 5 10 1 1 0 0 1 +netname=\_DB7\_ +} +C 36200 43000 1 180 0 busripper-1.sym +{ +T 36200 42600 5 8 0 0 180 0 1 +device=none +} +N 38200 42600 37600 42600 4 +N 37600 42600 37600 42800 4 +N 37600 42800 36200 42800 4 +{ +T 36200 42800 5 10 1 1 0 0 1 +netname=\_DB6\_ +} +C 36200 42800 1 180 0 busripper-1.sym +{ +T 36200 42400 5 8 0 0 180 0 1 +device=none +} +N 36600 40900 36200 40900 4 +{ +T 36200 40900 5 10 1 1 0 0 1 +netname=\_DB5\_ +} +C 36200 40900 1 180 0 busripper-1.sym +{ +T 36200 40500 5 8 0 0 180 0 1 +device=none +} +N 36600 40100 36200 40100 4 +{ +T 36200 40100 5 10 1 1 0 0 1 +netname=\_DB3\_ +} +C 36200 40100 1 180 0 busripper-1.sym +{ +T 36200 39700 5 8 0 0 180 0 1 +device=none +} +N 36600 39300 36200 39300 4 +{ +T 36200 39300 5 10 1 1 0 0 1 +netname=\_DB1\_ +} +C 36200 39300 1 180 0 busripper-1.sym +{ +T 36200 38900 5 8 0 0 180 0 1 +device=none +} +N 38200 40500 37600 40500 4 +N 37600 40500 37600 40700 4 +N 37600 40700 36200 40700 4 +{ +T 36200 40700 5 10 1 1 0 0 1 +netname=\_DB4\_ +} +C 36200 40700 1 180 0 busripper-1.sym +{ +T 36200 40300 5 8 0 0 180 0 1 +device=none +} +N 38200 39700 37600 39700 4 +N 37600 39700 37600 39900 4 +N 37600 39900 36200 39900 4 +{ +T 36200 39900 5 10 1 1 0 0 1 +netname=\_DB2\_ +} +C 36200 39900 1 180 0 busripper-1.sym +{ +T 36200 39500 5 8 0 0 180 0 1 +device=none +} +N 38200 38900 37600 38900 4 +N 37600 38900 37600 39100 4 +N 37600 39100 36200 39100 4 +{ +T 36200 39100 5 10 1 1 0 0 1 +netname=\_DB0\_ +} +C 36200 39100 1 180 0 busripper-1.sym +{ +T 36200 38700 5 8 0 0 180 0 1 +device=none +} +U 39000 45200 39000 45400 10 0 +U 36000 38500 36000 31900 10 -1 +N 35400 52900 35400 53200 4 +C 35600 43500 1 90 0 capacitor-1.sym +{ +T 34900 43700 5 10 0 0 90 0 1 +device=CAPACITOR +T 35300 43700 5 10 1 1 90 0 1 +refdes=C1 +T 34700 43700 5 10 0 0 90 0 1 +symversion=0.1 +T 35600 43500 5 10 1 1 90 0 1 +value=10uF +T 35600 43500 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 35600 43500 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +} +C 42700 39100 1 0 0 header10-2.sym +{ +T 42700 41100 5 10 0 1 0 0 1 +device=HEADER10 +T 43300 41200 5 10 1 1 0 0 1 +refdes=J4 +T 42700 39100 5 10 0 0 0 0 1 +footprint=FTSH-105-01-L-DV-K +} +N 44100 39300 45600 39300 2 +N 45600 39300 45600 44200 2 +N 45600 44200 46200 44200 2 +C 44100 39600 1 0 0 nc-right-1.sym +{ +T 44200 40100 5 10 0 0 0 0 1 +value=NoConnection +T 44200 40300 5 10 0 0 0 0 1 +device=DRC_Directive +} +N 44100 40100 44700 40100 4 +N 44700 40100 44700 38600 4 +N 44700 38600 46200 38600 4 +N 44100 40500 44900 40500 4 +N 44900 40500 44900 40000 4 +N 44900 40000 46200 40000 4 +N 44100 40900 45300 40900 4 +N 45300 40900 45300 40700 4 +N 45300 40700 46200 40700 4 +N 42700 40900 42100 40900 4 +N 42100 40900 42100 36100 4 +N 42100 36100 47100 36100 4 +N 42700 40500 42700 39300 4 +C 42800 39000 1 0 1 gnd-1.sym +{ +T 42800 39000 5 10 0 0 0 6 1 +net=GND:1 +} +C 47300 35200 1 90 0 capacitor-1.sym +{ +T 46600 35400 5 10 0 0 90 0 1 +device=CAPACITOR +T 47000 35400 5 10 1 1 90 0 1 +refdes=C9 +T 46400 35400 5 10 0 0 90 0 1 +symversion=0.1 +T 47300 35200 5 10 1 1 90 0 1 +value=100nF +T 47300 35200 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 47300 35200 5 10 0 0 0 0 1 +footprint=cap_0402 +} +C 47200 34900 1 0 1 gnd-1.sym +{ +T 47200 34900 5 10 0 0 0 6 1 +net=GND:1 +} +N 68500 33600 70400 33600 4 +N 32900 38300 33900 38300 4 +C 33800 46000 1 270 0 busripper-1.sym +{ +T 34200 46000 5 8 0 0 270 0 1 +device=none +} +C 36500 46100 1 0 0 gnd-1.sym +{ +T 36500 46100 5 10 0 0 0 0 1 +net=GND:1 +} +C 36500 42300 1 0 0 gnd-1.sym +{ +T 36500 42300 5 10 0 0 0 0 1 +net=GND:1 +} +C 36500 38600 1 0 0 gnd-1.sym +{ +T 36500 38600 5 10 0 0 0 0 1 +net=GND:1 +} +C 38800 49100 1 90 0 capacitor-1.sym +{ +T 38100 49300 5 10 0 0 90 0 1 +device=CAPACITOR +T 38500 49800 5 10 1 1 180 0 1 +refdes=C3 +T 37900 49300 5 10 0 0 90 0 1 +symversion=0.1 +T 38600 49600 5 10 1 1 0 0 1 +value=100nF +T 38800 49100 5 10 0 1 0 0 1 +footprint=cap_0402 +} +C 38500 48800 1 0 0 gnd-1.sym +{ +T 38500 48800 5 10 0 0 0 0 1 +net=GND:1 +} +C 38800 50000 1 0 1 5V-plus-1.sym +{ +T 38800 50000 5 10 0 0 0 6 1 +net=5V:1 +} +N 38200 48800 38200 50000 4 +N 38600 50000 38200 50000 4 +N 38200 45000 38200 46200 4 +C 38800 45300 1 90 0 capacitor-1.sym +{ +T 38100 45500 5 10 0 0 90 0 1 +device=CAPACITOR +T 38500 46000 5 10 1 1 180 0 1 +refdes=C10 +T 37900 45500 5 10 0 0 90 0 1 +symversion=0.1 +T 38600 45800 5 10 1 1 0 0 1 +value=100nF +T 38800 45300 5 10 0 1 0 0 1 +footprint=cap_0402 +} +C 38800 46200 1 0 1 5V-plus-1.sym +{ +T 38800 46200 5 10 0 0 0 6 1 +net=5V:1 +} +N 38600 46200 38200 46200 4 +C 38500 45000 1 0 0 gnd-1.sym +{ +T 38500 45000 5 10 0 0 0 0 1 +net=GND:1 +} +N 38200 41300 38200 42500 4 +C 38800 41600 1 90 0 capacitor-1.sym +{ +T 38100 41800 5 10 0 0 90 0 1 +device=CAPACITOR +T 38500 42300 5 10 1 1 180 0 1 +refdes=C11 +T 37900 41800 5 10 0 0 90 0 1 +symversion=0.1 +T 38600 42100 5 10 1 1 0 0 1 +value=100nF +T 38800 41600 5 10 0 1 0 0 1 +footprint=cap_0402 +} +C 38800 42500 1 0 1 5V-plus-1.sym +{ +T 38800 42500 5 10 0 0 0 6 1 +net=5V:1 +} +N 38600 42500 38200 42500 4 +C 38500 41300 1 0 0 gnd-1.sym +{ +T 38500 41300 5 10 0 0 0 0 1 +net=GND:1 +} +C 66500 50000 1 270 0 led-1.sym +{ +T 67100 49200 5 10 0 0 270 0 1 +device=LED +T 67100 49700 5 10 1 1 270 0 1 +refdes=LED1 +T 67300 49200 5 10 0 0 270 0 1 +symversion=0.1 +T 66500 50000 5 10 0 0 270 0 1 +footprint=SMD_DIODE 80 50 +T 66500 50000 5 10 0 0 270 0 1 +description=Low current 2mA, 1.85Vf red led +} +C 66800 50000 1 90 0 resistor-1.sym +{ +T 66400 50300 5 10 0 0 90 0 1 +device=RESISTOR +T 66600 50100 5 10 1 1 90 0 1 +refdes=R3 +T 66600 50500 5 10 1 1 90 0 1 +value=1600 +T 66800 50000 5 10 0 0 90 0 1 +footprint=SMD_SIMPLE 80 50 +T 66800 50000 5 10 0 0 90 0 1 +description=Reduce current to 2mA +} +C 55600 35900 1 0 1 gnd-1.sym +{ +T 55600 35900 5 10 0 0 0 6 1 +net=GND:1 +} +C 64900 46000 1 0 1 gnd-1.sym +{ +T 64900 46000 5 10 0 0 0 6 1 +net=GND:1 +} +C 64900 47400 1 0 1 gnd-1.sym +{ +T 64900 47400 5 10 0 0 0 6 1 +net=GND:1 +} +C 56500 54800 1 0 1 gnd-1.sym +{ +T 56500 54800 5 10 0 0 0 6 1 +net=GND:1 +} +N 56400 55100 56200 55100 4 +N 56200 55100 56200 55000 4 +C 46300 47400 1 0 1 gnd-1.sym +{ +T 46300 47400 5 10 0 0 0 6 1 +net=GND:1 +} +C 46300 44600 1 0 1 gnd-1.sym +{ +T 46300 44600 5 10 0 0 0 6 1 +net=GND:1 +} +C 46300 46000 1 0 1 gnd-1.sym +{ +T 46300 46000 5 10 0 0 0 6 1 +net=GND:1 +} +C 46300 45300 1 0 1 gnd-1.sym +{ +T 46300 45300 5 10 0 0 0 6 1 +net=GND:1 +} +C 65600 35700 1 90 0 capacitor-1.sym +{ +T 64900 35900 5 10 0 0 90 0 1 +device=CAPACITOR +T 65300 35900 5 10 1 1 90 0 1 +refdes=C28 +T 64700 35900 5 10 0 0 90 0 1 +symversion=0.1 +T 65600 35700 5 10 1 1 90 0 1 +value=100nF +T 65600 35700 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 65600 35700 5 10 0 0 0 0 1 +footprint=cap_0402 +} +C 65200 36600 1 0 0 3.3V-plus-1.sym +{ +T 65200 36600 5 10 0 0 0 0 1 +net=3.3V:1 +} +C 65300 35400 1 0 0 gnd-1.sym +C 65800 54100 1 0 0 gnd-1.sym +C 66100 54400 1 90 0 capacitor-1.sym +{ +T 66100 54400 5 10 1 1 90 0 1 +value=100nF +T 65400 54600 5 10 0 0 90 0 1 +device=CAPACITOR +T 65800 54600 5 10 1 1 90 0 1 +refdes=C21 +T 65200 54600 5 10 0 0 90 0 1 +symversion=0.1 +T 66100 54400 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 66100 54400 5 10 0 0 0 0 1 +footprint=cap_0402 +} +N 65900 55300 64800 55300 4 +N 64800 55300 64800 54000 4 +C 45800 54300 1 0 0 gnd-1.sym +C 46100 54600 1 90 0 capacitor-1.sym +{ +T 46100 54600 5 10 1 1 90 0 1 +value=100nF +T 45400 54800 5 10 0 0 90 0 1 +device=CAPACITOR +T 45800 54800 5 10 1 1 90 0 1 +refdes=C17 +T 45200 54800 5 10 0 0 90 0 1 +symversion=0.1 +T 46100 54600 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 46100 54600 5 10 0 0 0 0 1 +footprint=cap_0402 +} +N 45900 55500 47100 55500 4 +C 54500 34300 1 0 0 gnd-1.sym +C 54800 34600 1 90 0 capacitor-1.sym +{ +T 54800 34600 5 10 1 1 90 0 1 +value=100nF +T 54100 34800 5 10 0 0 90 0 1 +device=CAPACITOR +T 54500 34800 5 10 1 1 90 0 1 +refdes=C23 +T 53900 34800 5 10 0 0 90 0 1 +symversion=0.1 +T 54800 34600 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 54800 34600 5 10 0 0 0 0 1 +footprint=cap_0402 +} +N 54800 35500 54800 50000 4 +N 54800 35500 54600 35500 4 +C 65100 46000 1 0 0 gnd-1.sym +C 65400 46300 1 90 0 capacitor-1.sym +{ +T 65400 46300 5 10 1 1 90 0 1 +value=100nF +T 64700 46500 5 10 0 0 90 0 1 +device=CAPACITOR +T 65100 46500 5 10 1 1 90 0 1 +refdes=C24 +T 64500 46500 5 10 0 0 90 0 1 +symversion=0.1 +T 65400 46300 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 65400 46300 5 10 0 0 0 0 1 +footprint=cap_0402 +} +N 64800 47200 66300 47200 4 +N 64800 47200 64800 47000 4 +C 55700 54800 1 0 0 gnd-1.sym +C 56000 55100 1 90 0 capacitor-1.sym +{ +T 56000 55100 5 10 1 1 90 0 1 +value=100nF +T 55300 55300 5 10 0 0 90 0 1 +device=CAPACITOR +T 55700 55300 5 10 1 1 90 0 1 +refdes=C19 +T 55100 55300 5 10 0 0 90 0 1 +symversion=0.1 +T 56000 55100 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 56000 55100 5 10 0 0 0 0 1 +footprint=cap_0402 +} +N 55100 56000 55800 56000 4 +N 55500 50000 55500 56000 4 +C 65800 45400 1 0 0 gnd-1.sym +C 66100 45700 1 90 0 capacitor-1.sym +{ +T 66100 45700 5 10 1 1 90 0 1 +value=1uF +T 65400 45900 5 10 0 0 90 0 1 +device=CAPACITOR +T 65800 45900 5 10 1 1 90 0 1 +refdes=C27 +T 65200 45900 5 10 0 0 90 0 1 +symversion=0.1 +T 66100 45700 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 66100 45700 5 10 0 0 0 0 1 +footprint=cap_0402 +} +N 65900 46600 65500 46600 4 +N 65500 46600 65500 45600 4 +N 65500 45600 64800 45600 4 +C 57100 54800 1 0 0 gnd-1.sym +C 57400 55100 1 90 0 capacitor-1.sym +{ +T 57400 55100 5 10 1 1 90 0 1 +value=1uF +T 56700 55300 5 10 0 0 90 0 1 +device=CAPACITOR +T 57100 55300 5 10 1 1 90 0 1 +refdes=C20 +T 56500 55300 5 10 0 0 90 0 1 +symversion=0.1 +T 57400 55100 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 57400 55100 5 10 0 0 0 0 1 +footprint=cap_0402 +} +N 57200 56000 56900 56000 4 +N 56900 38600 56900 56000 4 +C 66500 46300 1 90 0 capacitor-1.sym +{ +T 66500 46300 5 10 1 1 90 0 1 +value=1uF +T 65800 46500 5 10 0 0 90 0 1 +device=CAPACITOR +T 66200 46500 5 10 1 1 90 0 1 +refdes=C26 +T 65600 46500 5 10 0 0 90 0 1 +symversion=0.1 +T 66500 46300 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 66500 46300 5 10 0 0 0 0 1 +footprint=cap_0402 +} +C 66200 46000 1 0 0 gnd-1.sym +N 34700 39100 34700 44400 4 +N 64800 49100 66700 49100 4 +U 67600 38600 67600 30100 10 1 +U 64500 35300 59200 35300 10 1 +N 68500 31200 67800 31200 4 +{ +T 67800 31200 5 10 1 1 0 0 1 +netname=\_SD_CD\_ +} +C 67800 31200 1 90 0 busripper-1.sym +{ +T 67400 31200 5 8 0 0 90 0 1 +device=none +} +N 68500 34800 67800 34800 4 +{ +T 67700 34900 5 10 0 1 0 0 1 +netname=SD_DAT2 +} +C 67800 34800 1 90 0 busripper-1.sym +N 59700 36200 59700 35500 4 +{ +T 59600 35400 5 10 1 1 90 0 1 +netname=SD_DAT1 +} +C 59700 35500 1 270 0 busripper-1.sym +{ +T 60100 35500 5 8 0 0 270 0 1 +device=none +} +N 68500 34400 67800 34400 4 +{ +T 68200 34400 5 10 0 1 0 0 1 +netname=\_SD_CS\_ +} +C 67800 34400 1 90 0 busripper-1.sym +{ +T 67400 34400 5 8 0 0 90 0 1 +device=none +} +N 60400 36200 60400 35500 4 +{ +T 60300 35500 5 10 1 1 90 0 1 +netname=SD_MISO +} +C 60400 35500 1 270 0 busripper-1.sym +{ +T 60800 35500 5 8 0 0 270 0 1 +device=none +} +N 68500 34000 67800 34000 4 +{ +T 68200 34000 5 10 0 0 0 0 1 +netname=SD_MOSI +} +C 67800 34000 1 90 0 busripper-1.sym +{ +T 67400 34000 5 8 0 0 90 0 1 +device=none +} +N 61100 36200 61100 35500 4 +{ +T 61000 35400 5 10 1 1 90 0 1 +netname=SD_SCK +} +C 61100 35500 1 270 0 busripper-1.sym +{ +T 61500 35500 5 8 0 0 270 0 1 +device=none +} +N 68500 33200 67800 33200 4 +{ +T 68200 32800 5 10 0 1 0 0 1 +netname=SD_SCK +} +C 67800 33200 1 90 0 busripper-1.sym +{ +T 67400 33200 5 8 0 0 90 0 1 +device=none +} +N 61800 36200 61800 35500 4 +{ +T 61700 35500 5 10 1 1 90 0 1 +netname=SD_MOSI +} +C 61800 35500 1 270 0 busripper-1.sym +{ +T 62200 35500 5 8 0 0 270 0 1 +device=none +} +N 68500 32400 67800 32400 4 +{ +T 68200 32000 5 10 0 1 0 0 1 +netname=SD_MISO +} +C 67800 32400 1 90 0 busripper-1.sym +{ +T 67400 32400 5 8 0 0 90 0 1 +device=none +} +N 62500 36200 62500 35500 4 +{ +T 62400 35500 5 10 1 1 90 0 1 +netname=\_SD_CS\_ +} +C 62500 35500 1 270 0 busripper-1.sym +{ +T 62900 35500 5 8 0 0 270 0 1 +device=none +} +N 68500 32000 67800 32000 4 +{ +T 68200 31600 5 10 0 1 0 0 1 +netname=SD_DAT1 +} +C 67800 32000 1 90 0 busripper-1.sym +{ +T 67400 32000 5 8 0 0 90 0 1 +device=none +} +N 63200 36200 63200 35500 4 +{ +T 63100 35600 5 10 1 1 90 0 1 +netname=SD_DAT2 +} +C 63200 35500 1 270 0 busripper-1.sym +{ +T 63600 35500 5 8 0 0 270 0 1 +device=none +} +N 68600 38300 67800 38300 4 +{ +T 67900 38300 5 10 1 1 0 0 1 +netname=SD_DAT1 +} +C 67800 38300 1 90 0 busripper-1.sym +{ +T 67400 38300 5 8 0 0 90 0 1 +device=none +} +N 68600 38000 67800 38000 4 +{ +T 67900 38000 5 10 1 1 0 0 1 +netname=SD_MISO +} +C 67800 38000 1 90 0 busripper-1.sym +{ +T 67400 38000 5 8 0 0 90 0 1 +device=none +} +N 68600 37700 67800 37700 4 +{ +T 67900 37700 5 10 1 1 0 0 1 +netname=SD_SCK +} +C 67800 37700 1 90 0 busripper-1.sym +{ +T 67400 37700 5 8 0 0 90 0 1 +device=none +} +N 68600 37400 67800 37400 4 +{ +T 67900 37400 5 10 1 1 0 0 1 +netname=\_SD_CD\_ +} +C 67800 37400 1 90 0 busripper-1.sym +{ +T 67400 37400 5 8 0 0 90 0 1 +device=none +} +N 68600 36800 67800 36800 4 +{ +T 67900 36800 5 10 1 1 0 0 1 +netname=\_SD_CS\_ +} +C 67800 36800 1 90 0 busripper-1.sym +{ +T 67400 36800 5 8 0 0 90 0 1 +device=none +} +N 68600 37100 67800 37100 4 +{ +T 67900 37100 5 10 1 1 0 0 1 +netname=SD_MOSI +} +C 67800 37100 1 90 0 busripper-1.sym +{ +T 67400 37100 5 8 0 0 90 0 1 +device=none +} +N 68600 36500 67800 36500 4 +{ +T 67900 36500 5 10 1 1 0 0 1 +netname=SD_DAT2 +} +C 67800 36500 1 90 0 busripper-1.sym +{ +T 67400 36500 5 8 0 0 90 0 1 +device=none +} +C 68600 38200 1 0 0 resistor-1.sym +{ +T 68900 38600 5 10 0 0 0 0 1 +device=RESISTOR +T 68800 38500 5 10 1 1 0 0 1 +refdes=R6 +T 68600 38200 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +T 68600 38200 5 10 0 0 0 0 1 +value=10K +} +C 68600 37900 1 0 0 resistor-1.sym +{ +T 68900 38300 5 10 0 0 0 0 1 +device=RESISTOR +T 68800 38200 5 10 1 1 0 0 1 +refdes=R7 +T 68600 37900 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +T 68600 37900 5 10 0 0 0 0 1 +value=10K +} +C 68600 37600 1 0 0 resistor-1.sym +{ +T 68900 38000 5 10 0 0 0 0 1 +device=RESISTOR +T 68800 37900 5 10 1 1 0 0 1 +refdes=R8 +T 68600 37600 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +T 68600 37600 5 10 0 0 0 0 1 +value=10K +} +C 68600 37300 1 0 0 resistor-1.sym +{ +T 68900 37700 5 10 0 0 0 0 1 +device=RESISTOR +T 68800 37600 5 10 1 1 0 0 1 +refdes=R9 +T 68600 37300 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +T 68600 37300 5 10 0 1 0 0 1 +value=10K +} +C 68600 37000 1 0 0 resistor-1.sym +{ +T 68900 37400 5 10 0 0 0 0 1 +device=RESISTOR +T 68800 37300 5 10 1 1 0 0 1 +refdes=R10 +T 68600 37000 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +T 68600 37000 5 10 0 0 0 0 1 +value=10K +} +C 68600 36700 1 0 0 resistor-1.sym +{ +T 68900 37100 5 10 0 0 0 0 1 +device=RESISTOR +T 68800 37000 5 10 1 1 0 0 1 +refdes=R11 +T 68600 36700 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +T 68600 36700 5 10 0 0 0 0 1 +value=10K +} +C 68600 36400 1 0 0 resistor-1.sym +{ +T 68900 36800 5 10 0 0 0 0 1 +device=RESISTOR +T 68800 36700 5 10 1 1 0 0 1 +refdes=R12 +T 68600 36400 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +T 68800 36200 5 10 1 1 0 0 1 +value=10K +} +N 69500 38300 69500 36500 4 +U 45100 41200 45100 56200 10 1 +U 45100 56200 66300 56200 10 -1 +U 66300 56200 66300 52400 10 1 +U 66300 52400 66300 50900 10 1 +N 64800 51200 66100 51200 4 +{ +T 64800 51200 5 10 1 1 0 0 1 +netname=I/O +} +C 66100 51200 1 0 0 busripper-1.sym +{ +T 66100 51600 5 8 0 0 0 0 1 +device=none +} +N 64800 51900 66100 51900 4 +{ +T 64800 51900 5 10 1 1 0 0 1 +netname=REQ +} +C 66100 51900 1 0 0 busripper-1.sym +{ +T 66100 52300 5 8 0 0 0 0 1 +device=none +} +N 64800 52600 66100 52600 4 +{ +T 64800 52600 5 10 1 1 0 0 1 +netname=C/D +} +C 66100 52600 1 0 0 busripper-1.sym +{ +T 66100 53000 5 8 0 0 0 0 1 +device=none +} +N 64800 53300 66100 53300 4 +{ +T 64800 53300 5 10 1 1 0 0 1 +netname=SEL +} +C 66100 53300 1 0 0 busripper-1.sym +{ +T 66100 53700 5 8 0 0 0 0 1 +device=none +} +N 63900 55000 63900 56000 4 +{ +T 63900 55000 5 10 1 1 0 0 1 +netname=MSG +} +C 63900 56000 1 90 0 busripper-1.sym +{ +T 63500 56000 5 8 0 0 90 0 1 +device=none +} +N 63200 55000 63200 56000 4 +{ +T 63200 55000 5 10 1 1 0 0 1 +netname=RST +} +C 63200 56000 1 90 0 busripper-1.sym +{ +T 62800 56000 5 8 0 0 90 0 1 +device=none +} +N 62500 55000 62500 56000 4 +{ +T 62500 55000 5 10 1 1 0 0 1 +netname=ACK +} +C 62500 56000 1 90 0 busripper-1.sym +{ +T 62100 56000 5 8 0 0 90 0 1 +device=none +} +N 61800 55000 61800 56000 4 +{ +T 61800 55000 5 10 1 1 0 0 1 +netname=BSY +} +C 61800 56000 1 90 0 busripper-1.sym +{ +T 61400 56000 5 8 0 0 90 0 1 +device=none +} +N 61100 55000 61100 56000 4 +{ +T 61100 55000 5 10 1 1 0 0 1 +netname=ATN +} +C 61100 56000 1 90 0 busripper-1.sym +{ +T 60700 56000 5 8 0 0 90 0 1 +device=none +} +N 60400 55000 60400 56000 4 +{ +T 60400 55000 5 10 1 1 0 0 1 +netname=DBP +} +C 60400 56000 1 90 0 busripper-1.sym +{ +T 60000 56000 5 8 0 0 90 0 1 +device=none +} +N 59700 55000 59700 56000 4 +{ +T 59700 55000 5 10 1 1 0 0 1 +netname=DB7 +} +C 59700 56000 1 90 0 busripper-1.sym +{ +T 59300 56000 5 8 0 0 90 0 1 +device=none +} +N 59000 55000 59000 56000 4 +{ +T 59000 55000 5 10 1 1 0 0 1 +netname=DB6 +} +C 59000 56000 1 90 0 busripper-1.sym +{ +T 58600 56000 5 8 0 0 90 0 1 +device=none +} +N 58300 55000 58300 56000 4 +{ +T 58300 55000 5 10 1 1 0 0 1 +netname=DB5 +} +C 58300 56000 1 90 0 busripper-1.sym +{ +T 57900 56000 5 8 0 0 90 0 1 +device=none +} +N 57600 55000 57600 56000 4 +{ +T 57600 55000 5 10 1 1 0 0 1 +netname=DB4 +} +C 57600 56000 1 90 0 busripper-1.sym +{ +T 57200 56000 5 8 0 0 90 0 1 +device=none +} +N 54800 55000 54800 56000 4 +{ +T 54800 55000 5 10 1 1 0 0 1 +netname=DB3 +} +C 54800 56000 1 90 0 busripper-1.sym +{ +T 54400 56000 5 8 0 0 90 0 1 +device=none +} +N 54100 55000 54100 56000 4 +{ +T 54100 55000 5 10 1 1 0 0 1 +netname=DB2 +} +C 54100 56000 1 90 0 busripper-1.sym +{ +T 53700 56000 5 8 0 0 90 0 1 +device=none +} +N 53400 55000 53400 56000 4 +{ +T 53400 55000 5 10 1 1 0 0 1 +netname=DB1 +} +C 53400 56000 1 90 0 busripper-1.sym +{ +T 53000 56000 5 8 0 0 90 0 1 +device=none +} +N 52700 55000 52700 56000 4 +{ +T 52700 55300 5 10 1 1 0 0 1 +netname=DB0 +} +C 52700 56000 1 90 0 busripper-1.sym +{ +T 52300 56000 5 8 0 0 90 0 1 +device=none +} +N 50600 55000 50600 56000 4 +{ +T 50600 55000 5 10 1 1 0 0 1 +netname=\_DBP\_ +} +C 50600 56000 1 90 0 busripper-1.sym +{ +T 50200 56000 5 8 0 0 90 0 1 +device=none +} +N 49900 55000 49900 56000 4 +{ +T 49900 55000 5 10 1 1 0 0 1 +netname=\_DB7\_ +} +C 49900 56000 1 90 0 busripper-1.sym +{ +T 49500 56000 5 8 0 0 90 0 1 +device=none +} +N 49200 55000 49200 56000 4 +{ +T 49200 55000 5 10 1 1 0 0 1 +netname=\_DB6\_ +} +C 49200 56000 1 90 0 busripper-1.sym +{ +T 48800 56000 5 8 0 0 90 0 1 +device=none +} +N 48500 55000 48500 56000 4 +{ +T 48500 55000 5 10 1 1 0 0 1 +netname=\_DB5\_ +} +C 48500 56000 1 90 0 busripper-1.sym +{ +T 48100 56000 5 8 0 0 90 0 1 +device=none +} +N 47800 55000 47800 56000 4 +{ +T 47800 55000 5 10 1 1 0 0 1 +netname=\_DB4\_ +} +C 47800 56000 1 90 0 busripper-1.sym +{ +T 47400 56000 5 8 0 0 90 0 1 +device=none +} +N 46200 54000 45300 54000 4 +{ +T 45700 54000 5 10 1 1 0 0 1 +netname=\_DB3\_ +} +C 45300 54000 1 90 0 busripper-1.sym +{ +T 44900 54000 5 8 0 0 90 0 1 +device=none +} +N 46200 53300 45300 53300 4 +{ +T 45700 53300 5 10 1 1 0 0 1 +netname=\_DB2\_ +} +C 45300 53300 1 90 0 busripper-1.sym +{ +T 44900 53300 5 8 0 0 90 0 1 +device=none +} +N 45100 52600 45100 52700 4 +N 46200 52600 45300 52600 4 +{ +T 45700 52600 5 10 1 1 0 0 1 +netname=\_DB1\_ +} +C 45300 52600 1 90 0 busripper-1.sym +{ +T 44900 52600 5 8 0 0 90 0 1 +device=none +} +N 46200 51900 45300 51900 4 +{ +T 45700 51900 5 10 1 1 0 0 1 +netname=\_DB0\_ +} +C 45300 51900 1 90 0 busripper-1.sym +{ +T 44900 51900 5 8 0 0 90 0 1 +device=none +} +N 46200 51200 45300 51200 4 +{ +T 45700 51200 5 10 1 1 0 0 1 +netname=\_ATN\_ +} +C 45300 51200 1 90 0 busripper-1.sym +{ +T 44900 51200 5 8 0 0 90 0 1 +device=none +} +N 46200 50500 45300 50500 4 +{ +T 45700 50500 5 10 1 1 0 0 1 +netname=\_BSY\_ +} +C 45300 50500 1 90 0 busripper-1.sym +{ +T 44900 50500 5 8 0 0 90 0 1 +device=none +} +N 46200 49800 45300 49800 4 +{ +T 45700 49800 5 10 1 1 0 0 1 +netname=\_ACK\_ +} +C 45300 49800 1 90 0 busripper-1.sym +{ +T 44900 49800 5 8 0 0 90 0 1 +device=none +} +N 46200 49100 45300 49100 4 +{ +T 45700 49100 5 10 1 1 0 0 1 +netname=\_RST\_ +} +C 45300 49100 1 90 0 busripper-1.sym +{ +T 44900 49100 5 8 0 0 90 0 1 +device=none +} +N 46200 48400 45300 48400 4 +{ +T 45700 48400 5 10 1 1 0 0 1 +netname=\_MSG\_ +} +C 45300 48400 1 90 0 busripper-1.sym +{ +T 44900 48400 5 8 0 0 90 0 1 +device=none +} +N 46200 43500 45300 43500 4 +{ +T 45800 43500 5 10 1 1 0 0 1 +netname=\_SEL\_ +} +C 45300 43500 1 90 0 busripper-1.sym +{ +T 44900 43500 5 8 0 0 90 0 1 +device=none +} +N 46200 42800 45300 42800 4 +{ +T 45800 42800 5 10 1 1 0 0 1 +netname=\_C/D\_ +} +C 45300 42800 1 90 0 busripper-1.sym +{ +T 44900 42800 5 8 0 0 90 0 1 +device=none +} +N 46200 42100 45300 42100 4 +{ +T 45800 42100 5 10 1 1 0 0 1 +netname=\_REQ\_ +} +C 45300 42100 1 90 0 busripper-1.sym +{ +T 44900 42100 5 8 0 0 90 0 1 +device=none +} +C 45300 41400 1 90 0 busripper-1.sym +{ +T 44900 41400 5 8 0 0 90 0 1 +device=none +} +N 45300 41400 46200 41400 4 +{ +T 45800 41400 5 10 1 1 0 0 1 +netname=\_I/O\_ +} +N 47100 36200 47100 36100 4 +U 64500 35300 66300 35300 10 0 +U 66300 35300 66300 37200 10 -1 +N 64800 37200 66100 37200 4 +{ +T 64800 37200 5 10 1 1 0 0 1 +netname=\_SD_CD\_ +} +C 66100 37200 1 270 0 busripper-1.sym +{ +T 66500 37200 5 8 0 0 270 0 1 +device=none +} +U 66300 35300 67600 35300 10 0 +N 47100 55500 47100 55000 4 +N 56900 38600 56200 38600 4 +N 56200 36200 56200 38600 4 +N 55800 56500 55800 56000 4 +N 64800 36600 65400 36600 4 +N 63900 36200 64800 36200 4 +N 64800 36200 64800 36600 4 +C 46200 47100 1 180 0 nc-right-1.sym +{ +T 46100 46600 5 10 0 0 180 0 1 +value=NoConnection +T 46100 46400 5 10 0 0 180 0 1 +device=DRC_Directive +} +C 46200 39400 1 180 0 nc-right-1.sym +{ +T 46100 38900 5 10 0 0 180 0 1 +value=NoConnection +T 46100 38700 5 10 0 0 180 0 1 +device=DRC_Directive +} +C 58200 36200 1 270 0 nc-right-1.sym +{ +T 58700 36100 5 10 0 0 270 0 1 +value=NoConnection +T 58900 36100 5 10 0 0 270 0 1 +device=DRC_Directive +} +C 46100 36100 1 0 0 CY8C53.sym +{ +T 64500 54800 5 10 1 1 0 6 1 +refdes=U1 +T 55300 45800 5 10 0 0 0 0 1 +device=CY8C53 +T 55300 46000 5 10 0 0 0 0 1 +footprint=TQFP100_14 +} +C 46100 55500 1 0 1 5V-plus-1.sym +{ +T 46100 55500 5 10 0 0 0 6 1 +net=5V:1 +} +C 56000 56500 1 0 1 5V-plus-1.sym +{ +T 56000 56500 5 10 0 0 0 6 1 +net=5V:1 +} +C 66100 55300 1 0 1 5V-plus-1.sym +{ +T 66100 55300 5 10 0 0 0 6 1 +net=5V:1 +} +C 65400 47200 1 0 1 5V-plus-1.sym +{ +T 65400 47200 5 10 0 0 0 6 1 +net=5V:1 +} +C 46200 36100 1 0 1 5V-plus-1.sym +{ +T 46200 36100 5 10 0 0 0 6 1 +net=5V:1 +} +C 54800 35500 1 0 1 5V-plus-1.sym +{ +T 54800 35500 5 10 0 0 0 6 1 +net=5V:1 +} +C 55300 55100 1 90 0 capacitor-1.sym +{ +T 55300 55100 5 10 1 1 90 0 1 +value=1uF +T 54600 55300 5 10 0 0 90 0 1 +device=CAPACITOR +T 55000 55300 5 10 1 1 90 0 1 +refdes=C8 +T 54400 55300 5 10 0 0 90 0 1 +symversion=0.1 +T 55300 55100 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 55300 55100 5 10 0 0 0 0 1 +footprint=cap_0402 +} +C 55000 54800 1 0 0 gnd-1.sym +C 32000 37300 1 0 0 gnd-1.sym +{ +T 32000 37300 5 10 0 0 0 0 1 +net=GND:1 +} +C 31500 37400 1 90 0 capacitor-1.sym +{ +T 30800 37600 5 10 0 0 90 0 1 +device=CAPACITOR +T 31200 37600 5 10 1 1 90 0 1 +refdes=C5 +T 30600 37600 5 10 0 0 90 0 1 +symversion=0.1 +T 31500 37400 5 10 1 1 90 0 1 +value=10uF +T 31500 37400 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 31500 37400 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +} +C 31200 37100 1 0 0 gnd-1.sym +{ +T 31200 37100 5 10 0 0 0 0 1 +net=GND:1 +} +N 31300 38300 31300 39100 4 +N 31300 39100 34700 39100 4 +C 35300 51700 1 0 0 gnd-1.sym +{ +T 35300 51700 5 10 0 0 0 0 1 +net=GND:1 +} +C 66900 50900 1 0 1 5V-plus-1.sym +{ +T 66900 50900 5 10 0 0 0 6 1 +net=5V:1 +} +C 66400 33000 1 0 0 3.3V-plus-1.sym +{ +T 66400 33000 5 10 0 0 0 0 1 +net=3.3V:1 +} +C 66500 31800 1 0 0 gnd-1.sym +C 65300 32000 1 0 0 gnd-1.sym +C 66800 32100 1 90 0 capacitor-1.sym +{ +T 66100 32300 5 10 0 0 90 0 1 +device=CAPACITOR +T 66300 32300 5 10 1 1 90 0 1 +refdes=C13 +T 65900 32300 5 10 0 0 90 0 1 +symversion=0.1 +T 66800 32100 5 10 1 1 90 0 1 +value=10uF +T 66800 32100 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 66800 32100 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +} +N 66200 33000 66600 33000 4 +C 64300 32100 1 90 0 capacitor-1.sym +{ +T 63600 32300 5 10 0 0 90 0 1 +device=CAPACITOR +T 64000 32200 5 10 1 1 90 0 1 +refdes=C12 +T 63400 32300 5 10 0 0 90 0 1 +symversion=0.1 +T 64300 32100 5 10 1 1 90 0 1 +value=10uF +T 64300 32100 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 64300 32100 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +} +C 64000 31800 1 0 0 gnd-1.sym +N 64600 33000 64100 33000 4 +C 64300 33000 1 0 1 5V-plus-1.sym +{ +T 64300 33000 5 10 0 0 0 6 1 +net=5V:1 +} +C 31200 37500 1 0 0 LD1117.sym +{ +T 32600 38800 5 10 1 1 0 6 1 +refdes=U2 +T 31600 39000 5 10 0 0 0 0 1 +device=LD1117 +T 31600 39200 5 10 0 0 0 0 1 +footprint=DPAK +} +C 64500 32200 1 0 0 LD1117.sym +{ +T 65900 33500 5 10 1 1 0 6 1 +refdes=U6 +T 64900 33700 5 10 0 0 0 0 1 +device=LD1117 +T 64900 33900 5 10 0 0 0 0 1 +footprint=DPAK +} +C 33600 37400 1 90 0 capacitor-1.sym +{ +T 32900 37600 5 10 0 0 90 0 1 +device=CAPACITOR +T 33300 37600 5 10 1 1 90 0 1 +refdes=C7 +T 32700 37600 5 10 0 0 90 0 1 +symversion=0.1 +T 33600 37400 5 10 1 1 90 0 1 +value=10uF +T 33600 37400 5 10 0 2 90 0 1 +description=Ceramic decoupling cap +T 33600 37400 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +} +C 33300 37100 1 0 0 gnd-1.sym +{ +T 33300 37100 5 10 0 0 0 0 1 +net=GND:1 +} +C 36300 52000 1 90 0 capacitor-1.sym +{ +T 35600 52200 5 10 0 0 90 0 1 +device=CAPACITOR +T 36000 52200 5 10 1 1 90 0 1 +refdes=C4 +T 35400 52200 5 10 0 0 90 0 1 +symversion=0.1 +T 36300 52000 5 10 1 1 90 0 1 +value=10uF +T 36300 52000 5 10 0 1 90 0 1 +description=Ceramic decoupling cap +T 36300 52000 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +} +C 36000 51700 1 0 0 gnd-1.sym +{ +T 36000 51700 5 10 0 0 0 0 1 +net=GND:1 +} +C 33500 34200 1 90 0 capacitor-1.sym +{ +T 32800 34400 5 10 0 0 90 0 1 +device=CAPACITOR +T 33200 34400 5 10 1 1 90 0 1 +refdes=C14 +T 32600 34400 5 10 0 0 90 0 1 +symversion=0.1 +T 33500 34200 5 10 1 1 90 0 1 +value=10uF +T 33500 34200 5 10 0 2 90 0 1 +description=Ceramic decoupling cap +T 33500 34200 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 80 50 +} +C 33200 33900 1 0 0 gnd-1.sym +{ +T 33200 33900 5 10 0 0 0 0 1 +net=GND:1 +} +C 51400 55300 1 180 0 gnd-1.sym +C 52100 55300 1 180 0 gnd-1.sym +C 47700 35900 1 0 0 gnd-1.sym +C 48400 35900 1 0 0 gnd-1.sym +C 49100 35900 1 0 0 gnd-1.sym +C 49800 35900 1 0 0 gnd-1.sym +C 65100 38500 1 90 0 gnd-1.sym +C 65100 39200 1 90 0 gnd-1.sym +C 65100 48300 1 90 0 gnd-1.sym +C 65100 49700 1 90 0 gnd-1.sym +C 65100 50400 1 90 0 gnd-1.sym +C 64800 39900 1 0 0 nc-right-1.sym +{ +T 64900 40400 5 10 0 0 0 0 1 +value=NoConnection +T 64900 40600 5 10 0 0 0 0 1 +device=DRC_Directive +} +C 58900 35900 1 0 0 gnd-1.sym +C 65100 40600 1 90 0 gnd-1.sym +C 56800 35900 1 0 0 gnd-1.sym +C 57500 35900 1 0 0 gnd-1.sym +C 65100 41300 1 90 0 gnd-1.sym +C 65100 42000 1 90 0 gnd-1.sym +C 65100 42700 1 90 0 gnd-1.sym +C 65100 43400 1 90 0 gnd-1.sym +C 65100 44100 1 90 0 gnd-1.sym +C 65100 44800 1 90 0 gnd-1.sym +C 35300 45300 1 0 0 jumper-1.sym +{ +T 35600 45800 5 8 0 0 0 0 1 +device=JUMPER +T 35600 45800 5 10 1 1 0 0 1 +refdes=J3 +T 35300 45300 5 10 0 0 0 0 1 +footprint=HEADER2_2 +} +C 46200 38000 1 180 0 nc-right-1.sym +{ +T 46100 37500 5 10 0 0 180 0 1 +value=NoConnection +T 46100 37300 5 10 0 0 180 0 1 +device=DRC_Directive +} +C 46200 37300 1 180 0 nc-right-1.sym +{ +T 46100 36800 5 10 0 0 180 0 1 +value=NoConnection +T 46100 36600 5 10 0 0 180 0 1 +device=DRC_Directive +} +N 54800 50000 55500 50000 4 +N 34900 52900 36100 52900 4 +C 33500 53300 1 0 0 generic-power.sym +{ +T 33700 53550 5 10 1 1 0 3 1 +net=drive_5V:1 +} +C 35200 46300 1 0 0 generic-power.sym +{ +T 35400 46550 5 10 1 1 0 3 1 +net=drive_5V:1 +} +C 34000 52700 1 0 0 schottky-1.sym +{ +T 34322 53372 5 10 0 0 0 0 1 +device=DIODE +T 34300 53200 5 10 1 1 0 0 1 +refdes=D2 +T 34341 53532 5 10 0 1 0 0 1 +footprint=diode-DO-214AA-SMB +T 34000 52700 5 10 0 0 0 0 1 +description=Schottky diode +} +C 35200 45300 1 270 0 schottky-1.sym +{ +T 35872 44978 5 10 0 0 270 0 1 +device=DIODE +T 35700 45000 5 10 1 1 270 0 1 +refdes=D1 +T 36032 44959 5 10 0 1 270 0 1 +footprint=diode-DO-214AA-SMB +T 35200 45300 5 10 0 0 0 0 1 +description=Schottky diode +} +C 32400 52900 1 0 0 fuse-1.sym +{ +T 32600 53300 5 10 0 0 0 0 1 +device=FUSE +T 32600 53100 5 10 1 1 0 0 1 +refdes=F1 +T 32600 53500 5 10 0 0 0 0 1 +symversion=0.1 +T 32400 52900 5 10 0 2 0 0 1 +documentation=PTC Fuse. +T 32600 52600 5 10 1 1 0 0 1 +value=1.5A Hold +T 32400 52900 5 10 0 0 0 0 1 +device=Bourns MF-NSMF200-2 +T 32400 52900 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 120 60 +} +N 31600 52900 32400 52900 4 +N 33300 52900 34000 52900 4 +N 33700 53300 33700 52900 4 +C 50400 33800 1 0 0 fuse-1.sym +{ +T 50600 34200 5 10 0 0 0 0 1 +device=FUSE +T 50600 34000 5 10 1 1 0 0 1 +refdes=F2 +T 50600 34400 5 10 0 0 0 0 1 +symversion=0.1 +T 50400 33800 5 10 0 2 0 0 1 +documentation=PTC Fuse. +T 50600 33500 5 10 1 1 0 0 1 +value=500mA Hold +T 50400 33800 5 10 0 0 0 0 1 +device=Bourns MF-NSMF050-2 +T 50400 33800 5 10 0 0 0 0 1 +footprint=SMD_SIMPLE 120 60 +} +C 51600 33600 1 0 0 schottky-1.sym +{ +T 51922 34272 5 10 0 0 0 0 1 +device=DIODE +T 51900 34100 5 10 1 1 0 0 1 +refdes=D3 +T 51941 34432 5 10 0 1 0 0 1 +footprint=diode-DO-214AA-SMB +T 51600 33600 5 10 0 0 0 0 1 +description=Schottky diode +} +C 50700 35900 1 0 1 gnd-1.sym +{ +T 50700 35900 5 10 0 0 0 6 1 +net=GND:1 +} +C 51400 35900 1 0 1 gnd-1.sym +{ +T 51400 35900 5 10 0 0 0 6 1 +net=GND:1 +} +C 52100 35900 1 0 1 gnd-1.sym +{ +T 52100 35900 5 10 0 0 0 6 1 +net=GND:1 +} +C 52800 35900 1 0 1 gnd-1.sym +{ +T 52800 35900 5 10 0 0 0 6 1 +net=GND:1 +} +C 52800 33900 1 0 1 5V-plus-1.sym +{ +T 52800 33900 5 10 0 0 0 6 1 +net=5V:1 +} +N 52500 33800 52600 33800 4 +N 52600 33800 52600 33900 4 +N 53400 36200 53400 34600 4 +N 54100 36200 54100 34600 4 +C 49100 32500 1 0 0 usbmini.sym +{ +T 49800 34100 5 10 1 1 0 0 1 +refdes=J5 +T 49200 34100 5 10 1 1 0 0 1 +device=USB +T 49500 45150 5 10 0 0 0 0 1 +footprint=fci-10118192-0001LF +} +N 53400 33200 50400 33200 4 +N 54100 33500 50400 33500 4 +C 50400 32800 1 0 0 nc-right-1.sym +{ +T 50500 33300 5 10 0 0 0 0 1 +value=NoConnection +T 50500 33500 5 10 0 0 0 0 1 +device=DRC_Directive +} +C 50500 32300 1 0 1 gnd-1.sym +{ +T 50500 32300 5 10 0 0 0 6 1 +net=GND:1 +} +C 53500 33700 1 90 0 resistor-1.sym +{ +T 53100 34000 5 10 0 0 90 0 1 +device=RESISTOR +T 53200 33900 5 10 1 1 90 0 1 +refdes=R4 +T 53500 33700 5 10 0 0 90 0 1 +footprint=SMD_SIMPLE 80 50 +T 53700 33900 5 10 1 1 90 0 1 +value=22Ω +T 53500 33700 5 10 0 0 0 0 1 +description=1% precision required. +} +C 54200 33700 1 90 0 resistor-1.sym +{ +T 53800 34000 5 10 0 0 90 0 1 +device=RESISTOR +T 53900 33900 5 10 1 1 90 0 1 +refdes=R5 +T 54200 33700 5 10 0 0 90 0 1 +footprint=SMD_SIMPLE 80 50 +T 54400 33900 5 10 1 1 90 0 1 +value=22Ω +T 54200 33700 5 10 0 0 0 0 1 +documentation=1% precision required. +} +N 53400 33700 53400 33200 4 +N 54100 33700 54100 33500 4 +N 51600 33800 51300 33800 4 +N 33700 38300 33700 35100 4 +N 33300 35100 33900 35100 4 +N 35800 35700 35000 35700 4 +{ +T 35000 35700 5 10 1 1 0 0 1 +netname=\_DB7\_ +} +C 35800 35700 1 270 0 busripper-1.sym +{ +T 36200 35700 5 8 0 0 270 0 1 +device=none +} +N 35800 37800 35000 37800 4 +{ +T 35000 37800 5 10 1 1 0 0 1 +netname=\_DB0\_ +} +C 35800 37800 1 270 0 busripper-1.sym +{ +T 36200 37800 5 8 0 0 270 0 1 +device=none +} +N 35000 37500 35800 37500 4 +{ +T 35000 37500 5 10 1 1 0 0 1 +netname=\_DB1\_ +} +C 35800 37500 1 270 0 busripper-1.sym +{ +T 36200 37500 5 8 0 0 270 0 1 +device=none +} +N 35000 37200 35800 37200 4 +{ +T 35000 37200 5 10 1 1 0 0 1 +netname=\_DB2\_ +} +C 35800 37200 1 270 0 busripper-1.sym +{ +T 36200 37200 5 8 0 0 270 0 1 +device=none +} +N 35000 36900 35800 36900 4 +{ +T 35000 36900 5 10 1 1 0 0 1 +netname=\_DB3\_ +} +C 35800 36900 1 270 0 busripper-1.sym +{ +T 36200 36900 5 8 0 0 270 0 1 +device=none +} +N 35000 36600 35800 36600 4 +{ +T 35000 36600 5 10 1 1 0 0 1 +netname=\_DB4\_ +} +C 35800 36600 1 270 0 busripper-1.sym +{ +T 36200 36600 5 8 0 0 270 0 1 +device=none +} +N 35000 36300 35800 36300 4 +{ +T 35000 36300 5 10 1 1 0 0 1 +netname=\_DB5\_ +} +C 35800 36300 1 270 0 busripper-1.sym +{ +T 36200 36300 5 8 0 0 270 0 1 +device=none +} +N 35000 36000 35800 36000 4 +{ +T 35000 36000 5 10 1 1 0 0 1 +netname=\_DB6\_ +} +C 35800 36000 1 270 0 busripper-1.sym +{ +T 36200 36000 5 8 0 0 270 0 1 +device=none +} +N 35000 34600 35800 34600 4 +{ +T 35000 34600 5 10 1 1 0 0 1 +netname=\_ATN\_ +} +C 35800 34600 1 270 0 busripper-1.sym +{ +T 36200 34600 5 8 0 0 270 0 1 +device=none +} +N 35000 34300 35800 34300 4 +{ +T 35000 34300 5 10 1 1 0 0 1 +netname=\_BSY\_ +} +C 35800 34300 1 270 0 busripper-1.sym +{ +T 36200 34300 5 8 0 0 270 0 1 +device=none +} +N 35000 34000 35800 34000 4 +{ +T 35000 34000 5 10 1 1 0 0 1 +netname=\_ACK\_ +} +C 35800 34000 1 270 0 busripper-1.sym +{ +T 36200 34000 5 8 0 0 270 0 1 +device=none +} +N 35000 33700 35800 33700 4 +{ +T 35000 33700 5 10 1 1 0 0 1 +netname=\_RST\_ +} +C 35800 33700 1 270 0 busripper-1.sym +{ +T 36200 33700 5 8 0 0 270 0 1 +device=none +} +N 35000 33400 35800 33400 4 +{ +T 35000 33400 5 10 1 1 0 0 1 +netname=\_MSG\_ +} +C 35800 33400 1 270 0 busripper-1.sym +{ +T 36200 33400 5 8 0 0 270 0 1 +device=none +} +N 35000 33100 35800 33100 4 +{ +T 35000 33100 5 10 1 1 0 0 1 +netname=\_SEL\_ +} +C 35800 33100 1 270 0 busripper-1.sym +{ +T 36200 33100 5 8 0 0 270 0 1 +device=none +} +N 35000 32800 35800 32800 4 +{ +T 35000 32800 5 10 1 1 0 0 1 +netname=\_C/D\_ +} +C 35800 32800 1 270 0 busripper-1.sym +{ +T 36200 32800 5 8 0 0 270 0 1 +device=none +} +N 35000 32500 35800 32500 4 +{ +T 35000 32500 5 10 1 1 0 0 1 +netname=\_REQ\_ +} +C 35800 32500 1 270 0 busripper-1.sym +{ +T 36200 32500 5 8 0 0 270 0 1 +device=none +} +C 35000 35300 1 90 0 resistorpack10-1.sym +{ +T 33800 35400 5 10 0 0 90 0 1 +device=RESISTORPACK_10 +T 33800 35400 5 10 1 1 90 0 1 +refdes=R1 +T 35000 35300 5 10 0 0 0 0 1 +footprint=SIP10 +} +C 35000 32100 1 90 0 resistorpack10-1.sym +{ +T 33800 32200 5 10 0 0 90 0 1 +device=RESISTORPACK_10 +T 33800 32200 5 10 1 1 90 0 1 +refdes=R2 +T 35000 32100 5 10 0 0 0 0 1 +footprint=SIP10 +} +N 35000 35400 35800 35400 4 +{ +T 35000 35400 5 10 1 1 0 0 1 +netname=\_DBP\_ +} +C 35800 35400 1 270 0 busripper-1.sym +{ +T 36200 35400 5 8 0 0 270 0 1 +device=none +} +N 35000 32200 35800 32200 4 +{ +T 35000 32200 5 10 1 1 0 0 1 +netname=\_I/O\_ +} +C 35800 32200 1 270 0 busripper-1.sym +{ +T 36200 32200 5 8 0 0 270 0 1 +device=none +} +C 68100 32500 1 0 0 gnd-1.sym +C 68400 31300 1 0 0 gnd-1.sym +N 68200 32800 68500 32800 4 +C 68400 30700 1 0 0 wurth-microsd.sym +{ +T 69800 35300 5 10 1 1 0 6 1 +refdes=J6 +T 68800 35500 5 10 0 0 0 0 1 +device=wurth-693071010811 +T 68800 35700 5 10 0 0 0 0 1 +footprint=wurth-microsd +} +C 65100 37800 1 90 0 gnd-1.sym diff --git a/hardware/symbols/7406.sym b/hardware/symbols/7406.sym new file mode 100644 index 0000000..f12b0bc --- /dev/null +++ b/hardware/symbols/7406.sym @@ -0,0 +1,176 @@ +v 20060113 1 +P 100 2900 400 2900 1 0 0 +{ +T 300 2950 5 8 1 1 0 6 1 +pinnumber=1 +T 300 2850 5 8 0 1 0 8 1 +pinseq=1 +T 450 2900 9 8 1 1 0 0 1 +pinlabel=A0 +T 450 2900 5 8 0 1 0 2 1 +pintype=in +} +P 100 2500 400 2500 1 0 0 +{ +T 300 2550 5 8 1 1 0 6 1 +pinnumber=2 +T 300 2450 5 8 0 1 0 8 1 +pinseq=2 +T 450 2500 9 8 1 1 0 0 1 +pinlabel=\_Y0\_ +T 450 2500 5 8 0 1 0 2 1 +pintype=out +} +P 100 2100 400 2100 1 0 0 +{ +T 300 2150 5 8 1 1 0 6 1 +pinnumber=3 +T 300 2050 5 8 0 1 0 8 1 +pinseq=3 +T 450 2100 9 8 1 1 0 0 1 +pinlabel=A1 +T 450 2100 5 8 0 1 0 2 1 +pintype=in +} +P 100 1700 400 1700 1 0 0 +{ +T 300 1750 5 8 1 1 0 6 1 +pinnumber=4 +T 300 1650 5 8 0 1 0 8 1 +pinseq=4 +T 450 1700 9 8 1 1 0 0 1 +pinlabel=\_Y1\_ +T 450 1700 5 8 0 1 0 2 1 +pintype=out +} +P 100 1300 400 1300 1 0 0 +{ +T 300 1350 5 8 1 1 0 6 1 +pinnumber=5 +T 300 1250 5 8 0 1 0 8 1 +pinseq=5 +T 450 1300 9 8 1 1 0 0 1 +pinlabel=A2 +T 450 1300 5 8 0 1 0 2 1 +pintype=in +} +P 100 900 400 900 1 0 0 +{ +T 300 950 5 8 1 1 0 6 1 +pinnumber=6 +T 300 850 5 8 0 1 0 8 1 +pinseq=6 +T 450 900 9 8 1 1 0 0 1 +pinlabel=\_Y2\_ +T 450 900 5 8 0 1 0 2 1 +pintype=out +} +P 100 500 400 500 1 0 0 +{ +T 300 550 5 8 1 1 0 6 1 +pinnumber=7 +T 300 450 5 8 0 1 0 8 1 +pinseq=7 +T 450 500 9 8 1 1 0 0 1 +pinlabel=GND +T 450 500 5 8 0 1 0 2 1 +pintype=pwr +} +P 1700 2900 1400 2900 1 0 0 +{ +T 1500 2950 5 8 1 1 0 0 1 +pinnumber=14 +T 1500 2850 5 8 0 1 0 2 1 +pinseq=8 +T 1350 2900 9 8 1 1 0 6 1 +pinlabel=Vcc +T 1350 2900 5 8 0 1 0 8 1 +pintype=pwr +} +P 1700 2500 1400 2500 1 0 0 +{ +T 1500 2550 5 8 1 1 0 0 1 +pinnumber=13 +T 1500 2450 5 8 0 1 0 2 1 +pinseq=9 +T 1350 2500 9 8 1 1 0 6 1 +pinlabel=A5 +T 1350 2500 5 8 0 1 0 8 1 +pintype=in +} +P 1700 2100 1400 2100 1 0 0 +{ +T 1500 2150 5 8 1 1 0 0 1 +pinnumber=12 +T 1500 2050 5 8 0 1 0 2 1 +pinseq=10 +T 1350 2100 9 8 1 1 0 6 1 +pinlabel=\_Y5\_ +T 1350 2100 5 8 0 1 0 8 1 +pintype=out +} +P 1700 1700 1400 1700 1 0 0 +{ +T 1500 1750 5 8 1 1 0 0 1 +pinnumber=11 +T 1500 1650 5 8 0 1 0 2 1 +pinseq=11 +T 1350 1700 9 8 1 1 0 6 1 +pinlabel=A4 +T 1350 1700 5 8 0 1 0 8 1 +pintype=in +} +P 1700 1300 1400 1300 1 0 0 +{ +T 1500 1350 5 8 1 1 0 0 1 +pinnumber=10 +T 1500 1250 5 8 0 1 0 2 1 +pinseq=12 +T 1350 1300 9 8 1 1 0 6 1 +pinlabel=\_Y4\_ +T 1350 1300 5 8 0 1 0 8 1 +pintype=out +} +P 1700 900 1400 900 1 0 0 +{ +T 1500 950 5 8 1 1 0 0 1 +pinnumber=9 +T 1500 850 5 8 0 1 0 2 1 +pinseq=13 +T 1350 900 9 8 1 1 0 6 1 +pinlabel=A3 +T 1350 900 5 8 0 1 0 8 1 +pintype=in +} +P 1700 500 1400 500 1 0 0 +{ +T 1500 550 5 8 1 1 0 0 1 +pinnumber=8 +T 1500 450 5 8 0 1 0 2 1 +pinseq=14 +T 1350 500 9 8 1 1 0 6 1 +pinlabel=\_Y3\_ +T 1350 500 5 8 0 1 0 8 1 +pintype=out +} +B 400 100 1000 3200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +T 1400 3400 8 10 1 1 0 6 1 +refdes=U? +T 400 3400 9 10 1 0 0 0 1 +7406 +T 400 3600 5 10 0 0 0 0 1 +device=7406 +T 400 3800 5 10 0 0 0 0 1 +footprint=SO14 +T 400 4000 5 10 0 0 0 0 1 +author=Michael McMaster +T 400 4200 5 10 0 0 0 0 1 +documentation=http://www.nxp.com/products/logic/buffers_inverters_drivers/N74F06D.html +T 400 4400 5 10 0 0 0 0 1 +description=Hex Inverter (Open Drain) +T 400 4600 5 10 0 0 0 0 1 +numslots=0 +T 400 4800 5 10 0 0 0 0 1 +dist-license=gpl3+ +T 400 5000 5 10 0 0 0 0 1 +use-license=gpl3+ diff --git a/hardware/symbols/7406.tragesym b/hardware/symbols/7406.tragesym new file mode 100644 index 0000000..e9d1d82 --- /dev/null +++ b/hardware/symbols/7406.tragesym @@ -0,0 +1,74 @@ +# This is the template file for creating symbols with tragesym +# every line starting with '#' is a comment line. + +[options] +# wordswap swaps labels if the pin is on the right side an looks like this: +# "PB1 (CLK)". That's useful for micro controller port labels +# rotate_labels rotates the pintext of top and bottom pins +# this is useful for large symbols like FPGAs with more than 100 pins +# sort_labels will sort the pins by it's labels +# useful for address ports, busses, ... +wordswap=yes +rotate_labels=yes +sort_labels=no +generate_pinseq=yes +sym_width=1000 +pinwidthvertical=400 +pinwidthhorizontal=400 + +[geda_attr] +# name will be printed in the top of the symbol +# name is only some graphical text, not an attribute +# version specifies a gschem version. +# if you have a device with slots, you'll have to use slot= and slotdef= +# use comment= if there are special information you want to add +version=20060113 1 +name=7406 +device=7406 +refdes=U? +footprint=SO14 +description=Hex Inverter (Open Drain) +documentation=http://www.nxp.com/products/logic/buffers_inverters_drivers/N74F06D.html +author=Michael McMaster +dist-license=gpl3+ +use-license=gpl3+ +numslots=0 +#slot=1 +#slotdef=1: +#slotdef=2: +#slotdef=3: +#slotdef=4: +#comment= +#comment= +#comment= + +[pins] +# tabseparated list of pin descriptions +# ---------------------------------------- +# pinnr is the physical number of the pin +# seq is the pinseq= attribute, leave it blank if it doesn't matter +# type can be (in, out, io, oc, oe, pas, tp, tri, clk, pwr) +# style can be (line,dot,clk,dotclk,spacer,none). none if only want to add a net +# posit. can be (l,r,t,b) or empty for nets. +# net specifies the name of the net. Vcc or GND for example. +# label represents the pinlabel. +# negation lines can be added with "\_" example: \_enable\_ +# if you want to write a "\" use "\\" as escape sequence +#----------------------------------------------------- +#pinnr seq type style posit. net label +#----------------------------------------------------- +1 in line l A0 +2 out line l \_Y0\_ +3 in line l A1 +4 out line l \_Y1\_ +5 in line l A2 +6 out line l \_Y2\_ +7 pwr line l GND GND +14 pwr line r Vcc +13 in line r A5 +12 out line r \_Y5\_ +11 in line r A4 +10 out line r \_Y4\_ +9 in line r A3 +8 out line r \_Y3\_ + diff --git a/hardware/symbols/CY8C53.sym b/hardware/symbols/CY8C53.sym new file mode 100644 index 0000000..e3e8510 --- /dev/null +++ b/hardware/symbols/CY8C53.sym @@ -0,0 +1,1126 @@ +v 20060113 1 +P 100 17900 400 17900 1 0 0 +{ +T 300 17950 5 8 1 1 0 6 1 +pinnumber=1 +T 300 17850 5 8 0 1 0 8 1 +pinseq=1 +T 450 17900 9 8 1 1 0 0 1 +pinlabel=P2[5] +T 450 17900 5 8 0 1 0 2 1 +pintype=io +} +P 100 17200 400 17200 1 0 0 +{ +T 300 17250 5 8 1 1 0 6 1 +pinnumber=2 +T 300 17150 5 8 0 1 0 8 1 +pinseq=2 +T 450 17200 9 8 1 1 0 0 1 +pinlabel=P2[6] +T 450 17200 5 8 0 1 0 2 1 +pintype=io +} +P 100 16500 400 16500 1 0 0 +{ +T 300 16550 5 8 1 1 0 6 1 +pinnumber=3 +T 300 16450 5 8 0 1 0 8 1 +pinseq=3 +T 450 16500 9 8 1 1 0 0 1 +pinlabel=P2[7] +T 450 16500 5 8 0 1 0 2 1 +pintype=io +} +P 100 15800 400 15800 1 0 0 +{ +T 300 15850 5 8 1 1 0 6 1 +pinnumber=4 +T 300 15750 5 8 0 1 0 8 1 +pinseq=4 +T 450 15800 9 8 1 1 0 0 1 +pinlabel=P12[4] +T 450 15800 5 8 0 1 0 2 1 +pintype=io +} +P 100 15100 400 15100 1 0 0 +{ +T 300 15150 5 8 1 1 0 6 1 +pinnumber=5 +T 300 15050 5 8 0 1 0 8 1 +pinseq=5 +T 450 15100 9 8 1 1 0 0 1 +pinlabel=P12[5] +T 450 15100 5 8 0 1 0 2 1 +pintype=io +} +P 100 14400 400 14400 1 0 0 +{ +T 300 14450 5 8 1 1 0 6 1 +pinnumber=6 +T 300 14350 5 8 0 1 0 8 1 +pinseq=6 +T 450 14400 9 8 1 1 0 0 1 +pinlabel=P6[4] +T 450 14400 5 8 0 1 0 2 1 +pintype=io +} +P 100 13700 400 13700 1 0 0 +{ +T 300 13750 5 8 1 1 0 6 1 +pinnumber=7 +T 300 13650 5 8 0 1 0 8 1 +pinseq=7 +T 450 13700 9 8 1 1 0 0 1 +pinlabel=P6[5] +T 450 13700 5 8 0 1 0 2 1 +pintype=io +} +P 100 13000 400 13000 1 0 0 +{ +T 300 13050 5 8 1 1 0 6 1 +pinnumber=8 +T 300 12950 5 8 0 1 0 8 1 +pinseq=8 +T 450 13000 9 8 1 1 0 0 1 +pinlabel=P6[6] +T 450 13000 5 8 0 1 0 2 1 +pintype=io +} +P 100 12300 400 12300 1 0 0 +{ +T 300 12350 5 8 1 1 0 6 1 +pinnumber=9 +T 300 12250 5 8 0 1 0 8 1 +pinseq=9 +T 450 12300 9 8 1 1 0 0 1 +pinlabel=P6[7] +T 450 12300 5 8 0 1 0 2 1 +pintype=io +} +P 100 11600 400 11600 1 0 0 +{ +T 300 11650 5 8 1 1 0 6 1 +pinnumber=10 +T 300 11550 5 8 0 1 0 8 1 +pinseq=10 +T 450 11600 9 8 1 1 0 0 1 +pinlabel=VSSD +T 450 11600 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 10900 400 10900 1 0 0 +{ +T 300 10950 5 8 1 1 0 6 1 +pinnumber=11 +T 300 10850 5 8 0 1 0 8 1 +pinseq=11 +T 450 10900 9 8 1 1 0 0 1 +pinlabel=NC +T 450 10900 5 8 0 1 0 2 1 +pintype=io +} +P 100 10200 400 10200 1 0 0 +{ +T 300 10250 5 8 1 1 0 6 1 +pinnumber=12 +T 300 10150 5 8 0 1 0 8 1 +pinseq=12 +T 450 10200 9 8 1 1 0 0 1 +pinlabel=VSSD +T 450 10200 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 9500 400 9500 1 0 0 +{ +T 300 9550 5 8 1 1 0 6 1 +pinnumber=13 +T 300 9450 5 8 0 1 0 8 1 +pinseq=13 +T 450 9500 9 8 1 1 0 0 1 +pinlabel=VSSD +T 450 9500 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 8800 400 8800 1 0 0 +{ +T 300 8850 5 8 1 1 0 6 1 +pinnumber=14 +T 300 8750 5 8 0 1 0 8 1 +pinseq=14 +T 450 8800 9 8 1 1 0 0 1 +pinlabel=VSSD +T 450 8800 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 8100 400 8100 1 0 0 +{ +T 300 8150 5 8 1 1 0 6 1 +pinnumber=15 +T 300 8050 5 8 0 1 0 8 1 +pinseq=15 +T 450 8100 9 8 1 1 0 0 1 +pinlabel=\_XRES\_ +T 450 8100 5 8 0 1 0 2 1 +pintype=in +} +P 100 7400 400 7400 1 0 0 +{ +T 300 7450 5 8 1 1 0 6 1 +pinnumber=16 +T 300 7350 5 8 0 1 0 8 1 +pinseq=16 +T 450 7400 9 8 1 1 0 0 1 +pinlabel=P5[0] +T 450 7400 5 8 0 1 0 2 1 +pintype=io +} +P 100 6700 400 6700 1 0 0 +{ +T 300 6750 5 8 1 1 0 6 1 +pinnumber=17 +T 300 6650 5 8 0 1 0 8 1 +pinseq=17 +T 450 6700 9 8 1 1 0 0 1 +pinlabel=P5[1] +T 450 6700 5 8 0 1 0 2 1 +pintype=io +} +P 100 6000 400 6000 1 0 0 +{ +T 300 6050 5 8 1 1 0 6 1 +pinnumber=18 +T 300 5950 5 8 0 1 0 8 1 +pinseq=18 +T 450 6000 9 8 1 1 0 0 1 +pinlabel=P5[2] +T 450 6000 5 8 0 1 0 2 1 +pintype=io +} +P 100 5300 400 5300 1 0 0 +{ +T 300 5350 5 8 1 1 0 6 1 +pinnumber=19 +T 300 5250 5 8 0 1 0 8 1 +pinseq=19 +T 450 5300 9 8 1 1 0 0 1 +pinlabel=P5[3] +T 450 5300 5 8 0 1 0 2 1 +pintype=io +} +P 100 4600 400 4600 1 0 0 +{ +T 300 4650 5 8 1 1 0 6 1 +pinnumber=20 +T 300 4550 5 8 0 1 0 8 1 +pinseq=20 +T 450 4600 9 8 1 1 0 0 1 +pinlabel=SWDIO,P1[0] +T 450 4600 5 8 0 1 0 2 1 +pintype=io +} +P 100 3900 400 3900 1 0 0 +{ +T 300 3950 5 8 1 1 0 6 1 +pinnumber=21 +T 300 3850 5 8 0 1 0 8 1 +pinseq=21 +T 450 3900 9 8 1 1 0 0 1 +pinlabel=SWDCK,P1[1] +T 450 3900 5 8 0 1 0 2 1 +pintype=io +} +P 100 3200 400 3200 1 0 0 +{ +T 300 3250 5 8 1 1 0 6 1 +pinnumber=22 +T 300 3150 5 8 0 1 0 8 1 +pinseq=22 +T 450 3200 9 8 1 1 0 0 1 +pinlabel=P1[2] +T 450 3200 5 8 0 1 0 2 1 +pintype=io +} +P 100 2500 400 2500 1 0 0 +{ +T 300 2550 5 8 1 1 0 6 1 +pinnumber=23 +T 300 2450 5 8 0 1 0 8 1 +pinseq=23 +T 450 2500 9 8 1 1 0 0 1 +pinlabel=SWV,P1[3] +T 450 2500 5 8 0 1 0 2 1 +pintype=io +} +P 100 1800 400 1800 1 0 0 +{ +T 300 1850 5 8 1 1 0 6 1 +pinnumber=24 +T 300 1750 5 8 0 1 0 8 1 +pinseq=24 +T 450 1800 9 8 1 1 0 0 1 +pinlabel=P1[4] +T 450 1800 5 8 0 1 0 2 1 +pintype=io +} +P 100 1100 400 1100 1 0 0 +{ +T 300 1150 5 8 1 1 0 6 1 +pinnumber=25 +T 300 1050 5 8 0 1 0 8 1 +pinseq=25 +T 450 1100 9 8 1 1 0 0 1 +pinlabel=P1[5] +T 450 1100 5 8 0 1 0 2 1 +pintype=io +} +P 1000 100 1000 400 1 0 0 +{ +T 950 300 5 8 1 1 90 6 1 +pinnumber=26 +T 1050 300 5 8 0 1 90 8 1 +pinseq=26 +T 1000 450 9 8 1 1 90 0 1 +pinlabel=VDDIO1 +T 1000 450 5 8 0 1 90 2 1 +pintype=pwr +} +P 1700 100 1700 400 1 0 0 +{ +T 1650 300 5 8 1 1 90 6 1 +pinnumber=27 +T 1750 300 5 8 0 1 90 8 1 +pinseq=27 +T 1700 450 9 8 1 1 90 0 1 +pinlabel=P1[6] +T 1700 450 5 8 0 1 90 2 1 +pintype=io +} +P 2400 100 2400 400 1 0 0 +{ +T 2350 300 5 8 1 1 90 6 1 +pinnumber=28 +T 2450 300 5 8 0 1 90 8 1 +pinseq=28 +T 2400 450 9 8 1 1 90 0 1 +pinlabel=P1[7] +T 2400 450 5 8 0 1 90 2 1 +pintype=io +} +P 3100 100 3100 400 1 0 0 +{ +T 3050 300 5 8 1 1 90 6 1 +pinnumber=29 +T 3150 300 5 8 0 1 90 8 1 +pinseq=29 +T 3100 450 9 8 1 1 90 0 1 +pinlabel=P12[6] +T 3100 450 5 8 0 1 90 2 1 +pintype=io +} +P 3800 100 3800 400 1 0 0 +{ +T 3750 300 5 8 1 1 90 6 1 +pinnumber=30 +T 3850 300 5 8 0 1 90 8 1 +pinseq=30 +T 3800 450 9 8 1 1 90 0 1 +pinlabel=P12[7] +T 3800 450 5 8 0 1 90 2 1 +pintype=io +} +P 4500 100 4500 400 1 0 0 +{ +T 4450 300 5 8 1 1 90 6 1 +pinnumber=31 +T 4550 300 5 8 0 1 90 8 1 +pinseq=31 +T 4500 450 9 8 1 1 90 0 1 +pinlabel=P5[4] +T 4500 450 5 8 0 1 90 2 1 +pintype=io +} +P 5200 100 5200 400 1 0 0 +{ +T 5150 300 5 8 1 1 90 6 1 +pinnumber=32 +T 5250 300 5 8 0 1 90 8 1 +pinseq=32 +T 5200 450 9 8 1 1 90 0 1 +pinlabel=P5[5] +T 5200 450 5 8 0 1 90 2 1 +pintype=io +} +P 5900 100 5900 400 1 0 0 +{ +T 5850 300 5 8 1 1 90 6 1 +pinnumber=33 +T 5950 300 5 8 0 1 90 8 1 +pinseq=33 +T 5900 450 9 8 1 1 90 0 1 +pinlabel=P5[6] +T 5900 450 5 8 0 1 90 2 1 +pintype=io +} +P 6600 100 6600 400 1 0 0 +{ +T 6550 300 5 8 1 1 90 6 1 +pinnumber=34 +T 6650 300 5 8 0 1 90 8 1 +pinseq=34 +T 6600 450 9 8 1 1 90 0 1 +pinlabel=P5[7] +T 6600 450 5 8 0 1 90 2 1 +pintype=io +} +P 7300 100 7300 400 1 0 0 +{ +T 7250 300 5 8 1 1 90 6 1 +pinnumber=35 +T 7350 300 5 8 0 1 90 8 1 +pinseq=35 +T 7300 450 9 8 1 1 90 0 1 +pinlabel=SWDIO,USB D+ +T 7300 450 5 8 0 1 90 2 1 +pintype=io +} +P 8000 100 8000 400 1 0 0 +{ +T 7950 300 5 8 1 1 90 6 1 +pinnumber=36 +T 8050 300 5 8 0 1 90 8 1 +pinseq=36 +T 8000 450 9 8 1 1 90 0 1 +pinlabel=SWDCK,USB D- +T 8000 450 5 8 0 1 90 2 1 +pintype=io +} +P 8700 100 8700 400 1 0 0 +{ +T 8650 300 5 8 1 1 90 6 1 +pinnumber=37 +T 8750 300 5 8 0 1 90 8 1 +pinseq=37 +T 8700 450 9 8 1 1 90 0 1 +pinlabel=VDDD +T 8700 450 5 8 0 1 90 2 1 +pintype=pwr +} +P 9400 100 9400 400 1 0 0 +{ +T 9350 300 5 8 1 1 90 6 1 +pinnumber=38 +T 9450 300 5 8 0 1 90 8 1 +pinseq=38 +T 9400 450 9 8 1 1 90 0 1 +pinlabel=VSSD +T 9400 450 5 8 0 1 90 2 1 +pintype=pwr +} +P 10100 100 10100 400 1 0 0 +{ +T 10050 300 5 8 1 1 90 6 1 +pinnumber=39 +T 10150 300 5 8 0 1 90 8 1 +pinseq=39 +T 10100 450 9 8 1 1 90 0 1 +pinlabel=VCCD +T 10100 450 5 8 0 1 90 2 1 +pintype=pwr +} +P 10800 100 10800 400 1 0 0 +{ +T 10750 300 5 8 1 1 90 6 1 +pinnumber=40 +T 10850 300 5 8 0 1 90 8 1 +pinseq=40 +T 10800 450 9 8 1 1 90 0 1 +pinlabel=NC +T 10800 450 5 8 0 1 90 2 1 +pintype=io +} +P 11500 100 11500 400 1 0 0 +{ +T 11450 300 5 8 1 1 90 6 1 +pinnumber=41 +T 11550 300 5 8 0 1 90 8 1 +pinseq=41 +T 11500 450 9 8 1 1 90 0 1 +pinlabel=NC +T 11500 450 5 8 0 1 90 2 1 +pintype=io +} +P 12200 100 12200 400 1 0 0 +{ +T 12150 300 5 8 1 1 90 6 1 +pinnumber=42 +T 12250 300 5 8 0 1 90 8 1 +pinseq=42 +T 12200 525 9 8 1 1 90 0 1 +pinlabel=MHZ XTAL XO +T 12200 525 5 8 0 1 90 2 1 +pintype=clk +} +L 12200 500 12275 400 3 0 0 0 -1 -1 +L 12200 500 12125 400 3 0 0 0 -1 -1 +P 12900 100 12900 400 1 0 0 +{ +T 12850 300 5 8 1 1 90 6 1 +pinnumber=43 +T 12950 300 5 8 0 1 90 8 1 +pinseq=43 +T 12900 525 9 8 1 1 90 0 1 +pinlabel=MHZ XTAL XI +T 12900 525 5 8 0 1 90 2 1 +pintype=clk +} +L 12900 500 12975 400 3 0 0 0 -1 -1 +L 12900 500 12825 400 3 0 0 0 -1 -1 +P 13600 100 13600 400 1 0 0 +{ +T 13550 300 5 8 1 1 90 6 1 +pinnumber=44 +T 13650 300 5 8 0 1 90 8 1 +pinseq=44 +T 13600 450 9 8 1 1 90 0 1 +pinlabel=P3[0] +T 13600 450 5 8 0 1 90 2 1 +pintype=io +} +P 14300 100 14300 400 1 0 0 +{ +T 14250 300 5 8 1 1 90 6 1 +pinnumber=45 +T 14350 300 5 8 0 1 90 8 1 +pinseq=45 +T 14300 450 9 8 1 1 90 0 1 +pinlabel=P3[1] +T 14300 450 5 8 0 1 90 2 1 +pintype=io +} +P 15000 100 15000 400 1 0 0 +{ +T 14950 300 5 8 1 1 90 6 1 +pinnumber=46 +T 15050 300 5 8 0 1 90 8 1 +pinseq=46 +T 15000 450 9 8 1 1 90 0 1 +pinlabel=P3[2] +T 15000 450 5 8 0 1 90 2 1 +pintype=io +} +P 15700 100 15700 400 1 0 0 +{ +T 15650 300 5 8 1 1 90 6 1 +pinnumber=47 +T 15750 300 5 8 0 1 90 8 1 +pinseq=47 +T 15700 450 9 8 1 1 90 0 1 +pinlabel=P3[3] +T 15700 450 5 8 0 1 90 2 1 +pintype=io +} +P 16400 100 16400 400 1 0 0 +{ +T 16350 300 5 8 1 1 90 6 1 +pinnumber=48 +T 16450 300 5 8 0 1 90 8 1 +pinseq=48 +T 16400 450 9 8 1 1 90 0 1 +pinlabel=P3[4] +T 16400 450 5 8 0 1 90 2 1 +pintype=io +} +P 17100 100 17100 400 1 0 0 +{ +T 17050 300 5 8 1 1 90 6 1 +pinnumber=49 +T 17150 300 5 8 0 1 90 8 1 +pinseq=49 +T 17100 450 9 8 1 1 90 0 1 +pinlabel=P3[5] +T 17100 450 5 8 0 1 90 2 1 +pintype=io +} +P 17800 100 17800 400 1 0 0 +{ +T 17750 300 5 8 1 1 90 6 1 +pinnumber=50 +T 17850 300 5 8 0 1 90 8 1 +pinseq=50 +T 17800 450 9 8 1 1 90 0 1 +pinlabel=VDDIO3 +T 17800 450 5 8 0 1 90 2 1 +pintype=pwr +} +P 18700 17900 18400 17900 1 0 0 +{ +T 18500 17950 5 8 1 1 0 0 1 +pinnumber=75 +T 18500 17850 5 8 0 1 0 2 1 +pinseq=51 +T 18350 17900 9 8 1 1 0 6 1 +pinlabel=VDDIO0 +T 18350 17900 5 8 0 1 0 8 1 +pintype=pwr +} +P 18700 17200 18400 17200 1 0 0 +{ +T 18500 17250 5 8 1 1 0 0 1 +pinnumber=74 +T 18500 17150 5 8 0 1 0 2 1 +pinseq=52 +T 18350 17200 9 8 1 1 0 6 1 +pinlabel=P0[3] +T 18350 17200 5 8 0 1 0 8 1 +pintype=io +} +P 18700 16500 18400 16500 1 0 0 +{ +T 18500 16550 5 8 1 1 0 0 1 +pinnumber=73 +T 18500 16450 5 8 0 1 0 2 1 +pinseq=53 +T 18350 16500 9 8 1 1 0 6 1 +pinlabel=P0[2] +T 18350 16500 5 8 0 1 0 8 1 +pintype=io +} +P 18700 15800 18400 15800 1 0 0 +{ +T 18500 15850 5 8 1 1 0 0 1 +pinnumber=72 +T 18500 15750 5 8 0 1 0 2 1 +pinseq=54 +T 18350 15800 9 8 1 1 0 6 1 +pinlabel=P0[1] +T 18350 15800 5 8 0 1 0 8 1 +pintype=io +} +P 18700 15100 18400 15100 1 0 0 +{ +T 18500 15150 5 8 1 1 0 0 1 +pinnumber=71 +T 18500 15050 5 8 0 1 0 2 1 +pinseq=55 +T 18350 15100 9 8 1 1 0 6 1 +pinlabel=P0[0] +T 18350 15100 5 8 0 1 0 8 1 +pintype=io +} +P 18700 14400 18400 14400 1 0 0 +{ +T 18500 14450 5 8 1 1 0 0 1 +pinnumber=70 +T 18500 14350 5 8 0 1 0 2 1 +pinseq=56 +T 18350 14400 9 8 1 1 0 6 1 +pinlabel=P4[1] +T 18350 14400 5 8 0 1 0 8 1 +pintype=io +} +P 18700 13700 18400 13700 1 0 0 +{ +T 18500 13750 5 8 1 1 0 0 1 +pinnumber=69 +T 18500 13650 5 8 0 1 0 2 1 +pinseq=57 +T 18350 13700 9 8 1 1 0 6 1 +pinlabel=P4[0] +T 18350 13700 5 8 0 1 0 8 1 +pintype=io +} +P 18700 13000 18400 13000 1 0 0 +{ +T 18500 13050 5 8 1 1 0 0 1 +pinnumber=68 +T 18500 12950 5 8 0 1 0 2 1 +pinseq=58 +T 18350 13000 9 8 1 1 0 6 1 +pinlabel=P12[3] +T 18350 13000 5 8 0 1 0 8 1 +pintype=io +} +P 18700 12300 18400 12300 1 0 0 +{ +T 18500 12350 5 8 1 1 0 0 1 +pinnumber=67 +T 18500 12250 5 8 0 1 0 2 1 +pinseq=59 +T 18350 12300 9 8 1 1 0 6 1 +pinlabel=P12[2] +T 18350 12300 5 8 0 1 0 8 1 +pintype=io +} +P 18700 11600 18400 11600 1 0 0 +{ +T 18500 11650 5 8 1 1 0 0 1 +pinnumber=66 +T 18500 11550 5 8 0 1 0 2 1 +pinseq=60 +T 18350 11600 9 8 1 1 0 6 1 +pinlabel=VSSD +T 18350 11600 5 8 0 1 0 8 1 +pintype=pwr +} +P 18700 10900 18400 10900 1 0 0 +{ +T 18500 10950 5 8 1 1 0 0 1 +pinnumber=65 +T 18500 10850 5 8 0 1 0 2 1 +pinseq=61 +T 18350 10900 9 8 1 1 0 6 1 +pinlabel=VDDA +T 18350 10900 5 8 0 1 0 8 1 +pintype=pwr +} +P 18700 10200 18400 10200 1 0 0 +{ +T 18500 10250 5 8 1 1 0 0 1 +pinnumber=64 +T 18500 10150 5 8 0 1 0 2 1 +pinseq=62 +T 18350 10200 9 8 1 1 0 6 1 +pinlabel=VSSA +T 18350 10200 5 8 0 1 0 8 1 +pintype=pwr +} +P 18700 9500 18400 9500 1 0 0 +{ +T 18500 9550 5 8 1 1 0 0 1 +pinnumber=63 +T 18500 9450 5 8 0 1 0 2 1 +pinseq=63 +T 18350 9500 9 8 1 1 0 6 1 +pinlabel=VCCA +T 18350 9500 5 8 0 1 0 8 1 +pintype=pwr +} +P 18700 8800 18400 8800 1 0 0 +{ +T 18500 8850 5 8 1 1 0 0 1 +pinnumber=62 +T 18500 8750 5 8 0 1 0 2 1 +pinseq=64 +T 18350 8800 9 8 1 1 0 6 1 +pinlabel=NC +T 18350 8800 5 8 0 1 0 8 1 +pintype=io +} +P 18700 8100 18400 8100 1 0 0 +{ +T 18500 8150 5 8 1 1 0 0 1 +pinnumber=61 +T 18500 8050 5 8 0 1 0 2 1 +pinseq=65 +T 18350 8100 9 8 1 1 0 6 1 +pinlabel=NC +T 18350 8100 5 8 0 1 0 8 1 +pintype=io +} +P 18700 7400 18400 7400 1 0 0 +{ +T 18500 7450 5 8 1 1 0 0 1 +pinnumber=60 +T 18500 7350 5 8 0 1 0 2 1 +pinseq=66 +T 18350 7400 9 8 1 1 0 6 1 +pinlabel=NC +T 18350 7400 5 8 0 1 0 8 1 +pintype=io +} +P 18700 6700 18400 6700 1 0 0 +{ +T 18500 6750 5 8 1 1 0 0 1 +pinnumber=59 +T 18500 6650 5 8 0 1 0 2 1 +pinseq=67 +T 18350 6700 9 8 1 1 0 6 1 +pinlabel=NC +T 18350 6700 5 8 0 1 0 8 1 +pintype=io +} +P 18700 6000 18400 6000 1 0 0 +{ +T 18500 6050 5 8 1 1 0 0 1 +pinnumber=58 +T 18500 5950 5 8 0 1 0 2 1 +pinseq=68 +T 18350 6000 9 8 1 1 0 6 1 +pinlabel=NC +T 18350 6000 5 8 0 1 0 8 1 +pintype=io +} +P 18700 5300 18400 5300 1 0 0 +{ +T 18500 5350 5 8 1 1 0 0 1 +pinnumber=57 +T 18500 5250 5 8 0 1 0 2 1 +pinseq=69 +T 18350 5300 9 8 1 1 0 6 1 +pinlabel=NC +T 18350 5300 5 8 0 1 0 8 1 +pintype=io +} +P 18700 4600 18400 4600 1 0 0 +{ +T 18500 4650 5 8 1 1 0 0 1 +pinnumber=56 +T 18500 4550 5 8 0 1 0 2 1 +pinseq=70 +T 18350 4600 9 8 1 1 0 6 1 +pinlabel=XI XTAL P15[3],KHZ +T 18350 4600 5 8 0 1 0 8 1 +pintype=io +} +P 18700 3900 18400 3900 1 0 0 +{ +T 18500 3950 5 8 1 1 0 0 1 +pinnumber=55 +T 18500 3850 5 8 0 1 0 2 1 +pinseq=71 +T 18350 3900 9 8 1 1 0 6 1 +pinlabel=XO XTAL P15[2],KHZ +T 18350 3900 5 8 0 1 0 8 1 +pintype=io +} +P 18700 3200 18400 3200 1 0 0 +{ +T 18500 3250 5 8 1 1 0 0 1 +pinnumber=54 +T 18500 3150 5 8 0 1 0 2 1 +pinseq=72 +T 18350 3200 9 8 1 1 0 6 1 +pinlabel=P12[1] +T 18350 3200 5 8 0 1 0 8 1 +pintype=io +} +P 18700 2500 18400 2500 1 0 0 +{ +T 18500 2550 5 8 1 1 0 0 1 +pinnumber=53 +T 18500 2450 5 8 0 1 0 2 1 +pinseq=73 +T 18350 2500 9 8 1 1 0 6 1 +pinlabel=P12[0] +T 18350 2500 5 8 0 1 0 8 1 +pintype=io +} +P 18700 1800 18400 1800 1 0 0 +{ +T 18500 1850 5 8 1 1 0 0 1 +pinnumber=52 +T 18500 1750 5 8 0 1 0 2 1 +pinseq=74 +T 18350 1800 9 8 1 1 0 6 1 +pinlabel=P3[7] +T 18350 1800 5 8 0 1 0 8 1 +pintype=io +} +P 18700 1100 18400 1100 1 0 0 +{ +T 18500 1150 5 8 1 1 0 0 1 +pinnumber=51 +T 18500 1050 5 8 0 1 0 2 1 +pinseq=75 +T 18350 1100 9 8 1 1 0 6 1 +pinlabel=P3[6] +T 18350 1100 5 8 0 1 0 8 1 +pintype=io +} +P 1000 18900 1000 18600 1 0 0 +{ +T 950 18700 5 8 1 1 90 0 1 +pinnumber=100 +T 1050 18700 5 8 0 1 90 2 1 +pinseq=76 +T 1000 18550 9 8 1 1 90 6 1 +pinlabel=VDDIO2 +T 1000 18550 5 8 0 1 90 8 1 +pintype=pwr +} +P 1700 18900 1700 18600 1 0 0 +{ +T 1650 18700 5 8 1 1 90 0 1 +pinnumber=99 +T 1750 18700 5 8 0 1 90 2 1 +pinseq=77 +T 1700 18550 9 8 1 1 90 6 1 +pinlabel=P2[4] +T 1700 18550 5 8 0 1 90 8 1 +pintype=io +} +P 2400 18900 2400 18600 1 0 0 +{ +T 2350 18700 5 8 1 1 90 0 1 +pinnumber=98 +T 2450 18700 5 8 0 1 90 2 1 +pinseq=78 +T 2400 18550 9 8 1 1 90 6 1 +pinlabel=P2[3] +T 2400 18550 5 8 0 1 90 8 1 +pintype=io +} +P 3100 18900 3100 18600 1 0 0 +{ +T 3050 18700 5 8 1 1 90 0 1 +pinnumber=97 +T 3150 18700 5 8 0 1 90 2 1 +pinseq=79 +T 3100 18550 9 8 1 1 90 6 1 +pinlabel=P2[2] +T 3100 18550 5 8 0 1 90 8 1 +pintype=io +} +P 3800 18900 3800 18600 1 0 0 +{ +T 3750 18700 5 8 1 1 90 0 1 +pinnumber=96 +T 3850 18700 5 8 0 1 90 2 1 +pinseq=80 +T 3800 18550 9 8 1 1 90 6 1 +pinlabel=P2[1] +T 3800 18550 5 8 0 1 90 8 1 +pintype=io +} +P 4500 18900 4500 18600 1 0 0 +{ +T 4450 18700 5 8 1 1 90 0 1 +pinnumber=95 +T 4550 18700 5 8 0 1 90 2 1 +pinseq=81 +T 4500 18550 9 8 1 1 90 6 1 +pinlabel=P2[0] +T 4500 18550 5 8 0 1 90 8 1 +pintype=io +} +P 5200 18900 5200 18600 1 0 0 +{ +T 5150 18700 5 8 1 1 90 0 1 +pinnumber=94 +T 5250 18700 5 8 0 1 90 2 1 +pinseq=82 +T 5200 18550 9 8 1 1 90 6 1 +pinlabel=P15[5] +T 5200 18550 5 8 0 1 90 8 1 +pintype=io +} +P 5900 18900 5900 18600 1 0 0 +{ +T 5850 18700 5 8 1 1 90 0 1 +pinnumber=93 +T 5950 18700 5 8 0 1 90 2 1 +pinseq=83 +T 5900 18550 9 8 1 1 90 6 1 +pinlabel=P15[4] +T 5900 18550 5 8 0 1 90 8 1 +pintype=io +} +P 6600 18900 6600 18600 1 0 0 +{ +T 6550 18700 5 8 1 1 90 0 1 +pinnumber=92 +T 6650 18700 5 8 0 1 90 2 1 +pinseq=84 +T 6600 18550 9 8 1 1 90 6 1 +pinlabel=P6[3] +T 6600 18550 5 8 0 1 90 8 1 +pintype=io +} +P 7300 18900 7300 18600 1 0 0 +{ +T 7250 18700 5 8 1 1 90 0 1 +pinnumber=91 +T 7350 18700 5 8 0 1 90 2 1 +pinseq=85 +T 7300 18550 9 8 1 1 90 6 1 +pinlabel=P6[2] +T 7300 18550 5 8 0 1 90 8 1 +pintype=io +} +P 8000 18900 8000 18600 1 0 0 +{ +T 7950 18700 5 8 1 1 90 0 1 +pinnumber=90 +T 8050 18700 5 8 0 1 90 2 1 +pinseq=86 +T 8000 18550 9 8 1 1 90 6 1 +pinlabel=P6[1] +T 8000 18550 5 8 0 1 90 8 1 +pintype=io +} +P 8700 18900 8700 18600 1 0 0 +{ +T 8650 18700 5 8 1 1 90 0 1 +pinnumber=89 +T 8750 18700 5 8 0 1 90 2 1 +pinseq=87 +T 8700 18550 9 8 1 1 90 6 1 +pinlabel=P6[0] +T 8700 18550 5 8 0 1 90 8 1 +pintype=io +} +P 9400 18900 9400 18600 1 0 0 +{ +T 9350 18700 5 8 1 1 90 0 1 +pinnumber=88 +T 9450 18700 5 8 0 1 90 2 1 +pinseq=88 +T 9400 18550 9 8 1 1 90 6 1 +pinlabel=VDDD +T 9400 18550 5 8 0 1 90 8 1 +pintype=pwr +} +P 10100 18900 10100 18600 1 0 0 +{ +T 10050 18700 5 8 1 1 90 0 1 +pinnumber=87 +T 10150 18700 5 8 0 1 90 2 1 +pinseq=89 +T 10100 18550 9 8 1 1 90 6 1 +pinlabel=VSSD +T 10100 18550 5 8 0 1 90 8 1 +pintype=pwr +} +P 10800 18900 10800 18600 1 0 0 +{ +T 10750 18700 5 8 1 1 90 0 1 +pinnumber=86 +T 10850 18700 5 8 0 1 90 2 1 +pinseq=90 +T 10800 18550 9 8 1 1 90 6 1 +pinlabel=VCCD +T 10800 18550 5 8 0 1 90 8 1 +pintype=pwr +} +P 11500 18900 11500 18600 1 0 0 +{ +T 11450 18700 5 8 1 1 90 0 1 +pinnumber=85 +T 11550 18700 5 8 0 1 90 2 1 +pinseq=91 +T 11500 18550 9 8 1 1 90 6 1 +pinlabel=P4[7] +T 11500 18550 5 8 0 1 90 8 1 +pintype=io +} +P 12200 18900 12200 18600 1 0 0 +{ +T 12150 18700 5 8 1 1 90 0 1 +pinnumber=84 +T 12250 18700 5 8 0 1 90 2 1 +pinseq=92 +T 12200 18550 9 8 1 1 90 6 1 +pinlabel=P4[6] +T 12200 18550 5 8 0 1 90 8 1 +pintype=io +} +P 12900 18900 12900 18600 1 0 0 +{ +T 12850 18700 5 8 1 1 90 0 1 +pinnumber=83 +T 12950 18700 5 8 0 1 90 2 1 +pinseq=93 +T 12900 18550 9 8 1 1 90 6 1 +pinlabel=P4[5] +T 12900 18550 5 8 0 1 90 8 1 +pintype=io +} +P 13600 18900 13600 18600 1 0 0 +{ +T 13550 18700 5 8 1 1 90 0 1 +pinnumber=82 +T 13650 18700 5 8 0 1 90 2 1 +pinseq=94 +T 13600 18550 9 8 1 1 90 6 1 +pinlabel=P4[4] +T 13600 18550 5 8 0 1 90 8 1 +pintype=io +} +P 14300 18900 14300 18600 1 0 0 +{ +T 14250 18700 5 8 1 1 90 0 1 +pinnumber=81 +T 14350 18700 5 8 0 1 90 2 1 +pinseq=95 +T 14300 18550 9 8 1 1 90 6 1 +pinlabel=P4[3] +T 14300 18550 5 8 0 1 90 8 1 +pintype=io +} +P 15000 18900 15000 18600 1 0 0 +{ +T 14950 18700 5 8 1 1 90 0 1 +pinnumber=80 +T 15050 18700 5 8 0 1 90 2 1 +pinseq=96 +T 15000 18550 9 8 1 1 90 6 1 +pinlabel=P4[2] +T 15000 18550 5 8 0 1 90 8 1 +pintype=io +} +P 15700 18900 15700 18600 1 0 0 +{ +T 15650 18700 5 8 1 1 90 0 1 +pinnumber=79 +T 15750 18700 5 8 0 1 90 2 1 +pinseq=97 +T 15700 18550 9 8 1 1 90 6 1 +pinlabel=P0[7] +T 15700 18550 5 8 0 1 90 8 1 +pintype=io +} +P 16400 18900 16400 18600 1 0 0 +{ +T 16350 18700 5 8 1 1 90 0 1 +pinnumber=78 +T 16450 18700 5 8 0 1 90 2 1 +pinseq=98 +T 16400 18550 9 8 1 1 90 6 1 +pinlabel=P0[6] +T 16400 18550 5 8 0 1 90 8 1 +pintype=io +} +P 17100 18900 17100 18600 1 0 0 +{ +T 17050 18700 5 8 1 1 90 0 1 +pinnumber=77 +T 17150 18700 5 8 0 1 90 2 1 +pinseq=99 +T 17100 18550 9 8 1 1 90 6 1 +pinlabel=P0[5] +T 17100 18550 5 8 0 1 90 8 1 +pintype=io +} +P 17800 18900 17800 18600 1 0 0 +{ +T 17750 18700 5 8 1 1 90 0 1 +pinnumber=76 +T 17850 18700 5 8 0 1 90 2 1 +pinseq=100 +T 17800 18550 9 8 1 1 90 6 1 +pinlabel=P0[4] +T 17800 18550 5 8 0 1 90 8 1 +pintype=io +} +B 400 400 18000 18200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +T 18400 18700 8 10 1 1 0 6 1 +refdes=U? +T 9200 9400 9 10 1 0 0 0 1 +CY8C53 +T 9200 9700 5 10 0 0 0 0 1 +device=CY8C53 +T 9200 9900 5 10 0 0 0 0 1 +footprint=TQFP100_14 +T 9200 10100 5 10 0 0 0 0 1 +author=Michael McMaster +T 9200 10300 5 10 0 0 0 0 1 +documentation=http://www.cypress.com/?id=2233 +T 9200 10500 5 10 0 0 0 0 1 +description=Cypress PSoC5 CY8C53 +T 9200 10700 5 10 0 0 0 0 1 +numslots=0 +T 9200 10900 5 10 0 0 0 0 1 +dist-license=gpl3+ +T 9200 11100 5 10 0 0 0 0 1 +use-license=gpl3+ diff --git a/hardware/symbols/CY8C53.tragesym b/hardware/symbols/CY8C53.tragesym new file mode 100644 index 0000000..f8d4915 --- /dev/null +++ b/hardware/symbols/CY8C53.tragesym @@ -0,0 +1,164 @@ +# This is the template file for creating symbols with tragesym +# every line starting with '#' is a comment line. + +[options] +# wordswap swaps labels if the pin is on the right side an looks like this: +# "PB1 (CLK)". That's useful for micro controller port labels +# rotate_labels rotates the pintext of top and bottom pins +# this is useful for large symbols like FPGAs with more than 100 pins +# sort_labels will sort the pins by it's labels +# useful for address ports, busses, ... +wordswap=yes +rotate_labels=yes +sort_labels=no +generate_pinseq=yes +sym_width=18000 +pinwidthvertical=700 +pinwidthhorizontal=700 + +[geda_attr] +# name will be printed in the top of the symbol +# name is only some graphical text, not an attribute +# version specifies a gschem version. +# if you have a device with slots, you'll have to use slot= and slotdef= +# use comment= if there are special information you want to add +version=20060113 1 +name=CY8C53 +device=CY8C53 +refdes=U? +footprint=TQFP100_14 +description=Cypress PSoC5 CY8C53 +documentation=http://www.cypress.com/?id=2233 +author=Michael McMaster +dist-license=gpl3+ +use-license=gpl3+ +numslots=0 +#slot=1 +#slotdef=1: +#slotdef=2: +#slotdef=3: +#slotdef=4: +#comment= +#comment= +#comment= + +[pins] +# tabseparated list of pin descriptions +# ---------------------------------------- +# pinnr is the physical number of the pin +# seq is the pinseq= attribute, leave it blank if it doesn't matter +# type can be (in, out, io, oc, oe, pas, tp, tri, clk, pwr) +# style can be (line,dot,clk,dotclk,spacer,none). none if only want to add a net +# posit. can be (l,r,t,b) or empty for nets. +# net specifies the name of the net. Vcc or GND for example. +# label represents the pinlabel. +# negation lines can be added with "\_" example: \_enable\_ +# if you want to write a "\" use "\\" as escape sequence +#----------------------------------------------------- +#pinnr seq type style posit. net label +#----------------------------------------------------- +1 io line l P2[5] +2 io line l P2[6] +3 io line l P2[7] +4 io line l P12[4] +5 io line l P12[5] +6 io line l P6[4] +7 io line l P6[5] +8 io line l P6[6] +9 io line l P6[7] +10 pwr line l GND VSSD +11 io line l NC +12 pwr line l GND VSSD +13 pwr line l GND VSSD +14 pwr line l GND VSSD +15 in line l \_XRES\_ +16 io line l P5[0] +17 io line l P5[1] +18 io line l P5[2] +19 io line l P5[3] +20 io line l SWDIO,P1[0] +21 io line l SWDCK,P1[1] +22 io line l P1[2] +23 io line l SWV,P1[3] +24 io line l P1[4] +25 io line l P1[5] + + +26 pwr line b VDDIO1 +27 io line b P1[6] +28 io line b P1[7] +29 io line b P12[6] +30 io line b P12[7] +31 io line b P5[4] +32 io line b P5[5] +33 io line b P5[6] +34 io line b P5[7] +35 io line b SWDIO,USB D+ +36 io line b SWDCK,USB D- +37 pwr line b VDDD +38 pwr line b GND VSSD +39 pwr line b VCCD +40 io line b NC +41 io line b NC +42 clk clk b MHZ XTAL XO +43 clk clk b MHZ XTAL XI +44 io line b P3[0] +45 io line b P3[1] +46 io line b P3[2] +47 io line b P3[3] +48 io line b P3[4] +49 io line b P3[5] +50 pwr line b VDDIO3 + +75 pwr line r VDDIO0 +74 io line r P0[3] +73 io line r P0[2] +72 io line r P0[1] +71 io line r P0[0] +70 io line r P4[1] +69 io line r P4[0] +68 io line r P12[3] +67 io line r P12[2] +66 pwr line r GND VSSD +65 pwr line r VDDA +64 pwr line r GND VSSA +63 pwr line r VCCA +62 io line r NC +61 io line r NC +60 io line r NC +59 io line r NC +58 io line r NC +57 io line r NC +56 io line r P15[3],KHZ XTAL XI +55 io line r P15[2],KHZ XTAL XO +54 io line r P12[1] +53 io line r P12[0] +52 io line r P3[7] +51 io line r P3[6] + +100 pwr line t VDDIO2 +99 io line t P2[4] +98 io line t P2[3] +97 io line t P2[2] +96 io line t P2[1] +95 io line t P2[0] +94 io line t P15[5] +93 io line t P15[4] +92 io line t P6[3] +91 io line t P6[2] +90 io line t P6[1] +89 io line t P6[0] +88 pwr line t VDDD +87 pwr line t GND VSSD +86 pwr line t VCCD +85 io line t P4[7] +84 io line t P4[6] +83 io line t P4[5] +82 io line t P4[4] +81 io line t P4[3] +80 io line t P4[2] +79 io line t P0[7] +78 io line t P0[6] +77 io line t P0[5] +76 io line t P0[4] + diff --git a/hardware/symbols/DO-41-vert.fp b/hardware/symbols/DO-41-vert.fp new file mode 100644 index 0000000..c36323c --- /dev/null +++ b/hardware/symbols/DO-41-vert.fp @@ -0,0 +1,10 @@ +Element[0x00000000 "DIO__DO-41-vertical.fp" "D?" "" 172500 127500 -9900 +6050 0 100 0x00000000] +( + Pin[-6200 0 9500 2000 10700 4200 "" "1" 0x0101] + Pin[6200 0 9500 2000 10700 4200 "" "2" 0x01] + ElementLine [-11450 -5300 6200 -5300 1000] + ElementLine [-11450 5300 6200 5300 1000] + ElementLine [-11450 -5300 -11450 5300 1000] + ElementArc [6200 0 5300 5300 90 180 1000] + ) diff --git a/hardware/symbols/DO-41.fp b/hardware/symbols/DO-41.fp new file mode 100644 index 0000000..abd27d5 --- /dev/null +++ b/hardware/symbols/DO-41.fp @@ -0,0 +1,10 @@ +Element[0x00000000 "DO-41" "D?" "" 172500 127500 -9900 6050 0 100 0x00000000] +( + Pin[-21250 0 9500 2000 10700 4200 "" "1" 0x0101] + Pin[21250 0 9500 2000 10700 4200 "" "2" 0x01] + ElementLine [-9850 -5050 9850 -5050 1000] + ElementLine [9850 -5050 9850 5050 1000] + ElementLine [9850 5050 -9850 5050 1000] + ElementLine [-9850 5050 -9850 -5050 1000] + ElementLine [-6800 -5050 -6800 5050 1000] + ) diff --git a/hardware/symbols/DPAK.fp b/hardware/symbols/DPAK.fp new file mode 100644 index 0000000..6422904 --- /dev/null +++ b/hardware/symbols/DPAK.fp @@ -0,0 +1,20 @@ + +Element["" "" "" "" 12750 8250 0 0 0 100 ""] +( + Pad[8250 10750 8250 10750 26500 2000 27500 "2" "2" "square,nopaste"] + + Pad[750 3250 750 3250 11500 2000 12500 "2_1" "2" "square"] + Pad[750 18250 750 18250 11500 2000 12500 "2_2" "2" "square"] + Pad[15750 3250 15750 3250 11500 2000 12500 "2_3" "2" "square,edge2"] + Pad[15750 18250 15750 18250 11500 2000 12500 "2_4" "2" "square,edge2"] + + Pad[31750 1750 37250 1750 6500 2000 7500 "3" "3" "square,edge2"] + Pad[31750 19750 37250 19750 6500 2000 7500 "1" "1" "square,edge2"] + ElementLine [-7500 -5000 44500 -5000 1000] + ElementLine [44500 -5000 44500 26500 1000] + ElementLine [44500 26500 -7500 26500 1000] + ElementLine [-7500 26500 -7500 -5000 1000] + ElementLine [28500 10500 32500 10500 1000] + + ) + diff --git a/hardware/symbols/FCI-10067847.fp b/hardware/symbols/FCI-10067847.fp new file mode 100644 index 0000000..32c2824 --- /dev/null +++ b/hardware/symbols/FCI-10067847.fp @@ -0,0 +1,66 @@ + +Element["" "FCI SD Card 10067847" "" "" 88425 50698 0 0 0 100 ""] +( + Pin[-56138 64233 7906 2 6906 5906 "" "" ""] + Pin[39138 64233 7906 2 6906 5906 "" "" ""] + Pad[-62044 53997 -62044 57934 4724 2 4725 "GND_SHIELD" "12" "square,edge2"] + Pad[28311 -32027 28311 -30451 4330 2 4331 "PIN9" "9" "square"] + Pad[-60074 -32224 -60074 -30254 3937 2 3938 "WP" "10" "square"] + Pad[-46886 -32026 -46886 -30452 4331 2 4332 "PIN8" "8" "square"] + Pad[-40192 -32026 -40192 -30452 4331 2 4332 "PIN7" "7" "square"] + Pad[-30744 -32027 -30744 -30451 4330 2 4331 "PIN6" "6" "square"] + Pad[-20902 -32026 -20902 -30452 4331 2 4332 "PIN5" "5" "square"] + Pad[-11059 -32027 -11059 -30451 4330 2 4331 "PIN4" "4" "square"] + Pad[8626 -32027 8626 -30451 4330 2 4331 "PIN2" "2" "square"] + Pad[2130 -32224 2130 -30254 3937 2 3938 "CD" "11" "square"] + Pad[-4366 -32027 -4366 -30451 4330 2 4331 "PIN3" "3" "square"] + Pad[18468 -32026 18468 -30452 4331 2 4332 "PIN1" "1" "square"] + Pad[48193 49272 48193 53210 4724 2 4725 "GND_SHIELD" "13" "square,edge2"] + ElementLine [50162 -29074 50162 45730 800] + ElementLine [50162 83918 50162 56843 800] + ElementLine [-63666 50697 -63666 -29082 800] + ElementLine [-63666 83918 -63666 61701 800] + ElementLine [-56592 -29082 -50304 -29082 800] + ElementLine [31841 -29074 31833 -29082 800] + ElementLine [50162 -29074 31841 -29074 800] + ElementLine [50162 83918 -63618 83918 800] + ElementLine [-49051 -7814 30476 -7814 800] + ElementLine [30476 -7814 30476 12265 800] + ElementLine [30476 12265 -49051 12265 800] + ElementLine [-49051 12265 -49051 -7814 800] + ElementLine [-46767 -4716 -46767 10218 800] + ElementLine [-46767 10218 28296 10218 800] + ElementLine [28296 10218 28296 -5895 800] + ElementLine [28296 -5895 -44016 -5895 800] + ElementLine [-44016 -5895 -44016 7860 800] + ElementLine [-44016 7860 25545 7860 800] + ElementLine [25545 7860 25545 -3930 800] + ElementLine [25545 -3930 -41658 -3930 800] + ElementLine [-41658 -3930 -41658 5502 800] + ElementLine [-41658 5502 23187 5502 800] + ElementLine [23187 5502 23187 -1965 800] + ElementLine [23187 -1965 -38907 -1965 800] + ElementLine [-38907 -1965 -38907 3537 800] + ElementLine [-38907 3537 20829 3537 800] + ElementLine [20829 3537 20829 0 800] + ElementLine [20829 0 -36942 0 800] + ElementLine [-36942 0 -36942 1965 800] + ElementLine [-36942 1965 18471 1965 800] + ElementLine [18471 1965 18864 1572 800] + ElementLine [-66375 7146 -61650 7146 800] + ElementLine [-61650 7146 -61650 31782 800] + ElementLine [-61650 31782 -61701 31833 800] + ElementLine [-61701 31833 -66417 31833 800] + ElementLine [-66417 31833 -66417 7188 800] + ElementLine [-66417 7188 -66375 7146 800] + ElementLine [-65631 8253 -62487 11397 800] + ElementLine [-62487 11397 -65631 14541 800] + ElementLine [-65631 14541 -62487 17685 800] + ElementLine [-62487 17685 -65631 20829 800] + ElementLine [-65631 20829 -62487 23973 800] + ElementLine [-62487 23973 -65631 27117 800] + ElementLine [-65631 27117 -62487 30261 800] + ElementLine [-62487 30261 -62880 30261 800] + ElementLine [-62880 30261 -64452 31833 800] + + ) diff --git a/hardware/symbols/FCI-10067847.sym b/hardware/symbols/FCI-10067847.sym new file mode 100644 index 0000000..e93a9fa --- /dev/null +++ b/hardware/symbols/FCI-10067847.sym @@ -0,0 +1,156 @@ +v 20060113 1 +P 100 4900 400 4900 1 0 0 +{ +T 300 4950 5 8 1 1 0 6 1 +pinnumber=9 +T 300 4850 5 8 0 1 0 8 1 +pinseq=1 +T 450 4900 9 8 1 1 0 0 1 +pinlabel=DAT2 +T 450 4900 5 8 0 1 0 2 1 +pintype=io +} +P 100 4500 400 4500 1 0 0 +{ +T 300 4550 5 8 1 1 0 6 1 +pinnumber=1 +T 300 4450 5 8 0 1 0 8 1 +pinseq=2 +T 450 4500 9 8 1 1 0 0 1 +pinlabel=DAT3,\_CS\_ +T 450 4500 5 8 0 1 0 2 1 +pintype=io +} +P 100 4100 400 4100 1 0 0 +{ +T 300 4150 5 8 1 1 0 6 1 +pinnumber=2 +T 300 4050 5 8 0 1 0 8 1 +pinseq=3 +T 450 4100 9 8 1 1 0 0 1 +pinlabel=CMD/DI,MOSI +T 450 4100 5 8 0 1 0 2 1 +pintype=io +} +P 100 3700 400 3700 1 0 0 +{ +T 300 3750 5 8 1 1 0 6 1 +pinnumber=3 +T 300 3650 5 8 0 1 0 8 1 +pinseq=4 +T 450 3700 9 8 1 1 0 0 1 +pinlabel=GND +T 450 3700 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 3300 400 3300 1 0 0 +{ +T 300 3350 5 8 1 1 0 6 1 +pinnumber=4 +T 300 3250 5 8 0 1 0 8 1 +pinseq=5 +T 450 3300 9 8 1 1 0 0 1 +pinlabel=Vcc +T 450 3300 5 8 0 1 0 2 1 +pintype=io +} +P 100 2900 400 2900 1 0 0 +{ +T 300 2950 5 8 1 1 0 6 1 +pinnumber=5 +T 300 2850 5 8 0 1 0 8 1 +pinseq=6 +T 450 2900 9 8 1 1 0 0 1 +pinlabel=SCK +T 450 2900 5 8 0 1 0 2 1 +pintype=io +} +P 100 2500 400 2500 1 0 0 +{ +T 300 2550 5 8 1 1 0 6 1 +pinnumber=6 +T 300 2450 5 8 0 1 0 8 1 +pinseq=7 +T 450 2500 9 8 1 1 0 0 1 +pinlabel=GND +T 450 2500 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 2100 400 2100 1 0 0 +{ +T 300 2150 5 8 1 1 0 6 1 +pinnumber=7 +T 300 2050 5 8 0 1 0 8 1 +pinseq=8 +T 450 2100 9 8 1 1 0 0 1 +pinlabel=DAT0/DO,MISO +T 450 2100 5 8 0 1 0 2 1 +pintype=io +} +P 100 1700 400 1700 1 0 0 +{ +T 300 1750 5 8 1 1 0 6 1 +pinnumber=8 +T 300 1650 5 8 0 1 0 8 1 +pinseq=9 +T 450 1700 9 8 1 1 0 0 1 +pinlabel=DAT1/IRQ +T 450 1700 5 8 0 1 0 2 1 +pintype=io +} +P 100 1300 400 1300 1 0 0 +{ +T 300 1350 5 8 1 1 0 6 1 +pinnumber=11 +T 300 1250 5 8 0 1 0 8 1 +pinseq=10 +T 450 1300 9 8 1 1 0 0 1 +pinlabel=\_CD\_ +T 450 1300 5 8 0 1 0 2 1 +pintype=out +} +P 100 900 400 900 1 0 0 +{ +T 300 950 5 8 1 1 0 6 1 +pinnumber=12 +T 300 850 5 8 0 1 0 8 1 +pinseq=11 +T 450 900 9 8 1 1 0 0 1 +pinlabel=GND +T 450 900 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 500 400 500 1 0 0 +{ +T 300 550 5 8 1 1 0 6 1 +pinnumber=10 +T 300 450 5 8 0 1 0 8 1 +pinseq=12 +T 450 500 9 8 1 1 0 0 1 +pinlabel=\_WP\_ +T 450 500 5 8 0 1 0 2 1 +pintype=out +} +B 400 100 1000 5200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +T 1400 5400 8 10 1 1 0 6 1 +refdes=J? +T 400 5400 9 10 1 0 0 0 1 +FCI-10067847-001RLF +T 400 5600 5 10 0 0 0 0 1 +device=FCI-10067847-001RLF +T 400 5800 5 10 0 0 0 0 1 +footprint=FCI-10067847-001RLF +T 400 6000 5 10 0 0 0 0 1 +author=Michael McMaster +T 400 6200 5 10 0 0 0 0 1 +documentation=http://www.alps.com/WebObjects/catalog.woa/E/HTML/Connector/SDMemoryCard/SCDA/SCDA7A0101.html +T 400 6400 5 10 0 0 0 0 1 +description=FCI SD Card 10067847-001RLF +T 400 6600 5 10 0 0 0 0 1 +numslots=0 +T 400 6800 5 10 0 0 0 0 1 +dist-license=gpl3+ +T 400 7000 5 10 0 0 0 0 1 +use-license=gpl3+ +T 400 7200 5 10 0 0 0 0 1 +net=GND:13 diff --git a/hardware/symbols/FCI-10067847.tragesym b/hardware/symbols/FCI-10067847.tragesym new file mode 100644 index 0000000..ab89639 --- /dev/null +++ b/hardware/symbols/FCI-10067847.tragesym @@ -0,0 +1,73 @@ +# This is the template file for creating symbols with tragesym +# every line starting with '#' is a comment line. + +[options] +# wordswap swaps labels if the pin is on the right side an looks like this: +# "PB1 (CLK)". That's useful for micro controller port labels +# rotate_labels rotates the pintext of top and bottom pins +# this is useful for large symbols like FPGAs with more than 100 pins +# sort_labels will sort the pins by it's labels +# useful for address ports, busses, ... +wordswap=yes +rotate_labels=yes +sort_labels=no +generate_pinseq=yes +sym_width=1000 +pinwidthvertical=400 +pinwidthhorizontal=400 + +[geda_attr] +# name will be printed in the top of the symbol +# name is only some graphical text, not an attribute +# version specifies a gschem version. +# if you have a device with slots, you'll have to use slot= and slotdef= +# use comment= if there are special information you want to add +version=20060113 1 +name=FCI-10067847-001RLF +device=FCI-10067847-001RLF +refdes=J? +footprint=FCI-10067847-001RLF +description=FCI SD Card 10067847-001RLF +documentation=http://www.alps.com/WebObjects/catalog.woa/E/HTML/Connector/SDMemoryCard/SCDA/SCDA7A0101.html +author=Michael McMaster +dist-license=gpl3+ +use-license=gpl3+ +numslots=0 +#slot=1 +#slotdef=1: +#slotdef=2: +#slotdef=3: +#slotdef=4: +#comment= +#comment= +#comment= + +[pins] +# tabseparated list of pin descriptions +# ---------------------------------------- +# pinnr is the physical number of the pin +# seq is the pinseq= attribute, leave it blank if it doesn't matter +# type can be (in, out, io, oc, oe, pas, tp, tri, clk, pwr) +# style can be (line,dot,clk,dotclk,spacer,none). none if only want to add a net +# posit. can be (l,r,t,b) or empty for nets. +# net specifies the name of the net. Vcc or GND for example. +# label represents the pinlabel. +# negation lines can be added with "\_" example: \_enable\_ +# if you want to write a "\" use "\\" as escape sequence +#----------------------------------------------------- +#pinnr seq type style posit. net label +#----------------------------------------------------- +9 io line l DAT2 +1 io line l DAT3,\_CS\_ +2 io line l CMD/DI,MOSI +3 PWR line l GND GND +4 io line l Vcc +5 io line l SCK +6 PWR line l GND GND +7 io line l DAT0/DO,MISO +8 io line l DAT1/IRQ +11 out line l \_CD\_ +12 pwr line l GND GND +10 out line l \_WP\_ +13 pwr none r GND GND + diff --git a/hardware/symbols/FTSH-105-01-L-DV-K.fp b/hardware/symbols/FTSH-105-01-L-DV-K.fp new file mode 100644 index 0000000..f33b74a --- /dev/null +++ b/hardware/symbols/FTSH-105-01-L-DV-K.fp @@ -0,0 +1,25 @@ + +Element["" "" "" "" 12500 1700 0 0 0 100 ""] +( + Pad[20550 356 20550 8456 2900 1200 4100 "" "10" ""] + Pad[15550 356 15550 8456 2900 1200 4100 "" "8" ""] + Pad[10550 356 10550 8456 2900 1200 4100 "" "6" ""] + Pad[5550 356 5550 8456 2900 1200 4100 "" "4" ""] + Pad[550 356 550 8456 2900 1200 4100 "" "2" ""] + Pad[20550 16356 20550 24456 2900 1200 4100 "" "9" "edge2"] + Pad[15550 16356 15550 24456 2900 1200 4100 "" "7" "edge2"] + Pad[10550 16356 10550 24456 2900 1200 4100 "" "5" "edge2"] + Pad[5550 16356 5550 24456 2900 1200 4100 "" "3" "edge2"] + Pad[550 16356 550 24456 2900 1200 4100 "" "1" "edge2"] + ElementLine [33000 19406 33000 5606 1000] + ElementLine [-12000 5606 -12000 19406 1000] + ElementLine [-12000 5606 -2906 5606 1000] + ElementLine [-2906 5606 -2900 5600 1000] + ElementLine [33000 5700 24200 5700 1000] + ElementLine [-12000 19400 -2900 19400 1000] + ElementLine [33000 19400 24300 19400 1000] + ElementLine [-2900 19400 -2900 14000 1000] + ElementLine [-2900 14000 24300 14000 1000] + ElementLine [24300 14000 24300 19400 1000] + + ) diff --git a/hardware/symbols/HEADER50_2_RA.fp b/hardware/symbols/HEADER50_2_RA.fp new file mode 100644 index 0000000..89127d3 --- /dev/null +++ b/hardware/symbols/HEADER50_2_RA.fp @@ -0,0 +1,64 @@ +Element(0x00 "Right-angle Header connector, ribbon cable numbering" "" "HEADER50_2" 260 0 3 100 0x00) +( + Pin(150 2450 60 38 "1" 0x01) + Pin(50 2450 60 38 "2" 0x01) + Pin(150 2350 60 38 "3" 0x01) + Pin(50 2350 60 38 "4" 0x01) + Pin(150 2250 60 38 "5" 0x01) + Pin(50 2250 60 38 "6" 0x01) + Pin(150 2150 60 38 "7" 0x01) + Pin(50 2150 60 38 "8" 0x01) + Pin(150 2050 60 38 "9" 0x01) + Pin(50 2050 60 38 "10" 0x01) + Pin(150 1950 60 38 "11" 0x01) + Pin(50 1950 60 38 "12" 0x01) + Pin(150 1850 60 38 "13" 0x01) + Pin(50 1850 60 38 "14" 0x01) + Pin(150 1750 60 38 "15" 0x01) + Pin(50 1750 60 38 "16" 0x01) + Pin(150 1650 60 38 "17" 0x01) + Pin(50 1650 60 38 "18" 0x01) + Pin(150 1550 60 38 "19" 0x01) + Pin(50 1550 60 38 "20" 0x01) + Pin(150 1450 60 38 "21" 0x01) + Pin(50 1450 60 38 "22" 0x01) + Pin(150 1350 60 38 "23" 0x01) + Pin(50 1350 60 38 "24" 0x01) + Pin(150 1250 60 38 "25" 0x01) + Pin(50 1250 60 38 "26" 0x01) + Pin(150 1150 60 38 "27" 0x01) + Pin(50 1150 60 38 "28" 0x01) + Pin(150 1050 60 38 "29" 0x01) + Pin(50 1050 60 38 "30" 0x01) + Pin(150 950 60 38 "31" 0x01) + Pin(50 950 60 38 "32" 0x01) + Pin(150 850 60 38 "33" 0x01) + Pin(50 850 60 38 "34" 0x01) + Pin(150 750 60 38 "35" 0x01) + Pin(50 750 60 38 "36" 0x01) + Pin(150 650 60 38 "37" 0x01) + Pin(50 650 60 38 "38" 0x01) + Pin(150 550 60 38 "39" 0x01) + Pin(50 550 60 38 "40" 0x01) + Pin(150 450 60 38 "41" 0x01) + Pin(50 450 60 38 "42" 0x01) + Pin(150 350 60 38 "43" 0x01) + Pin(50 350 60 38 "44" 0x01) + Pin(150 250 60 38 "45" 0x01) + Pin(50 250 60 38 "46" 0x01) + Pin(150 150 60 38 "47" 0x01) + Pin(50 150 60 38 "48" 0x01) + Pin(150 50 60 38 "49" 0x01) + Pin(50 50 60 38 "50" 0x101) + ElementLine(0 0 0 2500 10) + ElementLine(0 2500 200 2500 10) + ElementLine(200 2500 200 0 10) + ElementLine(200 0 0 0 10) + ElementLine(0 100 100 100 10) + ElementLine(100 100 100 0 10) + ElementLine(-350 0 0 0 10) + ElementLine(-350 0 -350 2500 10) + ElementLine(-350 2500 0 2500 10) + Mark(50 50) + +) diff --git a/hardware/symbols/LD1117.sym b/hardware/symbols/LD1117.sym new file mode 100644 index 0000000..8f9b12b --- /dev/null +++ b/hardware/symbols/LD1117.sym @@ -0,0 +1,55 @@ +v 20060113 1 +P 900 100 900 400 1 0 0 +{ +T 850 300 5 8 1 1 90 6 1 +pinnumber=1 +T 950 300 5 8 0 1 90 8 1 +pinseq=1 +T 900 450 9 8 1 1 90 0 1 +pinlabel=GND +T 900 450 5 8 0 1 90 2 1 +pintype=pwr +} +P 1700 800 1400 800 1 0 0 +{ +T 1500 850 5 8 1 1 0 0 1 +pinnumber=2 +T 1500 750 5 8 0 1 0 2 1 +pinseq=2 +T 1350 800 9 8 1 1 0 6 1 +pinlabel=OUT +T 1350 800 5 8 0 1 0 8 1 +pintype=pwr +} +P 100 800 400 800 1 0 0 +{ +T 300 850 5 8 1 1 0 6 1 +pinnumber=3 +T 300 750 5 8 0 1 0 8 1 +pinseq=3 +T 450 800 9 8 1 1 0 0 1 +pinlabel=IN +T 450 800 5 8 0 1 0 2 1 +pintype=pwr +} +B 400 400 1000 800 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +T 1400 1300 8 10 1 1 0 6 1 +refdes=U? +T 400 1300 9 10 1 0 0 0 1 +LD1117 +T 400 1500 5 10 0 0 0 0 1 +device=LD1117 +T 400 1700 5 10 0 0 0 0 1 +footprint=DPAK +T 400 1900 5 10 0 0 0 0 1 +author=Michael McMaster +T 400 2100 5 10 0 0 0 0 1 +documentation=http://www.st.com/web/en/resource/technical/document/datasheet/CD00000544.pdf +T 400 2300 5 10 0 0 0 0 1 +description=LDO Regulator, fixed +T 400 2500 5 10 0 0 0 0 1 +numslots=0 +T 400 2700 5 10 0 0 0 0 1 +dist-license=gpl3+ +T 400 2900 5 10 0 0 0 0 1 +use-license=gpl3+ diff --git a/hardware/symbols/LD1117.tragesym b/hardware/symbols/LD1117.tragesym new file mode 100644 index 0000000..cdcf107 --- /dev/null +++ b/hardware/symbols/LD1117.tragesym @@ -0,0 +1,64 @@ +# This is the template file for creating symbols with tragesym +# every line starting with '#' is a comment line. + +[options] +# wordswap swaps labels if the pin is on the right side an looks like this: +# "PB1 (CLK)". That's useful for micro controller port labels +# rotate_labels rotates the pintext of top and bottom pins +# this is useful for large symbols like FPGAs with more than 100 pins +# sort_labels will sort the pins by it's labels +# useful for address ports, busses, ... +wordswap=yes +rotate_labels=yes +sort_labels=no +generate_pinseq=yes +sym_width=1000 +pinwidthvertical=400 +pinwidthhorizontal=400 + +[geda_attr] +# name will be printed in the top of the symbol +# name is only some graphical text, not an attribute +# version specifies a gschem version. +# if you have a device with slots, you'll have to use slot= and slotdef= +# use comment= if there are special information you want to add +version=20060113 1 +name=LD1117 +device=LD1117 +refdes=U? +footprint=DPAK +description=LDO Regulator, fixed +documentation=http://www.st.com/web/en/resource/technical/document/datasheet/CD00000544.pdf +author=Michael McMaster +dist-license=gpl3+ +use-license=gpl3+ +numslots=0 +#slot=1 +#slotdef=1: +#slotdef=2: +#slotdef=3: +#slotdef=4: +#comment= +#comment= +#comment= + +[pins] +# tabseparated list of pin descriptions +# ---------------------------------------- +# pinnr is the physical number of the pin +# seq is the pinseq= attribute, leave it blank if it doesn't matter +# type can be (in, out, io, oc, oe, pas, tp, tri, clk, pwr) +# style can be (line,dot,clk,dotclk,spacer,none). none if only want to add a net +# posit. can be (l,r,t,b) or empty for nets. +# net specifies the name of the net. Vcc or GND for example. +# label represents the pinlabel. +# negation lines can be added with "\_" example: \_enable\_ +# if you want to write a "\" use "\\" as escape sequence +#----------------------------------------------------- +#pinnr seq type style posit. net label +#----------------------------------------------------- +1 pwr line b GND GND +2 pwr line r OUT +3 pwr line l IN + + diff --git a/hardware/symbols/MOLEX8981.fp b/hardware/symbols/MOLEX8981.fp new file mode 100644 index 0000000..862a706 --- /dev/null +++ b/hardware/symbols/MOLEX8981.fp @@ -0,0 +1,18 @@ +Element ["" "Molex 15-24-4441" "" "" 10000 10000 8000 8000 0 100 ""] ( + Pin [0 0 10000 3000 11000 6000 "Pin4" "4" ""] + Pin [20000 0 10000 3000 11000 6000 "Pin3" "3" ""] + Pin [40000 0 10000 3000 11000 6000 "Pin2" "2" ""] + Pin [60000 0 10000 3000 11000 6000 "Pin1" "1" ""] + Pin [-10000 -10000 11000 3000 11000 10000 "LeftHole" "" "hole"] + Pin [70000 -10000 11000 3000 11000 10000 "RightHole" "" "hole"] + + Pin [10000 -40000 16000 3000 16000 15700 "BackLeftHole" "" "hole"] + Pin [50000 -40000 16000 3000 16000 15700 "BackRightHole" "" "hole"] + + ElementLine[-16000 9000 76000 9000 1000] + ElementLine[-16000 9000 -16000 -50000 1000] + ElementLine[-16000 -50000 76000 -50000 1000] + ElementLine[76000 -50000 76000 9000 1000] +) + + diff --git a/hardware/symbols/MOLEX8981.sym b/hardware/symbols/MOLEX8981.sym new file mode 100644 index 0000000..34f965a --- /dev/null +++ b/hardware/symbols/MOLEX8981.sym @@ -0,0 +1,64 @@ +v 20060113 1 +P 100 1700 400 1700 1 0 0 +{ +T 300 1750 5 8 1 1 0 6 1 +pinnumber=1 +T 300 1650 5 8 0 1 0 8 1 +pinseq=1 +T 450 1700 9 8 1 1 0 0 1 +pinlabel=+12V +T 450 1700 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 1300 400 1300 1 0 0 +{ +T 300 1350 5 8 1 1 0 6 1 +pinnumber=2 +T 300 1250 5 8 0 1 0 8 1 +pinseq=2 +T 450 1300 9 8 1 1 0 0 1 +pinlabel=GND +T 450 1300 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 900 400 900 1 0 0 +{ +T 300 950 5 8 1 1 0 6 1 +pinnumber=3 +T 300 850 5 8 0 1 0 8 1 +pinseq=3 +T 450 900 9 8 1 1 0 0 1 +pinlabel=GND +T 450 900 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 500 400 500 1 0 0 +{ +T 300 550 5 8 1 1 0 6 1 +pinnumber=4 +T 300 450 5 8 0 1 0 8 1 +pinseq=4 +T 450 500 9 8 1 1 0 0 1 +pinlabel=+5V +T 450 500 5 8 0 1 0 2 1 +pintype=pwr +} +B 400 100 500 2000 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +T 900 2200 8 10 1 1 0 6 1 +refdes=J? +T 400 2200 9 10 1 0 0 0 1 +MOLEX8981 +T 400 2400 5 10 0 0 0 0 1 +device=MOLEX8981 +T 400 2600 5 10 0 0 0 0 1 +footprint=MOLEX +T 400 2800 5 10 0 0 0 0 1 +author=Michael McMaster +T 400 3000 5 10 0 0 0 0 1 +description=Disk drive power connector +T 400 3200 5 10 0 0 0 0 1 +numslots=0 +T 400 3400 5 10 0 0 0 0 1 +dist-license=gpl3+ +T 400 3600 5 10 0 0 0 0 1 +use-license=gpl3+ diff --git a/hardware/symbols/MOLEX8981.tragesym b/hardware/symbols/MOLEX8981.tragesym new file mode 100644 index 0000000..e90c617 --- /dev/null +++ b/hardware/symbols/MOLEX8981.tragesym @@ -0,0 +1,63 @@ +# This is the template file for creating symbols with tragesym +# every line starting with '#' is a comment line. + +[options] +# wordswap swaps labels if the pin is on the right side an looks like this: +# "PB1 (CLK)". That's useful for micro controller port labels +# rotate_labels rotates the pintext of top and bottom pins +# this is useful for large symbols like FPGAs with more than 100 pins +# sort_labels will sort the pins by it's labels +# useful for address ports, busses, ... +wordswap=yes +rotate_labels=yes +sort_labels=no +generate_pinseq=yes +sym_width=500 +pinwidthvertical=400 +pinwidthhorizontal=400 + +[geda_attr] +# name will be printed in the top of the symbol +# name is only some graphical text, not an attribute +# version specifies a gschem version. +# if you have a device with slots, you'll have to use slot= and slotdef= +# use comment= if there are special information you want to add +version=20060113 1 +name=MOLEX8981 +device=MOLEX8981 +refdes=J? +footprint=MOLEX +description=Disk drive power connector +documentation= +author=Michael McMaster +dist-license=gpl3+ +use-license=gpl3+ +numslots=0 +#slot=1 +#slotdef=1: +#slotdef=2: +#slotdef=3: +#slotdef=4: +#comment= +#comment= +#comment= + +[pins] +# tabseparated list of pin descriptions +# ---------------------------------------- +# pinnr is the physical number of the pin +# seq is the pinseq= attribute, leave it blank if it doesn't matter +# type can be (in, out, io, oc, oe, pas, tp, tri, clk, pwr) +# style can be (line,dot,clk,dotclk,spacer,none). none if only want to add a net +# posit. can be (l,r,t,b) or empty for nets. +# net specifies the name of the net. Vcc or GND for example. +# label represents the pinlabel. +# negation lines can be added with "\_" example: \_enable\_ +# if you want to write a "\" use "\\" as escape sequence +#----------------------------------------------------- +#pinnr seq type style posit. net label +#----------------------------------------------------- +1 pwr line l +12V +2 pwr line l GND +3 pwr line l GND +4 pwr line l +5V diff --git a/hardware/symbols/SCDA7A0101.sym b/hardware/symbols/SCDA7A0101.sym new file mode 100644 index 0000000..47be15d --- /dev/null +++ b/hardware/symbols/SCDA7A0101.sym @@ -0,0 +1,156 @@ +v 20060113 1 +P 100 4900 400 4900 1 0 0 +{ +T 300 4950 5 8 1 1 0 6 1 +pinnumber=9 +T 300 4850 5 8 0 1 0 8 1 +pinseq=1 +T 450 4900 9 8 1 1 0 0 1 +pinlabel=DAT2 +T 450 4900 5 8 0 1 0 2 1 +pintype=io +} +P 100 4500 400 4500 1 0 0 +{ +T 300 4550 5 8 1 1 0 6 1 +pinnumber=1 +T 300 4450 5 8 0 1 0 8 1 +pinseq=2 +T 450 4500 9 8 1 1 0 0 1 +pinlabel=DAT3,\_CS\_ +T 450 4500 5 8 0 1 0 2 1 +pintype=io +} +P 100 4100 400 4100 1 0 0 +{ +T 300 4150 5 8 1 1 0 6 1 +pinnumber=2 +T 300 4050 5 8 0 1 0 8 1 +pinseq=3 +T 450 4100 9 8 1 1 0 0 1 +pinlabel=CMD/DI,MOSI +T 450 4100 5 8 0 1 0 2 1 +pintype=io +} +P 100 3700 400 3700 1 0 0 +{ +T 300 3750 5 8 1 1 0 6 1 +pinnumber=3 +T 300 3650 5 8 0 1 0 8 1 +pinseq=4 +T 450 3700 9 8 1 1 0 0 1 +pinlabel=GND +T 450 3700 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 3300 400 3300 1 0 0 +{ +T 300 3350 5 8 1 1 0 6 1 +pinnumber=4 +T 300 3250 5 8 0 1 0 8 1 +pinseq=5 +T 450 3300 9 8 1 1 0 0 1 +pinlabel=Vcc +T 450 3300 5 8 0 1 0 2 1 +pintype=io +} +P 100 2900 400 2900 1 0 0 +{ +T 300 2950 5 8 1 1 0 6 1 +pinnumber=5 +T 300 2850 5 8 0 1 0 8 1 +pinseq=6 +T 450 2900 9 8 1 1 0 0 1 +pinlabel=SCK +T 450 2900 5 8 0 1 0 2 1 +pintype=io +} +P 100 2500 400 2500 1 0 0 +{ +T 300 2550 5 8 1 1 0 6 1 +pinnumber=6 +T 300 2450 5 8 0 1 0 8 1 +pinseq=7 +T 450 2500 9 8 1 1 0 0 1 +pinlabel=GND +T 450 2500 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 2100 400 2100 1 0 0 +{ +T 300 2150 5 8 1 1 0 6 1 +pinnumber=7 +T 300 2050 5 8 0 1 0 8 1 +pinseq=8 +T 450 2100 9 8 1 1 0 0 1 +pinlabel=DAT0/DO,MISO +T 450 2100 5 8 0 1 0 2 1 +pintype=io +} +P 100 1700 400 1700 1 0 0 +{ +T 300 1750 5 8 1 1 0 6 1 +pinnumber=8 +T 300 1650 5 8 0 1 0 8 1 +pinseq=9 +T 450 1700 9 8 1 1 0 0 1 +pinlabel=DAT1/IRQ +T 450 1700 5 8 0 1 0 2 1 +pintype=io +} +P 100 1300 400 1300 1 0 0 +{ +T 300 1350 5 8 1 1 0 6 1 +pinnumber=10 +T 300 1250 5 8 0 1 0 8 1 +pinseq=10 +T 450 1300 9 8 1 1 0 0 1 +pinlabel=\_CD\_ +T 450 1300 5 8 0 1 0 2 1 +pintype=out +} +P 100 900 400 900 1 0 0 +{ +T 300 950 5 8 1 1 0 6 1 +pinnumber=11 +T 300 850 5 8 0 1 0 8 1 +pinseq=11 +T 450 900 9 8 1 1 0 0 1 +pinlabel=GND +T 450 900 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 500 400 500 1 0 0 +{ +T 300 550 5 8 1 1 0 6 1 +pinnumber=12 +T 300 450 5 8 0 1 0 8 1 +pinseq=12 +T 450 500 9 8 1 1 0 0 1 +pinlabel=\_WP\_ +T 450 500 5 8 0 1 0 2 1 +pintype=out +} +B 400 100 1000 5200 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +T 1400 5400 8 10 1 1 0 6 1 +refdes=J? +T 400 5400 9 10 1 0 0 0 1 +SCDA7A0101 +T 400 5600 5 10 0 0 0 0 1 +device=SCDA7A0101 +T 400 5800 5 10 0 0 0 0 1 +footprint=SCDA7A0101 +T 400 6000 5 10 0 0 0 0 1 +author=Michael McMaster +T 400 6200 5 10 0 0 0 0 1 +documentation=http://www.alps.com/WebObjects/catalog.woa/E/HTML/Connector/SDMemoryCard/SCDA/SCDA7A0101.html +T 400 6400 5 10 0 0 0 0 1 +description=SD Memory Card Connector +T 400 6600 5 10 0 0 0 0 1 +numslots=0 +T 400 6800 5 10 0 0 0 0 1 +dist-license=gpl3+ +T 400 7000 5 10 0 0 0 0 1 +use-license=gpl3+ +T 400 7200 5 10 0 0 0 0 1 +net=GND:13,14 diff --git a/hardware/symbols/SCDA7A0101.tragesym b/hardware/symbols/SCDA7A0101.tragesym new file mode 100644 index 0000000..4e590dd --- /dev/null +++ b/hardware/symbols/SCDA7A0101.tragesym @@ -0,0 +1,74 @@ +# This is the template file for creating symbols with tragesym +# every line starting with '#' is a comment line. + +[options] +# wordswap swaps labels if the pin is on the right side an looks like this: +# "PB1 (CLK)". That's useful for micro controller port labels +# rotate_labels rotates the pintext of top and bottom pins +# this is useful for large symbols like FPGAs with more than 100 pins +# sort_labels will sort the pins by it's labels +# useful for address ports, busses, ... +wordswap=yes +rotate_labels=yes +sort_labels=no +generate_pinseq=yes +sym_width=1000 +pinwidthvertical=400 +pinwidthhorizontal=400 + +[geda_attr] +# name will be printed in the top of the symbol +# name is only some graphical text, not an attribute +# version specifies a gschem version. +# if you have a device with slots, you'll have to use slot= and slotdef= +# use comment= if there are special information you want to add +version=20060113 1 +name=SCDA7A0101 +device=SCDA7A0101 +refdes=J? +footprint=SCDA7A0101 +description=SD Memory Card Connector +documentation=http://www.alps.com/WebObjects/catalog.woa/E/HTML/Connector/SDMemoryCard/SCDA/SCDA7A0101.html +author=Michael McMaster +dist-license=gpl3+ +use-license=gpl3+ +numslots=0 +#slot=1 +#slotdef=1: +#slotdef=2: +#slotdef=3: +#slotdef=4: +#comment= +#comment= +#comment= + +[pins] +# tabseparated list of pin descriptions +# ---------------------------------------- +# pinnr is the physical number of the pin +# seq is the pinseq= attribute, leave it blank if it doesn't matter +# type can be (in, out, io, oc, oe, pas, tp, tri, clk, pwr) +# style can be (line,dot,clk,dotclk,spacer,none). none if only want to add a net +# posit. can be (l,r,t,b) or empty for nets. +# net specifies the name of the net. Vcc or GND for example. +# label represents the pinlabel. +# negation lines can be added with "\_" example: \_enable\_ +# if you want to write a "\" use "\\" as escape sequence +#----------------------------------------------------- +#pinnr seq type style posit. net label +#----------------------------------------------------- +9 io line l DAT2 +1 io line l DAT3,\_CS\_ +2 io line l CMD/DI,MOSI +3 PWR line l GND GND +4 io line l Vcc +5 io line l SCK +6 PWR line l GND GND +7 io line l DAT0/DO,MISO +8 io line l DAT1/IRQ +10 out line l \_CD\_ +11 pwr line l GND GND +12 out line l \_WP\_ +13 pwr none r GND GND +14 pwr none r GND GND + diff --git a/hardware/symbols/SOT23_MOSFET.fp b/hardware/symbols/SOT23_MOSFET.fp new file mode 100644 index 0000000..e5679d7 --- /dev/null +++ b/hardware/symbols/SOT23_MOSFET.fp @@ -0,0 +1,30 @@ + # 78 for SOT23 + # 82 for SOT23 + # 41 for SOT23 + # 34 for SOT23, 24 for SOT25 +Element(0x00 "SMT transistor, 3 pins" "" "SOT23" 148 0 3 100 0x00) +( + ElementLine(0 0 0 139 10) + ElementLine(0 139 128 139 10) + ElementLine(128 139 128 0 10) + ElementLine(128 0 0 0 10) + # 1st side, 1st pin + Pad(25 107 + 25 113 + 34 + "1" "G" 0x100) + # 1st side, 2nd pin + # 1st side, 3rd pin + Pad(103 107 + 103 113 + 34 + "2" "S" 0x100) + # 2nd side, 3rd pin + # 2nd side, 2nd pin + Pad(64 25 + 64 31 + 34 + "3" "D" 0x100) + # 2nd side, 1st pin + Mark(25 110) +) diff --git a/hardware/symbols/SOT26_MOSFET.fp b/hardware/symbols/SOT26_MOSFET.fp new file mode 100644 index 0000000..9a9358f --- /dev/null +++ b/hardware/symbols/SOT26_MOSFET.fp @@ -0,0 +1,36 @@ + # 78 for SOT23 + # 82 for SOT23 + # 41 for SOT23 + # 34 for SOT23, 24 for SOT25 +Element(0x00 "SMT transistor, 6 pins" "" "SOT26" 138 0 3 100 0x00) +( + ElementLine(0 0 0 139 10) + ElementLine(0 139 118 139 10) + ElementLine(118 139 118 0 10) + ElementLine(118 0 0 0 10) + # 1st side, 1st pin + Pad(20 102 + 20 118 + 24 "1" "D" 0x100) + # 1st side, 2nd pin + Pad(59 102 + 59 118 + 24 "2" "D" 0x100) + # 1st side, 3rd pin + Pad(98 102 + 98 118 + 24 "3" "G" 0x100) + # 2nd side, 3rd pin + Pad(98 20 + 98 36 + 24 "4" "S" 0x100) + # 2nd side, 2nd pin + Pad(59 20 + 59 36 + 24 "5" "D" 0x100) + # 2nd side, 1st pin + Pad(20 20 + 20 36 + 24 "6" "D" 0x100) + Mark(20 110) +) diff --git a/hardware/symbols/TO220_TRANSISTOR.fp b/hardware/symbols/TO220_TRANSISTOR.fp new file mode 100644 index 0000000..0bf5eb9 --- /dev/null +++ b/hardware/symbols/TO220_TRANSISTOR.fp @@ -0,0 +1,19 @@ + Element(0x00 "Transistor" "" "TO220W" 0 10 0 100 0x00) +( + Pin(100 200 90 60 "1" "B" 0x101) + Pin(200 200 90 60 "2" "C" 0x01) + Pin(300 200 90 60 "3" "E" 0x01) + # Gehaeuse + ElementLine( 0 80 400 80 20) + ElementLine(400 80 400 260 20) + ElementLine(400 260 0 260 20) + ElementLine( 0 260 0 80 20) + # Kuehlfahne icl. Bohrung + ElementLine( 0 80 400 80 20) + ElementLine(400 80 400 140 20) + ElementLine(400 140 0 140 20) + ElementLine( 0 140 0 80 20) + ElementLine(130 80 130 140 10) + ElementLine(270 80 270 140 10) + Mark(100 200) +) diff --git a/hardware/symbols/cap_0402.fp b/hardware/symbols/cap_0402.fp new file mode 100644 index 0000000..da98f0a --- /dev/null +++ b/hardware/symbols/cap_0402.fp @@ -0,0 +1,11 @@ + +Element["" "" "" "" 2137 2037 0 0 0 100 ""] +( + Pad[3872 35 3872 35 1969 1200 2569 "1" "1" "square,edge2"] + Pad[-65 35 -65 35 1969 1200 2569 "2" "2" "square"] + ElementLine [-1837 1806 -1837 -1737 600] + ElementLine [5643 1806 -1837 1806 600] + ElementLine [5643 -1737 5643 1806 600] + ElementLine [-1837 -1737 5643 -1737 600] + + ) diff --git a/hardware/symbols/diode-DO-214AA-SMB.fp b/hardware/symbols/diode-DO-214AA-SMB.fp new file mode 100644 index 0000000..de93706 --- /dev/null +++ b/hardware/symbols/diode-DO-214AA-SMB.fp @@ -0,0 +1,24 @@ + +Element["" "" "" "" 235039 155118 0 0 0 100 ""] +( + Pad[197 -591 984 -591 9055 2000 10055 "cathode" "1" "square"] + Pad[17126 -591 17913 -591 9055 2000 10055 "anode" "2" "square,edge2"] + ElementLine [-5118 -9843 -5118 9055 1000] + ElementLine [-5118 9055 23622 9055 1000] + ElementLine [23622 9055 23622 -9843 1000] + ElementLine [23622 -9843 -5118 -9843 1000] + ElementLine [-394 -9843 -394 -5906 1000] + ElementLine [-394 -5906 394 -5906 1000] + ElementLine [394 -5906 394 -9055 1000] + ElementLine [-394 9055 -394 5118 1000] + ElementLine [-394 5118 394 5118 1000] + ElementLine [394 5118 394 8268 1000] + ElementLine [10236 3937 7874 6299 1000] + ElementLine [7874 6299 10630 9055 1000] + ElementLine [10630 9055 10630 4331 1000] + ElementLine [10630 4331 10236 3937 1000] + ElementLine [10630 6299 16535 6299 1000] + ElementLine [7087 3543 7087 9055 1000] + ElementLine [4724 6299 7874 6299 1000] + + ) diff --git a/hardware/symbols/fci-10118192-0001LF.fp b/hardware/symbols/fci-10118192-0001LF.fp new file mode 100644 index 0000000..c67a01a --- /dev/null +++ b/hardware/symbols/fci-10118192-0001LF.fp @@ -0,0 +1,23 @@ + +Element["" "" "" "" 275009 222794 0 0 0 100 ""] +( + Pad[-63 -8271 -63 -4531 1575 1200 2175 "3" "3" "square"] + Pad[5055 -8271 5055 -4531 1575 1200 2175 "5" "5" "square"] + Pad[-5181 -8271 -5181 -4531 1575 1200 2175 "1" "1" "square"] + Pad[2496 -8271 2496 -4531 1575 1200 2175 "4" "4" "square"] + Pad[-2622 -8271 -2622 -4531 1575 1200 2175 "2" "2" "square"] + Pad[-13252 -5909 -11284 -5909 6299 1200 6899 "" "6" "square"] + Pad[11157 -5909 13126 -5909 6299 1200 6899 "" "7" "square,edge2"] + Pad[-15024 3934 -15024 4328 7087 1200 7687 "" "8" "square,edge2"] + Pad[-4788 4131 -4788 4131 7480 1200 8080 "" "9" "square"] + Pad[4661 4131 4661 4131 7480 1200 8080 "" "10" "square,edge2"] + Pad[14897 3934 14897 4328 7087 1200 7687 "" "11" "square,edge2"] + ElementLine [-18567 9840 18441 9840 600] + ElementLine [-15024 9840 -15024 8462 600] + ElementLine [-15024 -3 -15024 -2168 600] + ElementLine [15094 9840 15094 8462 600] + ElementLine [15094 -3 15094 -2168 600] + ElementLine [-7741 -7877 -6559 -7877 600] + ElementLine [6236 -7877 7614 -7877 600] + + ) diff --git a/hardware/symbols/usbmini.sym b/hardware/symbols/usbmini.sym new file mode 100644 index 0000000..6191177 --- /dev/null +++ b/hardware/symbols/usbmini.sym @@ -0,0 +1,51 @@ +v 20070818 1 +T 100 100 0 1 0 0 0 0 1 +gedasymbols::url=http://www.gedasymbols.org/user/sean_depagnier/symbols/usbmini.sym +T 700 1600 8 10 1 1 0 0 1 +refdes=CONN? +T 100 1600 9 10 1 1 0 0 1 +device=USB +T 400 12650 8 10 0 0 0 0 1 +footprint=usbminib +B 100 0 800 1500 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +P 1300 400 900 400 1 0 0 +{ +T 1100 490 5 10 1 1 0 0 1 +pinnumber=4 +T 600 380 5 10 1 1 0 0 1 +pinlabel=ID +} +P 1300 700 900 700 1 0 0 +{ +T 1100 730 5 10 1 1 0 0 1 +pinnumber=3 +T 600 720 5 10 1 1 0 0 1 +pinlabel=D+ +} +P 1300 1000 900 1000 1 0 0 +{ +T 1100 1070 5 10 1 1 0 0 1 +pinnumber=2 +T 600 1060 5 10 1 1 0 0 1 +pinlabel=D- +} +P 1300 1300 900 1300 1 0 0 +{ +T 1100 1310 5 10 1 1 0 0 1 +pinnumber=1 +T 400 1300 5 10 1 1 0 0 1 +pinlabel=VCC +} +T 400 12450 8 10 0 0 0 0 1 +T 400 13650 8 10 0 0 0 0 1 +T 400 13850 8 10 0 0 0 0 1 +numslots=0 +T 400 14050 8 10 0 0 0 0 1 +author=Sean D'Epagnier +P 1300 100 900 100 1 0 0 +{ +T 1100 190 5 10 1 1 0 0 1 +pinnumber=5 +T 400 80 5 10 1 1 0 0 1 +pinlabel=GND +} diff --git a/hardware/symbols/wurth-microsd.fp b/hardware/symbols/wurth-microsd.fp new file mode 100644 index 0000000..b729c4e --- /dev/null +++ b/hardware/symbols/wurth-microsd.fp @@ -0,0 +1,28 @@ + +Element["" "" "" "" 58000 53000 0 0 0 100 ""] +( + Pad[-7555 -17555 -7555 -14799 3150 1200 3750 "1" "1" "square"] + Pad[-3224 -15980 -3224 -13224 3150 1200 3750 "2" "2" "square"] + Pad[1106 -17555 1106 -14799 3150 1200 3750 "3" "3" "square"] + Pad[5437 -18343 5437 -15587 3150 1200 3750 "4" "4" "square"] + Pad[9768 -17555 9768 -14799 3150 1200 3750 "5" "5" "square"] + Pad[14098 -18343 14098 -15587 3150 1200 3750 "6" "6" "square"] + Pad[18429 -17555 18429 -14799 3150 1200 3750 "7" "7" "square"] + Pad[22760 -17555 22760 -14799 3150 1200 3750 "8" "8" "square"] + Pad[25909 -28185 25909 -26217 5512 1200 6112 "9" "9" "square"] + Pad[18035 24571 19610 24571 5512 1200 6112 "10" "10" "square,edge2"] + Pad[-4406 24571 -2831 24571 5512 1200 6112 "10" "10" "square"] + Pad[-26453 -32516 -26453 -30547 5512 1200 6112 "9" "9" "square"] + ElementLine [-19000 -31500 -11000 -31500 600] + ElementLine [-11000 -31500 -11000 -26500 600] + ElementLine [-11000 -26500 19500 -26500 600] + ElementLine [19500 -26500 22000 -29000 600] + ElementLine [-19000 -31500 -19000 -34000 600] + ElementLine [-19000 -34000 -22500 -34000 600] + ElementLine [-28000 -27000 -28000 26000 600] + ElementLine [-28000 26000 -9000 26000 600] + ElementLine [1000 26000 14000 26000 600] + ElementLine [23500 26000 27500 26000 600] + ElementLine [27500 26000 27500 -22000 600] + + ) diff --git a/hardware/symbols/wurth-microsd.sym b/hardware/symbols/wurth-microsd.sym new file mode 100644 index 0000000..6dddefa --- /dev/null +++ b/hardware/symbols/wurth-microsd.sym @@ -0,0 +1,132 @@ +v 20060113 1 +P 100 4100 400 4100 1 0 0 +{ +T 300 4150 5 8 1 1 0 6 1 +pinnumber=1 +T 300 4050 5 8 0 1 0 8 1 +pinseq=1 +T 450 4100 9 8 1 1 0 0 1 +pinlabel=DAT2 +T 450 4100 5 8 0 1 0 2 1 +pintype=io +} +P 100 3700 400 3700 1 0 0 +{ +T 300 3750 5 8 1 1 0 6 1 +pinnumber=2 +T 300 3650 5 8 0 1 0 8 1 +pinseq=2 +T 450 3700 9 8 1 1 0 0 1 +pinlabel=DAT3 +T 450 3700 5 8 0 1 0 2 1 +pintype=io +} +P 100 3300 400 3300 1 0 0 +{ +T 300 3350 5 8 1 1 0 6 1 +pinnumber=3 +T 300 3250 5 8 0 1 0 8 1 +pinseq=3 +T 450 3300 9 8 1 1 0 0 1 +pinlabel=CMD +T 450 3300 5 8 0 1 0 2 1 +pintype=io +} +P 100 2900 400 2900 1 0 0 +{ +T 300 2950 5 8 1 1 0 6 1 +pinnumber=4 +T 300 2850 5 8 0 1 0 8 1 +pinseq=4 +T 450 2900 9 8 1 1 0 0 1 +pinlabel=Vcc +T 450 2900 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 2500 400 2500 1 0 0 +{ +T 300 2550 5 8 1 1 0 6 1 +pinnumber=5 +T 300 2450 5 8 0 1 0 8 1 +pinseq=5 +T 450 2500 9 8 1 1 0 0 1 +pinlabel=CLK +T 450 2500 5 8 0 1 0 2 1 +pintype=clk +} +P 100 2100 400 2100 1 0 0 +{ +T 300 2150 5 8 1 1 0 6 1 +pinnumber=6 +T 300 2050 5 8 0 1 0 8 1 +pinseq=6 +T 450 2100 9 8 1 1 0 0 1 +pinlabel=GND +T 450 2100 5 8 0 1 0 2 1 +pintype=pwr +} +P 100 1700 400 1700 1 0 0 +{ +T 300 1750 5 8 1 1 0 6 1 +pinnumber=7 +T 300 1650 5 8 0 1 0 8 1 +pinseq=7 +T 450 1700 9 8 1 1 0 0 1 +pinlabel=DAT0 +T 450 1700 5 8 0 1 0 2 1 +pintype=io +} +P 100 1300 400 1300 1 0 0 +{ +T 300 1350 5 8 1 1 0 6 1 +pinnumber=8 +T 300 1250 5 8 0 1 0 8 1 +pinseq=8 +T 450 1300 9 8 1 1 0 0 1 +pinlabel=DAT1 +T 450 1300 5 8 0 1 0 2 1 +pintype=io +} +P 100 900 400 900 1 0 0 +{ +T 300 950 5 8 1 1 0 6 1 +pinnumber=9 +T 300 850 5 8 0 1 0 8 1 +pinseq=9 +T 450 900 9 8 1 1 0 0 1 +pinlabel=GND +T 450 900 5 8 0 1 0 2 1 +pintype=io +} +P 100 500 400 500 1 0 0 +{ +T 300 550 5 8 1 1 0 6 1 +pinnumber=10 +T 300 450 5 8 0 1 0 8 1 +pinseq=10 +T 450 500 9 8 1 1 0 0 1 +pinlabel=\_CD\_ +T 450 500 5 8 0 1 0 2 1 +pintype=pwr +} +B 400 100 1000 4400 3 0 0 0 -1 -1 0 -1 -1 -1 -1 -1 +T 1400 4600 8 10 1 1 0 6 1 +refdes=J? +T 400 4600 9 10 1 0 0 0 1 +wurth-microsd +T 400 4800 5 10 0 0 0 0 1 +device=wurth-693071010811 +T 400 5000 5 10 0 0 0 0 1 +footprint=wurth-microsd +T 400 5200 5 10 0 0 0 0 1 +author=Michael McMaster +T 400 5400 5 10 0 0 0 0 1 +documentation=http://www.digikey.com/product-detail/en/693071010811/732-3819-1-ND/3124603 +T 400 5600 5 10 0 0 0 0 1 +description=Wurth MicroSD socket 693071010811 +T 400 5800 5 10 0 0 0 0 1 +numslots=0 +T 400 6000 5 10 0 0 0 0 1 +dist-license=gpl3+ +T 400 6200 5 10 0 0 0 0 1 +use-license=gpl3+ diff --git a/hardware/symbols/wurth-microsd.tragesym b/hardware/symbols/wurth-microsd.tragesym new file mode 100644 index 0000000..d8ef516 --- /dev/null +++ b/hardware/symbols/wurth-microsd.tragesym @@ -0,0 +1,70 @@ +# This is the template file for creating symbols with tragesym +# every line starting with '#' is a comment line. + +[options] +# wordswap swaps labels if the pin is on the right side an looks like this: +# "PB1 (CLK)". That's useful for micro controller port labels +# rotate_labels rotates the pintext of top and bottom pins +# this is useful for large symbols like FPGAs with more than 100 pins +# sort_labels will sort the pins by it's labels +# useful for address ports, busses, ... +wordswap=yes +rotate_labels=yes +sort_labels=no +generate_pinseq=yes +sym_width=1000 +pinwidthvertical=400 +pinwidthhorizontal=400 + +[geda_attr] +# name will be printed in the top of the symbol +# name is only some graphical text, not an attribute +# version specifies a gschem version. +# if you have a device with slots, you'll have to use slot= and slotdef= +# use comment= if there are special information you want to add +version=20060113 1 +name=wurth-microsd +device=wurth-693071010811 +refdes=J? +footprint=wurth-microsd +description=Wurth MicroSD socket 693071010811 +documentation=http://www.digikey.com/product-detail/en/693071010811/732-3819-1-ND/3124603 +author=Michael McMaster +dist-license=gpl3+ +use-license=gpl3+ +numslots=0 +#slot=1 +#slotdef=1: +#slotdef=2: +#slotdef=3: +#slotdef=4: +#comment= +#comment= +#comment= + +[pins] +# tabseparated list of pin descriptions +# ---------------------------------------- +# pinnr is the physical number of the pin +# seq is the pinseq= attribute, leave it blank if it doesn't matter +# type can be (in, out, io, oc, oe, pas, tp, tri, clk, pwr) +# style can be (line,dot,clk,dotclk,spacer,none). none if only want to add a net +# posit. can be (l,r,t,b) or empty for nets. +# net specifies the name of the net. Vcc or GND for example. +# label represents the pinlabel. +# negation lines can be added with "\_" example: \_enable\_ +# if you want to write a "\" use "\\" as escape sequence +#----------------------------------------------------- +#pinnr seq type style posit. net label +#----------------------------------------------------- +1 io line l DAT2 +2 io line l DAT3 +3 io line l CMD +4 pwr line l Vcc +5 clk line l CLK +6 pwr line l GND GND +7 io line l DAT0 +8 io line l DAT1 +9 io line l GND GND +10 pwr line l \_CD\_ + diff --git a/parts.ods b/parts.ods new file mode 100644 index 0000000000000000000000000000000000000000..7ee3910f1cc9b2dffe299db65c258308e0389bec GIT binary patch literal 20160 zcmaHSWmFwYw=M1jcXxMpcXxLuxP{~Xc z#?gVv!@-=<(ZtopmC@13!ol3p%-!C?!Hv*c<8^*%j2sBv`Dr!8YwI~I(W9{dKCKw70c=9M@=8%Za6y-o^X=;*) zlkgP&0CtE8wOt(q(1zJsPnyw!)_8i^ym3DD`4vby+^;lD@T8&doUX;?~x@ zUC-ZNo!P#3989jYZSZ{AKW%vE{_y{J$=$-$p=88ms~(_Q{IETbMfgzf2d)rR`5;UaT}tl)k6PXY*>%Pv#1J#QDFPDJuTl z9ZT~40DT>*(rfWJT~0_yV6mMq)oHNo3u;|4^4tnV5`5fzzB@57F=?>+74`}2SN<~c zyZur%!7Zd?*Ilaf!wT{=8|;byU4>B}2e|ju$U#NL8X9|6UpS!`jQL8wSoklY51)xt z2Gh6k6uPY!>$TRp`MxY}XHojzrDpe&#lgYBJXH3qm_J?K{&x#nPft%;)%u|Ba3UW& zL?7v#_P7zKA>5vq#>kD%5BNcYL(LKr62JIwqLJCNROcJrD79(~*Q@lndVJd3<(dd} z=>t5r(%h!=M7B2$4-a3?JCx|fM&|NF!0Xn!eP5WmAN!embOSG9d6}0RtdxLJDlaeh zIA41jr>lubIry2~;j{%tzt-vuu0$gcKD^FW!bzPyMwR0U<_B&2z-B%2c3e&<;6<<7 z2=g)I2=>$Q^x0a;Sp3VVL3c1YUa@ig2@9(d#uixF>g~wv-e7j#A2t!1>2nz`zr=(0xO?`fm z4*rDM7_P3V*=)ax2_fM3`uO_#s#&F*pEuM|C>3{q0t!V#Z;ccg9W5^_8x)#ErF4I> z(PJ_Y*7G#wc)1=C5#hWu{B&^`gaw4zG?oBHvc+-ZPpL}b>FKF}_dPJSzj7Vd+wbZv zCSJb;h`_!DScFZtFX%qR>+X1=#;B*Lh@6E*CBuOF-Q9P5Ypu;Sjb7(^ifhB|blG{PK%(bm z{bDfeo&jPkSrW-u#2cpc>u5ZQ(A#a23DkwUy1Ly)cXpKBP!vY4-}4dBDL6Pd7gtv{ zHnt6)8wKSvzKhPJtSq1FT^SQjtDjkjc${t*e|%S)?F%Jif;f^W6?B_yQ#`doP+p&x zdZ5-%d>*F(i+_9{4B}6F1Ht=1!0CZ`%kh8zN@Ch> zm);kV#%K_9Ld(vs{$CHk<8lb;_*Ca}v#-DbM#0JHakD=~CKcNWbff3v?Sj*OrB@c2 z-EGWlI9h~FnYl9X4jPFtIxa4pqs!~A;H=m1yywHuUB~WodOk}kG;&sLo&dzN#G%g6 z^>Uq=nW-r=w*rWOv#cx}%rmg=ykDJg>IHiTtqt6bRe`NHjY)XXN*^H##~B z0Ea>rx5^62?JXLmymA`Ne}xSEQ{L~Qudfe`o;W{!4EygMf1%Fz+(_p0#VR~%R$5v( zE@)>W0Wb6qjF@SIUIEzdZxfu*$h)<9UA;fE`3xFEGHqIPQ$zG_z^4iSUEfTXXDu7= z+gLr94=T(pCL$d%yKrBhkAC<+O&GmD^n5gJj2nc*@8Hl|w3CelG5qtG1+ zB)Iq^67ZrkJVn+voBXqWEgOR%30iA=hQYO`9SV6h2fdEjucE+*F#Hk8`hq<0#_AH& z(jLNvUP09|tSl`FdEKPx<^H4wfnJ}C#Fvm!XvDF}*cSKZguw#Db z?ZG;;k*KJs{(*t5s{Vda_9Wf-X7}AGlt7a{Sojrt@{w_HB!1&~Q_X3OovE;0dz;l} z2F{>5s)2AMqjonNY3We_v9Rd1K^~ZEE#IE+QW>OId9U~9SEOpd{~5kYcAHrU3&dzV zu1|F8)7ltiDA59e-5$H63EPHGLs&*3ODJTLFnCh$xND0gZ+W4>=#`s6Qdp;R!M4hq zX%tzBM+?xf*R3V&)~K{J*$IvMa?x80DWgq6wi#yk2mKw-DeIxG?K}3I?Fpuy*E2_d z@B34o9zwlM(a)9IxTT?55F>F!K>DM5mm^@gM`UF*T^Dm)YrO(6Lr^fe9dc1S(3PT- z1RM$hnK1S1&Hmo*F3>1oc6PS0u`v`L3wL2+aSqD&P3E@0V6nv{7Fr>bbBbt0&8iod zuEXPub+Ru?itwwrr}p;FPK|zB0j42~yhyN!wsv}k7&xA}Z9joXcvx6!TG~M5{l&~Y z$>p<@V+1uj(i-*1#nf&oMqNn~VX z{{UDE&Qu168hi~14GqobrE19hgZ=$jA|b;y_uAyHQ38>J^l7d~`c+}2PgT`20`#g| z7=5Z}b@CN(SHJHu`RzumA>lJ-;4s~?sX)N>UOlBTV^bz4CsXLOIIX8aa?p+Z-`p33 zD+9ep`hua&#O4Fqk#0Nq(3M`(yMb*#9D_GdXb6Bd09tA~IP83)gD_k0!!+>W+-!~wnZfoF zqzHevyVKG0Mfp3US|ycUB6} zVE#rFvGhe)ZqxAlJDnn=k83NG z-(0bR^%(}P4QWYG=hZM?YHI7{Pri%NDp-69Qa1UizNy|X8@}OBJ|cRIP@M=IeI?UY z5D(n?K9|+Ta$`1C_nmemxcYFYUM^0TH0@K4{Kc zxP*(=4upoe#hT0!SpB0NQ z7Bflv@Kx?8NoN$fo=B~g-FcHRWcCORxSHOG6kvts_XxwR4F3}=*$3@@`1kMMx&H4@ z0Ac@2?n$i29tqI66{lHX+M5ULi@FN^K|L7waoIbTfTX*5B}7WPeYrK@)Kw-3fAz}H zMAKyao$IviAZI{wFfg)C=+?Sd@6ZI6&;kA#KonI^NXbfd4GrL#ofc;FLv732xC_3( zLjf{lyU{IBDdhXyNVfCwdLYzbIfc4idgg>@b8%?IeD1|=?ZNc;WjvXNg@pwklfIYS zpd3%sR?W7L)o~f%i?t}cy+MRAhlke3KCq|`@GB~wkkbVc@W)KGT&cA!McuI8JNijc zQE<17a>Kw%HR|wSxP4IVRAV4HBY1|px)PFSyW?9ilPbZU)x?IcB<#hCOrVLTb= zfrN;K?Kc$Ct-cwXBR^R?!2%q>-ylt6V(LP=DDD)N@p`Vu>72f;0ZBXSkPPQXNl8D_ zC{XSo<|4?+cuReN;ZC0#rb;`&G%1upPIQ_yqL^Uf;Mk;}J7i?gFSjxrwzhI}bb@6= z-X2W;SuU-n@|GLpGBN9%9URuK0@JRjPrZ+QhrE?6``uMqBhJuS&@7`D(7mYghuKbC zALH<6ULac^6w)dr@AFhomB!yiqa7_aXRo~Ej*YLfnw{#yrUEp~XxK>LR80|CLd zyRG~H*s0XFVq{P}GtY;HUxLBmUp((ogHr#yIZvJ?3qttL^w~;NLl%mV&!ZEG4$E~w z@c1%%wmwqJ1O^7iYKx;)ig(x@H#@;%@x=YGs&;^HgDTLatEZa-GE&k__~Ead<#lh> z3kjzg;4i3XTh92n9#%qRhCmoM3dL$9M8tIfKwwt%MsV!@c})ZNh$TOS^f1U}Jy{Yk z&SBzDESR4-NjVh>8dFJ8$-&*pJNE6?T2an<5m$|D(4FpCePKh~jl7j|&g;unGp62Vtz1nuI{(!k zS2RvP;~@6r$oLW7Quj(4K}^@p)crJz1prmN!lKa<^|)l%n1&*Fphm0ST{5 z*2SRqUespdV4mnd(@&ytqms~-9+tFR&rVzl|&f?I^ohYC;CW~-XCNe zJ(gq^F8*nyBlb*8yIuzOqk|5$ovfFS5OT3<+?{?2H`qafLAm-lrG{$xJRM8|DGiLpqeb3x203% z2s->D!k+8VtlR>YMm$@LjpYfzzI3N=5_|vID1Ob1+ms^SSv67A*m09x3mdnBVvxdY zrShb$A0I~>le~rpzYcYjn?{DDmc=Q9F8c5NGd)iI35@+xnkM2lt<8uO;b9pWxWJe| z-B0}qf8%GS8vMf8x9(|5u1qD<1jC`Cpn>3oDmNP%*1iiV8&^mxHA&>r;m|q*adP;z#xFg$;ru0 zpO=DsBsL>$jj2Opa43ZVBr~)XjhQDgwDA%gfPncQD*E3-#s6L5|I6tAYv(W_(-?E3 zL<4fj;eXft;=9=KsNK>~wux9{#B6M8Q#6H$!16b!Hy`8L@RXNpn+HDh5a=?+;06Of9uqE8-`{k+B}c)YXSnj z>$vqm7LO~Z-STvo_k;ava||xWv6BCDlJp~(qe2F|%|f|GUMIkFOmtrX6(ka!c^gB! z&UENUu3x+Ng9CTW*w`4AQckjmNYA&%M)p=ezt<}%ZEla#^x_zlTVBa?SlnuN`921U z3>Cy8a;EaY3^hr-`}1{p)0H|iXrE<(wmbmE5jw1qI0x*ZxQG?NgPBW)wA8#U%*}x; z4$v#KcJNa-np=6lt062v5JS*MQ01E+CeC(?r+dlC%hw7sV!=~mS5E3IWhmvbXw3g| z@n>3wikuvu-&1)!V5UNgX+XY%sdy+Y0wC0#U)dT#&Wtq?;RKSL%o>wzTC&! zMdvNCxF}6e*9ec8nMM6G=Dn;xqB$#d8Yl$>-uBXrVxyx&kd`ZT*b**7SB#sn5{l%C z0#Ir|+$MoKg>)dy0!g61C2VJV0~X9IZQU>~2y2ir3eUDUbMX*}s^Z z7nR(GRcE^PW}!Zyfen{cQKFFP_wn{{>2j6r7^baEx?|GKH-EB z28LT+TH1Qi{W9329pm|@^J|!n5+-yCP~hU=;dKB-Q8wR~?Pl%cbcghu96_^T1e9Db zynZuMPEt{5@dPtnf~Nzak0(Hc6>o?wr@^xA6{vGVudngh^e`@r; zp+ScSAmIk)<$9mD0o!p}vUZfEDi%S2(eE?RNBAPL`BrmQA#^V=>8}8pDQICUANhem zz?=Mu*2>CiARGKPjmZC%#Ub`@w9CV0pQr1hq9SnUPr^uN*5(SACsVy4O|5&MPQ;P&ooQi|8%R zvqs6b<(3mO6i zJ3HVL@YB;zD$}Y1;ueZ#j*x#hAQ%EFeWBi#tW@F5JT?<{R;FXR&20AHM_h~L|7{3K z$o%}TE+JN?OoE`>Y**$n+&VjB-AI8A0b(!-2<-p#=o-R*!UZi_JT_8WlTKaR1H!Gi zaK>8Bj0Psa6bI!{52u83ABkPXOE92*7>-lCc=|YvsT0V7galg|3O^ z@_k|C;7EbAk5f++t^9gDMumjWUF)#Us~_x6A}$V_rBMm*e7PxNZSrz|Mj8SCcvu)0 zhJX_kG~7=N$P2uHdIrEUG7%9Igo0kGM|OHT%xB?ez`;l#kSUi691ey;?BDZ$J2Ark zP}SGx|5tPD)gV!ATFQ^Ytj_~rtrt==i!`MO+1$zskkcY9jY`}}04Z8nKpP9Jy$dj9 zg7QKwR8(dFks}lzQX9Jnuq%L^+v2eP{CrYVCzML7xLNK0d_*NSwne^Bm^TtRk)NNB z{gIfH^C`C)kZO%V(n3~5iKRx?Fxl70eF0IWASf^*^U{~*M|&vn+8|w&oqqtkV`;h_ z8Ax-*?j(5!g*t>%F}N6LXyDn^?KPMTx&b9yC!V)6Qb2J_*JR)+m_jemC?&xs2y}#psan77!N}0i zzpfH7RHt%YO=xB~umhM~=j%g~fk8_*X@iaN*J*V!QB|DtQ^3-~L2pc=8(al-hpjfCp~R zuNlpM+oEQ}zSG3kOldK=b;B0X>{vDU4~mp>_6bo?gr2By?>%1a0Dy`y;Z%S>)qTKY z=$EgHG<8RoK=Fz9iSV5q*iUBF`CvR@$& z1?wfF^uf}O46>?NCEIXu5`g;=ke7dg2oEo(pfL3N!Rl9z1USnJ;YW()#vDf`mt)w; z7T^y6I3C86g%Fe~3d-w#EKaBL_&Bi0Q?77%;Dufd(t>{pa5jy2)}P&u3*{KN%fGsR zd=5h*>IRf8VA4@;D8rJ9l|hhis|`A8QA6Vd&9jSFApEPPw&0u!HtQYdOH~{k&J)O_ zfHxaK|KJps5_H*>b~lA0D1EkZ-wUj1VHX)W zH4-oMsEUDtwC3I)Q%&O#Hs7$mPV5`~XAJ+flm2hA`M)veAHPY3!PElKJ#6hEB)1o| zjG7`(>JZeo4l#07s=}KqV|t}aodpy>i^C`{lKzqeYIkw*!L5Ptt$q-;6=@L>&=LjI zJ$i1I#BZZ5_AtB>CMp+kNv`{Hzgg_ObWN?mc4oaUZGnfu_0}X1UPwL80 zKuMvB`$c7B#v)M2>||w($=K}^AA|xHM=59?5qS3igYsB56Bh+QmG={)4>))yvM<2= z4ESi~L$$(kUi(wp21l!TF8_pI{(KIbgv;6A3iGdG%v|8C0uT5krLif1!5kD61k5Xd zLJWd}glM4}>gvFRLK<%AT#hD?EeB!8-!HF{4#PnsVAonqU}BvW6WHj_1H|mFu1|O^ z_;T8y*Ww@msF#<^RH&hQ`}?WM$$&H2TcL2~b{v{-L0zJlO=|&L*p0EQ1&C7!t`Qjy zL3aZbRG;jtYHO8u3NY!dmEuuL07#b*7Y_&k0IJb^3^jq8o|E%F_v7^k^6kq-kKHg$*0zMCRc}GqKbPqs2eXLSaQqsaxnJB?tc%zA}4}ldfGiLUG_h}ykwoaX2>+WEJ z?p*7C0x-NW zXbOZhpqlX{ZVOvOVJE<8%I*E>sfnx8ZqrN@=)o&)FBFfEIj{uHH5Kci%RXEXzTc02 z4}1YfD%2!DFOL{dblKR@6lcW4+@1(;1=t{(R4+T-2o>8HVGV)ir#W`zdiXpp;y`%5pfIC$LEmR#ArtQO z-k-!dDUv0dn{_9Acb>$*OWH$IO(4ENWSfzuGEmjBMF0_xSbuI{$rjALE@%yudxpLV zv#uBVy2HQq!+0*o0_MiwJ$z_PBJJ}RddDUwldt7wI4-,s|pcx!SMmG3vhQmF1a zVE&@)tE$^nkxWw7&yxe0wg`Yv<~%jIRCg~Rzz(73?DHS8r!%-IiEK>S3no@Ne&D4)Y)4c& zPWunw^pl!e0IUQsWXmcmLtd%?1LpbR5e#`EJsCJ?F~ElNY4WI?YxJdn7umljw;+7UyPed2ePOO0`lF>;6M5ztlX$^StY1NN#_t(V+*?np z8PnvKpgxg!;-q8P*rd7T<@L+#2-UB6XYsKV)%OBgs`%D}A-=&GMbTzlScHFD37 z5&GIUrFhTr5o(`8iLkMnCsg&445_=$xG$uVm=3)(=MT z8_>X$1nHKcOU$Glp2Az)sJ7`=r7kwC7Bf=BS^H5}nBVDXCeLa~vWSpQW_&LxKPcWc z|bVCZM`gxELHP8ox7Ce#ca2JvRN8>G=Am zfU^{NexoAHmU9=UxSPVnh2sc&9*TQSLV;YPffjVq1mD0v(r^q$P#nHeWz-&i2X@S>={Lnw&hn<#hbm zN~z&^GtvA)@C!L`V_0#EyhCBx6a0eMM(w* z_AMg-zO`fKtEVQI&lH!0j0wK{=$_vX%1zdGi)i7FOYeqDvn{FooqVf7wV_|IK0TK@ z3RHnhX%TUng9gm9)9|mpzk5rF*LwoQoIQV$;K1NSa6Ph3h3mobtA%07UY19g!Sajo z9aXF)QI>SVe6;OXDbQIrg3$YZ#G1~8M<3#YvLZy%iPrwe7!OV$gz)6pk8cV9d6Jqa zQAc(vp5iJaVjkUz855Ah8%O83*QM@XcNqo6*ZheW0n(i4#p>msrryBeFxS90k#p?- zI6}QT{77MqwYbL^VD3;Bzd)vWJJ~zHR&Mbi+wafX;ay|E1IoP zQ(@_C9PQUS5qNf=-H>TaIYLxoxoLf{;M~%F?ZpMp%<+t*%K?V=Vqx9%^0TNR!-hM{ zo$teQF7R0Xboz7IjJ@2!mnmx7=U^+T*`MtmyY2@I9Ym5FXNZq>yaTcNR8K-vK4{*8 z?<@`Tdzp8*=?It8voAY}h4$79(=Fi7a%%zb2_-H)RZD*6e+g;e2)81O?@j zUu2v{s(sDwK|0RQQwUhE#eQtQ5UwGc=$MZ_k5dfuQxnuu?!MMjH6$?8@gB@^63eC} zW*mHCqv57uXufWh*rjpayB)S4{(|;I5ks`E*rt_!4e+pGnj`TOb_?+H}XEsHPsPm~19wChG_;>O4axo5M_(ct{` zk6~gn1m8`cr9(CIA=3^Zxz1yccuF+8igW*TrOm#lD1Trn%R$4qHGGSqg9HItA_f8Z ze}gPM0J3I|4sL(XWd6ligA{t_AF>|ugdjwp0xt0J^>5D|TXNv5 zZP57wKm3r&oRE|^;Os_-dN%lo2x#{IYzV%tc5GhH)*W^eXsg9NN18(V_4|RRuEaew zv|K!tB=iu)FGoK3ZqIlM)!hfjKi{aoOw7L6==@INZgZ8RQVLB|qq^m!$xNT9E~3EN zlT!pPtfI92y}(+`NY#TdPT3t}u*Yhw`yiUg{h8XqV5G;Zhf5~Wsb`_Rtxd&|og-qH zVgAfR?`Rd$_%jKXA{kdoeHErV4HLZs2}aX?J)wfMj*q?vPNL8qqqVv&2RR8|6|N+^ zC23`Qr&;QRHP4jnM>}EKxxvy77>6DjYRb7)*`=-4%;~j(L_^w~7x{Pe#+u)}7EE(Q zKd2twXs^dphb*+zEhH4R+cUo3x;zuZU@CtNva9{!#1i5~_u?({yf1QzmNcqF4}Q|x5_B(FNTs&?;ec2v37h~$$c}r(UQx=n$-ke zH)$9SzO6!TdS%nBxq|5+eVA!F2r`Rn54%J$SocS)>CYaL;NMvf?WM`Wdq^EJ?EERh zF*H3&`H0HDUEkE3NMkTrGK_dxBcC%B8&W)tb}*z7T%-j0w&m=sz%*@Z%U$D|vRhw$ zfopM&9^5Qx`;iNyF_M2j&}0wYt`;dRSEa`f8>@k{28Uk{gQy4hvu zp8%0f6n`Y<#jI~$vEC1U7edn5gCAX#X##8DbULnIE#!SmU^tr#b^IPs^OLlr->PMH ziwuhIm>a<<_xC|EgqRq4yPdaN6=$S)h6MGu4YHJL6cfS~QK?WU2p=WMz`_RO;PJ?$ zn#F_XN0xtl5O0(qndv1a1k=Fbzo1CNqtHaelFGtg(sz}A%hOOTH|NN9lb2=3$>Ejfj@Sj02bA6Itkl@kfyRFx_4dYmgbvb-@A8 z&p}80Og=&Fp)i}TH18DLNG1dIwMXT@Im=Dv%uPO5*e_RyDWru?Dd+2`IEHm{o^3`g zuUy}j^hKHFlPNi3YYi@@ges^t#cD$8sk18zQnbamnM1Y3=}r#&MO2yb{7Ed1xdWeu1V_!{ z9LXTDEVEL>woFtfeavHfn&Jx^f5Y0N+w#>&6xK356_;w_H@JbAeK8ICV@Ca*cya#j zw09MV^eLvrFq31YwMleOl-BzwvcxXs<+bF;p_;GrL&Ys@fpAT{3}}`|qBxrnw3nEj)#$l7Tt+vq zUxhaP#u=@#zw-1^xeR@Wa_PoGn#Md6^Y??7e&A(HM21dAl6kzF*PtLI-F}yQy-L;z zeDG#RtJe;uLP1iTAk|8bl4wt_~)W>k|>@xgHGrS zssorO za#pTX)`iDmYH!_86KInpw}N7~0@!@Cv6S+S7yoHuV>nQ0gp4IAqJfa6O0wQyZ?hOw z@JVWc-smtLF(n-_tUla&I*I@egvWjV2-5i8CL0LR?nu-Sbz#o~4rZC3dE&Yg$jrEP zD~tk!-|Y&?&5Fp)B8YLG7Ep!RI%WDS&^S$n_=MZ5bkDk$ZQSM?m3*QgIx=C z&pu!yJGkTrbPUgAMEc!xCu1@EWa)F4XM01ksiOxI#d;%Bx0HB zbK#H2&mV>J1y_Od+s{TA(YL|DxxJU_z4y=0u^t<+cDM~kNlkr=;TfNlK4BDT+|`{_ z>ssy+-o<$rYGDO0(edOcnHJ9Se`C#&Fa@K{gCn~LIOfa7Zmzqm= zK)9akEp8!3aIgkmQ}iehZGju42ZHgV8+lr;L-ZQW+CS->noQqaPtza+z9b=+wWj?y zt<-qh))5M89w}E|kG@mz>FQ_>GK!(Y6C0F%tIGYDk^HN&#jhihJ z?yTX>=$o1~`%lPTHOZddpgrKBCj$2oJvhbOc!(%rXZKvzqmW?=3N!JG_AWTidXX(~ zd>ptElD{K4$ahCGqNB!&*7-FSH%gvmdf7_^qtr&e^iyMh1`(lf^O=VC5nA`70yFqc zMsjx&NbGuG2BKm8x*_xdNtwK?VJ}Bm`#MLI676u|$tM4aL=uJ!QZ!;yS_q{!YpE=z z5wRm`v2T5xUB6qxs8`dbG-FSx%gKbL72cYUk2NAB3&$rcV}a-a+MyksH2=j|x--N9 z>XuN?j|UggUj>;RJt7&c_(S1|-UGB`KI{^AB-lKI9<+n}xDfk3Y@~OET@9Xy$cb-1 zDZ<9CB|4pj%QRxOmb!yuhNuIqdZ--fyw3S{0eV0NS%tY^aS&qF_Nau8bfsTjqU3B!P7s3EJ1xz?W}~P(ue= zLZRl;@`rvxyjeByC^_>U3>F@Rg>ffeqFZTmp#j><=t-POE=H!ly^O_GT~>B(vCD0vz`L@R53 zwIN~zoW|`_bqY+Ea#h(Wj|f3%m>^Km)8M{5L?{Ag=04%`Y+Z0%zPj5Tfy<1J}F8I;f{tUp($dkUmcKTd24<^tHhj$$>kjgynTUc_)v3 zRCpd&SUP=Q7^C-vaPT&fp9fp3oFN?|t?qH^D7l0D8G65A-?<-)8vWlNSE(esZ{`&B zcA#@fn%*TBNa1pP!E^G0)26iS(x}thdR^iSuhsnKq1aTd1<>foB*$HNo*pZ0 zt;#D7p<^3P>>+ySD*3LJ7RUtM(?;s+8xrvf7lJw%7Ok87mTJZYTV>JFxNviae)q`& z8g#MBJ1+|3t7PRi4ip0TNtEX5`RZUAn)E^YNXebO!RM3KI6koNtHH{@qY^8!Xi$C`Nln!PXTwQW`R%}0kkTm)OE zy_lG8#wYf3GjLR6Z~HCLNnvl<`9(%QR9Js!`FLfEq!mLn=xQL=7KaF0i0h zyYTTkJbJigWz+Ttb@MBUPMP}&9FkFZ$QnA&{zx-FrYvR>7twWaMf|1Y|7svG{BkU)*w}Vc($Hfj^SA|HlLgub5b6`B+b;!rrT_!j#h8f z_+%?nR0-wvQsx1#F>r$&rd+L58K@VKr{x?k5YF{_6|~kxNy{u7hf`=nJIcvmE6T~h zX;fuZ62!kQ=+F9r2sppj zi*7NaPQ$}>WYW`!|J5gY^NO56I{EC@S{TKu^~M9S=?^a#7iMhP+G(W|bUR~Ho`GEy zVhgK>1uv@v9sb2zA>Ez|s*LzM+5z=dxsP$j(*xK&KL#o>r02jBRL}>F{AVh5p+CB4 z+i^75mmLY2SIGIg#*d3W;*?auVR(4Xp%fV!!xLye*-+8m>s9aotNe`?f9WpYwn6GC zi=T$&i@g>!px37IuCqJov0dRCaNB&a&MFk~HW*f}l|{wL49}llPc`Tc!wtVJ*-Uvp zjgC^5eLY9RxOPa-qL)4mVeo}A-?D>%jW^4%wz9WIWg&hhNq?ZlgwAPM^YAyKlt;Z@ z6+20bE{9RoI$>?+LDE%H_f}r*w%6~zcDVuIu!%k!mV-xx`O+sF(Q1N3wI&9*e2sK2 ze*A&cOKeLmX#_Mg4WHF0uUhq#e z&Toaxn$L(%c%lX^UKJG|AP)6r9T;0>cB`JsMy?xcxT&;ctiV@L{uT#@D5SCZ3_gmBwI&SFOD?eR7k1b^0wZD9Dw;g^Fm zu!+lgvfRHJ{dw^V8m%j!rl|qaltltXdym%s0rI)~DO&5W+b6B#2UV4@x*`X3EhrK3 zXemQe6SgX(Z6}7}VmqcLVIHOk>=y{Wln5S%XA!YoyT&(-yaVLep!m5X^xVYdCjk?k z)C57k{FHX5k>Sjoa&%De^^lC(^n}PWgbmt-I#-H=H4Ei%q}exaMH|_$8}SQYjqiF` z*1tmP_(M(Wq%!QEtINtV5AwZteSok`3IdV{LjMTdUg z_dCSN#9%)4GtN^&t(c1o4L?1f6{qLW8nk=v@z)XkwDOH{VFQ=cw}H6Gz;2&l-bRJv zJdOF0;5D4yaSl#&Y7sHzKo+%Vre$pR4hJK(EK=3|-D8}1J)`PZ@W0dkT_yXj4-^EX z7X1G=?SKCt-PP@@oy9+v)w+(J<0>b*-$o5`a=vxoSmIe@%=&U)bHQN%2X%epMYQ;s zK8*@7jnVVT7wO1GbAw7wy(I>g#E93QTO+PJ`7XW1ScP7DID6jNS{orygplm@L-AEEEINP8TcgxAs<7p5bI?d@)br zZpcth;_2M@y7YG@U;ma>1&0v~a%ib-MD8b7S*d%jk0K zsk!}&G!_!i0Z-O*#F4s&Q#_a;foJ8d_&-V+=OSwCS$W%=W#YaMAZ{1#$OmWUv&IdF zkMoyLlt?>xy?XEU1k1ebtY3_@ZXYc-p*Ne9$0zyRa>}_Gk} zMeM>0x!_JnU)tOv<`-vg#I&+Wn*rgv1KrxHg8Lf0Z#&nOk^6#w5G^N1PH1%6%gdHo zj`w}`U0tIAD&vy+I0P)a4ao*xX2%}(j{&>w@7g!4Zm5NmrMzDDON`+Xkn{&_yRW8k z!RZ(}>Lms?2%W!JsBGa>7@F;@t#?z9U4J*tyzm)KeJ!^X(wU3AXUI1u>JczY`1SX_UdtxaYu0)Ro3GQvIEjAO*Nhlo!)zaX;VU z93I4cFGJ6aG;&-$)~FG?dT0Nc8O2~Nrl_%6w&Bne&MV*3&A8fEPG|R6*f?dsnG=w8 zOhfTqiZv1~{+l$IB*@%JD6g9?fk_{azUe0eIfdYfhrr)MsVdw}^N7ONU>yW-pN_x_ z!xZLRq4aM4JWI))ic9=@C-#$xDos<1uv}i9B>2k4h6RjDexlx?^+{c6_RZy!m zghD>Vm$AOJVZKdIA8^OSi#ARc7lDHB zok>!CUbW&T1Tu*zrFsn+Vyl12yLyG1BzJ-4l{C%4XqW%z_Y{dAMi#0&u`HB(l|Ck0 zA~x!`SR$E4{bWa`OP z`9E`gdV6z=+s5Xk$$j@f9pt!w_MiK{U}OFs_gA_PbBcDJNZh_^mbG}}ze^1=u1~99 zBnbJe?-Aj$UwPQN+lO^O2lLn5wZYB~*%Kaw&Dm1+>o5DI@~rir1K)nXwkk`>_0TGT z<9a^)TE5P;LALx>UNN5awQKMHdVV#3+|#S*8RPFFiXYW@KERTsnTZ?eOXNA zw_hs^zjbWgzqq$cs{*#1<}0){eSP&C!R4PUOvySFPA_-|J5H~%pq@?gn3UuOM= zxonN=?HvO)1|0b6-={cdUiexEx2-`>UY@TN(7Bp!#Tlp9BtHG~>gidgwqiH_mb)eu zul^rZVO1x)B0vB2(M5bZ$Nw!f__mw7{r+G5Q(xmj6_5SNN>xWT28Ks6_$nUIYKh#$ zyv(%J;u5{0l(e&x&*n872(-MnX-z$M!$F^wwMgUC&aC+dOxq$@^*_xLy0@=ya#-M! zRj=k>dA!ce_4kNPIPZtaWKRrSyT0tN5B<)uPaY=h&7$4opCY z4=j1TU_#}01>5esRx1~5;!4~Ww6}em!o)_0UQVA=EhWt|yZpS58c+KiXnAnq+egO} z_FisYWRvhgyYKvsdmTK>p2j`cyWw3-^ZlBs8CCC+t4c2){diw_O2QnYcmA(-TUY*n z{!j1xf5}Jdx0Jc$IGkIY{%gYXEuZ8=-PePgYu__2u>$MuW_Y~~JH7@OVsIb@WI5;O zrDdj<7J(10DK^qC$S)`@0G?J;s*id^4O|nDflXg=Nn%N9aZ+LtiTXT26jqNh&N%ur2se$Kt}opNwZ+3$PFY!SJ92hFQbJW(opEmzBAePlPKa!5tG$soCw+cz{X_n`d_1e@9F-G~mh5$% zaU%TH@$e1*m_YI4bTg$-0hsk%SwQi_$Rxsm`|K!C93lYhBq?-V=%+&=bc6tzxXy}# znF5Po%FtZVI35goL2z`(;62bqD#T?`k zlUQ@~-7(#RT!P{@2ULb4Kspw43Q$T^+$MoaM+8`i#Uw-tOROo^v6zA=afvmB*8?+j z5G63g6j*iu`2bdosw2DuWI1Co1xJaDFCl?SU<8= CY_DMA_NUMBEROF_CHANNELS) + { + dmaIndex = CY_DMA_INVALID_CHANNEL; + } + + /* Exit critical section! */ + CyExitCriticalSection(interruptState); + + return(dmaIndex); +} + + +/******************************************************************************* +* Function Name: CyDmaChFree +******************************************************************************** +* +* Summary: +* Frees a channel allocated by DmaChAlloc(). +* +* Parameters: +* uint8 chHandle: +* The handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChFree(uint8 chHandle) +{ + cystatus status = CYRET_BAD_PARAM; + uint8 interruptState; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + /* Enter critical section */ + interruptState = CyEnterCriticalSection(); + + /* Clear the bit mask that keeps track of ownership. */ + CyDmaChannels &= ~(((uint32) 1u) << chHandle); + + /* Exit critical section */ + CyExitCriticalSection(interruptState); + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChEnable +******************************************************************************** +* +* Summary: +* Enables the DMA channel. A software or hardware request still must happen +* before the channel is executed. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint8 preserveTds: +* Preserves the original TD state when the TD has completed. This parameter +* applies to all TDs in the channel. +* +* 0 - When a TD is completed, the DMAC leaves the TD configuration values in +* their current state, and does not restore them to their original state. +* +* 1 - When a TD is completed, the DMAC restores the original configuration +* values of the TD. +* +* When preserveTds is set, the TD slot that equals the channel number becomes +* RESERVED and that becomes where the working registers exist. So, for example, +* if you are using CH06 and preserveTds is set, you are not allowed to use TD +* slot 6. That is reclaimed by the DMA engine for its private use. +* +* Note Do not chain back to a completed TD if the preserveTds for the channel +* is set to 0. When a TD has completed preserveTds for the channel set to 0, +* the transfer count will be at 0. If a TD with a transfer count of 0 is +* started, the TD will transfer an indefinite amount of data. +* +* Take extra precautions when using the hardware request (DRQ) option when the +* preserveTds is set to 0, as you might be requesting the wrong data. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChEnable(uint8 chHandle, uint8 preserveTds) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] = + (CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] & ((uint8)(~0x20u))) | ((0u != preserveTds) ? 0x21u : 0x01u); + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChDisable +******************************************************************************** +* +* Summary: +* Disables the DMA channel. Once this function is called, CyDmaChStatus() may +* be called to determine when the channel is disabled and which TDs were being +* executed. +* +* If it is currently executing it will allow the current burst to finish +* naturally. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChDisable(uint8 chHandle) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0] &= ((uint8) (~0x21u)); + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaClearPendingDrq +******************************************************************************** +* +* Summary: +* Clears pending DMA data request. +* +* Parameters: +* uint8 chHandle: +* Handle to the dma channel. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaClearPendingDrq(uint8 chHandle) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CH_STRUCT_PTR[chHandle].action[0] |= CY_DMA_CPU_TERM_CHAIN; + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0] |= 0x01u; + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChPriority +******************************************************************************** +* +* Summary: +* Sets the priority of a DMA channel. You can use this function when you want +* to change the priority at run time. If the priority remains the same for a +* DMA channel, then you can configure the priority in the .cydwr file. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint8 priority: +* Priority to set the channel to, 0 - 7. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChPriority(uint8 chHandle, uint8 priority) +{ + uint8 value; + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + value = CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] & ((uint8)(~(0x0Eu))); + + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] = value | ((uint8) ((priority & 0x7u) << 0x01u)); + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChSetExtendedAddress +******************************************************************************** +* +* Summary: +* Sets the high 16 bits of the source and destination addresses for the DMA +* channel (valid for all TDs in the chain). +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint16 source: +* Upper 16 bit address of the DMA transfer source. +* +* uint16 destination: +* Upper 16 bit address of the DMA transfer destination. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChSetExtendedAddress(uint8 chHandle, uint16 source, uint16 destination) \ + +{ + cystatus status = CYRET_BAD_PARAM; + + #if(CY_PSOC5) + + /* 0x1FFF8000-0x1FFFFFFF needs to use alias at 0x20008000-0x2000FFFF */ + if(source == 0x1FFFu) + { + source = 0x2000u; + } + + if(destination == 0x1FFFu) + { + destination = 0x2000u; + } + + #endif /* (CY_PSOC5) */ + + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + /* Set source address */ + reg16 *convert = (reg16 *) &CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG1[0]; + CY_SET_REG16(convert, source); + + /* Set destination address */ + CY_SET_REG16((reg16 *) &CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG1[2], destination); + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChSetInitialTd +******************************************************************************** +* +* Summary: +* Sets the initial TD to be executed for the channel when the CyDmaChEnable() +* function is called. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitialize(). +* +* uint8 startTd: +* The index of TD to set as the first TD associated with the channel. Zero is +* a valid TD index. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChSetInitialTd(uint8 chHandle, uint8 startTd) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CH_STRUCT_PTR[chHandle].basic_status[1u] = startTd; + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChSetRequest +******************************************************************************** +* +* Summary: +* Allows the caller to terminate a chain of TDs, terminate one TD, or create a +* direct request to start the DMA channel. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint8 request: +* One of the following constants. Each of the constants is a three-bit value. +* +* CPU_REQ - Create a direct request to start the DMA channel +* CPU_TERM_TD - Terminate one TD +* CPU_TERM_CHAIN - Terminate a chain of TDs +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChSetRequest(uint8 chHandle, uint8 request) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CH_STRUCT_PTR[chHandle].action[0u] |= (request & (CPU_REQ | CPU_TERM_TD | CPU_TERM_CHAIN)); + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChGetRequest +******************************************************************************** +* +* Summary: +* This function allows the caller of CyDmaChSetRequest() to determine if the +* request was completed. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* Return: +* Returns a three-bit field, corresponding to the three bits of the request, +* which describes the state of the previously posted request. If the value is +* zero, the request was completed. CY_DMA_INVALID_CHANNEL if the handle is +* invalid. +* +*******************************************************************************/ +cystatus CyDmaChGetRequest(uint8 chHandle) +{ + cystatus status = CY_DMA_INVALID_CHANNEL; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + status = (cystatus) ((uint32)CY_DMA_CH_STRUCT_PTR[chHandle].action[0u] & + (uint32)(CY_DMA_CPU_REQ | CY_DMA_CPU_TERM_TD | CY_DMA_CPU_TERM_CHAIN)); + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChStatus +******************************************************************************** +* +* Summary: +* Determines the status of the DMA channel. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitalize(). +* +* uint8 * currentTd: +* The address to store the index of the current TD. Can be NULL if the value +* is not needed. +* +* uint8 * state: +* The address to store the state of the channel. Can be NULL if the value is +* not needed. +* +* STATUS_TD_ACTIVE +* 0: Channel is not currently being serviced by DMAC +* 1: Channel is currently being serviced by DMAC +* +* STATUS_CHAIN_ACTIVE +* 0: TD chain is inactive; either no DMA requests have triggered a new chain +* or the previous chain has completed. +* 1: TD chain has been triggered by a DMA request +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +* Theory: +* The caller can check on the activity of the Current TD and the Chain. +* +*******************************************************************************/ +cystatus CyDmaChStatus(uint8 chHandle, uint8 * currentTd, uint8 * state) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + if(NULL != currentTd) + { + *currentTd = CY_DMA_CH_STRUCT_PTR[chHandle].basic_status[1] & 0x7Fu; + } + + if(NULL != state) + { + *state= CY_DMA_CH_STRUCT_PTR[chHandle].basic_status[0]; + } + + status = CYRET_SUCCESS; + } + + return (status); +} + + +/******************************************************************************* +* Function Name: CyDmaChSetConfiguration +******************************************************************************** +* +* Summary: +* Sets configuration information of the channel. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or DMA_DmaInitialize(). +* +* uint8 burstCount: +* Specifies the size of bursts (1 to 127) the data transfer should be divided +* into. If this value is zero then the whole transfer is done in one burst. +* +* uint8 requestPerBurst: +* The whole of the data can be split into multiple bursts, if this is +* required to complete the transaction: +* 0: All subsequent bursts after the first burst will be automatically +* requested and carried out +* 1: All subsequent bursts after the first burst must also be individually +* requested. +* +* uint8 tdDone0: +* Selects one of the TERMOUT0 interrupt lines to signal completion. The line +* connected to the nrq terminal will determine the TERMOUT0_SEL definition and +* should be used as supplied by cyfitter.h +* +* uint8 tdDone1: +* Selects one of the TERMOUT1 interrupt lines to signal completion. The line +* connected to the nrq terminal will determine the TERMOUT1_SEL definition and +* should be used as supplied by cyfitter.h +* +* uint8 tdStop: +* Selects one of the TERMIN interrupt lines to signal to the DMAC that the TD +* should terminate. The signal connected to the trq terminal will determine +* which TERMIN (termination request) is used. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChSetConfiguration(uint8 chHandle, uint8 burstCount, uint8 requestPerBurst, + uint8 tdDone0, uint8 tdDone1, uint8 tdStop) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[0] = (burstCount & 0x7Fu) | ((uint8)((requestPerBurst & 0x1u) << 7u)); + CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[1] = ((uint8)((tdDone1 & 0xFu) << 4u)) | (tdDone0 & 0xFu); + CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[2] = 0x0Fu & tdStop; + CY_DMA_CFGMEM_STRUCT_PTR[chHandle].CFG0[3] = 0u; /* burstcount_remain. */ + + status = CYRET_SUCCESS; + } + + return (status); +} + + +/******************************************************************************* +* Function Name: CyDmaTdAllocate +******************************************************************************** +* +* Summary: +* Allocates a TD for use with an allocated DMA channel. +* +* Parameters: +* None +* +* Return: +* Zero-based index of the TD to be used by the caller. Since there are 128 TDs +* minus the reserved TDs (0 to 23), the value returned would range from 24 to +* 127 not 24 to 128. DMA_INVALID_TD is returned if there are no free TDs +* available. +* +*******************************************************************************/ +uint8 CyDmaTdAllocate(void) +{ + uint8 interruptState; + uint8 element = CY_DMA_INVALID_TD; + + /* Enter critical section! */ + interruptState = CyEnterCriticalSection(); + + if(CyDmaTdCurrentNumber > NUMBEROF_CHANNELS) + { + /* Get pointer to the Next available. */ + element = CyDmaTdFreeIndex; + + /* Decrement the count. */ + CyDmaTdCurrentNumber--; + + /* Update the next available pointer. */ + CyDmaTdFreeIndex = CY_DMA_TDMEM_STRUCT_PTR[element].TD0[0]; + } + + /* Exit critical section! */ + CyExitCriticalSection(interruptState); + + return(element); +} + + +/******************************************************************************* +* Function Name: CyDmaTdFree +******************************************************************************** +* +* Summary: +* Returns a TD to the free list. +* +* Parameters: +* uint8 tdHandle: +* The TD handle returned by the CyDmaTdAllocate(). +* +* Return: +* None +* +*******************************************************************************/ +void CyDmaTdFree(uint8 tdHandle) +{ + if(tdHandle < CY_DMA_NUMBEROF_TDS) + { + /* Enter critical section! */ + uint8 interruptState = CyEnterCriticalSection(); + + /* Get pointer to the Next available. */ + CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[0u] = CyDmaTdFreeIndex; + + /* Set new Next Available. */ + CyDmaTdFreeIndex = tdHandle; + + /* Keep track of how many left. */ + CyDmaTdCurrentNumber++; + + /* Exit critical section! */ + CyExitCriticalSection(interruptState); + } +} + + +/******************************************************************************* +* Function Name: CyDmaTdFreeCount +******************************************************************************** +* +* Summary: +* Returns the number of free TDs available to be allocated. +* +* Parameters: +* None +* +* Return: +* The number of free TDs. +* +*******************************************************************************/ +uint8 CyDmaTdFreeCount(void) +{ + return(CyDmaTdCurrentNumber - CY_DMA_NUMBEROF_CHANNELS); +} + + +/******************************************************************************* +* Function Name: CyDmaTdSetConfiguration +******************************************************************************** +* +* Summary: +* Configures the TD. +* +* Parameters: +* uint8 tdHandle: +* A handle previously returned by CyDmaTdAlloc(). +* +* uint16 transferCount: +* The size of the data transfer (in bytes) for this TD. A size of zero will +* cause the transfer to continue indefinitely. This parameter is limited to +* 4095 bytes; the TD is not initialized at all when a higher value is passed. +* +* uint8 nextTd: +* Zero based index of the next Transfer Descriptor in the TD chain. Zero is a +* valid pointer to the next TD; DMA_END_CHAIN_TD is the end of the chain. +* DMA_DISABLE_TD indicates an end to the chain and the DMA is disabled. No +* further TDs are fetched. DMA_DISABLE_TD is only supported on PSoC3 and +* PSoC 5LP silicons. +* +* uint8 configuration: +* Stores the Bit field of configuration bits. +* +* CY_DMA_TD_SWAP_EN - Perform endian swap +* +* CY_DMA_TD_SWAP_SIZE4 - Swap size = 4 bytes +* +* CY_DMA_TD_AUTO_EXEC_NEXT - The next TD in the chain will trigger +* automatically when the current TD completes. +* +* CY_DMA_TD_TERMIN_EN - Terminate this TD if a positive edge on the trq +* input line occurs. The positive edge must occur +* during a burst. That is the only time the DMAC +* will listen for it. +* +* DMA__TD_TERMOUT_EN - When this TD completes, the TERMOUT signal will +* generate a pulse. Note that this option is +* instance specific with the instance name followed +* by two underscores. In this example, the instance +* name is DMA. +* +* CY_DMA_TD_INC_DST_ADR - Increment DST_ADR according to the size of each +* data transaction in the burst. +* +* CY_DMA_TD_INC_SRC_ADR - Increment SRC_ADR according to the size of each +* data transaction in the burst. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if tdHandle or transferCount is invalid. +* +*******************************************************************************/ +cystatus CyDmaTdSetConfiguration(uint8 tdHandle, uint16 transferCount, uint8 nextTd, uint8 configuration) \ + +{ + cystatus status = CYRET_BAD_PARAM; + + if((tdHandle < CY_DMA_NUMBEROF_TDS) && (0u == (0xF000u & transferCount))) + { + /* Set 12 bits transfer count. */ + reg16 *convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[0u]; + CY_SET_REG16(convert, transferCount); + + /* Set Next TD pointer. */ + CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[2u] = nextTd; + + /* Configure the TD */ + CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[3u] = configuration; + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaTdGetConfiguration +******************************************************************************** +* +* Summary: +* Retrieves the configuration of the TD. If a NULL pointer is passed as a +* parameter, that parameter is skipped. You may request only the values you are +* interested in. +* +* Parameters: +* uint8 tdHandle: +* A handle previously returned by CyDmaTdAlloc(). +* +* uint16 * transferCount: +* The address to store the size of the data transfer (in bytes) for this TD. +* A size of zero could indicate that the TD has completed its transfer, or +* that the TD is doing an indefinite transfer. +* +* uint8 * nextTd: +* The address to store the index of the next TD in the TD chain. +* +* uint8 * configuration: +* The address to store the Bit field of configuration bits. +* See CyDmaTdSetConfiguration() function description. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if tdHandle is invalid. +* +* Side Effects: +* If a TD has a transfer count of N and is executed, the transfer count becomes +* 0. If it is reexecuted, the Transfer count of zero will be interpreted as a +* request for indefinite transfer. Be careful when requesting a TD with a +* transfer count of zero. +* +*******************************************************************************/ +cystatus CyDmaTdGetConfiguration(uint8 tdHandle, uint16 * transferCount, uint8 * nextTd, uint8 * configuration) \ + +{ + cystatus status = CYRET_BAD_PARAM; + + if(tdHandle < CY_DMA_NUMBEROF_TDS) + { + /* If we have a pointer */ + if(NULL != transferCount) + { + /* Get the 12 bits of the transfer count */ + reg16 *convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[0]; + *transferCount = 0x0FFFu & CY_GET_REG16(convert); + } + + /* If we have a pointer */ + if(NULL != nextTd) + { + /* Get the Next TD pointer */ + *nextTd = CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[2u]; + } + + /* If we have a pointer */ + if(NULL != configuration) + { + /* Get the configuration the TD */ + *configuration = CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD0[3u]; + } + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaTdSetAddress +******************************************************************************** +* +* Summary: +* Sets the lower 16 bits of the source and destination addresses for this TD +* only. +* +* Parameters: +* uint8 tdHandle: +* A handle previously returned by CyDmaTdAlloc(). +* +* uint16 source: +* The lower 16 address bits of the source of the data transfer. +* +* uint16 destination: +* The lower 16 address bits of the destination of the data transfer. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if tdHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaTdSetAddress(uint8 tdHandle, uint16 source, uint16 destination) +{ + cystatus status = CYRET_BAD_PARAM; + + if(tdHandle < CY_DMA_NUMBEROF_TDS) + { + /* Set source address */ + reg16 *convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[0]; + CY_SET_REG16(convert, source); + + /* Set destination address */ + CY_SET_REG16((reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[2], destination); + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaTdGetAddress +******************************************************************************** +* +* Summary: +* Retrieves the lower 16 bits of the source and/or destination addresses for +* this TD only. If NULL is passed for a pointer parameter, that value is +* skipped. You may request only the values of interest. +* +* Parameters: +* uint8 tdHandle: +* A handle previously returned by CyDmaTdAlloc(). +* +* uint16 * source: +* The address to store the lower 16 address bits of the source of the data +* transfer. +* +* uint16 * destination: +* The address to store the lower 16 address bits of the destination of the +* data transfer. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if tdHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaTdGetAddress(uint8 tdHandle, uint16 * source, uint16 * destination) +{ + cystatus status = CYRET_BAD_PARAM; + + if(tdHandle < CY_DMA_NUMBEROF_TDS) + { + /* If we have a pointer. */ + if(NULL != source) + { + /* Get source address */ + reg16 *convert = (reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[0]; + *source = CY_GET_REG16(convert); + } + + /* If we have a pointer. */ + if(NULL != destination) + { + /* Get Destination address. */ + *destination = CY_GET_REG16((reg16 *) &CY_DMA_TDMEM_STRUCT_PTR[tdHandle].TD1[2]); + } + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyDmaChRoundRobin +******************************************************************************** +* +* Summary: +* Either enables or disables the Round-Robin scheduling enforcement algorithm. +* Within a priority level a Round-Robin fairness algorithm is enforced. +* +* Parameters: +* uint8 chHandle: +* A handle previously returned by CyDmaChAlloc() or Dma_DmaInitialize(). +* +* uint8 enableRR: +* 0: Disable Round-Robin fairness algorithm +* 1: Enable Round-Robin fairness algorithm +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if chHandle is invalid. +* +*******************************************************************************/ +cystatus CyDmaChRoundRobin(uint8 chHandle, uint8 enableRR) +{ + cystatus status = CYRET_BAD_PARAM; + + if(chHandle < CY_DMA_NUMBEROF_CHANNELS) + { + CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] = + (CY_DMA_CH_STRUCT_PTR[chHandle].basic_cfg[0u] & ((uint8)(~CY_DMA_ROUND_ROBIN_ENABLE))) | + ((0u != enableRR) ? CY_DMA_ROUND_ROBIN_ENABLE : ((uint8)(~CY_DMA_ROUND_ROBIN_ENABLE))); + + status = CYRET_SUCCESS; + } + + return(status); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyDmac.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyDmac.h new file mode 100644 index 0000000..82b5b1b --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyDmac.h @@ -0,0 +1,212 @@ +/******************************************************************************* +* File Name: CyDmac.h +* Version 3.40 +* +* Description: +* Provides the function definitions for the DMA Controller. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYDMAC_H) +#define CY_BOOT_CYDMAC_H + + +#include "cytypes.h" +#include "cyfitter.h" +#include "cydevice_trm.h" +#include "CyLib.h" + + +/*************************************** +* Function Prototypes +***************************************/ + +/* DMA Controller functions. */ +void CyDmacConfigure(void) ; +uint8 CyDmacError(void) ; +void CyDmacClearError(uint8 error) ; +uint32 CyDmacErrorAddress(void) ; + +/* Channel specific functions. */ +uint8 CyDmaChAlloc(void) ; +cystatus CyDmaChFree(uint8 chHandle) ; +cystatus CyDmaChEnable(uint8 chHandle, uint8 preserveTds) ; +cystatus CyDmaChDisable(uint8 chHandle) ; +cystatus CyDmaClearPendingDrq(uint8 chHandle) ; +cystatus CyDmaChPriority(uint8 chHandle, uint8 priority) ; +cystatus CyDmaChSetExtendedAddress(uint8 chHandle, uint16 source, uint16 destination); +cystatus CyDmaChSetInitialTd(uint8 chHandle, uint8 startTd) ; +cystatus CyDmaChSetRequest(uint8 chHandle, uint8 request) ; +cystatus CyDmaChGetRequest(uint8 chHandle) ; +cystatus CyDmaChStatus(uint8 chHandle, uint8 * currentTd, uint8 * state) ; +cystatus CyDmaChSetConfiguration(uint8 chHandle, uint8 burstCount, uint8 requestPerBurst, uint8 tdDone0, uint8 tdDone1, uint8 tdStop) ; +cystatus CyDmaChRoundRobin(uint8 chHandle, uint8 enableRR) ; + +/* Transfer Descriptor functions. */ +uint8 CyDmaTdAllocate(void) ; +void CyDmaTdFree(uint8 tdHandle) ; +uint8 CyDmaTdFreeCount(void) ; +cystatus CyDmaTdSetConfiguration(uint8 tdHandle, uint16 transferCount, uint8 nextTd, uint8 configuration) ; +cystatus CyDmaTdGetConfiguration(uint8 tdHandle, uint16 * transferCount, uint8 * nextTd, uint8 * configuration) ; +cystatus CyDmaTdSetAddress(uint8 tdHandle, uint16 source, uint16 destination) ; +cystatus CyDmaTdGetAddress(uint8 tdHandle, uint16 * source, uint16 * destination) ; + + +/*************************************** +* Data Struct Definitions +***************************************/ + +typedef struct dmac_ch_struct +{ + volatile uint8 basic_cfg[4]; + volatile uint8 action[4]; + volatile uint8 basic_status[4]; + volatile uint8 reserved[4]; + +} dmac_ch; + + +typedef struct dmac_cfgmem_struct +{ + volatile uint8 CFG0[4]; + volatile uint8 CFG1[4]; + +} dmac_cfgmem; + + +typedef struct dmac_tdmem_struct +{ + volatile uint8 TD0[4]; + volatile uint8 TD1[4]; + +} dmac_tdmem; + + +typedef struct dmac_tdmem2_struct +{ + volatile uint16 xfercnt; + volatile uint8 next_td_ptr; + volatile uint8 flags; + volatile uint16 src_adr; + volatile uint16 dst_adr; +} dmac_tdmem2; + + +/*************************************** +* API Constants +***************************************/ + +#define CY_DMA_INVALID_CHANNEL 0xFFu /* Invalid Channel ID */ +#define CY_DMA_INVALID_TD 0xFFu /* Invalid TD */ +#define CY_DMA_END_CHAIN_TD 0xFFu /* End of chain TD */ + +#if(CY_PSOC3 || CY_PSOC5LP) + #define CY_DMA_DISABLE_TD 0xFEu +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + +#define CY_DMA_TD_SIZE 0x08u + +/* The "u" was removed as workaround for Keil compiler bug */ +#define CY_DMA_TD_SWAP_EN 0x80 +#define CY_DMA_TD_SWAP_SIZE4 0x40 +#define CY_DMA_TD_AUTO_EXEC_NEXT 0x20 +#define CY_DMA_TD_TERMIN_EN 0x10 +#define CY_DMA_TD_TERMOUT1_EN 0x08 +#define CY_DMA_TD_TERMOUT0_EN 0x04 +#define CY_DMA_TD_INC_DST_ADR 0x02 +#define CY_DMA_TD_INC_SRC_ADR 0x01 + +#define CY_DMA_NUMBEROF_TDS 128u +#define CY_DMA_NUMBEROF_CHANNELS ((uint8)(CYDEV_DMA_CHANNELS_AVAILABLE)) + +/* Action register bits */ +#define CY_DMA_CPU_REQ ((uint8)(1u << 0u)) +#define CY_DMA_CPU_TERM_TD ((uint8)(1u << 1u)) +#define CY_DMA_CPU_TERM_CHAIN ((uint8)(1u << 2u)) + +/* Basic Status register bits */ +#define CY_DMA_STATUS_CHAIN_ACTIVE ((uint8)(1u << 0u)) +#define CY_DMA_STATUS_TD_ACTIVE ((uint8)(1u << 1u)) + +/* DMA controller register error bits */ +#define CY_DMA_BUS_TIMEOUT (1u << 1u) +#define CY_DMA_UNPOP_ACC (1u << 2u) +#define CY_DMA_PERIPH_ERR (1u << 3u) + +/* Round robin bits */ +#define CY_DMA_ROUND_ROBIN_ENABLE ((uint8)(1u << 4u)) + + +/*************************************** +* Registers +***************************************/ + +#define CY_DMA_CFG_REG (*(reg32 *) CYREG_PHUB_CFG) +#define CY_DMA_CFG_PTR ( (reg32 *) CYREG_PHUB_CFG) + +#define CY_DMA_ERR_REG (*(reg32 *) CYREG_PHUB_ERR) +#define CY_DMA_ERR_PTR ( (reg32 *) CYREG_PHUB_ERR) + +#define CY_DMA_ERR_ADR_REG (*(reg32 *) CYREG_PHUB_ERR_ADR) +#define CY_DMA_ERR_ADR_PTR ( (reg32 *) CYREG_PHUB_ERR_ADR) + +#define CY_DMA_CH_STRUCT_REG (*(dmac_ch CYXDATA *) CYDEV_PHUB_CH0_BASE) +#define CY_DMA_CH_STRUCT_PTR ( (dmac_ch CYXDATA *) CYDEV_PHUB_CH0_BASE) + +#define CY_DMA_CFGMEM_STRUCT_REG (*(dmac_cfgmem CYXDATA *) CYDEV_PHUB_CFGMEM0_BASE) +#define CY_DMA_CFGMEM_STRUCT_PTR ( (dmac_cfgmem CYXDATA *) CYDEV_PHUB_CFGMEM0_BASE) + +#define CY_DMA_TDMEM_STRUCT_REG (*(dmac_tdmem CYXDATA *) CYDEV_PHUB_TDMEM0_BASE) +#define CY_DMA_TDMEM_STRUCT_PTR ( (dmac_tdmem CYXDATA *) CYDEV_PHUB_TDMEM0_BASE) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.0 +*******************************************************************************/ +#define DMA_INVALID_CHANNEL (CY_DMA_INVALID_CHANNEL) +#define DMA_INVALID_TD (CY_DMA_INVALID_TD) +#define DMA_END_CHAIN_TD (CY_DMA_END_CHAIN_TD) +#define DMAC_TD_SIZE (CY_DMA_TD_SIZE) +#define TD_SWAP_EN (CY_DMA_TD_SWAP_EN) +#define TD_SWAP_SIZE4 (CY_DMA_TD_SWAP_SIZE4) +#define TD_AUTO_EXEC_NEXT (CY_DMA_TD_AUTO_EXEC_NEXT) +#define TD_TERMIN_EN (CY_DMA_TD_TERMIN_EN) +#define TD_TERMOUT1_EN (CY_DMA_TD_TERMOUT1_EN) +#define TD_TERMOUT0_EN (CY_DMA_TD_TERMOUT0_EN) +#define TD_INC_DST_ADR (CY_DMA_TD_INC_DST_ADR) +#define TD_INC_SRC_ADR (CY_DMA_TD_INC_SRC_ADR) +#define NUMBEROF_TDS (CY_DMA_NUMBEROF_TDS) +#define NUMBEROF_CHANNELS (CY_DMA_NUMBEROF_CHANNELS) +#define CPU_REQ (CY_DMA_CPU_REQ) +#define CPU_TERM_TD (CY_DMA_CPU_TERM_TD) +#define CPU_TERM_CHAIN (CY_DMA_CPU_TERM_CHAIN) +#define STATUS_CHAIN_ACTIVE (CY_DMA_STATUS_CHAIN_ACTIVE) +#define STATUS_TD_ACTIVE (CY_DMA_STATUS_TD_ACTIVE) +#define DMAC_BUS_TIMEOUT (CY_DMA_BUS_TIMEOUT) +#define DMAC_UNPOP_ACC (CY_DMA_UNPOP_ACC) +#define DMAC_PERIPH_ERR (CY_DMA_PERIPH_ERR) +#define ROUND_ROBIN_ENABLE (CY_DMA_ROUND_ROBIN_ENABLE) +#if(CY_PSOC3 || CY_PSOC5LP) + #define DMA_DISABLE_TD (CY_DMA_DISABLE_TD) +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + +#define DMAC_CFG (CY_DMA_CFG_PTR) +#define DMAC_ERR (CY_DMA_ERR_PTR) +#define DMAC_ERR_ADR (CY_DMA_ERR_ADR_PTR) +#define DMAC_CH (CY_DMA_CH_STRUCT_PTR) +#define DMAC_CFGMEM (CY_DMA_CFGMEM_STRUCT_PTR) +#define DMAC_TDMEM (CY_DMA_TDMEM_STRUCT_PTR) + +#endif /* (CY_BOOT_CYDMAC_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyFlash.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyFlash.c new file mode 100644 index 0000000..217f44a --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyFlash.c @@ -0,0 +1,732 @@ +/******************************************************************************* +* File Name: CyFlash.c +* Version 3.40 +* +* Description: +* Provides an API for the FLASH/EEPROM. +* +* Note: +* This code is endian agnostic. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "CyFlash.h" + + +/******************************************************************************* +* Holds die temperature, updated by CySetTemp(). Used for flash writting. +* The first byte is the sign of the temperature (0 = negative, 1 = positive). +* The second byte is the magnitude. +*******************************************************************************/ +uint8 dieTemperature[CY_FLASH_DIE_TEMP_DATA_SIZE]; + +#if(CYDEV_ECC_ENABLE == 0) + static uint8 * rowBuffer = 0; +#endif /* (CYDEV_ECC_ENABLE == 0) */ + + +static cystatus CySetTempInt(void); + + +/******************************************************************************* +* Function Name: CyFlash_Start +******************************************************************************** +* +* Summary: +* Enable the EEPROM/Flash. +* +* Note: For PSoC 5, this will enable both Flash and EEPROM. For PSoC 3 and +* PSOC 5LP this will enable only Flash. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyFlash_Start(void) +{ + #if(CY_PSOC5A) + + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR |= CY_FLASH_PM_FLASH_EE_MASK; + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR |= CY_FLASH_PM_FLASH_EE_MASK; + + #endif /* (CY_PSOC5A) */ + + #if(CY_PSOC3 || CY_PSOC5LP) + + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR |= CY_FLASH_PM_FLASH_MASK; + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR |= CY_FLASH_PM_FLASH_MASK; + + #endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + CyDelayUs(CY_FLASH_EE_STARTUP_DELAY); +} + + +/******************************************************************************* +* Function Name: CyFlash_Stop +******************************************************************************** +* +* Summary: +* Disable the EEPROM/Flash. +* +* Note: +* PSoC 5: disable both Flash and EEPROM. +* PSoC 3 and PSOC 5LP: disable only Flash. Use CyEEPROM_Stop() to stop EEPROM. +* +* Parameters: +* None +* +* Return: +* None +* +* Side Effects: +* This setting is ignored as long as the CPU is currently running. This will +* only take effect when the CPU is later disabled. +* +*******************************************************************************/ +void CyFlash_Stop(void) +{ + #if (CY_PSOC5A) + + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_FLASH_EE_MASK)); + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_FLASH_EE_MASK)); + + #endif /* (CY_PSOC5A) */ + + #if (CY_PSOC3 || CY_PSOC5LP) + + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_FLASH_MASK)); + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_FLASH_MASK)); + + #endif /* (CY_PSOC3 || CY_PSOC5LP) */ +} + + +/******************************************************************************* +* Function Name: CySetTempInt +******************************************************************************** +* +* Summary: +* Sends a command to the SPC to read the die temperature. Sets a global value +* used by the Write functions. This function must be called once before +* executing a series of Flash writing functions. +* +* Parameters: +* None +* +* Return: +* status: +* CYRET_SUCCESS - if successful +* CYRET_LOCKED - if Flash writing already in use +* CYRET_UNKNOWN - if there was an SPC error +* +*******************************************************************************/ +static cystatus CySetTempInt(void) +{ + cystatus status; + + /* Make sure SPC is powered */ + CySpcStart(); + + /* Plan for failure. */ + status = CYRET_UNKNOWN; + + if(CySpcLock() == CYRET_SUCCESS) + { + /* Write the command. */ + #if(CY_PSOC5A) + if(CYRET_STARTED == CySpcGetTemp(CY_TEMP_NUMBER_OF_SAMPLES, CY_TEMP_TIMER_PERIOD, CY_TEMP_CLK_DIV_SELECT)) + #else + if(CYRET_STARTED == CySpcGetTemp(CY_TEMP_NUMBER_OF_SAMPLES)) + #endif /* (CY_PSOC5A) */ + { + do + { + if(CySpcReadData(dieTemperature, CY_FLASH_DIE_TEMP_DATA_SIZE) == CY_FLASH_DIE_TEMP_DATA_SIZE) + { + status = CYRET_SUCCESS; + + while(CY_SPC_BUSY) + { + /* Spin until idle. */ + CyDelayUs(1u); + } + break; + } + + } while(CY_SPC_BUSY); + } + + CySpcUnlock(); + } + else + { + status = CYRET_LOCKED; + } + + return (status); +} + + +/******************************************************************************* +* Function Name: CySetTemp +******************************************************************************** +* +* Summary: +* This is a wraparound for CySetTempInt(). It is used to return second +* successful read of temperature value. +* +* Parameters: +* None +* +* Return: +* status: +* CYRET_SUCCESS if successful. +* CYRET_LOCKED if Flash writing already in use +* CYRET_UNKNOWN if there was an SPC error. +* +* uint8 dieTemperature[2]: +* Holds die temperature for the flash writting algorithm. The first byte is +* the sign of the temperature (0 = negative, 1 = positive). The second byte is +* the magnitude. +* +*******************************************************************************/ +cystatus CySetTemp(void) +{ + cystatus status = CySetTempInt(); + + if(status == CYRET_SUCCESS) + { + status = CySetTempInt(); + } + + return (status); +} + + +/******************************************************************************* +* Function Name: CySetFlashEEBuffer +******************************************************************************** +* +* Summary: +* Sets the user supplied temporary buffer to store SPC data while performing +* flash and EEPROM commands. This buffer is only necessary when Flash ECC is +* disabled. +* +* Parameters: +* buffer: +* Address of block of memory to store temporary memory. The size of the block +* of memory is CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ROW_SIZE. +* +* Return: +* status: +* CYRET_SUCCESS if successful. +* CYRET_BAD_PARAM if the buffer is NULL +* +*******************************************************************************/ +cystatus CySetFlashEEBuffer(uint8 * buffer) +{ + cystatus status = CYRET_SUCCESS; + + CySpcStart(); + + #if(CYDEV_ECC_ENABLE == 0) + + if(NULL == buffer) + { + status = CYRET_BAD_PARAM; + } + else if(CySpcLock() != CYRET_SUCCESS) + { + status = CYRET_LOCKED; + } + else + { + rowBuffer = buffer; + CySpcUnlock(); + } + + #else + + /* To supress the warning */ + buffer = buffer; + + #endif /* (CYDEV_ECC_ENABLE == 0u) */ + + return(status); +} + + +#if(CYDEV_ECC_ENABLE == 1) + + /******************************************************************************* + * Function Name: CyWriteRowData + ******************************************************************************** + * + * Summary: + * Sends a command to the SPC to load and program a row of data in flash. + * + * Parameters: + * arrayID: + * ID of the array to write. + * rowAddress: + * rowAddress of flash row to program. + * rowData: + * Array of bytes to write. + * + * Return: + * status: + * CYRET_SUCCESS if successful. + * CYRET_LOCKED if the SPC is already in use. + * CYRET_CANCELED if command not accepted + * CYRET_UNKNOWN if there was an SPC error. + * + *******************************************************************************/ + cystatus CyWriteRowData(uint8 arrayId, uint16 rowAddress, const uint8 * rowData) + { + uint16 rowSize; + cystatus status; + + rowSize = (arrayId > CY_SPC_LAST_FLASH_ARRAYID) ? CYDEV_EEPROM_ROW_SIZE : CYDEV_FLS_ROW_SIZE; + status = CyWriteRowFull(arrayId, rowAddress, rowData, rowSize); + + return(status); + } + +#else + + /******************************************************************************* + * Function Name: CyWriteRowData + ******************************************************************************** + * + * Summary: + * Sends a command to the SPC to load and program a row of data in flash. + * + * Parameters: + * arrayID : ID of the array to write. + * rowAddress : rowAddress of flash row to program. + * rowData : Array of bytes to write. + * + * Return: + * status: + * CYRET_SUCCESS if successful. + * CYRET_LOCKED if the SPC is already in use. + * CYRET_CANCELED if command not accepted + * CYRET_UNKNOWN if there was an SPC error. + * + *******************************************************************************/ + cystatus CyWriteRowData(uint8 arrayId, uint16 rowAddress, const uint8 * rowData) + { + uint8 i; + uint32 offset; + uint16 rowSize; + cystatus status; + + rowSize = (arrayId > CY_SPC_LAST_FLASH_ARRAYID) ? \ + CYDEV_EEPROM_ROW_SIZE : \ + (CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ROW_SIZE); + + if(rowSize != CYDEV_EEPROM_ROW_SIZE) + { + /* Save the ECC area. */ + offset = CYDEV_ECC_BASE + ((uint32) arrayId * CYDEV_ECC_SECTOR_SIZE) + + ((uint32) rowAddress * CYDEV_ECC_ROW_SIZE); + + for (i = 0u; i < CYDEV_ECC_ROW_SIZE; i++) + { + *(rowBuffer + CYDEV_FLS_ROW_SIZE + i) = CY_GET_XTND_REG8((void CYFAR *)(offset + i)); + } + } + + /* Copy the rowdata to the temporary buffer. */ + #if(CY_PSOC3) + (void) memcpy((void *) rowBuffer, (void *)((uint32) rowData), (int16) CYDEV_FLS_ROW_SIZE); + #else + (void) memcpy((void *) rowBuffer, (const void *) rowData, CYDEV_FLS_ROW_SIZE); + #endif /* (CY_PSOC3) */ + + status = CyWriteRowFull(arrayId, rowAddress, rowBuffer, rowSize); + + return(status); + } + +#endif /* (CYDEV_ECC_ENABLE == 0u) */ + + +#if ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) + + /******************************************************************************* + * Function Name: CyWriteRowConfig + ******************************************************************************** + * + * Summary: + * Sends a command to the SPC to load and program a row of config data in flash. + * This function is only valid for Flash array IDs (not for EEPROM). + * + * Parameters: + * arrayId: + * ID of the array to write + * rowAddress: + * Address of the sector to erase. + * rowECC: + * Array of bytes to write. + * + * Return: + * status: + * CYRET_SUCCESS if successful. + * CYRET_LOCKED if the SPC is already in use. + * CYRET_CANCELED if command not accepted + * CYRET_UNKNOWN if there was an SPC error. + * + *******************************************************************************/ + cystatus CyWriteRowConfig(uint8 arrayId, uint16 rowAddress, const uint8 * rowECC) + { + uint32 offset; + uint16 i; + cystatus status; + + /* Read the existing flash data. */ + offset = ((uint32) arrayId * CYDEV_FLS_SECTOR_SIZE) + + ((uint32) rowAddress * CYDEV_FLS_ROW_SIZE); + + #if (CYDEV_FLS_BASE != 0u) + offset += CYDEV_FLS_BASE; + #endif + + for (i = 0u; i < CYDEV_FLS_ROW_SIZE; i++) + { + rowBuffer[i] = CY_GET_XTND_REG8((void CYFAR *)(offset + i)); + } + + #if(CY_PSOC3) + (void) memcpy((void *) &rowBuffer[CYDEV_FLS_ROW_SIZE], (void *)((uint32)rowECC), (int16) CYDEV_ECC_ROW_SIZE); + #else + (void) memcpy((void *) &rowBuffer[CYDEV_FLS_ROW_SIZE], (const void *) rowECC, CYDEV_ECC_ROW_SIZE); + #endif /* (CY_PSOC3) */ + + status = CyWriteRowFull(arrayId, rowAddress, rowBuffer, CYDEV_FLS_ROW_SIZE + CYDEV_ECC_ROW_SIZE); + + return (status); + } + +#endif /* ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) */ + + + +/******************************************************************************* +* Function Name: CyWriteRowFull +******************************************************************************** +* Summary: +* Sends a command to the SPC to load and program a row of data in flash. +* rowData array is expected to contain Flash and ECC data if needed. +* +* Parameters: +* arrayId: FLASH or EEPROM array id. +* rowData: pointer to a row of data to write. +* rowNumber: Zero based number of the row. +* rowSize: Size of the row. +* +* Return: +* CYRET_SUCCESS if successful. +* CYRET_LOCKED if the SPC is already in use. +* CYRET_CANCELED if command not accepted +* CYRET_UNKNOWN if there was an SPC error. +* +*******************************************************************************/ +cystatus CyWriteRowFull(uint8 arrayId, uint16 rowNumber, const uint8* rowData, uint16 rowSize) \ + +{ + cystatus status; + + if(CySpcLock() == CYRET_SUCCESS) + { + /* Load row data into SPC internal latch */ + status = CySpcLoadRow(arrayId, rowData, rowSize); + + if(CYRET_STARTED == status) + { + while(CY_SPC_BUSY) + { + /* Wait for SPC to finish and get SPC status */ + CyDelayUs(1u); + } + + /* Hide SPC status */ + if(CY_SPC_STATUS_SUCCESS == CY_SPC_READ_STATUS) + { + status = CYRET_SUCCESS; + } + else + { + status = CYRET_UNKNOWN; + } + + if(CYRET_SUCCESS == status) + { + /* Erase and program flash with the data from SPC interval latch */ + status = CySpcWriteRow(arrayId, rowNumber, dieTemperature[0u], dieTemperature[1u]); + + if(CYRET_STARTED == status) + { + while(CY_SPC_BUSY) + { + /* Wait for SPC to finish and get SPC status */ + CyDelayUs(1u); + } + + /* Hide SPC status */ + if(CY_SPC_STATUS_SUCCESS == CY_SPC_READ_STATUS) + { + status = CYRET_SUCCESS; + } + else + { + status = CYRET_UNKNOWN; + } + } + } + + } + + CySpcUnlock(); + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyFlash_SetWaitCycles +******************************************************************************** +* +* Summary: +* Sets the number of clock cycles the cache will wait before it samples data +* coming back from Flash. This function must be called before increasing CPU +* clock frequency. It can optionally be called after lowering CPU clock +* frequency in order to improve CPU performance. +* +* Parameters: +* uint8 freq: +* Frequency of operation in Megahertz. +* +* Return: +* None +* +*******************************************************************************/ +void CyFlash_SetWaitCycles(uint8 freq) +{ + uint8 interruptState; + + /* Save current global interrupt enable and disable it */ + interruptState = CyEnterCriticalSection(); + + /*************************************************************************** + * The number of clock cycles the cache will wait before it samples data + * coming back from Flash must be equal or greater to to the CPU frequency + * outlined in clock cycles. + ***************************************************************************/ + + #if (CY_PSOC3) + + if (freq <= 22u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_22MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else if (freq <= 44u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_44MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_GREATER_44MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + + #endif /* (CY_PSOC3) */ + + + #if (CY_PSOC5A) + + if (freq <= 16u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_16MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else if (freq <= 33u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_33MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else if (freq <= 50u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_50MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_GREATER_51MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + + #endif /* (CY_PSOC5A) */ + + + #if (CY_PSOC5LP) + + if (freq <= 16u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_16MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else if (freq <= 33u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_33MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else if (freq <= 50u) + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_LESSER_OR_EQUAL_50MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + else + { + *CY_FLASH_CONTROL_PTR = ((*CY_FLASH_CONTROL_PTR & ((uint8)(~CY_FLASH_CYCLES_MASK))) | + ((uint8)(CY_FLASH_GREATER_51MHz << CY_FLASH_CYCLES_MASK_SHIFT))); + } + + #endif /* (CY_PSOC5LP) */ + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +#if (CY_PSOC3 || CY_PSOC5LP) + + /******************************************************************************* + * Function Name: CyEEPROM_Start + ******************************************************************************** + * + * Summary: + * Enable the EEPROM. + * + * Parameters: + * None + * + * Return: + * None + * + *******************************************************************************/ + void CyEEPROM_Start(void) + { + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR |= CY_FLASH_PM_EE_MASK; + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR |= CY_FLASH_PM_EE_MASK; + } + + + /******************************************************************************* + * Function Name: CyEEPROM_Stop + ******************************************************************************** + * + * Summary: + * Disable the EEPROM. + * + * Parameters: + * None + * + * Return: + * None + * + *******************************************************************************/ + void CyEEPROM_Stop (void) + { + /* Active Power Mode */ + *CY_FLASH_PM_ACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_EE_MASK)); + + /* Standby Power Mode */ + *CY_FLASH_PM_ALTACT_EEFLASH_PTR &= ((uint8)(~CY_FLASH_PM_EE_MASK)); + } + +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + +/******************************************************************************* +* Function Name: CyEEPROM_ReadReserve +******************************************************************************** +* +* Summary: +* Request access to the EEPROM for reading and wait until access is available. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyEEPROM_ReadReserve(void) +{ + /* Make a request for PHUB to have access */ + *CY_FLASH_EE_SCR_PTR |= CY_FLASH_EE_SCR_AHB_EE_REQ; + + while (0u == (*CY_FLASH_EE_SCR_PTR & CY_FLASH_EE_SCR_AHB_EE_ACK)) + { + /* Wait for acknowledgement from PHUB */ + } +} + + +/******************************************************************************* +* Function Name: CyEEPROM_ReadRelease +******************************************************************************** +* +* Summary: +* Release the read reservation of the EEPROM. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyEEPROM_ReadRelease(void) +{ + *CY_FLASH_EE_SCR_PTR |= 0x00u; +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyFlash.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyFlash.h new file mode 100644 index 0000000..a44e27d --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyFlash.h @@ -0,0 +1,311 @@ +/******************************************************************************* +* File Name: CyFlash.h +* Version 3.40 +* +* Description: +* Provides the function definitions for the FLASH/EEPROM. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYFLASH_H) +#define CY_BOOT_CYFLASH_H + +#include "cydevice_trm.h" +#include "cytypes.h" +#include "CyLib.h" +#include "CySpc.h" + +#define CY_FLASH_DIE_TEMP_DATA_SIZE (2u) /* Die temperature data size */ + +extern uint8 dieTemperature[CY_FLASH_DIE_TEMP_DATA_SIZE]; + + +/*************************************** +* API Constants +***************************************/ + +#define CY_FLASH_BASE (CYDEV_FLASH_BASE) +#define CY_FLASH_SIZE (CYDEV_FLS_SIZE) +#define CY_FLASH_SIZEOF_ARRAY (CYDEV_FLS_SECTOR_SIZE) +#define CY_FLASH_SIZEOF_ROW (CYDEV_FLS_ROW_SIZE) +#define CY_FLASH_SIZEOF_ECC_ROW (CYDEV_ECC_ROW_SIZE) +#define CY_FLASH_NUMBER_ROWS (CYDEV_FLS_SIZE / CYDEV_FLS_ROW_SIZE) +#define CY_FLASH_NUMBER_ARRAYS (CYDEV_FLS_SIZE / CYDEV_FLS_SECTOR_SIZE) + +#define CY_EEPROM_BASE (CYDEV_EE_BASE) +#define CY_EEPROM_SIZE (CYDEV_EE_SIZE) +#define CY_EEPROM_SIZEOF_ARRAY (CYDEV_EEPROM_SECTOR_SIZE) +#define CY_EEPROM_SIZEOF_ROW (CYDEV_EEPROM_ROW_SIZE) +#define CY_EEPROM_NUMBER_ROWS (EEPROM_SIZE / CYDEV_EEPROM_ROW_SIZE) +#define CY_EEPROM_NUMBER_ARRAYS (CYDEV_EE_SIZE / CY_EEPROM_SIZEOF_ARRAY) + + +#if !defined(CYDEV_FLS_BASE) + #define CYDEV_FLS_BASE CYDEV_FLASH_BASE +#endif /* !defined(CYDEV_FLS_BASE) */ + + +/*************************************** +* Function Prototypes +***************************************/ + +/* Flash Functions */ +void CyFlash_Start(void); +void CyFlash_Stop(void); +cystatus CySetTemp(void); +cystatus CySetFlashEEBuffer(uint8 * buffer); +cystatus CyWriteRowFull(uint8 arrayId, uint16 rowNumber, const uint8 * rowData, uint16 rowSize) \ + ; +cystatus CyWriteRowData(uint8 arrayId, uint16 rowAddress, const uint8 * rowData); + +#if ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) + cystatus CyWriteRowConfig(uint8 arrayId, uint16 rowAddress, const uint8 * rowECC) \ + ; +#endif /* ((CYDEV_ECC_ENABLE == 0u) && (CYDEV_CONFIGURATION_ECC == 0u)) */ + +void CyFlash_SetWaitCycles(uint8 freq) ; + +/* EEPROM Functions */ +#if (CY_PSOC3 || CY_PSOC5LP) + void CyEEPROM_Start(void) ; + void CyEEPROM_Stop(void) ; +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + +void CyEEPROM_ReadReserve(void) ; +void CyEEPROM_ReadRelease(void) ; + + +/*************************************** +* Registers +***************************************/ + +#if (CY_PSOC5A) + + /* Active Power Mode Configuration Register 0 */ + #define CY_FLASH_PM_ACT_EEFLASH_REG (* (reg8 *) CYREG_PM_ACT_CFG0) + #define CY_FLASH_PM_ACT_EEFLASH_PTR ( (reg8 *) CYREG_PM_ACT_CFG0) + + /* Alternate Active Power Mode Configuration Register 0 */ + #define CY_FLASH_PM_ALTACT_EEFLASH_REG (* (reg8 *) CYREG_PM_STBY_CFG0) + #define CY_FLASH_PM_ALTACT_EEFLASH_PTR ( (reg8 *) CYREG_PM_STBY_CFG0) + +#endif /* (CY_PSOC5A) */ + + +#if (CY_PSOC3 || CY_PSOC5LP) + + /* Active Power Mode Configuration Register 12 */ + #define CY_FLASH_PM_ACT_EEFLASH_REG (* (reg8 *) CYREG_PM_ACT_CFG12) + #define CY_FLASH_PM_ACT_EEFLASH_PTR ( (reg8 *) CYREG_PM_ACT_CFG12) + + /* Alternate Active Power Mode Configuration Register 12 */ + #define CY_FLASH_PM_ALTACT_EEFLASH_REG (* (reg8 *) CYREG_PM_STBY_CFG12) + #define CY_FLASH_PM_ALTACT_EEFLASH_PTR ( (reg8 *) CYREG_PM_STBY_CFG12) + +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + +/* Cache Control Register */ +#if (CY_PSOC3) + + #define CY_FLASH_CONTROL_REG (* (reg8 *) CYREG_CACHE_CR ) + #define CY_FLASH_CONTROL_PTR ( (reg8 *) CYREG_CACHE_CR ) + +#else + + #define CY_FLASH_CONTROL_REG (* (reg8 *) CYREG_CACHE_CC_CTL ) + #define CY_FLASH_CONTROL_PTR ( (reg8 *) CYREG_CACHE_CC_CTL ) + +#endif /* (CY_PSOC3) */ + + +/* EEPROM Status & Control Register */ +#define CY_FLASH_EE_SCR_REG (* (reg8 *) CYREG_SPC_EE_SCR) +#define CY_FLASH_EE_SCR_PTR ( (reg8 *) CYREG_SPC_EE_SCR) + + + +/*************************************** +* Register Constants +***************************************/ + +/* Power Mode Masks */ +#if(CY_PSOC5A) + + #define CY_FLASH_PM_FLASH_EE_MASK (0x80u) + +#endif /* (CY_PSOC5A) */ + +#if (CY_PSOC3 || CY_PSOC5LP) + + #define CY_FLASH_PM_EE_MASK (0x10u) + #define CY_FLASH_PM_FLASH_MASK (0x01u) + +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + +/* Frequency Constants */ +#if (CY_PSOC3) + + #define CY_FLASH_LESSER_OR_EQUAL_22MHz (0x01u) + #define CY_FLASH_LESSER_OR_EQUAL_44MHz (0x02u) + #define CY_FLASH_GREATER_44MHz (0x03u) + +#endif /* (CY_PSOC3) */ + +#if (CY_PSOC5A) + + #define CY_FLASH_LESSER_OR_EQUAL_16MHz (0x01u) + #define CY_FLASH_LESSER_OR_EQUAL_33MHz (0x02u) + #define CY_FLASH_LESSER_OR_EQUAL_50MHz (0x03u) + #define CY_FLASH_GREATER_51MHz (0x00u) + +#endif /* (CY_PSOC5A) */ + +#if (CY_PSOC5LP) + + #define CY_FLASH_LESSER_OR_EQUAL_16MHz (0x01u) + #define CY_FLASH_LESSER_OR_EQUAL_33MHz (0x02u) + #define CY_FLASH_LESSER_OR_EQUAL_50MHz (0x03u) + #define CY_FLASH_GREATER_51MHz (0x00u) + +#endif /* (CY_PSOC5LP) */ + +#define CY_FLASH_CYCLES_MASK_SHIFT (0x06u) +#define CY_FLASH_CYCLES_MASK ((uint8)(0x03u << (CY_FLASH_CYCLES_MASK_SHIFT))) +#define CY_FLASH_EE_STARTUP_DELAY (5u) + +#define CY_FLASH_EE_SCR_AHB_EE_REQ (0x01u) +#define CY_FLASH_EE_SCR_AHB_EE_ACK (0x02u) + + + +/* Default values for getting temperature. */ + +#define CY_TEMP_NUMBER_OF_SAMPLES (0x1u) +#define CY_TEMP_TIMER_PERIOD (0xFFFu) +#define CY_TEMP_CLK_DIV_SELECT (0x4u) +#define CY_TEMP_NUM_SAMPLES (1 << (CY_TEMP_NUMBER_OF_SAMPLES)) +#define CY_SPC_CLK_PERIOD (120u) /* nS */ +#define CY_SYS_ns_PER_TICK (1000u) +#define CY_FRM_EXEC_TIME (1000u) /* nS */ + +#define CY_GET_TEMP_TIME ((1 << (CY_TEMP_NUM_SAMPLES + 1)) * \ + (CY_SPC_CLK_PERIOD * CY_TEMP_CLK_DIV_SELECT) * \ + CY_TEMP_TIMER_PERIOD + CY_FRM_EXEC_TIME) + +#define CY_TEMP_MAX_WAIT ((CY_GET_TEMP_TIME) / CY_SYS_ns_PER_TICK) /* In system ticks. */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.0 +*******************************************************************************/ +#define FLASH_SIZE (CY_FLASH_SIZE) +#define FLASH_SIZEOF_SECTOR (CY_FLASH_SIZEOF_ARRAY) +#define FLASH_NUMBER_ROWS (CY_FLASH_NUMBER_ROWS) +#define FLASH_NUMBER_SECTORS (CY_FLASH_NUMBER_ARRAYS) +#define EEPROM_SIZE (CY_EEPROM_SIZE) +#define EEPROM_SIZEOF_SECTOR (CY_EEPROM_SIZEOF_ARRAY) +#define EEPROM_NUMBER_ROWS (CY_EEPROM_NUMBER_ROWS) +#define EEPROM_NUMBER_SECTORS (CY_EEPROM_NUMBER_ARRAYS) +#define CY_EEPROM_NUMBER_SECTORS (CY_EEPROM_NUMBER_ARRAYS) +#define CY_EEPROM_SIZEOF_SECTOR (CY_EEPROM_SIZEOF_ARRAY) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.30 +*******************************************************************************/ +#define FLASH_CYCLES_PTR (CY_FLASH_CONTROL_PTR) + +#define TEMP_NUMBER_OF_SAMPLES (CY_TEMP_NUMBER_OF_SAMPLES) +#define TEMP_TIMER_PERIOD (CY_TEMP_TIMER_PERIOD) +#define TEMP_CLK_DIV_SELECT (CY_TEMP_CLK_DIV_SELECT) +#define NUM_SAMPLES (CY_TEMP_NUM_SAMPLES) +#define SPC_CLK_PERIOD (CY_SPC_CLK_PERIOD) +#define FRM_EXEC_TIME (CY_FRM_EXEC_TIME) +#define GET_TEMP_TIME (CY_GET_TEMP_TIME) +#define TEMP_MAX_WAIT (CY_TEMP_MAX_WAIT) + +#define ECC_ADDR (0x80u) + + +#if (CY_PSOC5A) + + #define PM_ACT_EEFLASH (CY_FLASH_PM_ACT_EEFLASH_PTR) + #define PM_STBY_EEFLASH (CY_FLASH_PM_ALTACT_EEFLASH_PTR) + +#endif /* (CY_PSOC5A) */ + +#if (CY_PSOC3 || CY_PSOC5LP) + + #define PM_ACT_EE_PTR (CY_FLASH_PM_ACT_EEFLASH_PTR) + #define PM_ACT_FLASH_PTR (CY_FLASH_PM_ACT_EEFLASH_PTR) + + #define PM_STBY_EE_PTR (CY_FLASH_PM_ALTACT_EEFLASH_PTR) + #define PM_STBY_FLASH_PTR (CY_FLASH_PM_ALTACT_EEFLASH_PTR) + +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + +#if(CY_PSOC5A) + + #define PM_FLASH_EE_MASK (CY_FLASH_PM_FLASH_EE_MASK) + +#endif /* (CY_PSOC5A) */ + +#if (CY_PSOC3 || CY_PSOC5LP) + + #define PM_EE_MASK (CY_FLASH_PM_EE_MASK) + #define PM_FLASH_MASK (CY_FLASH_PM_FLASH_MASK) + +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + +#define FLASH_CYCLES_MASK_SHIFT (CY_FLASH_CYCLES_MASK_SHIFT) +#define FLASH_CYCLES_MASK (CY_FLASH_CYCLES_MASK) + + +#if (CY_PSOC3) + + #define LESSER_OR_EQUAL_22MHz (CY_FLASH_LESSER_OR_EQUAL_22MHz) + #define LESSER_OR_EQUAL_44MHz (CY_FLASH_LESSER_OR_EQUAL_44MHz) + #define GREATER_44MHz (CY_FLASH_GREATER_44MHz) + +#endif /* (CY_PSOC3) */ + +#if (CY_PSOC5A) + + #define LESSER_OR_EQUAL_16MHz (CY_FLASH_LESSER_OR_EQUAL_16MHz) + #define LESSER_OR_EQUAL_33MHz (CY_FLASH_LESSER_OR_EQUAL_33MHz) + #define LESSER_OR_EQUAL_50MHz (CY_FLASH_LESSER_OR_EQUAL_50MHz) + #define GREATER_51MHz (CY_FLASH_GREATER_51MHz) + +#endif /* (CY_PSOC5A) */ + +#if (CY_PSOC5LP) + + #define LESSER_OR_EQUAL_16MHz (CY_FLASH_LESSER_OR_EQUAL_16MHz) + #define LESSER_OR_EQUAL_33MHz (CY_FLASH_LESSER_OR_EQUAL_33MHz) + #define LESSER_OR_EQUAL_50MHz (CY_FLASH_LESSER_OR_EQUAL_50MHz) + #define LESSER_OR_EQUAL_67MHz (CY_FLASH_LESSER_OR_EQUAL_67MHz) + #define GREATER_67MHz (CY_FLASH_GREATER_67MHz) + #define GREATER_51MHz (CY_FLASH_GREATER_51MHz) + +#endif /* (CY_PSOC5LP) */ + +#define AHUB_EE_REQ_ACK_PTR (CY_FLASH_EE_SCR_PTR) + + +#endif /* (CY_BOOT_CYFLASH_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyLib.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyLib.c new file mode 100644 index 0000000..4f56380 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyLib.c @@ -0,0 +1,2846 @@ +/******************************************************************************* +* File Name: CyLib.c +* Version 3.40 +* +* Description: +* Provides system API for the clocking, interrupts and watchdog timer. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "CyLib.h" + + +/******************************************************************************* +* The CyResetStatus variable is used to obtain value of RESET_SR0 register after +* a device reset. +*******************************************************************************/ +uint8 CYXDATA CyResetStatus; + + +#if(!CY_PSOC5A) + + /* Variable Vdda */ + #if(CYDEV_VARIABLE_VDDA == 1) + + uint8 CyScPumpEnabled = (uint8)(CYDEV_VDDA_MV < 2700); + + #endif /* (CYDEV_VARIABLE_VDDA == 1) */ + +#endif /* (!CY_PSOC5A) */ + + +/* Do not use these definitions directly in your application */ +uint32 cydelay_freq_hz = BCLK__BUS_CLK__HZ; +uint32 cydelay_freq_khz = (BCLK__BUS_CLK__HZ + 999u) / 1000u; +uint8 cydelay_freq_mhz = (uint8)((BCLK__BUS_CLK__HZ + 999999u) / 1000000u); +uint32 cydelay_32k_ms = 32768u * ((BCLK__BUS_CLK__HZ + 999u) / 1000u); + + +/* Function Prototypes */ +static uint8 CyUSB_PowerOnCheck(void) ; +static void CyIMO_SetTrimValue(uint8 freq) ; +static void CyBusClk_Internal_SetDivider(uint16 divider); + + +/******************************************************************************* +* Function Name: CyPLL_OUT_Start +******************************************************************************** +* +* Summary: +* Enables the PLL. Optionally waits for it to become stable. +* Waits at least 250 us or until it is detected that the PLL is stable. +* +* Parameters: +* wait: +* 0: Return immediately after configuration +* 1: Wait for PLL lock or timeout. +* +* Return: +* Status +* CYRET_SUCCESS - Completed successfully +* CYRET_TIMEOUT - Timeout occurred without detecting a stable clock. +* If the input source of the clock is jittery, then the lock indication +* may not occur. However, after the timeout has expired the generated PLL +* clock can still be used. +* +* Side Effects: +* If wait is enabled: This function wses the Fast Time Wheel to time the wait. +* Any other use of the Fast Time Wheel will be stopped during the period of +* this function and then restored. This function also uses the 100 KHz ILO. +* If not enabled, this function will enable the 100 KHz ILO for the period of +* this function. +* +* No changes to the setup of the ILO, Fast Time Wheel, Central Time Wheel or +* Once Per Second interrupt may be made by interrupt routines during the period +* of this function execution. The current operation of the ILO, Central Time +* Wheel and Once Per Second interrupt are maintained during the operation of +* this function provided the reading of the Power Manager Interrupt Status +* Register is only done using the CyPmReadStatus() function. +* +*******************************************************************************/ +cystatus CyPLL_OUT_Start(uint8 wait) +{ + cystatus status = CYRET_SUCCESS; + + uint8 iloEnableState; + uint8 pmTwCfg0State; + uint8 pmTwCfg2State; + + + /* Enables the PLL circuit */ + CY_CLK_PLL_CFG0_REG |= CY_CLK_PLL_ENABLE; + + if(wait != 0u) + { + /* Save 100 KHz ILO, FTW interval, enable and interrupt enable */ + iloEnableState = SLOWCLK_ILO_CR0; + pmTwCfg0State = CY_PM_TW_CFG0_REG; + pmTwCfg2State = CY_PM_TW_CFG2_REG; + + CyPmFtwSetInterval(CY_CLK_PLL_FTW_INTERVAL); + + status = CYRET_TIMEOUT; + + + while(CyPmReadStatus(CY_PM_FTW_INT) != CY_PM_FTW_INT) + { + /* Wait for the interrupt status */ + if(0u != (CY_CLK_PLL_SR_REG & CY_CLK_PLL_LOCK_STATUS)) + { + if(0u != (CY_CLK_PLL_SR_REG & CY_CLK_PLL_LOCK_STATUS)) + { + status = CYRET_SUCCESS; + break; + } + } + } + + + /* Restore 100 KHz ILO, FTW interval, enable and interrupt enable */ + if(0u == (iloEnableState & ILO_CONTROL_100KHZ_ON)) + { + CyILO_Stop100K(); + } + CY_PM_TW_CFG0_REG = pmTwCfg0State; + CY_PM_TW_CFG2_REG = pmTwCfg2State; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyPLL_OUT_Stop +******************************************************************************** +* +* Summary: +* Disables the PLL. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyPLL_OUT_Stop(void) +{ + CY_CLK_PLL_CFG0_REG &= ((uint8)(~CY_CLK_PLL_ENABLE)); +} + + +/******************************************************************************* +* Function Name: CyPLL_OUT_SetPQ +******************************************************************************** +* +* Summary: +* Sets the P and Q dividers and the charge pump current. +* The Frequency Out will be P/Q * Frequency In. +* The PLL must be disabled before calling this function. +* +* Parameters: +* uint8 pDiv: +* Valid range [8 - 255]. +* +* uint8 qDiv: +* Valid range [1 - 16]. Input Frequency / Q must be in range of 1 to 3 MHz. + +* uint8 current: +* Valid range [1 - 7]. Charge pump current in uA. Refer to the device TRM and +* datasheet for more information. +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyPLL_OUT_SetPQ(uint8 pDiv, uint8 qDiv, uint8 current) +{ + /* Halt CPU in debug mode if PLL is enabled */ + CYASSERT(0u == (CY_CLK_PLL_CFG0_REG & CY_CLK_PLL_ENABLE)); + + if((pDiv >= CY_CLK_PLL_MIN_P_VALUE ) && + (qDiv <= CY_CLK_PLL_MAX_Q_VALUE ) && (qDiv >= CY_CLK_PLL_MIN_Q_VALUE ) && + (current >= CY_CLK_PLL_MIN_CUR_VALUE) && (current <= CY_CLK_PLL_MAX_CUR_VALUE)) + { + /* Set new values */ + CY_CLK_PLL_P_REG = pDiv; + CY_CLK_PLL_Q_REG = ((uint8)(qDiv - 1u)); + CY_CLK_PLL_CFG1_REG = (CY_CLK_PLL_CFG1_REG & CY_CLK_PLL_CURRENT_MASK) | + ((uint8)(((uint8)(current - 1u)) << CY_CLK_PLL_CURRENT_POSITION)); + } + else + { + /*********************************************************************** + * Halt CPU in debug mode if: + * - P divider is less than required + * - Q divider is out of range + * - pump current is out of range + ***********************************************************************/ + CYASSERT(0u != 0u); + } + +} + + +/******************************************************************************* +* Function Name: CyPLL_OUT_SetSource +******************************************************************************** +* +* Summary: +* Sets the input clock source to the PLL. The PLL must be disabled before +* calling this function. +* +* Parameters: +* source: One of the three available PLL clock sources +* 0 : IMO +* 1 : MHz Crystal +* 2 : DSI +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyPLL_OUT_SetSource(uint8 source) +{ + /* Halt CPU in debug mode if PLL is enabled */ + CYASSERT(0u == (CY_CLK_PLL_CFG0_REG & CY_CLK_PLL_ENABLE)); + + switch(source) + { + case CY_PLL_SOURCE_IMO: + case CY_PLL_SOURCE_XTAL: + case CY_PLL_SOURCE_DSI: + CY_LIB_CLKDIST_CR_REG = ((CY_LIB_CLKDIST_CR_REG & CY_LIB_CLKDIST_CR_PLL_SCR_MASK) | source); + break; + + default: + CYASSERT(0u != 0u); + break; + } +} + + +/******************************************************************************* +* Function Name: CyIMO_Start +******************************************************************************** +* +* Summary: +* Enables the IMO. Optionally waits at least 6 us for it to settle. +* +* Parameters: +* uint8 wait: +* 0: Return immediately after configuration +* 1: Wait for at least 6 us for the IMO to settle. +* +* Return: +* None +* +* Side Effects: +* If wait is enabled: This function wses the Fast Time Wheel to time the wait. +* Any other use of the Fast Time Wheel will be stopped during the period of +* this function and then restored. This function also uses the 100 KHz ILO. +* If not enabled, this function will enable the 100 KHz ILO for the period of +* this function. +* +* No changes to the setup of the ILO, Fast Time Wheel, Central Time Wheel or +* Once Per Second interrupt may be made by interrupt routines during the period +* of this function execution. The current operation of the ILO, Central Time +* Wheel and Once Per Second interrupt are maintained during the operation of +* this function provided the reading of the Power Manager Interrupt Status +* Register is only done using the CyPmReadStatus() function. +* +*******************************************************************************/ +void CyIMO_Start(uint8 wait) +{ + uint8 pmFtwCfg2Reg; + uint8 pmFtwCfg0Reg; + uint8 iloControlReg; + + /* Set the bit to enable the clock. */ + PM_ACT_CFG0 |= IMO_PM_ENABLE; + + /* Wait for 6 us */ + if(0u != wait) + { + /* Need to turn on the 100KHz ILO if it happens to not already be running.*/ + iloControlReg = SLOWCLK_ILO_CR0; + + if(0u == (iloControlReg & ILO_CONTROL_100KHZ_ON)) + { + CyILO_Start100K(); + } + + /* Use ILO 100 KHz */ + pmFtwCfg2Reg = PM_TW_CFG2; + pmFtwCfg0Reg = PM_TW_CFG0; + + /* FTW_EN (bit 0) must be clear to change the period*/ + PM_TW_CFG2 &= FTW_CLEAR_FTW_BITS; + + /* Set the FTW interval of 1 100KHz ILO clocks + Should result in status getting set at a (100/1)KHz rate*/ + PM_TW_CFG0 = 0u; + + /* Enable FTW, but not the interrupt */ + PM_TW_CFG2 = FTW_ENABLE; + + /* Read FTW value */ + while (CyPmReadStatus(CY_PM_FTW_INT) == 0u) + { + /* Wait for the interrupt status */ + } + + /* Reset the clock */ + if(0u == (iloControlReg & ILO_CONTROL_100KHZ_ON)) + { + CyILO_Stop100K(); + } + + /* Restore the FTW */ + PM_TW_CFG0 = pmFtwCfg0Reg; + PM_TW_CFG2 = pmFtwCfg2Reg; + } +} + + +/******************************************************************************* +* Function Name: CyIMO_Stop +******************************************************************************** +* +* Summary: +* Disables the IMO. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyIMO_Stop(void) +{ + /* Clear the bit to disable the clock. */ + PM_ACT_CFG0 &= ((uint8)(~IMO_PM_ENABLE)); +} + + +/******************************************************************************* +* Function Name: CyUSB_PowerOnCheck +******************************************************************************** +* +* Summary: +* Returns the USB power status value. A private function to cy_boot. +* +* Parameters: +* None +* +* Return: +* uint8: one if the USB is enabled, 0 if not enabled. +* +*******************************************************************************/ +static uint8 CyUSB_PowerOnCheck(void) +{ + uint8 poweredOn = 0u; + + /* Check whether device is in Active or AltActiv and if USB is powered on */ + if((((CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_MASK) == CY_PM_MODE_CSR_ACTIVE ) && + (0u != (CY_PM_ACT_CFG5_REG & CY_ACT_USB_ENABLED ))) || + (((CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_MASK) == CY_PM_MODE_CSR_ALT_ACT) && + (0u != (CY_PM_STBY_CFG5_REG & CY_ALT_ACT_USB_ENABLED)))) + { + poweredOn = 1u; + } + + return (poweredOn); +} + + +/******************************************************************************* +* Function Name: CyIMO_SetTrimValue +******************************************************************************** +* +* Summary: +* Sets the IMO factory trim values. +* +* Parameters: +* uint8 freq - frequency for which trims must be set +* +* Return: +* None +* +*******************************************************************************/ +static void CyIMO_SetTrimValue(uint8 freq) +{ + uint8 usb_power_on = CyUSB_PowerOnCheck(); + + /* If USB is powered */ + if(usb_power_on == 1u) + { + /* Unlock USB write */ + CY_USB_CR1 &= ((uint8)(~CLOCK_USB_ENABLE)); + } + switch(freq) + { + case CY_IMO_FREQ_3MHZ: + IMO_TR1 = CY_GET_XTND_REG8(FLSHID_CUST_TABLES_IMO_3MHZ_PTR); + break; + + case CY_IMO_FREQ_6MHZ: + IMO_TR1 = CY_GET_XTND_REG8(FLSHID_CUST_TABLES_IMO_6MHZ_PTR); + break; + + case CY_IMO_FREQ_12MHZ: + IMO_TR1 = CY_GET_XTND_REG8(FLSHID_CUST_TABLES_IMO_12MHZ_PTR); + break; + + case CY_IMO_FREQ_24MHZ: + IMO_TR1 = CY_GET_XTND_REG8(FLSHID_CUST_TABLES_IMO_24MHZ_PTR); + break; + + case CY_IMO_FREQ_48MHZ: + IMO_TR1 = CY_GET_XTND_REG8(FLSHID_MFG_CFG_IMO_TR1_PTR); + break; + + /* The IMO frequencies above 48 MHz are not supported by PSoC5 */ + #if(!CY_PSOC5A) + + case CY_IMO_FREQ_62MHZ: + IMO_TR1 = CY_GET_XTND_REG8(FLSHID_CUST_TABLES_IMO_67MHZ_PTR); + break; + + #endif /* (!CY_PSOC5A) */ + + case CY_IMO_FREQ_USB: + IMO_TR1 = CY_GET_XTND_REG8(FLSHID_CUST_TABLES_IMO_USB_PTR); + + /* If USB is powered */ + if(usb_power_on == 1u) + { + /* Lock the USB Oscillator */ + CY_USB_CR1 |= CLOCK_USB_ENABLE; + } + break; + + default: + CYASSERT(0u != 0u); + break; + } + +} + + +/******************************************************************************* +* Function Name: CyIMO_SetFreq +******************************************************************************** +* +* Summary: +* Sets the frequency of the IMO. Changes may be made while the IMO is running. +* +* Parameters: +* freq: Frequency of IMO operation +* CY_IMO_FREQ_3MHZ to set 3 MHz +* CY_IMO_FREQ_6MHZ to set 6 MHz +* CY_IMO_FREQ_12MHZ to set 12 MHz +* CY_IMO_FREQ_24MHZ to set 24 MHz +* CY_IMO_FREQ_48MHZ to set 48 MHz +* CY_IMO_FREQ_62MHZ to set 62 MHz (unsupported by PSoC 5) +* CY_IMO_FREQ_USB to set 24 MHz (Trimmed for USB operation) +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +* When the USB setting is chosen, the USB clock locking circuit is enabled. +* Otherwise this circuit is disabled. The USB block must be powered before +* selecting the USB setting. +* +*******************************************************************************/ +void CyIMO_SetFreq(uint8 freq) +{ + uint8 currentFreq; + uint8 nextFreq; + + /*************************************************************************** + * When changing the IMO frequency the Trim values must also be set + * accordingly.This requires reading the current frequency. If the new + * frequency is faster, then set the new trim and then change the frequency, + * otherwise change the frequency and then set the new trim values. + ***************************************************************************/ + + currentFreq = CY_LIB_FASTCLK_IMO_CR_REG & ((uint8)(~CY_LIB_FASTCLK_IMO_CR_RANGE_MASK)); + + /* Check if the requested frequency is USB. */ + nextFreq = (freq == CY_IMO_FREQ_USB) ? CY_IMO_FREQ_24MHZ : freq; + + switch (currentFreq) + { + case 0u: + currentFreq = CY_IMO_FREQ_12MHZ; + break; + + case 1u: + currentFreq = CY_IMO_FREQ_6MHZ; + break; + + case 2u: + currentFreq = CY_IMO_FREQ_24MHZ; + break; + + case 3u: + currentFreq = CY_IMO_FREQ_3MHZ; + break; + + case 4u: + currentFreq = CY_IMO_FREQ_48MHZ; + break; + + /* The IMO frequencies above 48 MHz are not supported by PSoC5 */ + #if(!CY_PSOC5A) + + case 5u: + currentFreq = CY_IMO_FREQ_62MHZ; + break; + + #endif /* (!CY_PSOC5A) */ + + default: + CYASSERT(0u != 0u); + break; + } + + if (nextFreq >= currentFreq) + { + /* Set the new trim first */ + CyIMO_SetTrimValue(freq); + } + + /* Set the usbclk_on bit when using CY_IMO_FREQ_USB, if not clear it */ + switch(freq) + { + case CY_IMO_FREQ_3MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CLOCK_IMO_3MHZ_VALUE) & ((uint8)(~FASTCLK_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_6MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CLOCK_IMO_6MHZ_VALUE) & ((uint8)(~FASTCLK_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_12MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CLOCK_IMO_12MHZ_VALUE) & ((uint8)(~FASTCLK_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_24MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CLOCK_IMO_24MHZ_VALUE) & ((uint8)(~FASTCLK_IMO_USBCLK_ON_SET)); + break; + + case CY_IMO_FREQ_48MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CLOCK_IMO_48MHZ_VALUE) & ((uint8)(~FASTCLK_IMO_USBCLK_ON_SET)); + break; + + /* The IMO frequencies above 48 MHz are not supported by PSoC5 */ + #if(!CY_PSOC5A) + + case CY_IMO_FREQ_62MHZ: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CLOCK_IMO_62MHZ_VALUE) & ((uint8)(~FASTCLK_IMO_USBCLK_ON_SET)); + break; + + #endif /* (!CY_PSOC5A) */ + + case CY_IMO_FREQ_USB: + CY_LIB_FASTCLK_IMO_CR_REG = ((CY_LIB_FASTCLK_IMO_CR_REG & CY_LIB_FASTCLK_IMO_CR_RANGE_MASK) | + CLOCK_IMO_24MHZ_VALUE) | FASTCLK_IMO_USBCLK_ON_SET; + break; + + default: + CYASSERT(0u != 0u); + break; + } + + /* Turn on the IMO Doubler, if switching to CY_IMO_FREQ_USB */ + if (freq == CY_IMO_FREQ_USB) + { + CyIMO_EnableDoubler(); + } + else + { + CyIMO_DisableDoubler(); + } + + if (nextFreq < currentFreq) + { + /* Set the new trim after setting the frequency */ + CyIMO_SetTrimValue(freq); + } +} + + +/******************************************************************************* +* Function Name: CyIMO_SetSource +******************************************************************************** +* +* Summary: +* Sets the source of the clock output from the IMO block. +* +* The output from the IMO is by default the IMO itself. Optionally the MHz +* Crystal or a DSI input can be the source of the IMO output instead. +* +* Parameters: +* source, CY_IMO_SOURCE_DSI to set the DSI as source. +* CY_IMO_SOURCE_XTAL to set the MHz as source. +* CY_IMO_SOURCE_IMO to set the IMO itself. +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyIMO_SetSource(uint8 source) +{ + switch(source) + { + case CY_IMO_SOURCE_DSI: + CY_LIB_CLKDIST_CR_REG &= ((uint8)(~CY_LIB_CLKDIST_CR_IMO2X)); + CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_IMO; + break; + + case CY_IMO_SOURCE_XTAL: + CY_LIB_CLKDIST_CR_REG |= CY_LIB_CLKDIST_CR_IMO2X; + CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_IMO; + break; + + case CY_IMO_SOURCE_IMO: + CY_LIB_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_LIB_FASTCLK_IMO_IMO)); + break; + + default: + /* Incorrect source value */ + CYASSERT(0u != 0u); + break; + } +} + + +/******************************************************************************* +* Function Name: CyIMO_EnableDoubler +******************************************************************************** +* +* Summary: +* Enables the IMO doubler. The 2x frequency clock is used to convert a 24 MHz +* input to a 48 MHz output for use by the USB block. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyIMO_EnableDoubler(void) +{ + /* Set the FASTCLK_IMO_CR_PTR regigster's 4th bit */ + CY_LIB_FASTCLK_IMO_CR_REG |= CY_LIB_FASTCLK_IMO_DOUBLER; +} + + +/******************************************************************************* +* Function Name: CyIMO_DisableDoubler +******************************************************************************** +* +* Summary: +* Disables the IMO doubler. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyIMO_DisableDoubler(void) +{ + CY_LIB_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_LIB_FASTCLK_IMO_DOUBLER)); +} + + +/******************************************************************************* +* Function Name: CyMasterClk_SetSource +******************************************************************************** +* +* Summary: +* Sets the source of the master clock. +* +* Parameters: +* source: One of the four available Master clock sources. +* CY_MASTER_SOURCE_IMO +* CY_MASTER_SOURCE_PLL +* CY_MASTER_SOURCE_XTAL +* CY_MASTER_SOURCE_DSI +* +* Return: +* None +* +* Side Effects: +* The current source and the new source must both be running and stable before +* calling this function. +* +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyMasterClk_SetSource(uint8 source) +{ + #if(CY_PSOC5A) + + uint8 masterReg0; + + /* Read the current setting */ + masterReg0 = CY_LIB_CLKDIST_MSTR0_REG; + + /* Write a non-zero period to the master mux clock divider */ + if (masterReg0 == 0x00u) + { + CY_LIB_CLKDIST_MSTR0_REG = 3u; + } + + #endif /* (CY_PSOC5A) */ + + CY_LIB_CLKDIST_MSTR1_REG = (CY_LIB_CLKDIST_MSTR1_REG & MASTER_CLK_SRC_CLEAR) | + (source & ((uint8)(~MASTER_CLK_SRC_CLEAR))); + + #if(CY_PSOC5A) + + /* Restore zero period (if desired) to the master mux clock divider */ + if (masterReg0 == 0x00u) + { + CY_LIB_CLKDIST_MSTR0_REG = 0u; + } + + #endif /* (CY_PSOC5A) */ +} + + +/******************************************************************************* +* Function Name: CyMasterClk_SetDivider +******************************************************************************** +* +* Summary: +* Sets the divider value used to generate Master Clock. +* +* Parameters: +* uint8 divider: +* Valid range [0-255]. The clock will be divided by this value + 1. +* For example to divide by 2 this parameter should be set to 1. +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +* When changing the Master or Bus clock divider value from div-by-n to div-by-1 +* the first clock cycle output after the div-by-1 can be up to 4 ns shorter +* than the final/expected div-by-1 period. +* +*******************************************************************************/ +void CyMasterClk_SetDivider(uint8 divider) +{ + CY_LIB_CLKDIST_MSTR0_REG = divider; +} + + +/******************************************************************************* +* Function Name: CyBusClk_Internal_SetDivider +******************************************************************************** +* +* Summary: +* Function used by CyBusClk_SetDivider(). For internal use only. +* +* Parameters: +* divider: Valid range [0-65535]. +* The clock will be divided by this value + 1. +* For example to divide by 2 this parameter should be set to 1. +* +* Return: +* None +* +*******************************************************************************/ +static void CyBusClk_Internal_SetDivider(uint16 divider) +{ + /* Mask bits to enable shadow loads */ + CY_LIB_CLKDIST_AMASK_REG &= CY_LIB_CLKDIST_AMASK_MASK; + CY_LIB_CLKDIST_DMASK_REG = CY_LIB_CLKDIST_DMASK_MASK; + + /* Enable mask bits to enable shadow loads */ + CY_LIB_CLKDIST_BCFG2_REG |= CY_LIB_CLKDIST_BCFG2_MASK; + + /* Update Shadow Divider Value Register with the new divider */ + CY_LIB_CLKDIST_WRK_LSB_REG = LO8(divider); + CY_LIB_CLKDIST_WRK_MSB_REG = HI8(divider); + + + /*************************************************************************** + * Copy shadow value defined in Shadow Divider Value Register + * (CY_LIB_CLKDIST_WRK_LSB_REG and CY_LIB_CLKDIST_WRK_MSB_REG) to all + * dividers selected in Analog and Digital Clock Mask Registers + * (CY_LIB_CLKDIST_AMASK_REG and CY_LIB_CLKDIST_DMASK_REG). + ***************************************************************************/ + CY_LIB_CLKDIST_LD_REG |= CY_LIB_CLKDIST_LD_LOAD; +} + + +/******************************************************************************* +* Function Name: CyBusClk_SetDivider +******************************************************************************** +* +* Summary: +* Sets the divider value used to generate Bus Clock. +* +* Parameters: +* divider: Valid range [0-65535]. The clock will be divided by this value + 1. +* For example to divide by 2 this parameter should be set to 1. +* +* Return: +* None +* +* Side Effects: +* If as result of this function execution the CPU clock frequency is increased +* then the number of clock cycles the cache will wait before it samples data +* coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() +* with appropriate parameter. It can be optionally called if CPU clock +* frequency is lowered in order to improve CPU performance. +* See CyFlash_SetWaitCycles() description for more information. +* +*******************************************************************************/ +void CyBusClk_SetDivider(uint16 divider) +{ + uint8 masterClkDiv; + uint16 busClkDiv; + uint8 interruptState; + + interruptState = CyEnterCriticalSection(); + + /* Work around to set the bus clock divider value */ + busClkDiv = ((uint16)(((uint16)(CY_LIB_CLKDIST_BCFG_MSB_REG)) << 8u)) | CY_LIB_CLKDIST_BCFG_LSB_REG; + + if ((divider == 0u) || (busClkDiv == 0u)) + { + /* Save away the master clock divider value */ + masterClkDiv = CY_LIB_CLKDIST_MSTR0_REG; + + if (masterClkDiv < CY_LIB_CLKDIST_MASTERCLK_DIV) + { + /* Set master clock divider to 7 */ + CyMasterClk_SetDivider(CY_LIB_CLKDIST_MASTERCLK_DIV); + } + + if (divider == 0u) + { + /* Set the SSS bit and the divider register desired value */ + CY_LIB_CLKDIST_BCFG2_REG |= CY_LIB_CLKDIST_BCFG2_SSS; + CyBusClk_Internal_SetDivider(divider); + } + else + { + CyBusClk_Internal_SetDivider(divider); + CY_LIB_CLKDIST_BCFG2_REG &= ((uint8)(~CY_LIB_CLKDIST_BCFG2_SSS)); + } + + /* Restore the master clock */ + CyMasterClk_SetDivider(masterClkDiv); + } + else + { + CyBusClk_Internal_SetDivider(divider); + } + + CyExitCriticalSection(interruptState); +} + + +#if(CY_PSOC3) + + /******************************************************************************* + * Function Name: CyCpuClk_SetDivider + ******************************************************************************** + * + * Summary: + * Sets the divider value used to generate the CPU Clock. Only applicable for + * PSoC 3 parts. + * + * Parameters: + * divider: Valid range [0-15]. The clock will be divided by this value + 1. + * For example to divide by 2 this parameter should be set to 1. + * + * Return: + * None + * + * Side Effects: + * If as result of this function execution the CPU clock frequency is increased + * then the number of clock cycles the cache will wait before it samples data + * coming back from Flash must be adjusted by calling CyFlash_SetWaitCycles() + * with appropriate parameter. It can be optionally called if CPU clock + * frequency is lowered in order to improve CPU performance. + * See CyFlash_SetWaitCycles() description for more information. + * + *******************************************************************************/ + void CyCpuClk_SetDivider(uint8 divider) + { + CLKDIST_MSTR1 = (CLKDIST_MSTR1 & CLKDIST_MSTR1_DIV_CLEAR) | + ((uint8)(divider << CLKDIST_DIV_POSITION)); + } + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Function Name: CyUsbClk_SetSource +******************************************************************************** +* +* Summary: +* Sets the source of the USB clock. +* +* Parameters: +* source: One of the four available USB clock sources +* USB_CLK_IMO2X - IMO 2x +* USB_CLK_IMO - IMO +* USB_CLK_PLL - PLL +* USB_CLK_DSI - DSI +* +* Return: +* None +* +*******************************************************************************/ +void CyUsbClk_SetSource(uint8 source) +{ + CLKDIST_UCFG = (CLKDIST_UCFG & ((uint8)(~USB_CLKDIST_CONFIG_MASK))) | + (USB_CLKDIST_CONFIG_MASK & source); +} + + +/******************************************************************************* +* Function Name: CyILO_Start1K +******************************************************************************** +* +* Summary: +* Enables the ILO 1 KHz oscillator. +* +* Note The ILO 1 KHz oscillator is always enabled by default, regardless of the +* selection in the Clock Editor. Therefore, this API is only needed if the +* oscillator was turned off manually. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Start1K(void) +{ + /* Set the bit 1 of ILO RS */ + SLOWCLK_ILO_CR0 |= ILO_CONTROL_1KHZ_ON; +} + + +/******************************************************************************* +* Function Name: CyILO_Stop1K +******************************************************************************** +* +* Summary: +* Disables the ILO 1 KHz oscillator. +* +* Note The ILO 1 KHz oscillator must be enabled if Sleep or Hibernate low power +* mode APIs are expected to be used. For more information, refer to the Power +* Management section of this document. +* +* Parameters: +* None +* +* Return: +* None +* +* Side Effects: +* PSoC5: Stopping the ILO 1 kHz could break the active WDT functionality. +* +*******************************************************************************/ +void CyILO_Stop1K(void) +{ + /* Clear the bit 1 of ILO RS */ + SLOWCLK_ILO_CR0 &= ((uint8)(~ILO_CONTROL_1KHZ_ON)); +} + + +/******************************************************************************* +* Function Name: CyILO_Start100K +******************************************************************************** +* +* Summary: +* Enables the ILO 100 KHz oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Start100K(void) +{ + /* Set the bit 2 of ILO RS */ + SLOWCLK_ILO_CR0 |= ILO_CONTROL_100KHZ_ON; +} + + +/******************************************************************************* +* Function Name: CyILO_Stop100K +******************************************************************************** +* +* Summary: +* Disables the ILO 100 KHz oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Stop100K(void) +{ + /* Clear the bit 2 of ILO RS */ + SLOWCLK_ILO_CR0 &= ((uint8)(~ILO_CONTROL_100KHZ_ON)); +} + + +/******************************************************************************* +* Function Name: CyILO_Enable33K +******************************************************************************** +* +* Summary: +* Enables the ILO 33 KHz divider. +* +* Note that the 33 KHz clock is generated from the 100 KHz oscillator, +* so it must also be running in order to generate the 33 KHz output. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Enable33K(void) +{ + /* Set the bit 5 of ILO RS */ + SLOWCLK_ILO_CR0 |= ILO_CONTROL_33KHZ_ON; +} + + +/******************************************************************************* +* Function Name: CyILO_Disable33K +******************************************************************************** +* +* Summary: +* Disables the ILO 33 KHz divider. +* +* Note that the 33 KHz clock is generated from the 100 KHz oscillator, but this +* API does not disable the 100 KHz clock. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_Disable33K(void) +{ + /* Clear the bit 5 of ILO RS */ + SLOWCLK_ILO_CR0 &= ((uint8)(~ILO_CONTROL_33KHZ_ON)); +} + + +/******************************************************************************* +* Function Name: CyILO_SetSource +******************************************************************************** +* +* Summary: +* Sets the source of the clock output from the ILO block. +* +* Parameters: +* source: One of the three available ILO output sources +* Value Define Source +* 0 CY_ILO_SOURCE_100K ILO 100 KHz +* 1 CY_ILO_SOURCE_33K ILO 33 KHz +* 2 CY_ILO_SOURCE_1K ILO 1 KHz +* +* Return: +* None +* +*******************************************************************************/ +void CyILO_SetSource(uint8 source) +{ + CLKDIST_CR = (CLKDIST_CR & CY_ILO_SOURCE_BITS_CLEAR) | + (((uint8) (source << 2u)) & ((uint8)(~CY_ILO_SOURCE_BITS_CLEAR))); +} + + +/******************************************************************************* +* Function Name: CyILO_SetPowerMode +******************************************************************************** +* +* Summary: +* Sets the power mode used by the ILO during power down. Allows for lower power +* down power usage resulting in a slower startup time. +* +* Parameters: +* uint8 mode +* CY_ILO_FAST_START - Faster start-up, internal bias left on when powered down +* CY_ILO_SLOW_START - Slower start-up, internal bias off when powered down +* +* Return: +* Prevous power mode state. +* +*******************************************************************************/ +uint8 CyILO_SetPowerMode(uint8 mode) +{ + uint8 state; + + /* Get current state. */ + state = SLOWCLK_ILO_CR0; + + /* Set the the oscillator power mode. */ + if(mode != CY_ILO_FAST_START) + { + SLOWCLK_ILO_CR0 = (state | ILO_CONTROL_PD_MODE); + } + else + { + SLOWCLK_ILO_CR0 = (state & ((uint8)(~ILO_CONTROL_PD_MODE))); + } + + /* Return the old mode. */ + return ((state & ILO_CONTROL_PD_MODE) >> ILO_CONTROL_PD_POSITION); +} + + +/******************************************************************************* +* Function Name: CyXTAL_32KHZ_Start +******************************************************************************** +* +* Summary: +* Enables the 32 KHz Crystal Oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_32KHZ_Start(void) +{ + volatile uint16 i; + + CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_STARTUP; + CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | + CY_CLK_XTAL32_CFG_LP_DEFAULT; + + #if(CY_PSOC3) + CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_PDBEN; + #endif /* (CY_PSOC3) */ + + /* Enable operation of the 32K Crystal Oscillator */ + CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_EN; + + for (i = 1000u; i > 0u; i--) + { + if(0u != (CyXTAL_32KHZ_ReadStatus() & CY_XTAL32K_ANA_STAT)) + { + /* Ready - switch to the hign power mode */ + (void) CyXTAL_32KHZ_SetPowerMode(0u); + + break; + } + CyDelayUs(1u); + } +} + + +/******************************************************************************* +* Function Name: CyXTAL_32KHZ_Stop +******************************************************************************** +* +* Summary: +* Disables the 32KHz Crystal Oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_32KHZ_Stop(void) +{ + CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_POWERDOWN; + CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | CY_CLK_XTAL32_CFG_LP_DEFAULT; + CY_CLK_XTAL32_CR_REG &= ((uint8)(~(CY_CLK_XTAL32_CR_EN | CY_CLK_XTAL32_CR_LPM))); + + #if(CY_PSOC3) + CY_CLK_XTAL32_CR_REG &= ((uint8)(~CY_CLK_XTAL32_CR_PDBEN)); + #endif /* (CY_PSOC3) */ +} + + +/******************************************************************************* +* Function Name: CyXTAL_32KHZ_ReadStatus +******************************************************************************** +* +* Summary: +* Returns status of the 32 KHz oscillator. +* +* Parameters: +* None +* +* Return: +* Value Define Source +* 20 CY_XTAL32K_ANA_STAT Analog measurement +* 1: Stable +* 0: Not stable +* +*******************************************************************************/ +uint8 CyXTAL_32KHZ_ReadStatus(void) +{ + return(CY_CLK_XTAL32_CR_REG & CY_XTAL32K_ANA_STAT); +} + + +/******************************************************************************* +* Function Name: CyXTAL_32KHZ_SetPowerMode +******************************************************************************** +* +* Summary: +* Sets the power mode for the 32 KHz oscillator used during sleep mode. +* Allows for lower power during sleep when there are fewer sources of noise. +* During active mode the oscillator is always run in high power mode. +* +* Parameters: +* uint8 mode +* 0: High power mode +* 1: Low power mode during sleep +* +* Return: +* Previous power mode. +* +*******************************************************************************/ +uint8 CyXTAL_32KHZ_SetPowerMode(uint8 mode) +{ + uint8 state = (0u != (CY_CLK_XTAL32_CR_REG & CY_CLK_XTAL32_CR_LPM)) ? 1u : 0u; + + CY_CLK_XTAL32_TST_REG = CY_CLK_XTAL32_TST_DEFAULT; + + if(1u == mode) + { + /* Low power mode during Sleep */ + CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_LOW_POWER; + CyDelayUs(10u); + CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | CY_CLK_XTAL32_CFG_LP_LOWPOWER; + CyDelayUs(20u); + CY_CLK_XTAL32_CR_REG |= CY_CLK_XTAL32_CR_LPM; + } + else + { + /* High power mode */ + CY_CLK_XTAL32_TR_REG = CY_CLK_XTAL32_TR_HIGH_POWER; + CyDelayUs(10u); + CY_CLK_XTAL32_CFG_REG = (CY_CLK_XTAL32_CFG_REG & ((uint8)(~CY_CLK_XTAL32_CFG_LP_MASK))) | CY_CLK_XTAL32_CFG_LP_DEFAULT; + CY_CLK_XTAL32_CR_REG &= ((uint8)(~CY_CLK_XTAL32_CR_LPM)); + } + + return(state); +} + + +/******************************************************************************* +* Function Name: CyXTAL_Start +******************************************************************************** +* +* Summary: +* Enables the megahertz crystal. +* +* PSoC3: +* Waits until the XERR bit is low (no error) for a millisecond or until the +* number of milliseconds specified by the wait parameter has expired. +* +* PSoC5: +* Waits for CY_CLK_XMHZ_MIN_TIMEOUT milliseconds (or number of milliseconds +* specified by parameter if it is greater than CY_CLK_XMHZ_MIN_TIMEOUT. The +* XERR bit status is not checked. +* +* Parameters: +* wait: Valid range [0-255]. +* This is the timeout value in milliseconds. +* The appropriate value is crystal specific. +* +* Return: +* CYRET_SUCCESS - Completed successfully +* CYRET_TIMEOUT - Timeout occurred without detecting a low value on XERR. +* +* Side Effects and Restrictions: +* If wait is enabled (non-zero wait). Uses the Fast Timewheel to time the wait. +* Any other use of the Fast Timewheel (FTW) will be stopped during the period +* of this function and then restored. +* +* Uses the 100KHz ILO. If not enabled, this function will enable the 100KHz +* ILO for the period of this function. No changes to the setup of the ILO, +* Fast Timewheel, Central Timewheel or Once Per Second interrupt may be made +* by interrupt routines during the period of this function. +* +* The current operation of the ILO, Central Timewheel and Once Per Second +* interrupt are maintained during the operation of this function provided the +* reading of the Power Manager Interrupt Status Register is only done using the +* CyPmReadStatus() function. +* +*******************************************************************************/ +cystatus CyXTAL_Start(uint8 wait) +{ + cystatus status = CYRET_SUCCESS; + + #if(CY_PSOC5A) + volatile uint8 timeout = (wait < CY_CLK_XMHZ_MIN_TIMEOUT) ? CY_CLK_XMHZ_MIN_TIMEOUT : wait; + #else + volatile uint8 timeout = wait; + #endif /* (CY_PSOC5A) */ + + volatile uint8 count; + uint8 iloEnableState; + uint8 pmTwCfg0Tmp; + uint8 pmTwCfg2Tmp; + + + /* Enables the MHz crystal oscillator circuit */ + CY_CLK_XMHZ_CSR_REG |= CY_CLK_XMHZ_CSR_ENABLE; + + + if(wait > 0u) + { + /* Save 100 KHz ILO, FTW interval, enable and interrupt enable */ + iloEnableState = SLOWCLK_ILO_CR0; + pmTwCfg0Tmp = CY_PM_TW_CFG0_REG; + pmTwCfg2Tmp = CY_PM_TW_CFG2_REG; + + /* Set 250 us interval */ + CyPmFtwSetInterval(CY_CLK_XMHZ_FTW_INTERVAL); + status = CYRET_TIMEOUT; + + + for( ; timeout > 0u; timeout--) + { + #if(!CY_PSOC5A) + + /* Read XERR bit to clear it */ + (void) CY_CLK_XMHZ_CSR_REG; + + #endif /* (!CY_PSOC5A) */ + + + /* Wait for a millisecond - 4 x 250 us */ + for(count = 4u; count > 0u; count--) + { + while(!(CY_PM_FTW_INT == CyPmReadStatus(CY_PM_FTW_INT))) + { + /* Wait for the FTW interrupt event */ + } + } + + + #if(!CY_PSOC5A) + + /******************************************************************* + * High output indicates oscillator failure. + * Only can be used after start-up interval (1 ms) is completed. + *******************************************************************/ + if(0u == (CY_CLK_XMHZ_CSR_REG & CY_CLK_XMHZ_CSR_XERR)) + { + status = CYRET_SUCCESS; + break; + } + + #endif /* (!CY_PSOC5A) */ + } + + + /* Restore 100 KHz ILO, FTW interval, enable and interrupt enable */ + if(0u == (iloEnableState & ILO_CONTROL_100KHZ_ON)) + { + CyILO_Stop100K(); + } + CY_PM_TW_CFG0_REG = pmTwCfg0Tmp; + CY_PM_TW_CFG2_REG = pmTwCfg2Tmp; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CyXTAL_Stop +******************************************************************************** +* +* Summary: +* Disables the megahertz crystal oscillator. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_Stop(void) +{ + /* Disable the the oscillator. */ + FASTCLK_XMHZ_CSR &= ((uint8)(~XMHZ_CONTROL_ENABLE)); +} + + +#if(!CY_PSOC5A) + + /******************************************************************************* + * Function Name: CyXTAL_EnableErrStatus + ******************************************************************************** + * + * Summary: + * Enables the generation of the XERR status bit for the megahertz crystal. + * This function is not available for PSoC5. + * + * Parameters: + * None + * + * Return: + * None + * + *******************************************************************************/ + void CyXTAL_EnableErrStatus(void) + { + /* If oscillator has insufficient amplitude, XERR bit will be high. */ + CY_CLK_XMHZ_CSR_REG &= ((uint8)(~CY_CLK_XMHZ_CSR_XFB)); + } + + + /******************************************************************************* + * Function Name: CyXTAL_DisableErrStatus + ******************************************************************************** + * + * Summary: + * Disables the generation of the XERR status bit for the megahertz crystal. + * This function is not available for PSoC5. + * + * Parameters: + * None + * + * Return: + * None + * + *******************************************************************************/ + void CyXTAL_DisableErrStatus(void) + { + /* If oscillator has insufficient amplitude, XERR bit will be high. */ + CY_CLK_XMHZ_CSR_REG |= CY_CLK_XMHZ_CSR_XFB; + } + + + /******************************************************************************* + * Function Name: CyXTAL_ReadStatus + ******************************************************************************** + * + * Summary: + * Reads the XERR status bit for the megahertz crystal. This status bit is a + * sticky clear on read value. This function is not available for PSoC5. + * + * Parameters: + * None + * + * Return: + * Status + * 0: No error + * 1: Error + * + *******************************************************************************/ + uint8 CyXTAL_ReadStatus(void) + { + /*************************************************************************** + * High output indicates oscillator failure. Only use this after start-up + * interval is completed. This can be used for status and failure recovery. + ***************************************************************************/ + return((0u != (CY_CLK_XMHZ_CSR_REG & CY_CLK_XMHZ_CSR_XERR)) ? 1u : 0u); + } + + + /******************************************************************************* + * Function Name: CyXTAL_EnableFaultRecovery + ******************************************************************************** + * + * Summary: + * Enables the fault recovery circuit which will switch to the IMO in the case + * of a fault in the megahertz crystal circuit. The crystal must be up and + * running with the XERR bit at 0, before calling this function to prevent + * immediate fault switchover. This function is not available for PSoC5. + * + * Parameters: + * None + * + * Return: + * None + * + *******************************************************************************/ + void CyXTAL_EnableFaultRecovery(void) + { + CY_CLK_XMHZ_CSR_REG |= CY_CLK_XMHZ_CSR_XPROT; + } + + + /******************************************************************************* + * Function Name: CyXTAL_DisableFaultRecovery + ******************************************************************************** + * + * Summary: + * Disables the fault recovery circuit which will switch to the IMO in the case + * of a fault in the megahertz crystal circuit. This function is not available + * for PSoC5. + * + * Parameters: + * None + * + * Return: + * None + * + *******************************************************************************/ + void CyXTAL_DisableFaultRecovery(void) + { + CY_CLK_XMHZ_CSR_REG &= ((uint8)(~CY_CLK_XMHZ_CSR_XPROT)); + } + +#endif /* (!CY_PSOC5A) */ + +/******************************************************************************* +* Function Name: CyXTAL_SetStartup +******************************************************************************** +* +* Summary: +* Sets the startup settings for the crystal. Logic model outputs a frequency +* (setting + 4) MHz when enabled. +* +* This is artificial as the actual frequency is determined by an attached +* external crystal. +* +* Parameters: +* setting: Valid range [0-31]. +* Value is dependent on the frequency and quality of the crystal being used. +* Refer to the device TRM and datasheet for more information. +* +* Return: +* None +* +*******************************************************************************/ +void CyXTAL_SetStartup(uint8 setting) +{ + CY_CLK_XMHZ_CFG0_REG = (CY_CLK_XMHZ_CFG0_REG & ((uint8)(~CY_CLK_XMHZ_CFG0_XCFG_MASK))) | + (setting & CY_CLK_XMHZ_CFG0_XCFG_MASK); +} + + +#if(CY_PSOC3 || CY_PSOC5LP) + /******************************************************************************* + * Function Name: CyXTAL_SetFbVoltage + ******************************************************************************** + * + * Summary: + * Sets the feedback reference voltage to use for the crystal circuit. + * This function is only available for PSoC3 and PSoC 5LP. + * + * Parameters: + * setting: Valid range [0-15]. + * Refer to the device TRM and datasheet for more information. + * + * Return: + * None + * + *******************************************************************************/ + void CyXTAL_SetFbVoltage(uint8 setting) + { + CY_CLK_XMHZ_CFG1_REG = ((CY_CLK_XMHZ_CFG1_REG & ((uint8)(~CY_CLK_XMHZ_CFG1_VREF_FB_MASK))) | + (setting & CY_CLK_XMHZ_CFG1_VREF_FB_MASK)); + } + + + /******************************************************************************* + * Function Name: CyXTAL_SetWdVoltage + ******************************************************************************** + * + * Summary: + * Sets the reference voltage used by the watchdog to detect a failure in the + * crystal circuit. This function is only available for PSoC3 and PSoC 5LP. + * + * Parameters: + * setting: Valid range [0-7]. + * Refer to the device TRM and datasheet for more information. + * + * Return: + * None + * + *******************************************************************************/ + void CyXTAL_SetWdVoltage(uint8 setting) + { + CY_CLK_XMHZ_CFG1_REG = ((CY_CLK_XMHZ_CFG1_REG & ((uint8)(~CY_CLK_XMHZ_CFG1_VREF_WD_MASK))) | + (((uint8)(setting << 4u)) & CY_CLK_XMHZ_CFG1_VREF_WD_MASK)); + } + +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + +/******************************************************************************* +* Function Name: CyHalt +******************************************************************************** +* +* Summary: +* Halts the CPU. +* +* Parameters: +* uint8 reason: Value to be used during debugging. +* +* Return: +* None +* +*******************************************************************************/ +void CyHalt(uint8 reason) CYREENTRANT +{ + if(0u != reason) + { + /* To remove unreferenced local variable warning */ + } + + #if defined (__ARMCC_VERSION) + __breakpoint(0x0); + #elif defined(__GNUC__) + __asm(" bkpt 1"); + #elif defined(__C51__) + CYDEV_HALT_CPU; + #endif /* (__ARMCC_VERSION) */ +} + + +/******************************************************************************* +* Function Name: CySoftwareReset +******************************************************************************** +* +* Summary: +* Forces a software reset of the device. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CySoftwareReset(void) +{ + /* Perform software reset */ + *RESET_CR2 = 0x1u; +} + + +/******************************************************************************* +* Function Name: CyDelay +******************************************************************************** +* +* Summary: +* Blocks for milliseconds. +* +* Note: +* CyDelay has been implemented with the instruction cache assumed enabled. When +* instruction cache is disabled on PSoC5, CyDelay will be two times larger. For +* example, with instruction cache disabled CyDelay(100) would result in about +* 200 ms delay instead of 100 ms. +* +* Parameters: +* milliseconds: number of milliseconds to delay. +* +* Return: +* None +* +*******************************************************************************/ +void CyDelay(uint32 milliseconds) CYREENTRANT +{ + while (milliseconds > 32768u) + { + /*********************************************************************** + * This loop prevents overflow.At 100MHz, milliseconds * delay_freq_khz + * overflows at about 42 seconds. + ***********************************************************************/ + CyDelayCycles(cydelay_32k_ms); + milliseconds = ((uint32)(milliseconds - 32768u)); + } + + CyDelayCycles(milliseconds * cydelay_freq_khz); +} + + +#if(!CY_PSOC3) + + /* For PSoC3 devices function is defined in CyBootAsmKeil.a51 file */ + + /******************************************************************************* + * Function Name: CyDelayUs + ******************************************************************************** + * + * Summary: + * Blocks for microseconds. + * + * Note: + * CyDelay has been implemented with the instruction cache assumed enabled. + * When instruction cache is disabled on PSoC5, CyDelayUs will be two times + * larger. Ex: With instruction cache disabled CyDelayUs(100) would result + * in about 200us delay instead of 100us. + * + * Parameters: + * uint16 microseconds: number of microseconds to delay. + * + * Return: + * None + * + * Side Effects: + * CyDelayUS has been implemented with the instruction cache assumed enabled. + * When instruction cache is disabled on PSoC 5, CyDelayUs will be two times + * larger. For example, with instruction cache disabled CyDelayUs(100) would + * result in about 200 us delay instead of 100 us. + * + * If the bus clock frequency is a small non-integer number, the actual delay + * can be up to twice as long as the nominal value. The actual delay cannot be + * shorter than the nominal one. + *******************************************************************************/ + void CyDelayUs(uint16 microseconds) CYREENTRANT + { + CyDelayCycles((uint32)microseconds * cydelay_freq_mhz); + } + +#endif /* (!CY_PSOC3) */ + + +/******************************************************************************* +* Function Name: CyDelayFreq +******************************************************************************** +* +* Summary: +* Sets clock frequency for CyDelay. +* +* Parameters: +* freq: Frequency of bus clock in Hertz. +* +* Return: +* None +* +*******************************************************************************/ +void CyDelayFreq(uint32 freq) CYREENTRANT +{ + if (freq != 0u) + { + cydelay_freq_hz = freq; + } + else + { + cydelay_freq_hz = BCLK__BUS_CLK__HZ; + } + + cydelay_freq_mhz = (uint8)((cydelay_freq_hz + 999999u) / 1000000u); + cydelay_freq_khz = (cydelay_freq_hz + 999u) / 1000u; + cydelay_32k_ms = 32768u * cydelay_freq_khz; +} + + +/******************************************************************************* +* Function Name: CyWdtStart +******************************************************************************** +* +* Summary: +* Enables the watchdog timer. +* +* The timer is configured for the specified count interval, the central +* timewheel is cleared, the setting for low power mode is configured and the +* watchdog timer is enabled. +* +* Once enabled the watchdog cannot be disabled. The watchdog counts each time +* the Central Time Wheel (CTW) reaches the period specified. The watchdog must +* be cleared using the CyWdtClear() function before three ticks of the watchdog +* timer occur. The CTW is free running, so this will occur after between 2 and +* 3 timer periods elapse. +* +* PSoC5: The watchdog timer should not be used during sleep modes. Since the +* WDT cannot be disabled after it is enabled, the WDT timeout period can be +* set to be greater than the sleep wakeup period, then feed the dog on each +* wakeup from Sleep. +* +* Parameters: +* ticks: One of the four available timer periods. Once WDT enabled, the + interval cannot be changed. +* CYWDT_2_TICKS - 4 - 6 ms +* CYWDT_16_TICKS - 32 - 48 ms +* CYWDT_128_TICKS - 256 - 384 ms +* CYWDT_1024_TICKS - 2.048 - 3.072 s +* +* lpMode: Low power mode configuration. This parameter is ignored for PSoC 5. +* The WDT always acts as if CYWDT_LPMODE_NOCHANGE is passed. +* +* CYWDT_LPMODE_NOCHANGE - No Change +* CYWDT_LPMODE_MAXINTER - Switch to longest timer mode during low power +* mode +* CYWDT_LPMODE_DISABLED - Disable WDT during low power mode +* +* Return: +* None +* +* Side Effects: +* PSoC5: The ILO 1 KHz must be enabled for proper WDT operation. Stopping the +* ILO 1 kHz could break the active WDT functionality. +* +*******************************************************************************/ +void CyWdtStart(uint8 ticks, uint8 lpMode) +{ + #if(CY_PSOC5A) + CyILO_Start1K(); + #endif /* (CY_PSOC5A) */ + + /* Set WDT interval */ + CY_WDT_CFG_REG = (CY_WDT_CFG_REG & ((uint8)(~CY_WDT_CFG_INTERVAL_MASK))) | (ticks & CY_WDT_CFG_INTERVAL_MASK); + + /* Reset CTW to ensure that first watchdog period is full */ + CY_WDT_CFG_REG |= CY_WDT_CFG_CTW_RESET; + CY_WDT_CFG_REG &= ((uint8)(~CY_WDT_CFG_CTW_RESET)); + + #if(!CY_PSOC5A) + + /* Setting the low power mode */ + CY_WDT_CFG_REG = (((uint8)(lpMode << CY_WDT_CFG_LPMODE_SHIFT)) & CY_WDT_CFG_LPMODE_MASK) | + (CY_WDT_CFG_REG & ((uint8)(~CY_WDT_CFG_LPMODE_MASK))); + #else + + if(0u != lpMode) + { + /* To remove unreferenced local variable warning */ + } + + #endif /* (!CY_PSOC5A) */ + + /* Enables the watchdog reset */ + CY_WDT_CFG_REG |= CY_WDT_CFG_WDR_EN; +} + + +/******************************************************************************* +* Function Name: CyWdtClear +******************************************************************************** +* +* Summary: +* Clears (feeds) the watchdog timer. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyWdtClear(void) +{ + #if(CY_PSOC5A) + + /* PSoC5 ES1 watchdog time clear requires workaround */ + uint8 wdtCfg = CY_WDT_CFG_REG; + CY_WDT_CR_REG = CY_WDT_CR_FEED; + CY_WDT_CFG_REG = CY_WDT_CFG_CLEAR_ALL; + CY_WDT_CFG_REG = wdtCfg; + + #else + + CY_WDT_CR_REG = CY_WDT_CR_FEED; + + #endif /* (CY_PSOC5A) */ +} + + + +/******************************************************************************* +* Function Name: CyVdLvDigitEnable +******************************************************************************** +* +* Summary: +* Enables the digital low voltage monitors to generate interrupt on Vddd +* archives specified threshold and optionally resets device. +* +* Parameters: +* reset: Option to reset device at a specified Vddd threshold: +* 0 - Device is not reset. +* 1 - Device is reset. +* This option is applicable for PSoC 3/PSoC 5LP devices only. +* +* threshold: Sets the trip level for the voltage monitor. +* Values from 1.70 V to 5.45 V(for PSoC 3/PSoC 5LP) and from 2.45 V to 5.45 V +* (for PSoC 5TM) are accepted with the approximately 250 mV interval. +* +* Return: +* None +* +*******************************************************************************/ +void CyVdLvDigitEnable(uint8 reset, uint8 threshold) +{ + *CY_INT_CLEAR_PTR = 0x01u; + + #if(!CY_PSOC5A) + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + #endif /*(!CY_PSOC5A)*/ + + CY_VD_LVI_TRIP_REG = (threshold & CY_VD_LVI_TRIP_LVID_MASK) | + (CY_VD_LVI_TRIP_REG & ((uint8)(~CY_VD_LVI_TRIP_LVID_MASK))); + CY_VD_LVI_HVI_CONTROL_REG |= CY_VD_LVID_EN; + + /* Timeout to eliminate glitches on the LVI/HVI when enabling */ + CyDelayUs(1u); + + (void)CY_VD_PERSISTENT_STATUS_REG; + + #if(!CY_PSOC5A) + if(0u != reset) + { + CY_VD_PRES_CONTROL_REG |= CY_VD_PRESD_EN; + } + else + { + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + } + #else + + if(0u != reset) + { + /* To remove unreferenced local variable warning */ + } + + #endif /*(!CY_PSOC5A)*/ + + *CY_INT_CLR_PEND_PTR = 0x01u; + *CY_INT_ENABLE_PTR = 0x01u; +} + + +/******************************************************************************* +* Function Name: CyVdLvAnalogEnable +******************************************************************************** +* +* Summary: +* Enables the analog low voltage monitors to generate interrupt on Vdda +* archives specified threshold and optionally resets device. +* +* Parameters: +* reset: Option to reset device at a specified Vdda threshold: +* 0 - Device is not reset. +* 1 - Device is reset. +* This option is applicable for PSoC 3/PSoC 5LP devices only. +* +* threshold: Sets the trip level for the voltage monitor. +* Values from 1.70 V to 5.45 V(for PSoC 3/PSoC 5LP) and from 2.45 V to 5.45 V +* (for PSoC 5TM) are accepted with the approximately 250 mV interval. +* +* Return: +* None +* +*******************************************************************************/ +void CyVdLvAnalogEnable(uint8 reset, uint8 threshold) +{ + *CY_INT_CLEAR_PTR = 0x01u; + + #if(!CY_PSOC5A) + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + #endif /*(!CY_PSOC5A)*/ + + CY_VD_LVI_TRIP_REG = ((uint8)(threshold << 4u)) | (CY_VD_LVI_TRIP_REG & 0x0Fu); + CY_VD_LVI_HVI_CONTROL_REG |= CY_VD_LVIA_EN; + + /* Timeout to eliminate glitches on the LVI/HVI when enabling */ + CyDelayUs(1u); + + (void)CY_VD_PERSISTENT_STATUS_REG; + + #if(!CY_PSOC5A) + if(0u != reset) + { + CY_VD_PRES_CONTROL_REG |= CY_VD_PRESA_EN; + } + else + { + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + } + #else + + if(0u != reset) + { + /* To remove unreferenced local variable warning */ + } + + #endif /*(!CY_PSOC5A)*/ + + *CY_INT_CLR_PEND_PTR = 0x01u; + *CY_INT_ENABLE_PTR = 0x01u; +} + + +/******************************************************************************* +* Function Name: CyVdLvDigitDisable +******************************************************************************** +* +* Summary: +* Disables the digital low voltage monitor (interrupt and device reset are +* disabled). +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyVdLvDigitDisable(void) +{ + CY_VD_LVI_HVI_CONTROL_REG &= ((uint8)(~CY_VD_LVID_EN)); + + #if(!CY_PSOC5A) + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESD_EN)); + #endif /*(!CY_PSOC5A)*/ + + while(0u != (CY_VD_PERSISTENT_STATUS_REG & 0x07u)) + { + + } +} + + +/******************************************************************************* +* Function Name: CyVdLvAnalogDisable +******************************************************************************** +* +* Summary: +* Disables the analog low voltage monitor +* (interrupt and device reset are disabled). +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyVdLvAnalogDisable(void) +{ + CY_VD_LVI_HVI_CONTROL_REG &= ((uint8)(~CY_VD_LVIA_EN)); + + #if(!CY_PSOC5A) + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + #endif /*(!CY_PSOC5A)*/ + + while(0u != (CY_VD_PERSISTENT_STATUS_REG & 0x07u)) + { + + } +} + + +/******************************************************************************* +* Function Name: CyVdHvAnalogEnable +******************************************************************************** +* +* Summary: +* Enables the analog high voltage monitors to generate interrupt on +* Vdda archives 5.75 V threshold and optionally resets device. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyVdHvAnalogEnable(void) +{ + *CY_INT_CLEAR_PTR = 0x01u; + + #if(!CY_PSOC5A) + CY_VD_PRES_CONTROL_REG &= ((uint8)(~CY_VD_PRESA_EN)); + #endif /*(!CY_PSOC5A)*/ + + CY_VD_LVI_HVI_CONTROL_REG |= CY_VD_HVIA_EN; + + /* Timeout to eliminate glitches on the LVI/HVI when enabling */ + CyDelayUs(1u); + + (void) CY_VD_PERSISTENT_STATUS_REG; + + *CY_INT_CLR_PEND_PTR = 0x01u; + *CY_INT_ENABLE_PTR = 0x01u; +} + + +/******************************************************************************* +* Function Name: CyVdHvAnalogDisable +******************************************************************************** +* +* Summary: +* Disables the analog low voltage monitor +* (interrupt and device reset are disabled). +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyVdHvAnalogDisable(void) +{ + CY_VD_LVI_HVI_CONTROL_REG &= ((uint8)(~CY_VD_HVIA_EN)); +} + + +/******************************************************************************* +* Function Name: CyVdStickyStatus +******************************************************************************** +* +* Summary: +* Manages the Reset and Voltage Detection Status Register 0. +* This register has the interrupt status for the HVIA, LVID and LVIA. +* This hardware register clears on read. +* +* Parameters: +* mask: Bits in the shadow register to clear. +* Value Define Bit To Clear +* 0x01 CY_VD_LVID LVID +* 0x02 CY_VD_LVIA LVIA +* 0x04 CY_VD_HVIA HVIA +* +* Return: +* Status. Same enumerated bit values as used for the mask parameter. +* +*******************************************************************************/ +uint8 CyVdStickyStatus(uint8 mask) +{ + uint8 status; + + status = CY_VD_PERSISTENT_STATUS_REG; + CY_VD_PERSISTENT_STATUS_REG &= ((uint8)(~mask)); + + return(status); +} + + +/******************************************************************************* +* Function Name: CyVdRealTimeStatus +******************************************************************************** +* +* Summary: +* Returns the real time voltage detection status. +* +* Parameters: +* None +* +* Return: +* Status. Same enumerated bit values as used for the mask parameter. +* +*******************************************************************************/ +uint8 CyVdRealTimeStatus(void) +{ + uint8 interruptState; + uint8 vdFlagsState; + + interruptState = CyEnterCriticalSection(); + vdFlagsState = CY_VD_RT_STATUS_REG; + CyExitCriticalSection(interruptState); + + return(vdFlagsState); +} + + +/******************************************************************************* +* Function Name: CyDisableInts +******************************************************************************** +* +* Summary: +* Disables the interrupt enable for each interrupt. +* +* Parameters: +* None +* +* Return: +* 32 bit mask of previously enabled interrupts. +* +*******************************************************************************/ +uint32 CyDisableInts(void) +{ + uint32 intState; + uint8 interruptState; + + interruptState = CyEnterCriticalSection(); + + #if(CY_PSOC3) + + /* Get the current interrupt state. */ + intState = ((uint32) CY_GET_REG8(CY_INT_CLR_EN0_PTR)); + intState |= ((uint32) (((uint32) CY_GET_REG8(CY_INT_CLR_EN1_PTR)) << 8u)); + intState |= ((uint32) (((uint32) CY_GET_REG8(CY_INT_CLR_EN2_PTR)) << 16u)); + intState |= ((uint32) (((uint32) CY_GET_REG8(CY_INT_CLR_EN3_PTR)) << 24u)); + + + /* Disable all of the interrupts. */ + CY_SET_REG8(CY_INT_CLR_EN0_PTR, 0xFFu); + CY_SET_REG8(CY_INT_CLR_EN1_PTR, 0xFFu); + CY_SET_REG8(CY_INT_CLR_EN2_PTR, 0xFFu); + CY_SET_REG8(CY_INT_CLR_EN3_PTR, 0xFFu); + + #else + + /* Get the current interrupt state. */ + intState = CY_GET_REG32(CY_INT_CLEAR_PTR); + + /* Disable all of the interrupts. */ + CY_SET_REG32(CY_INT_CLEAR_PTR, 0xFFFFFFFFu); + + #endif /* (CY_PSOC3) */ + + CyExitCriticalSection(interruptState); + + return (intState); +} + + +/******************************************************************************* +* Function Name: CyEnableInts +******************************************************************************** +* +* Summary: +* Enables interrupts to a given state. +* +* Parameters: +* uint32 mask: 32 bit mask of interrupts to enable. +* +* Return: +* None +* +*******************************************************************************/ +void CyEnableInts(uint32 mask) +{ + + uint8 interruptState; + + interruptState = CyEnterCriticalSection(); + + #if(CY_PSOC3) + + /* Set interrupts as enabled. */ + CY_SET_REG8(CY_INT_SET_EN3_PTR, ((uint8) (mask >> 24u))); + CY_SET_REG8(CY_INT_SET_EN2_PTR, ((uint8) (mask >> 16u))); + CY_SET_REG8(CY_INT_SET_EN1_PTR, ((uint8) (mask >> 8u ))); + CY_SET_REG8(CY_INT_SET_EN0_PTR, ((uint8) (mask ))); + + #else + + CY_SET_REG32(CY_INT_ENABLE_PTR, mask); + + #endif /* (CY_PSOC3) */ + + CyExitCriticalSection(interruptState); + +} + +#if(CY_PSOC5) + + /******************************************************************************* + * Function Name: CyFlushCache + ******************************************************************************** + * Summary: + * Flushes the PSoC 5/5LP cache by invalidating all entries. + * + * Parameters: + * None + * + * Return: + * None + * + *******************************************************************************/ + void CyFlushCache(void) + { + uint8 interruptState; + + /* Save current global interrupt enable and disable it */ + interruptState = CyEnterCriticalSection(); + + /* Fill instruction prefectch unit to insure data integrity */ + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + + /* All entries in the cache are invalidated on the next clock cycle. */ + CY_CACHE_CONTROL_REG |= CY_CACHE_CONTROL_FLUSH; + + + /*********************************************************************** + * The prefetch unit could/would be filled with the instructions that + * succeed the flush. Since a flush is desired then theoretically those + * instructions might be considered stale/invalid. + ***********************************************************************/ + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + CY_NOP; + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); + } + + + /******************************************************************************* + * Function Name: CyIntSetSysVector + ******************************************************************************** + * Summary: + * Sets the interrupt vector of the specified system interrupt number. System + * interrupts are present only for the ARM platform. These interrupts are for + * SysTick, PendSV and others. + * + * Parameters: + * number: Interrupt number, valid range [0-15]. + address: Pointer to an interrupt service routine. + * + * Return: + * The old ISR vector at this location. + * + *******************************************************************************/ + cyisraddress CyIntSetSysVector(uint8 number, cyisraddress address) + { + cyisraddress oldIsr; + cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; + + CYASSERT(number <= CY_INT_SYS_NUMBER_MAX); + + /* Save old Interrupt service routine. */ + oldIsr = ramVectorTable[number & CY_INT_SYS_NUMBER_MASK]; + + /* Set new Interrupt service routine. */ + ramVectorTable[number & CY_INT_SYS_NUMBER_MASK] = address; + + return (oldIsr); + } + + + /******************************************************************************* + * Function Name: CyIntGetSysVector + ******************************************************************************** + * + * Summary: + * Gets the interrupt vector of the specified system interrupt number. System + * interrupts are present only for the ARM platform. These interrupts are for + * SysTick, PendSV and others. + * + * Parameters: + * number: The interrupt number, valid range [0-15]. + * + * Return: + * Address of the ISR in the interrupt vector table. + * + *******************************************************************************/ + cyisraddress CyIntGetSysVector(uint8 number) + { + cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; + CYASSERT(number <= CY_INT_SYS_NUMBER_MAX); + + return ramVectorTable[number & CY_INT_SYS_NUMBER_MASK]; + } + + + /******************************************************************************* + * Function Name: CyIntSetVector + ******************************************************************************** + * + * Summary: + * Sets the interrupt vector of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * address: Pointer to an interrupt service routine + * + * Return: + * Previous interrupt vector value. + * + *******************************************************************************/ + cyisraddress CyIntSetVector(uint8 number, cyisraddress address) + { + cyisraddress oldIsr; + cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + /* Save old Interrupt service routine. */ + oldIsr = ramVectorTable[CY_INT_IRQ_BASE + (number & CY_INT_NUMBER_MASK)]; + + /* Set new Interrupt service routine. */ + ramVectorTable[CY_INT_IRQ_BASE + (number & CY_INT_NUMBER_MASK)] = address; + + return (oldIsr); + } + + + /******************************************************************************* + * Function Name: CyIntGetVector + ******************************************************************************** + * + * Summary: + * Gets the interrupt vector of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * + * Return: + * Address of the ISR in the interrupt vector table. + * + *******************************************************************************/ + cyisraddress CyIntGetVector(uint8 number) + { + cyisraddress *ramVectorTable = *CY_INT_VECT_TABLE; + CYASSERT(number <= CY_INT_NUMBER_MAX); + + return (ramVectorTable[CY_INT_IRQ_BASE + (number & CY_INT_NUMBER_MASK)]); + } + + + /******************************************************************************* + * Function Name: CyIntSetPriority + ******************************************************************************** + * + * Summary: + * Sets the Priority of the Interrupt. + * + * Parameters: + * priority: Priority of the interrupt. 0 - 7, 0 being the highest. + * number: The number of the interrupt, 0 - 31. + * + * Return: + * None + * + *******************************************************************************/ + void CyIntSetPriority(uint8 number, uint8 priority) + { + CYASSERT(priority <= CY_INT_PRIORITY_MAX); + CYASSERT(number <= CY_INT_NUMBER_MAX); + CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] = (priority & CY_INT_PRIORITY_MASK)<< 5; + } + + + /******************************************************************************* + * Function Name: CyIntGetPriority + ******************************************************************************** + * + * Summary: + * Gets the Priority of the Interrupt. + * + * Parameters: + * number: The number of the interrupt, 0 - 31. + * + * Return: + * Priority of the interrupt. 0 - 7, 0 being the highest. + * + *******************************************************************************/ + uint8 CyIntGetPriority(uint8 number) + { + uint8 priority; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + priority = CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] >> 5; + + return (priority); + } + + + /******************************************************************************* + * Function Name: CyIntGetState + ******************************************************************************** + * + * Summary: + * Gets the enable state of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * Enable status: 1 if enabled, 0 if disabled + * + *******************************************************************************/ + uint8 CyIntGetState(uint8 number) + { + reg32 * stateReg; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + /* Get a pointer to the Interrupt enable register. */ + stateReg = CY_INT_ENABLE_PTR; + + /* Get the state of the interrupt. */ + return (0u != (*stateReg & (((uint32) 1u) << (0x1Fu & number)))) ? ((uint8)(1u)) : ((uint8)(0u)); + } + + +#else /* PSoC3 */ + + + /******************************************************************************* + * Function Name: CyIntSetVector + ******************************************************************************** + * + * Summary: + * Sets the interrupt vector of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * address: Pointer to an interrupt service routine + * + * Return: + * Previous interrupt vector value. + * + *******************************************************************************/ + cyisraddress CyIntSetVector(uint8 number, cyisraddress address) + { + cyisraddress oldIsr; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + /* Save old Interrupt service routine. */ + oldIsr = (cyisraddress) \ + CY_GET_REG16(&CY_INT_VECT_TABLE[number & CY_INT_NUMBER_MASK]); + + /* Set new Interrupt service routine. */ + CY_SET_REG16(&CY_INT_VECT_TABLE[number], (uint16) address); + + return (oldIsr); + } + + + /******************************************************************************* + * Function Name: CyIntGetVector + ******************************************************************************** + * + * Summary: + * Gets the interrupt vector of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * + * Return: + * Address of the ISR in the interrupt vector table. + * + *******************************************************************************/ + cyisraddress CyIntGetVector(uint8 number) + { + CYASSERT(number <= CY_INT_NUMBER_MAX); + + return ((cyisraddress) \ + CY_GET_REG16(&CY_INT_VECT_TABLE[number & CY_INT_NUMBER_MASK])); + } + + + /******************************************************************************* + * Function Name: CyIntSetPriority + ******************************************************************************** + * + * Summary: + * Sets the Priority of the Interrupt. + * + * Parameters: + * priority: Priority of the interrupt. 0 - 7, 0 being the highest. + * number: The number of the interrupt, 0 - 31. + * + * Return: + * None + * + *******************************************************************************/ + void CyIntSetPriority(uint8 number, uint8 priority) + { + CYASSERT(priority <= CY_INT_PRIORITY_MAX); + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] = + (priority & CY_INT_PRIORITY_MASK) << 5; + } + + + /******************************************************************************* + * Function Name: CyIntGetPriority + ******************************************************************************** + * + * Summary: + * Gets the Priority of the Interrupt. + * + * Parameters: + * number: The number of the interrupt, 0 - 31. + * + * Return: + * Priority of the interrupt. 0 - 7, 0 being the highest. + * + *******************************************************************************/ + uint8 CyIntGetPriority(uint8 number) + { + uint8 priority; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + priority = CY_INT_PRIORITY_PTR[number & CY_INT_NUMBER_MASK] >> 5; + + return (priority); + } + + + /******************************************************************************* + * Function Name: CyIntGetState + ******************************************************************************** + * + * Summary: + * Gets the enable state of the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * Enable status: 1 if enabled, 0 if disabled + * + *******************************************************************************/ + uint8 CyIntGetState(uint8 number) + { + reg8 * stateReg; + + CYASSERT(number <= CY_INT_NUMBER_MAX); + + /* Get a pointer to the Interrupt enable register. */ + stateReg = CY_INT_ENABLE_PTR + ((number & CY_INT_NUMBER_MASK) >> 3u); + + /* Get the state of the interrupt. */ + return ((0u != (*stateReg & ((uint8)(1u << (0x07u & number))))) ? ((uint8)(1u)) : ((uint8)(0u))); + } + + +#endif /* (CY_PSOC5) */ + + +#if(!CY_PSOC5A) + + #if(CYDEV_VARIABLE_VDDA == 1) + + + /******************************************************************************* + * Function Name: CySetScPumps + ******************************************************************************** + * + * Summary: + * If 1 is passed as a parameter: + * - if any of the SC blocks are used - enable pumps for the SC blocks and + * start boost clock. + * - For the each enabled SC block set boost clock index and enable boost clock. + * + * If non-1 value is passed as a parameter: + * - If all SC blocks are not used - disable pumps for the SC blocks and + * stop boost clock. + * - For the each enabled SC block clear boost clock index and disable boost + * clock. + * + * The global variable CyScPumpEnabled is updated to be equal to passed + * parameter. + * + * Parameters: + * uint8 enable: Enable/disable SC pumps and boost clock for enabled SC block. + * 1 - Enable + * 0 - Disable + * + * Return: + * None + * + *******************************************************************************/ + void CySetScPumps(uint8 enable) + { + + if(1u == enable) + { + /* The SC pumps should be enabled */ + CyScPumpEnabled = 1u; + + + /* Enable pumps if any of SC blocks are used */ + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAPS_MASK)) + { + + CY_LIB_SC_MISC_REG |= CY_LIB_SC_MISC_PUMP_FORCE; + + CyScBoostClk_Start(); + } + + + /* Set positive pump for each enabled SC block: set clock index and enable it */ + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAP0_EN)) + { + CY_LIB_SC0_BST_REG = (CY_LIB_SC0_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK) | CyScBoostClk__INDEX; + CY_LIB_SC0_BST_REG |= CY_LIB_SC_BST_CLK_EN; + } + + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAP1_EN)) + { + CY_LIB_SC1_BST_REG = (CY_LIB_SC1_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK) | CyScBoostClk__INDEX; + CY_LIB_SC1_BST_REG |= CY_LIB_SC_BST_CLK_EN; + } + + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAP2_EN)) + { + CY_LIB_SC2_BST_REG = (CY_LIB_SC2_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK) | CyScBoostClk__INDEX; + CY_LIB_SC2_BST_REG |= CY_LIB_SC_BST_CLK_EN; + } + + if(0u != (CY_LIB_ACT_CFG9_REG & CY_LIB_ACT_CFG9_SWCAP3_EN)) + { + CY_LIB_SC3_BST_REG = (CY_LIB_SC3_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK) | CyScBoostClk__INDEX; + CY_LIB_SC3_BST_REG |= CY_LIB_SC_BST_CLK_EN; + } + } + else + { + /* The SC pumps should be disabled */ + CyScPumpEnabled = 0u; + + /* Disable pumps for all SC blocks and stop boost clock */ + CY_LIB_SC_MISC_REG &= ((uint8)(~CY_LIB_SC_MISC_PUMP_FORCE)); + CyScBoostClk_Stop(); + + /* Disable boost clock and clear clock index for each SC block */ + CY_LIB_SC0_BST_REG &= ((uint8)(~CY_LIB_SC_BST_CLK_EN)); + CY_LIB_SC0_BST_REG = CY_LIB_SC0_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK; + + CY_LIB_SC1_BST_REG &= ((uint8)(~CY_LIB_SC_BST_CLK_EN)); + CY_LIB_SC1_BST_REG = CY_LIB_SC1_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK; + + CY_LIB_SC2_BST_REG &= ((uint8)(~CY_LIB_SC_BST_CLK_EN)); + CY_LIB_SC2_BST_REG = CY_LIB_SC2_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK; + + CY_LIB_SC3_BST_REG &= ((uint8)(~CY_LIB_SC_BST_CLK_EN)); + CY_LIB_SC3_BST_REG = CY_LIB_SC3_BST_REG & CY_LIB_SC_BST_CLK_INDEX_MASK; + } + } + + #endif /* (CYDEV_VARIABLE_VDDA == 1) */ + +#endif /* (!CY_PSOC5A) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyLib.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyLib.h new file mode 100644 index 0000000..cefca8d --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CyLib.h @@ -0,0 +1,1201 @@ +/******************************************************************************* +* File Name: CyLib.h +* Version 3.40 +* +* Description: +* Provides the function definitions for the system, clocking, interrupts and +* watchdog timer API. +* +* Note: +* Documentation of the API's in this file is located in the System Reference +* Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYLIB_H) +#define CY_BOOT_CYLIB_H + +#include +#include +#include + +#include "cytypes.h" +#include "cyfitter.h" +#include "cydevice_trm.h" +#include "cyPm.h" + +#if(CY_PSOC3) + #include +#endif /* (CY_PSOC3) */ + + +#if(!CY_PSOC5A) + + #if(CYDEV_VARIABLE_VDDA == 1) + + #include "CyScBoostClk.h" + + #endif /* (CYDEV_VARIABLE_VDDA == 1) */ + +#endif /* (!CY_PSOC5A) */ + + +/* Global variable with preserved reset status */ +extern uint8 CYXDATA CyResetStatus; + + +#if(!CY_PSOC5A) + + /* Variable Vdda */ + #if(CYDEV_VARIABLE_VDDA == 1) + + extern uint8 CyScPumpEnabled; + + #endif /* (CYDEV_VARIABLE_VDDA == 1) */ + +#endif /* (!CY_PSOC5A) */ + + +/* Do not use these definitions directly in your application */ +extern uint32 cydelay_freq_hz; +extern uint32 cydelay_freq_khz; +extern uint8 cydelay_freq_mhz; +extern uint32 cydelay_32k_ms; + + +/*************************************** +* Function Prototypes +***************************************/ +cystatus CyPLL_OUT_Start(uint8 wait) ; +void CyPLL_OUT_Stop(void) ; +void CyPLL_OUT_SetPQ(uint8 pDiv, uint8 qDiv, uint8 current) ; +void CyPLL_OUT_SetSource(uint8 source) ; + +void CyIMO_Start(uint8 wait) ; +void CyIMO_Stop(void) ; +void CyIMO_SetFreq(uint8 freq) ; +void CyIMO_SetSource(uint8 source) ; +void CyIMO_EnableDoubler(void) ; +void CyIMO_DisableDoubler(void) ; + +void CyMasterClk_SetSource(uint8 source) ; +void CyMasterClk_SetDivider(uint8 divider) ; +void CyBusClk_SetDivider(uint16 divider) ; + +#if(CY_PSOC3) + void CyCpuClk_SetDivider(uint8 divider) ; +#endif /* (CY_PSOC3) */ + +void CyUsbClk_SetSource(uint8 source) ; + +void CyILO_Start1K(void) ; +void CyILO_Stop1K(void) ; +void CyILO_Start100K(void) ; +void CyILO_Stop100K(void) ; +void CyILO_Enable33K(void) ; +void CyILO_Disable33K(void) ; +void CyILO_SetSource(uint8 source) ; +uint8 CyILO_SetPowerMode(uint8 mode) ; + +uint8 CyXTAL_32KHZ_ReadStatus(void) ; +uint8 CyXTAL_32KHZ_SetPowerMode(uint8 mode) ; +void CyXTAL_32KHZ_Start(void) ; +void CyXTAL_32KHZ_Stop(void) ; + +cystatus CyXTAL_Start(uint8 wait) ; +void CyXTAL_Stop(void) ; +void CyXTAL_SetStartup(uint8 setting) ; +#if(!CY_PSOC5A) + void CyXTAL_EnableErrStatus(void) ; + void CyXTAL_DisableErrStatus(void) ; + uint8 CyXTAL_ReadStatus(void) ; + void CyXTAL_EnableFaultRecovery(void) ; + void CyXTAL_DisableFaultRecovery(void) ; +#endif /* (!CY_PSOC5A) */ + +#if(CY_PSOC3 || CY_PSOC5LP) + void CyXTAL_SetFbVoltage(uint8 setting) ; + void CyXTAL_SetWdVoltage(uint8 setting) ; +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + +void CyWdtStart(uint8 ticks, uint8 lpMode) ; +void CyWdtClear(void) ; + +/* System Function Prototypes */ +void CyDelay(uint32 milliseconds) CYREENTRANT; +void CyDelayUs(uint16 microseconds); +void CyDelayFreq(uint32 freq) CYREENTRANT; +void CyDelayCycles(uint32 cycles); + +void CySoftwareReset(void) ; + +uint8 CyEnterCriticalSection(void); +void CyExitCriticalSection(uint8 savedIntrStatus); +void CyHalt(uint8 reason) CYREENTRANT; + + +/* Interrupt Function Prototypes */ +#if(CY_PSOC5) + cyisraddress CyIntSetSysVector(uint8 number, cyisraddress address) ; + cyisraddress CyIntGetSysVector(uint8 number) ; +#endif /* (CY_PSOC5) */ + +cyisraddress CyIntSetVector(uint8 number, cyisraddress address) ; +cyisraddress CyIntGetVector(uint8 number) ; + +void CyIntSetPriority(uint8 number, uint8 priority) ; +uint8 CyIntGetPriority(uint8 number) ; + +uint8 CyIntGetState(uint8 number) ; + +uint32 CyDisableInts(void) ; +void CyEnableInts(uint32 mask) ; + + +#if(CY_PSOC5) + void CyFlushCache(void); +#endif /* (CY_PSOC5) */ + + +/* Voltage Detection Function Prototypes */ +void CyVdLvDigitEnable(uint8 reset, uint8 threshold) ; +void CyVdLvAnalogEnable(uint8 reset, uint8 threshold) ; +void CyVdLvDigitDisable(void) ; +void CyVdLvAnalogDisable(void) ; +void CyVdHvAnalogEnable(void) ; +void CyVdHvAnalogDisable(void) ; +uint8 CyVdStickyStatus(uint8 mask) ; +uint8 CyVdRealTimeStatus(void) ; + +#if(!CY_PSOC5A) + + void CySetScPumps(uint8 enable) ; + +#endif /* (!CY_PSOC5A) */ + + +/*************************************** +* API Constants +***************************************/ + + +/******************************************************************************* +* PLL API Constants +*******************************************************************************/ +#define CY_CLK_PLL_ENABLE (0x01u) +#define CY_CLK_PLL_LOCK_STATUS (0x01u) + +#define CY_CLK_PLL_FTW_INTERVAL (24u) + +#define CY_CLK_PLL_MAX_Q_VALUE (16u) +#define CY_CLK_PLL_MIN_Q_VALUE (1u) +#define CY_CLK_PLL_MIN_P_VALUE (8u) +#define CY_CLK_PLL_MIN_CUR_VALUE (1u) +#define CY_CLK_PLL_MAX_CUR_VALUE (7u) + +#define CY_CLK_PLL_CURRENT_POSITION (4u) +#define CY_CLK_PLL_CURRENT_MASK (0x8Fu) + + +/******************************************************************************* +* External 32kHz Crystal Oscillator API Constants +*******************************************************************************/ +#define CY_XTAL32K_ANA_STAT (0x20u) + + +#define CY_CLK_XTAL32_CR_LPM (0x02u) +#define CY_CLK_XTAL32_CR_EN (0x01u) +#if(CY_PSOC3) + #define CY_CLK_XTAL32_CR_PDBEN (0x04u) +#endif /* (CY_PSOC3) */ + +#define CY_CLK_XTAL32_TR_MASK (0x07u) +#define CY_CLK_XTAL32_TR_STARTUP (0x03u) +#define CY_CLK_XTAL32_TR_HIGH_POWER (0x06u) +#define CY_CLK_XTAL32_TR_LOW_POWER (0x01u) +#define CY_CLK_XTAL32_TR_POWERDOWN (0x00u) + +#define CY_CLK_XTAL32_TST_DEFAULT (0xF3u) + +#define CY_CLK_XTAL32_CFG_LP_DEFAULT (0x04u) +#define CY_CLK_XTAL32_CFG_LP_LOWPOWER (0x08u) +#define CY_CLK_XTAL32_CFG_LP_MASK (0x0Cu) + +#define CY_CLK_XTAL32_CFG_LP_ALLOW (0x80u) + + +/******************************************************************************* +* External MHz Crystal Oscillator API Constants +*******************************************************************************/ +#define CY_CLK_XMHZ_FTW_INTERVAL (24u) +#define CY_CLK_XMHZ_MIN_TIMEOUT (130u) + +#define CY_CLK_XMHZ_CSR_ENABLE (0x01u) +#define CY_CLK_XMHZ_CSR_XERR (0x80u) +#define CY_CLK_XMHZ_CSR_XFB (0x04u) +#define CY_CLK_XMHZ_CSR_XPROT (0x40u) + +#define CY_CLK_XMHZ_CFG0_XCFG_MASK (0x1Fu) +#define CY_CLK_XMHZ_CFG1_VREF_FB_MASK (0x0Fu) +#define CY_CLK_XMHZ_CFG1_VREF_WD_MASK (0x70u) + + +/******************************************************************************* +* Watchdog Timer API Constants +*******************************************************************************/ +#define CYWDT_2_TICKS (0x0u) /* 4 - 6 ms */ +#define CYWDT_16_TICKS (0x1u) /* 32 - 48 ms */ +#define CYWDT_128_TICKS (0x2u) /* 256 - 384 ms */ +#define CYWDT_1024_TICKS (0x3u) /* 2048 - 3072 ms */ + +#define CYWDT_LPMODE_NOCHANGE (0x00u) +#define CYWDT_LPMODE_MAXINTER (0x01u) +#define CYWDT_LPMODE_DISABLED (0x03u) + +#define CY_WDT_CFG_INTERVAL_MASK (0x03u) +#define CY_WDT_CFG_CTW_RESET (0x80u) +#define CY_WDT_CFG_LPMODE_SHIFT (5u) +#define CY_WDT_CFG_LPMODE_MASK (0x60u) +#define CY_WDT_CFG_WDR_EN (0x10u) +#define CY_WDT_CFG_CLEAR_ALL (0x00u) +#define CY_WDT_CR_FEED (0x01u) + + +/******************************************************************************* +* Voltage Detection API Constants +*******************************************************************************/ + +#define CY_VD_LVID_EN (0x01u) +#define CY_VD_LVIA_EN (0x02u) +#define CY_VD_HVIA_EN (0x04u) + +#define CY_VD_PRESD_EN (0x40u) +#define CY_VD_PRESA_EN (0x80u) + +#define CY_VD_LVID (0x01u) +#define CY_VD_LVIA (0x02u) +#define CY_VD_HVIA (0x04u) + +#define CY_VD_LVI_TRIP_LVID_MASK (0x0Fu) + + +/******************************************************************************* +* Variable VDDA +*******************************************************************************/ +#if(!CY_PSOC5A) + + #if(CYDEV_VARIABLE_VDDA == 1) + + /* Active Power Mode Configuration Register 9 */ + #define CY_LIB_ACT_CFG9_SWCAP0_EN (0x01u) + #define CY_LIB_ACT_CFG9_SWCAP1_EN (0x02u) + #define CY_LIB_ACT_CFG9_SWCAP2_EN (0x04u) + #define CY_LIB_ACT_CFG9_SWCAP3_EN (0x08u) + #define CY_LIB_ACT_CFG9_SWCAPS_MASK (0x0Fu) + + /* Switched Cap Miscellaneous Control Register */ + #define CY_LIB_SC_MISC_PUMP_FORCE (0x20u) + + /* Switched Capacitor 0 Boost Clock Selection Register */ + #define CY_LIB_SC_BST_CLK_EN (0x08u) + #define CY_LIB_SC_BST_CLK_INDEX_MASK (0xF8u) + + #endif /* (CYDEV_VARIABLE_VDDA == 1) */ + +#endif /* (!CY_PSOC5A) */ + + +/******************************************************************************* +* Clock Distribution Constants +*******************************************************************************/ +#define CY_LIB_CLKDIST_AMASK_MASK (0xF0u) +#define CY_LIB_CLKDIST_DMASK_MASK (0x00u) +#define CY_LIB_CLKDIST_LD_LOAD (0x01u) +#define CY_LIB_CLKDIST_BCFG2_MASK (0x80u) /* Enable shadow loads */ +#define CY_LIB_CLKDIST_MASTERCLK_DIV (7u) +#define CY_LIB_CLKDIST_BCFG2_SSS (0x40u) /* Sync source is same frequency */ +#define CY_LIB_CLKDIST_MSTR1_SRC_MASK (0xFCu) +#define CY_LIB_FASTCLK_IMO_DOUBLER (0x10u) +#define CY_LIB_FASTCLK_IMO_IMO (0x20u) +#define CY_LIB_CLKDIST_CR_IMO2X (0x40u) +#define CY_LIB_FASTCLK_IMO_CR_RANGE_MASK (0xF8u) + +#define CY_LIB_CLKDIST_CR_PLL_SCR_MASK (0xFCu) + +#define ILO_CONTROL_PD_MODE (0x10u) + +#define CY_ILO_SOURCE_100K (0u) +#define CY_ILO_SOURCE_33K (1u) +#define CY_ILO_SOURCE_1K (2u) + +#define CY_ILO_FAST_START (0u) +#define CY_ILO_SLOW_START (1u) + +#define CY_ILO_SOURCE_BITS_CLEAR (0xF3u) +#define CY_ILO_SOURCE_1K_SET (0x08u) +#define CY_ILO_SOURCE_33K_SET (0x04u) +#define CY_ILO_SOURCE_100K_SET (0x00u) + + +#define CY_MASTER_SOURCE_IMO (0u) +#define CY_MASTER_SOURCE_PLL (1u) +#define CY_MASTER_SOURCE_XTAL (2u) +#define CY_MASTER_SOURCE_DSI (3u) + +#define CY_IMO_SOURCE_IMO (0u) +#define CY_IMO_SOURCE_XTAL (1u) +#define CY_IMO_SOURCE_DSI (2u) +#define IMO_PM_ENABLE (0x10u) /* Enable IMO clock source. */ +#define FASTCLK_IMO_USBCLK_ON_SET (0x40u) + +#define CLOCK_IMO_3MHZ_VALUE (0x03u) +#define CLOCK_IMO_6MHZ_VALUE (0x01u) +#define CLOCK_IMO_12MHZ_VALUE (0x00u) +#define CLOCK_IMO_24MHZ_VALUE (0x02u) +#define CLOCK_IMO_48MHZ_VALUE (0x04u) +#define CLOCK_IMO_62MHZ_VALUE (0x05u) +#define CLOCK_IMO_74MHZ_VALUE (0x06u) + +/* CyIMO_SetFreq() */ +#define CY_IMO_FREQ_3MHZ (0u) +#define CY_IMO_FREQ_6MHZ (1u) +#define CY_IMO_FREQ_12MHZ (2u) +#define CY_IMO_FREQ_24MHZ (3u) +#define CY_IMO_FREQ_48MHZ (4u) +#if(!CY_PSOC5A) + #define CY_IMO_FREQ_62MHZ (5u) +#endif /* (!CY_PSOC5A) */ +#define CY_IMO_FREQ_USB (8u) + + +#define SFR_USER_CPUCLK_DIV_MASK (0x0Fu) +#define CLKDIST_DIV_POSITION (4u) +#define CLKDIST_MSTR1_DIV_CLEAR (0x0Fu) +#define CLOCK_USB_ENABLE (0x02u) +#define CLOCK_IMO_OUT_X2 (0x10u) +#define CLOCK_IMO_OUT_X1 ((uint8)(~CLOCK_IMO_OUT_X2)) +#define CY_PLL_SOURCE_IMO (0u) +#define CY_PLL_SOURCE_XTAL (1u) +#define CY_PLL_SOURCE_DSI (2u) + +#define CLOCK_IMO2X_ECO ((uint8)(~CLOCK_IMO2X_DSI)) + +#define ILO_CONTROL_PD_POSITION (4u) +#define ILO_CONTROL_1KHZ_ON (0x02u) +#define ILO_CONTROL_100KHZ_ON (0x04u) +#define ILO_CONTROL_33KHZ_ON (0x20u) + +#define USB_CLKDIST_CONFIG_MASK (0x03u) +#define USB_CLK_IMO2X (0x00u) +#define USB_CLK_IMO (0x01u) +#define USB_CLK_PLL (0x02u) +#define USB_CLK_DSI (0x03u) +#define USB_CLK_DIV2_ON (0x04u) +#define USB_CLK_STOP_FLAG (0x00u) +#define USB_CLK_START_FLAG (0x01u) + +#define FTW_CLEAR_ALL_BITS (0x00u) /* To clear all bits of PM_TW_CFG2 */ +#define FTW_CLEAR_FTW_BITS (0xFCu) /* To clear FTW bits of PM_TW_CFG2 */ +#define FTW_ENABLE (0x01u) /* To enable FTW, no interrupt */ + +#define CY_ACT_USB_ENABLED (0x01u) +#define CY_ALT_ACT_USB_ENABLED (0x01u) + + +/*************************************** +* Registers +***************************************/ + + +/******************************************************************************* +* PLL Registers +*******************************************************************************/ + +/* PLL Configuration Register 0 */ +#define CY_CLK_PLL_CFG0_REG (*(reg8 *) CYREG_FASTCLK_PLL_CFG0) +#define CY_CLK_PLL_CFG0_PTR ( (reg8 *) CYREG_FASTCLK_PLL_CFG0) + +/* PLL Configuration Register 1 */ +#define CY_CLK_PLL_CFG1_REG (*(reg8 *) CYREG_FASTCLK_PLL_CFG1) +#define CY_CLK_PLL_CFG1_PTR ( (reg8 *) CYREG_FASTCLK_PLL_CFG1) + +/* PLL Status Register */ +#define CY_CLK_PLL_SR_REG (*(reg8 *) CYREG_FASTCLK_PLL_SR) +#define CY_CLK_PLL_SR_PTR ( (reg8 *) CYREG_FASTCLK_PLL_SR) + +/* PLL Q-Counter Configuration Register */ +#define CY_CLK_PLL_Q_REG (*(reg8 *) CYREG_FASTCLK_PLL_Q) +#define CY_CLK_PLL_Q_PTR ( (reg8 *) CYREG_FASTCLK_PLL_Q) + +/* PLL P-Counter Configuration Register */ +#define CY_CLK_PLL_P_REG (*(reg8 *) CYREG_FASTCLK_PLL_P) +#define CY_CLK_PLL_P_PTR ( (reg8 *) CYREG_FASTCLK_PLL_P) + + +/******************************************************************************* +* External MHz Crystal Oscillator Registers +*******************************************************************************/ + +/* External MHz Crystal Oscillator Status and Control Register */ +#define CY_CLK_XMHZ_CSR_REG (*(reg8 *) CYREG_FASTCLK_XMHZ_CSR) +#define CY_CLK_XMHZ_CSR_PTR ( (reg8 *) CYREG_FASTCLK_XMHZ_CSR) + +/* External MHz Crystal Oscillator Configuration Register 0 */ +#define CY_CLK_XMHZ_CFG0_REG (*(reg8 *) CYREG_FASTCLK_XMHZ_CFG0) +#define CY_CLK_XMHZ_CFG0_PTR ( (reg8 *) CYREG_FASTCLK_XMHZ_CFG0) + +/* External MHz Crystal Oscillator Configuration Register 1 */ +#define CY_CLK_XMHZ_CFG1_REG (*(reg8 *) CYREG_FASTCLK_XMHZ_CFG1) +#define CY_CLK_XMHZ_CFG1_PTR ( (reg8 *) CYREG_FASTCLK_XMHZ_CFG1) + + +/******************************************************************************* +* External 32kHz Crystal Oscillator Registers +*******************************************************************************/ + +/* 32 kHz Watch Crystal Oscillator Trim Register */ +#define CY_CLK_XTAL32_TR_REG (*(reg8 *) CYREG_X32_TR) +#define CY_CLK_XTAL32_TR_PTR ( (reg8 *) CYREG_X32_TR) + +/* External 32kHz Crystal Oscillator Test Register */ +#define CY_CLK_XTAL32_TST_REG (*(reg8 *) CYREG_SLOWCLK_X32_TST) +#define CY_CLK_XTAL32_TST_PTR ( (reg8 *) CYREG_SLOWCLK_X32_TST) + +/* External 32kHz Crystal Oscillator Control Register */ +#define CY_CLK_XTAL32_CR_REG (*(reg8 *) CYREG_SLOWCLK_X32_CR) +#define CY_CLK_XTAL32_CR_PTR ( (reg8 *) CYREG_SLOWCLK_X32_CR) + +/* External 32kHz Crystal Oscillator Configuration Register */ +#define CY_CLK_XTAL32_CFG_REG (*(reg8 *) CYREG_SLOWCLK_X32_CFG) +#define CY_CLK_XTAL32_CFG_PTR ( (reg8 *) CYREG_SLOWCLK_X32_CFG) + + +/******************************************************************************* +* Watchdog Timer Registers +*******************************************************************************/ + +/* Watchdog Timer Configuration Register */ +#define CY_WDT_CFG_REG (*(reg8 *) CYREG_PM_WDT_CFG) +#define CY_WDT_CFG_PTR ( (reg8 *) CYREG_PM_WDT_CFG) + +/* Watchdog Timer Control Register */ +#define CY_WDT_CR_REG (*(reg8 *) CYREG_PM_WDT_CR) +#define CY_WDT_CR_PTR ( (reg8 *) CYREG_PM_WDT_CR) + + +/******************************************************************************* +* LVI/HVI Registers +*******************************************************************************/ + +#define CY_VD_LVI_TRIP_REG (* (reg8 *) CYDEV_RESET_CR0) +#define CY_VD_LVI_TRIP_PTR ( (reg8 *) CYDEV_RESET_CR0) + +#define CY_VD_LVI_HVI_CONTROL_REG (* (reg8 *) CYDEV_RESET_CR1) +#define CY_VD_LVI_HVI_CONTROL_PTR ( (reg8 *) CYDEV_RESET_CR1) + +#define CY_VD_PRES_CONTROL_REG (* (reg8 *) CYDEV_RESET_CR3) +#define CY_VD_PRES_CONTROL_PTR ( (reg8 *) CYDEV_RESET_CR3) + +#define CY_VD_PERSISTENT_STATUS_REG (* (reg8 *) CYDEV_RESET_SR0) +#define CY_VD_PERSISTENT_STATUS_PTR ( (reg8 *) CYDEV_RESET_SR0) + +#define CY_VD_RT_STATUS_REG (* (reg8 *) CYDEV_RESET_SR2) +#define CY_VD_RT_STATUS_PTR ( (reg8 *) CYDEV_RESET_SR2) + + +/******************************************************************************* +* Variable VDDA +*******************************************************************************/ +#if(!CY_PSOC5A) + + #if(CYDEV_VARIABLE_VDDA == 1) + + /* Active Power Mode Configuration Register 9 */ + #define CY_LIB_ACT_CFG9_REG (* (reg8 *) CYREG_PM_ACT_CFG9 ) + #define CY_LIB_ACT_CFG9_PTR ( (reg8 *) CYREG_PM_ACT_CFG9 ) + + /* Switched Capacitor 0 Boost Clock Selection Register */ + #define CY_LIB_SC0_BST_REG (* (reg8 *) CYREG_SC0_BST ) + #define CY_LIB_SC0_BST_PTR ( (reg8 *) CYREG_SC0_BST ) + + /* Switched Capacitor 1 Boost Clock Selection Register */ + #define CY_LIB_SC1_BST_REG (* (reg8 *) CYREG_SC1_BST ) + #define CY_LIB_SC1_BST_PTR ( (reg8 *) CYREG_SC1_BST ) + + /* Switched Capacitor 2 Boost Clock Selection Register */ + #define CY_LIB_SC2_BST_REG (* (reg8 *) CYREG_SC2_BST ) + #define CY_LIB_SC2_BST_PTR ( (reg8 *) CYREG_SC2_BST ) + + /* Switched Capacitor 3 Boost Clock Selection Register */ + #define CY_LIB_SC3_BST_REG (* (reg8 *) CYREG_SC3_BST ) + #define CY_LIB_SC3_BST_PTR ( (reg8 *) CYREG_SC3_BST ) + + /* Switched Cap Miscellaneous Control Register */ + #define CY_LIB_SC_MISC_REG (* (reg8 *) CYREG_SC_MISC ) + #define CY_LIB_SC_MISC_PTR ( (reg8 *) CYREG_SC_MISC ) + + #endif /* (CYDEV_VARIABLE_VDDA == 1) */ + +#endif /* (!CY_PSOC5A) */ + + +/******************************************************************************* +* Clock Distribution Registers +*******************************************************************************/ + +/* Analog Clock Mask Register */ +#define CY_LIB_CLKDIST_AMASK_REG (* (reg8 *) CYREG_CLKDIST_AMASK ) +#define CY_LIB_CLKDIST_AMASK_PTR ( (reg8 *) CYREG_CLKDIST_AMASK ) + +/* Digital Clock Mask Register */ +#define CY_LIB_CLKDIST_DMASK_REG (*(reg8 *) CYREG_CLKDIST_DMASK) +#define CY_LIB_CLKDIST_DMASK_PTR ( (reg8 *) CYREG_CLKDIST_DMASK) + +/* CLK_BUS Configuration Register */ +#define CY_LIB_CLKDIST_BCFG2_REG (*(reg8 *) CYREG_CLKDIST_BCFG2) +#define CY_LIB_CLKDIST_BCFG2_PTR ( (reg8 *) CYREG_CLKDIST_BCFG2) + +/* LSB Shadow Divider Value Register */ +#define CY_LIB_CLKDIST_WRK_LSB_REG (*(reg8 *) CYREG_CLKDIST_WRK0) +#define CY_LIB_CLKDIST_WRK_LSB_PTR ( (reg8 *) CYREG_CLKDIST_WRK0) + +/* MSB Shadow Divider Value Register */ +#define CY_LIB_CLKDIST_WRK_MSB_REG (*(reg8 *) CYREG_CLKDIST_WRK1) +#define CY_LIB_CLKDIST_WRK_MSB_PTR ( (reg8 *) CYREG_CLKDIST_WRK1) + +/* LOAD Register */ +#define CY_LIB_CLKDIST_LD_REG (*(reg8 *) CYREG_CLKDIST_LD) +#define CY_LIB_CLKDIST_LD_PTR ( (reg8 *) CYREG_CLKDIST_LD) + +/* CLK_BUS LSB Divider Value Register */ +#define CY_LIB_CLKDIST_BCFG_LSB_REG (*(reg8 *) CYREG_CLKDIST_BCFG0) +#define CY_LIB_CLKDIST_BCFG_LSB_PTR ( (reg8 *) CYREG_CLKDIST_BCFG0) + +/* CLK_BUS MSB Divider Value Register */ +#define CY_LIB_CLKDIST_BCFG_MSB_REG (*(reg8 *) CYREG_CLKDIST_BCFG1) +#define CY_LIB_CLKDIST_BCFG_MSB_PTR ( (reg8 *) CYREG_CLKDIST_BCFG1) + +/* Master clock (clk_sync_d) Divider Value Register */ +#define CY_LIB_CLKDIST_MSTR0_REG (*(reg8 *) CYREG_CLKDIST_MSTR0) +#define CY_LIB_CLKDIST_MSTR0_PTR ( (reg8 *) CYREG_CLKDIST_MSTR0) + +/* Master (clk_sync_d) Configuration Register/CPU Divider Value */ +#define CY_LIB_CLKDIST_MSTR1_REG (*(reg8 *) CYREG_CLKDIST_MSTR1) +#define CY_LIB_CLKDIST_MSTR1_PTR ( (reg8 *) CYREG_CLKDIST_MSTR1) + +/* Internal Main Oscillator Control Register */ +#define CY_LIB_FASTCLK_IMO_CR_REG (*(reg8 *) CYREG_FASTCLK_IMO_CR) +#define CY_LIB_FASTCLK_IMO_CR_PTR ( (reg8 *) CYREG_FASTCLK_IMO_CR) + +/* Configuration Register CR */ +#define CY_LIB_CLKDIST_CR_REG (*(reg8 *) CYREG_CLKDIST_CR) +#define CY_LIB_CLKDIST_CR_PTR ( (reg8 *) CYREG_CLKDIST_CR) + + +#define SLOWCLK_ILO_CR0_PTR ( (reg8 *) CYREG_SLOWCLK_ILO_CR0) +#define SLOWCLK_ILO_CR0 (*(reg8 *) CYREG_SLOWCLK_ILO_CR0) +#define CLKDIST_UCFG_PTR ( (reg8 *) CYREG_CLKDIST_UCFG) +#define CLKDIST_UCFG (*(reg8 *) CYREG_CLKDIST_UCFG) + +#define PM_TW_CFG0_PTR ( (reg8 *) CYREG_PM_TW_CFG0) +#define PM_TW_CFG0 (*(reg8 *) CYREG_PM_TW_CFG0) + +#define PM_TW_CFG2_PTR ( (reg8 *) CYREG_PM_TW_CFG2) +#define PM_TW_CFG2 (*(reg8 *) CYREG_PM_TW_CFG2) + +#define CLKDIST_MSTR1_PTR ( (reg8 *) CYREG_CLKDIST_MSTR1) +#define CLKDIST_MSTR1 (*(reg8 *) CYREG_CLKDIST_MSTR1) + + + +#define SFR_USER_CPUCLK_DIV_PTR ((void far *) CYREG_SFR_USER_CPUCLK_DIV) + +#define CLOCK_CONTROL ( (reg8 *) CYREG_CLKDIST_CR) +#define IMO_TR1_PTR ( (reg8 *) CYREG_IMO_TR1) +#define IMO_TR1 (*(reg8 *) CYREG_IMO_TR1) +#define CY_USB_CR1_PTR ( (reg8 *) CYREG_USB_CR1 ) +#define CY_USB_CR1 (*(reg8 *) CYREG_USB_CR1 ) + +#define PM_ACT_CFG0_PTR ( (reg8 *) CYREG_PM_ACT_CFG0) +#define PM_ACT_CFG0 (*(reg8 *) CYREG_PM_ACT_CFG0) +#define PM_STBY_CFG0_PTR ( (reg8 *) CYREG_PM_STBY_CFG0) +#define PM_STBY_CFG0 (*(reg8 *) CYREG_PM_STBY_CFG0) +#define PM_AVAIL_CR2_PTR ( (reg8 *) CYREG_PM_AVAIL_CR2) +#define PM_AVAIL_CR2 (*(reg8 *) CYREG_PM_AVAIL_CR2) + + +/* Active Power Mode Configuration Register 5 */ +#define CY_PM_ACT_CFG5_REG (* (reg8 *) CYREG_PM_ACT_CFG5 ) +#define CY_PM_ACT_CFG5_PTR ( (reg8 *) CYREG_PM_ACT_CFG5 ) + +/* Standby Power Mode Configuration Register 5 */ +#define CY_PM_STBY_CFG5_REG (* (reg8 *) CYREG_PM_STBY_CFG5 ) +#define CY_PM_STBY_CFG5_PTR ( (reg8 *) CYREG_PM_STBY_CFG5 ) + + +#if(CY_PSOC3) + #define FLSHID_CUST_TABLES_IMO_3MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_3MHZ) + #define FLSHID_CUST_TABLES_IMO_6MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_6MHZ) + #define FLSHID_CUST_TABLES_IMO_12MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_12MHZ) + #define FLSHID_CUST_TABLES_IMO_24MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_24MHZ) + #define FLSHID_MFG_CFG_IMO_TR1_PTR ((void far *) (CYREG_FLSHID_MFG_CFG_IMO_TR1 + 1u)) + #define FLSHID_CUST_TABLES_IMO_67MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_67MHZ) + #define FLSHID_CUST_TABLES_IMO_80MHZ_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_80MHZ) + #define FLSHID_CUST_TABLES_IMO_USB_PTR ((void far *) CYREG_FLSHID_CUST_TABLES_IMO_USB) + #else + #define FLSHID_CUST_TABLES_IMO_3MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_3MHZ) + #define FLSHID_CUST_TABLES_IMO_6MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_6MHZ) + #define FLSHID_CUST_TABLES_IMO_12MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_12MHZ) + #define FLSHID_CUST_TABLES_IMO_24MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_24MHZ) + #define FLSHID_MFG_CFG_IMO_TR1_PTR ((reg8 *) (CYREG_FLSHID_MFG_CFG_IMO_TR1 + 1u)) + #define FLSHID_CUST_TABLES_IMO_67MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_67MHZ) + #define FLSHID_CUST_TABLES_IMO_80MHZ_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_80MHZ) + #define FLSHID_CUST_TABLES_IMO_USB_PTR ((reg8 *) CYREG_FLSHID_CUST_TABLES_IMO_USB) +#endif /* (CY_PSOC3) */ + + +#define USB_CLKDIST_CONFIG_PTR ( (reg8 *) CYREG_CLKDIST_UCFG) +#define USB_CLKDIST_CONFIG (*(reg8 *) CYREG_CLKDIST_UCFG) + + +/******************************************************************************* +* Interrupt Registers +*******************************************************************************/ + +#if(CY_PSOC5) + + /* Interrupt Vector Table Offset */ + #define CY_INT_VECT_TABLE ((cyisraddress **) CYREG_NVIC_VECT_OFFSET) + + /* Interrupt Priority 0-31 */ + #define CY_INT_PRIORITY_REG (* (reg8 *) CYREG_NVIC_PRI_0) + #define CY_INT_PRIORITY_PTR ( (reg8 *) CYREG_NVIC_PRI_0) + + /* Interrupt Enable Set 0-31 */ + #define CY_INT_ENABLE_REG (* (reg32 *) CYREG_NVIC_SETENA0) + #define CY_INT_ENABLE_PTR ( (reg32 *) CYREG_NVIC_SETENA0) + + /* Interrupt Enable Clear 0-31 */ + #define CY_INT_CLEAR_REG (* (reg32 *) CYREG_NVIC_CLRENA0) + #define CY_INT_CLEAR_PTR ( (reg32 *) CYREG_NVIC_CLRENA0) + + /* Interrupt Pending Set 0-31 */ + #define CY_INT_SET_PEND_REG (* (reg32 *) CYREG_NVIC_SETPEND0) + #define CY_INT_SET_PEND_PTR ( (reg32 *) CYREG_NVIC_SETPEND0) + + /* Interrupt Pending Clear 0-31 */ + #define CY_INT_CLR_PEND_REG (* (reg32 *) CYREG_NVIC_CLRPEND0) + #define CY_INT_CLR_PEND_PTR ( (reg32 *) CYREG_NVIC_CLRPEND0) + + /* Cache Control Register */ + #define CY_CACHE_CONTROL_REG (* (reg16 *) CYREG_CACHE_CC_CTL ) + #define CY_CACHE_CONTROL_PTR ( (reg16 *) CYREG_CACHE_CC_CTL ) + +#elif (CY_PSOC3) + + /* Interrupt Address Vector registers */ + #define CY_INT_VECT_TABLE ((cyisraddress CYXDATA *) CYREG_INTC_VECT_MBASE) + + /* Interrrupt Controller Priority Registers */ + #define CY_INT_PRIORITY_REG (* (reg8 *) CYREG_INTC_PRIOR0) + #define CY_INT_PRIORITY_PTR ( (reg8 *) CYREG_INTC_PRIOR0) + + /* Interrrupt Controller Set Enable Registers */ + #define CY_INT_ENABLE_REG (* (reg8 *) CYREG_INTC_SET_EN0) + #define CY_INT_ENABLE_PTR ( (reg8 *) CYREG_INTC_SET_EN0) + + #define CY_INT_SET_EN0_REG (* (reg8 *) CYREG_INTC_SET_EN0) + #define CY_INT_SET_EN0_PTR ( (reg8 *) CYREG_INTC_SET_EN0) + + #define CY_INT_SET_EN1_REG (* (reg8 *) CYREG_INTC_SET_EN1) + #define CY_INT_SET_EN1_PTR ( (reg8 *) CYREG_INTC_SET_EN1) + + #define CY_INT_SET_EN2_REG (* (reg8 *) CYREG_INTC_SET_EN2) + #define CY_INT_SET_EN2_PTR ( (reg8 *) CYREG_INTC_SET_EN2) + + #define CY_INT_SET_EN3_REG (* (reg8 *) CYREG_INTC_SET_EN3) + #define CY_INT_SET_EN3_PTR ( (reg8 *) CYREG_INTC_SET_EN3) + + /* Interrrupt Controller Clear Enable Registers */ + #define CY_INT_CLEAR_REG (* (reg8 *) CYREG_INTC_CLR_EN0) + #define CY_INT_CLEAR_PTR ( (reg8 *) CYREG_INTC_CLR_EN0) + + #define CY_INT_CLR_EN0_REG (* (reg8 *) CYREG_INTC_CLR_EN0) + #define CY_INT_CLR_EN0_PTR ( (reg8 *) CYREG_INTC_CLR_EN0) + + #define CY_INT_CLR_EN1_REG (* (reg8 *) CYREG_INTC_CLR_EN1) + #define CY_INT_CLR_EN1_PTR ( (reg8 *) CYREG_INTC_CLR_EN1) + + #define CY_INT_CLR_EN2_REG (* (reg8 *) CYREG_INTC_CLR_EN2) + #define CY_INT_CLR_EN2_PTR ( (reg8 *) CYREG_INTC_CLR_EN2) + + #define CY_INT_CLR_EN3_REG (* (reg8 *) CYREG_INTC_CLR_EN3) + #define CY_INT_CLR_EN3_PTR ( (reg8 *) CYREG_INTC_CLR_EN3) + + + /* Interrrupt Controller Set Pend Registers */ + #define CY_INT_SET_PEND_REG (* (reg8 *) CYREG_INTC_SET_PD0) + #define CY_INT_SET_PEND_PTR ( (reg8 *) CYREG_INTC_SET_PD0) + + /* Interrrupt Controller Clear Pend Registers */ + #define CY_INT_CLR_PEND_REG (* (reg8 *) CYREG_INTC_CLR_PD0) + #define CY_INT_CLR_PEND_PTR ( (reg8 *) CYREG_INTC_CLR_PD0) + + + /* Access Interrupt Controller Registers based on interrupt number */ + #define CY_INT_SET_EN_INDX_PTR(number) ((reg8 *) (CYREG_INTC_SET_EN0 + (((number) & CY_INT_NUMBER_MASK) >> 3u))) + #define CY_INT_CLR_EN_INDX_PTR(number) ((reg8 *) (CYREG_INTC_CLR_EN0 + (((number) & CY_INT_NUMBER_MASK) >> 3u))) + #define CY_INT_CLR_PEND_INDX_PTR(number) ((reg8 *) (CYREG_INTC_CLR_PD0 + (((number) & CY_INT_NUMBER_MASK) >> 3u))) + #define CY_INT_SET_PEND_INDX_PTR(number) ((reg8 *) (CYREG_INTC_SET_PD0 + (((number) & CY_INT_NUMBER_MASK) >> 3u))) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Macro Name: CyAssert +******************************************************************************** +* Summary: +* Macro that evaluates the expression and if it is false (evaluates to 0) then +* the processor is halted. +* +* This macro is evaluated unless NDEBUG is defined. +* +* If NDEBUG is defined, then no code is generated for this macro. NDEBUG is +* defined by default for a Release build setting and not defined for a Debug +* build setting. +* +* Parameters: +* expr: Logical expression. Asserts if false. +* +* Return: +* None +* +*******************************************************************************/ +#if !defined(NDEBUG) + #define CYASSERT(x) { \ + if(!(x)) \ + { \ + CyHalt((uint8) 0u); \ + } \ + } +#else + #define CYASSERT(x) +#endif /* !defined(NDEBUG) */ + + +/* Reset register fields of RESET_SR0 (CyResetStatus) */ +#define CY_RESET_LVID (0x01u) +#define CY_RESET_LVIA (0x02u) +#define CY_RESET_HVIA (0x04u) +#define CY_RESET_WD (0x08u) +#define CY_RESET_SW (0x20u) +#define CY_RESET_GPIO0 (0x40u) +#define CY_RESET_GPIO1 (0x80u) + + +/* Interrrupt Controller Configuration and Status Register */ +#if(CY_PSOC3) + #define INTERRUPT_CSR ((reg8 *) CYREG_INTC_CSR_EN) + #define DISABLE_IRQ_SET ((uint8)(0x01u << 1u)) /* INTC_CSR_EN */ + #define INTERRUPT_DISABLE_IRQ {*INTERRUPT_CSR |= DISABLE_IRQ_SET;} + #define INTERRUPT_ENABLE_IRQ {*INTERRUPT_CSR = (uint8)(~DISABLE_IRQ_SET);} +#endif /* (CY_PSOC3) */ + + +#if defined(__ARMCC_VERSION) + #define CyGlobalIntEnable {__enable_irq();} + #define CyGlobalIntDisable {__disable_irq();} +#elif defined(__GNUC__) + #define CyGlobalIntEnable {__asm("CPSIE i");} + #define CyGlobalIntDisable {__asm("CPSID i");} +#elif defined(__C51__) + #define CyGlobalIntEnable {\ + EA = 1u; \ + INTERRUPT_ENABLE_IRQ\ + } + + #define CyGlobalIntDisable {\ + INTERRUPT_DISABLE_IRQ; \ + CY_NOP; \ + EA = 0u;\ + } +#endif /* (__ARMCC_VERSION) */ + + +#ifdef CYREG_MLOGIC_CPU_SCR_CPU_SCR + #define CYDEV_HALT_CPU CY_SET_REG8(CYREG_MLOGIC_CPU_SCR_CPU_SCR, 0x01u) +#else + #define CYDEV_HALT_CPU CY_SET_REG8(CYREG_MLOGIC_CPU_SCR, 0x01u) +#endif /* (CYREG_MLOGIC_CPU_SCR_CPU_SCR) */ + + +#ifdef CYREG_MLOGIC_REV_ID_REV_ID + #define CYDEV_CHIP_REV_ACTUAL (CY_GET_REG8(CYREG_MLOGIC_REV_ID_REV_ID)) +#else + #define CYDEV_CHIP_REV_ACTUAL (CY_GET_REG8(CYREG_MLOGIC_REV_ID)) +#endif /* (CYREG_MLOGIC_REV_ID_REV_ID) */ + +#define RESET_CR2 ((reg8 *) CYREG_RESET_CR2) + + +/******************************************************************************* +* System API constants +*******************************************************************************/ +#define CY_CACHE_CONTROL_FLUSH (0x0004u) + + +/******************************************************************************* +* Interrupt API constants +*******************************************************************************/ +#if(CY_PSOC5) + + #define CY_INT_IRQ_BASE (16u) + +#elif (CY_PSOC3) + + #define CY_INT_IRQ_BASE (0u) + +#endif /* (CY_PSOC5) */ + +/* Valid range of interrupt 0-31 */ +#define CY_INT_NUMBER_MAX (31u) + +/* Valid range of system interrupt 0-15 */ +#define CY_INT_SYS_NUMBER_MAX (15u) + +/* Valid range of system priority 0-7 */ +#define CY_INT_PRIORITY_MAX (7u) + +/* Mask to get valid range of interrupt 0-31 */ +#define CY_INT_NUMBER_MASK (0x1Fu) + +/* Mask to get valid range of system priority 0-7 */ +#define CY_INT_PRIORITY_MASK (0x7u) + +/* Mask to get valid range of system interrupt 0-15 */ +#define CY_INT_SYS_NUMBER_MASK (0xFu) + + +/******************************************************************************* +* Interrupt Macros +*******************************************************************************/ + +#if(CY_PSOC5) + + /******************************************************************************* + * Macro Name: CyIntEnable + ******************************************************************************** + * + * Summary: + * Enables the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntEnable(number) CY_SET_REG32(CY_INT_ENABLE_PTR, ((uint32)((uint32)1u << (0x1Fu & (number))))) + + /******************************************************************************* + * Macro Name: CyIntDisable + ******************************************************************************** + * + * Summary: + * Disables the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntDisable(number) CY_SET_REG32(CY_INT_CLEAR_PTR, ((uint32)((uint32)1u << (0x1Fu & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntSetPending + ******************************************************************************** + * + * Summary: + * Forces the specified interrupt number to be pending. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntSetPending(number) CY_SET_REG32(CY_INT_SET_PEND_PTR, ((uint32)((uint32)1u << (0x1Fu & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntClearPending + ******************************************************************************** + * + * Summary: + * Clears any pending interrupt for the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntClearPending(number) CY_SET_REG32(CY_INT_CLR_PEND_PTR, ((uint32)((uint32)1u << (0x1Fu & (number))))) + + +#else /* PSoC3 */ + + + /******************************************************************************* + * Macro Name: CyIntEnable + ******************************************************************************** + * + * Summary: + * Enables the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntEnable(number) CY_SET_REG8(CY_INT_SET_EN_INDX_PTR((number)), \ + ((uint8)(1u << (0x07u & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntDisable + ******************************************************************************** + * + * Summary: + * Disables the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntDisable(number) CY_SET_REG8(CY_INT_CLR_EN_INDX_PTR((number)), \ + ((uint8)(1u << (0x07u & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntSetPending + ******************************************************************************** + * + * Summary: + * Forces the specified interrupt number to be pending. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntSetPending(number) CY_SET_REG8(CY_INT_SET_PEND_INDX_PTR((number)), \ + ((uint8)(1u << (0x07u & (number))))) + + + /******************************************************************************* + * Macro Name: CyIntClearPending + ******************************************************************************** + * Summary: + * Clears any pending interrupt for the specified interrupt number. + * + * Parameters: + * number: Valid range [0-31]. Interrupt number. + * + * Return: + * None + * + *******************************************************************************/ + #define CyIntClearPending(number) CY_SET_REG8(CY_INT_CLR_PEND_INDX_PTR((number)), \ + ((uint8)(1u << (0x07u & (number))))) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used. +*******************************************************************************/ +#define CYGlobalIntEnable CyGlobalIntEnable +#define CYGlobalIntDisable CyGlobalIntDisable + +#define cymemset(s,c,n) memset((s),(c),(n)) +#define cymemcpy(d,s,n) memcpy((d),(s),(n)) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.0 +*******************************************************************************/ +#define MFGCFG_X32_TR_PTR (CY_CLK_XTAL32_TR_PTR) +#define MFGCFG_X32_TR (CY_CLK_XTAL32_TR_REG) +#define SLOWCLK_X32_TST_PTR (CY_CLK_XTAL32_TST_PTR) +#define SLOWCLK_X32_TST (CY_CLK_XTAL32_TST_REG) +#define SLOWCLK_X32_CR_PTR (CY_CLK_XTAL32_CR_PTR) +#define SLOWCLK_X32_CR (CY_CLK_XTAL32_CR_REG) +#define SLOWCLK_X32_CFG_PTR (CY_CLK_XTAL32_CFG_PTR) +#define SLOWCLK_X32_CFG (CY_CLK_XTAL32_CFG_REG) + +#define X32_CONTROL_ANA_STAT (CY_CLK_XTAL32_CR_ANA_STAT) +#define X32_CONTROL_DIG_STAT (0x10u) +#define X32_CONTROL_LPM (CY_CLK_XTAL32_CR_LPM) +#define X32_CONTROL_LPM_POSITION (1u) +#define X32_CONTROL_X32EN (CY_CLK_XTAL32_CR_EN) +#if(CY_PSOC3 || CY_PSOC5LP) + #define X32_CONTROL_PDBEN (CY_CLK_XTAL32_CR_PDBEN) +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ +#define X32_TR_DPMODE (CY_CLK_XTAL32_TR_STARTUP) +#define X32_TR_CLEAR (CY_CLK_XTAL32_TR_POWERDOWN) +#define X32_TR_HPMODE (CY_CLK_XTAL32_TR_HIGH_POWER) +#define X32_TR_LPMODE (CY_CLK_XTAL32_TR_LOW_POWER) +#define X32_TST_SETALL (CY_CLK_XTAL32_TST_DEFAULT) +#define X32_CFG_LP_BITS_MASK (CY_CLK_XTAL32_CFG_LP_MASK) +#define X32_CFG_LP_DEFAULT (CY_CLK_XTAL32_CFG_LP_DEFAULT) +#define X32_CFG_LOWPOWERMODE (0x80u) +#define X32_CFG_LP_LOWPOWER (0x8u) +#define CY_X32_HIGHPOWER_MODE (0u) +#define CY_X32_LOWPOWER_MODE (1u) +#define CY_XTAL32K_DIG_STAT (0x10u) +#define CY_XTAL32K_STAT_FIELDS (0x30u) +#define CY_XTAL32K_DIG_STAT_UNSTABLE (0u) +#define CY_XTAL32K_ANA_STAT_UNSTABLE (0x0u) +#define CY_XTAL32K_STATUS (0x20u) + +#define FASTCLK_XMHZ_CSR_PTR (CY_CLK_XMHZ_CSR_PTR) +#define FASTCLK_XMHZ_CSR (CY_CLK_XMHZ_CSR_REG) +#define FASTCLK_XMHZ_CFG0_PTR (CY_CLK_XMHZ_CFG0_PTR) +#define FASTCLK_XMHZ_CFG0 (CY_CLK_XMHZ_CFG0_REG) +#define FASTCLK_XMHZ_CFG1_PTR (CY_CLK_XMHZ_CFG1_PTR) +#define FASTCLK_XMHZ_CFG1 (CY_CLK_XMHZ_CFG1_REG) +#define FASTCLK_XMHZ_GAINMASK (CY_CLK_XMHZ_CFG0_XCFG_MASK) +#define FASTCLK_XMHZ_VREFMASK (CY_CLK_XMHZ_CFG1_VREF_FB_MASK) +#define FASTCLK_XMHZ_VREF_WD_MASK (CY_CLK_XMHZ_CFG1_VREF_WD_MASK) +#define XMHZ_CONTROL_ENABLE (CY_CLK_XMHZ_CSR_ENABLE) +#define X32_CONTROL_XERR_MASK (CY_CLK_XMHZ_CSR_XERR) +#define X32_CONTROL_XERR_DIS (CY_CLK_XMHZ_CSR_XFB) +#define X32_CONTROL_XERR_POSITION (7u) +#define X32_CONTROL_FAULT_RECOVER (CY_CLK_XMHZ_CSR_XPROT) + +#define CYWDT_CFG (CY_WDT_CFG_PTR) +#define CYWDT_CR (CY_WDT_CR_PTR) + +#define CYWDT_TICKS_MASK (CY_WDT_CFG_INTERVAL_MASK) +#define CYWDT_RESET (CY_WDT_CFG_CTW_RESET) +#define CYWDT_LPMODE_SHIFT (CY_WDT_CFG_LPMODE_SHIFT) +#define CYWDT_LPMODE_MASK (CY_WDT_CFG_LPMODE_MASK) +#define CYWDT_ENABLE_BIT (CY_WDT_CFG_WDR_EN) + +#define FASTCLK_PLL_CFG0_PTR (CY_CLK_PLL_CFG0_PTR) +#define FASTCLK_PLL_CFG0 (CY_CLK_PLL_CFG0_REG) +#define FASTCLK_PLL_SR_PTR (CY_CLK_PLL_SR_PTR) +#define FASTCLK_PLL_SR (CY_CLK_PLL_SR_REG) + +#define MAX_FASTCLK_PLL_Q_VALUE (CY_CLK_PLL_MAX_Q_VALUE) +#define MIN_FASTCLK_PLL_Q_VALUE (CY_CLK_PLL_MIN_Q_VALUE) +#define MIN_FASTCLK_PLL_P_VALUE (CY_CLK_PLL_MIN_P_VALUE) +#define MIN_FASTCLK_PLL_CUR_VALUE (CY_CLK_PLL_MIN_CUR_VALUE) +#define MAX_FASTCLK_PLL_CUR_VALUE (CY_CLK_PLL_MAX_CUR_VALUE) + +#define PLL_CONTROL_ENABLE (CY_CLK_PLL_ENABLE) +#define PLL_STATUS_LOCK (CY_CLK_PLL_LOCK_STATUS) +#define PLL_STATUS_ENABLED (CY_CLK_PLL_ENABLE) +#define PLL_CURRENT_POSITION (CY_CLK_PLL_CURRENT_POSITION) +#define PLL_VCO_GAIN_2 (2u) + +#define FASTCLK_PLL_Q_PTR (CY_CLK_PLL_Q_PTR) +#define FASTCLK_PLL_Q (CY_CLK_PLL_Q_REG) +#define FASTCLK_PLL_P_PTR (CY_CLK_PLL_P_PTR) +#define FASTCLK_PLL_P (CY_CLK_PLL_P_REG) +#define FASTCLK_PLL_CFG1_PTR (CY_CLK_PLL_CFG1_REG) +#define FASTCLK_PLL_CFG1 (CY_CLK_PLL_CFG1_REG) + +#define CY_VD_PRESISTENT_STATUS_REG (CY_VD_PERSISTENT_STATUS_REG) +#define CY_VD_PRESISTENT_STATUS_PTR (CY_VD_PERSISTENT_STATUS_PTR) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.20 +*******************************************************************************/ + +#if(CY_PSOC5) + + #define CYINT_IRQ_BASE (CY_INT_IRQ_BASE) + + #define CYINT_VECT_TABLE (CY_INT_VECT_TABLE) + #define CYINT_PRIORITY (CY_INT_PRIORITY_PTR) + #define CYINT_ENABLE (CY_INT_ENABLE_PTR) + #define CYINT_CLEAR (CY_INT_CLEAR_PTR) + #define CYINT_SET_PEND (CY_INT_SET_PEND_PTR) + #define CYINT_CLR_PEND (CY_INT_CLR_PEND_PTR) + #define CACHE_CC_CTL (CY_CACHE_CONTROL_PTR) + +#elif (CY_PSOC3) + + #define CYINT_IRQ_BASE (CY_INT_IRQ_BASE) + + #define CYINT_VECT_TABLE (CY_INT_VECT_TABLE) + #define CYINT_PRIORITY (CY_INT_PRIORITY_PTR) + #define CYINT_ENABLE (CY_INT_ENABLE_PTR) + #define CYINT_CLEAR (CY_INT_CLEAR_PTR) + #define CYINT_SET_PEND (CY_INT_SET_PEND_PTR) + #define CYINT_CLR_PEND (CY_INT_CLR_PEND_PTR) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.30 +*******************************************************************************/ +#define BUS_AMASK_CLEAR (0xF0u) +#define BUS_DMASK_CLEAR (0x00u) +#define CLKDIST_LD_LOAD_SET (0x01u) +#define CLKDIST_WRK0_MASK_SET (0x80u) /* Enable shadow loads */ +#define MASTERCLK_DIVIDER_VALUE (7u) +#define CLKDIST_BCFG2_SSS_SET (0x40u) /* Sync source is same frequency */ +#define MASTER_CLK_SRC_CLEAR (0xFCu) +#define IMO_DOUBLER_ENABLE (0x10u) +#define CLOCK_IMO_IMO (0x20u) +#define CLOCK_IMO2X_XTAL (0x40u) +#define CLOCK_IMO_RANGE_CLEAR (0xF8u) +#define CLOCK_CONTROL_DIST_MASK (0xFCu) + + +#define CLKDIST_AMASK (*(reg8 *) CYREG_CLKDIST_AMASK) +#define CLKDIST_AMASK_PTR ( (reg8 *) CYREG_CLKDIST_AMASK) +#define CLKDIST_DMASK_PTR ( (reg8 *) CYREG_CLKDIST_DMASK) +#define CLKDIST_DMASK (*(reg8 *) CYREG_CLKDIST_DMASK) +#define CLKDIST_BCFG2_PTR ( (reg8 *) CYREG_CLKDIST_BCFG2) +#define CLKDIST_BCFG2 (*(reg8 *) CYREG_CLKDIST_BCFG2) +#define CLKDIST_WRK0_PTR ( (reg8 *) CYREG_CLKDIST_WRK0) +#define CLKDIST_WRK0 (*(reg8 *) CYREG_CLKDIST_WRK0) +#define CLKDIST_LD_PTR ( (reg8 *) CYREG_CLKDIST_LD) +#define CLKDIST_LD (*(reg8 *) CYREG_CLKDIST_LD) +#define CLKDIST_BCFG0_PTR ( (reg8 *) CYREG_CLKDIST_BCFG0) +#define CLKDIST_BCFG0 (*(reg8 *) CYREG_CLKDIST_BCFG0) +#define CLKDIST_MSTR0_PTR ( (reg8 *) CYREG_CLKDIST_MSTR0) +#define CLKDIST_MSTR0 (*(reg8 *) CYREG_CLKDIST_MSTR0) +#define FASTCLK_IMO_CR_PTR ( (reg8 *) CYREG_FASTCLK_IMO_CR) +#define FASTCLK_IMO_CR (*(reg8 *) CYREG_FASTCLK_IMO_CR) +#define CLKDIST_CR_PTR ( (reg8 *) CYREG_CLKDIST_CR) +#define CLKDIST_CR (*(reg8 *) CYREG_CLKDIST_CR) + +#endif /* (CY_BOOT_CYLIB_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CySpc.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CySpc.c new file mode 100644 index 0000000..759f7a7 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CySpc.c @@ -0,0 +1,562 @@ +/******************************************************************************* +* File Name: CySpc.c +* Version 3.40 +* +* Description: +* Provides an API for the System Performance Component. +* The SPC functions are not meant to be called directly by the user +* application. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "CySpc.h" + +#define CY_SPC_KEY_ONE (0xB6u) +#define CY_SPC_KEY_TWO(x) ((uint8) (((uint16) 0xD3u) + ((uint16) (x)))) + +/* Command Codes */ +#define CY_SPC_CMD_LD_BYTE (0x00u) +#define CY_SPC_CMD_LD_MULTI_BYTE (0x01u) +#define CY_SPC_CMD_LD_ROW (0x02u) +#define CY_SPC_CMD_RD_BYTE (0x03u) +#define CY_SPC_CMD_RD_MULTI_BYTE (0x04u) +#define CY_SPC_CMD_WR_ROW (0x05u) +#define CY_SPC_CMD_WR_USER_NVL (0x06u) +#define CY_SPC_CMD_PRG_ROW (0x07u) +#define CY_SPC_CMD_ER_SECTOR (0x08u) +#define CY_SPC_CMD_ER_ALL (0x09u) +#define CY_SPC_CMD_RD_HIDDEN (0x0Au) +#define CY_SPC_CMD_PRG_PROTECT (0x0Bu) +#define CY_SPC_CMD_CHECKSUM (0x0Cu) +#define CY_SPC_CMD_DWNLD_ALGORITHM (0x0Du) +#define CY_SPC_CMD_GET_TEMP (0x0Eu) +#define CY_SPC_CMD_GET_ADC (0x0Fu) +#define CY_SPC_CMD_RD_NVL_VOLATILE (0x10u) +#define CY_SPC_CMD_SETUP_TS (0x11u) +#define CY_SPC_CMD_DISABLE_TS (0x12u) +#define CY_SPC_CMD_ER_ROW (0x13u) + +/* Enable bit in Active and Alternate Active mode templates */ +#define PM_SPC_PM_EN (0x08u) + +/* Gate calls to the SPC. */ +uint8 SpcLockState = CY_SPC_UNLOCKED; + + +#if(CY_PSOC5LP) + + /*************************************************************************** + * The wait-state pipeline must be enabled prior to accessing the SPC + * register interface regardless of CPU frequency. The CySpcLock() saves + * current wait-state pipeline state and enables it. The CySpcUnlock() + * function, which must be called after SPC transaction, restores original + * state. + ***************************************************************************/ + static uint8 spcWaitPipeBypass = 0u; + +#endif /* (CY_PSOC5LP) */ + + +/******************************************************************************* +* Function Name: CySpcStart +******************************************************************************** +* Summary: +* Starts the SPC. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CySpcStart(void) +{ + /* Save current global interrupt enable and disable it */ + uint8 interruptState = CyEnterCriticalSection(); + + CY_SPC_PM_ACT_REG |= PM_SPC_PM_EN; + CY_SPC_PM_STBY_REG |= PM_SPC_PM_EN; + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CySpcStop +******************************************************************************** +* Summary: +* Stops the SPC. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CySpcStop(void) +{ + /* Save current global interrupt enable and disable it */ + uint8 interruptState = CyEnterCriticalSection(); + + CY_SPC_PM_ACT_REG &= ((uint8)(~PM_SPC_PM_EN)); + CY_SPC_PM_STBY_REG &= ((uint8)(~PM_SPC_PM_EN)); + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CySpcReadData +******************************************************************************** +* Summary: +* Reads data from the SPC. +* +* Parameters: +* uint8 buffer: +* Address to store data read. +* +* uint8 size: +* Number of bytes to read from the SPC. +* +* Return: +* uint8: +* The number of bytes read from the SPC. +* +*******************************************************************************/ +uint8 CySpcReadData(uint8 buffer[], uint8 size) +{ + uint8 i; + + for(i = 0u; i < size; i++) + { + while(!CY_SPC_DATA_READY) + { + CyDelayUs(1u); + } + buffer[i] = CY_SPC_CPU_DATA_REG; + } + + return(i); +} + + +/******************************************************************************* +* Function Name: CySpcLoadMultiByte +******************************************************************************** +* Summary: +* Loads 1 to 32 bytes of data into the row latch of a Flash/EEPROM array. +* +* Parameters: +* uint8 array: +* Id of the array. +* +* uint16 address: +* Flash/eeprom addrress +* +* uint8* buffer: +* Data to load to the row latch +* +* uint16 number: +* Number bytes to load. +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* CYRET_BAD_PARAM +* +*******************************************************************************/ +cystatus CySpcLoadMultiByte(uint8 array, uint16 address, const uint8 buffer[], uint8 size) +{ + cystatus status = CYRET_STARTED; + uint8 i; + + /*************************************************************************** + * Check if number is correct for array. Number must be less than + * 32 for Flash or less than 16 for EEPROM. + ***************************************************************************/ + if(((array < CY_SPC_LAST_FLASH_ARRAYID) && (size < 32u)) || + ((array > CY_SPC_LAST_FLASH_ARRAYID) && (size < 16u))) + { + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_LD_MULTI_BYTE); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_LD_MULTI_BYTE; + + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = array; + CY_SPC_CPU_DATA_REG = 1u & HI8(address); + CY_SPC_CPU_DATA_REG = LO8(address); + CY_SPC_CPU_DATA_REG = ((uint8)(size - 1u)); + + for(i = 0u; i < size; i++) + { + CY_SPC_CPU_DATA_REG = buffer[i]; + } + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + } + else + { + status = CYRET_BAD_PARAM; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcLoadRow +******************************************************************************** +* Summary: +* Loads a row of data into the row latch of a Flash/EEPROM array. +* +* Parameters: +* uint8 array: +* Id of the array. +* +* uint8* buffer: +* Data to be loaded to the row latch +* +* uint8 size: +* The number of data bytes that the SPC expects to be written. Depends on the +* type of the array and, if the array is Flash, whether ECC is being enabled +* or not. There are following values: flash row latch size with ECC enabled, +* flash row latch size with ECC disabled and EEPROM row latch size. +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* +*******************************************************************************/ +cystatus CySpcLoadRow(uint8 array, const uint8 buffer[], uint16 size) +{ + cystatus status = CYRET_STARTED; + uint16 i; + + /* Make sure the SPC is ready to accept command */ + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_LD_ROW); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_LD_ROW; + + /* Make sure the command was accepted */ + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = array; + + for(i = 0u; i < size; i++) + { + CY_SPC_CPU_DATA_REG = buffer[i]; + } + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcWriteRow +******************************************************************************** +* Summary: +* Erases then programs a row in Flash/EEPROM with data in row latch. +* +* Parameters: +* uint8 array: +* Id of the array. +* +* uint16 address: +* flash/eeprom addrress +* +* uint8 tempPolarity: +* temperature polarity. +* 1: the Temp Magnitude is interpreted as a positive value +* 0: the Temp Magnitude is interpreted as a negative value +* +* uint8 tempMagnitude: +* temperature magnitude. +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* +*******************************************************************************/ +cystatus CySpcWriteRow(uint8 array, uint16 address, uint8 tempPolarity, uint8 tempMagnitude) +{ + cystatus status = CYRET_STARTED; + + /* Make sure the SPC is ready to accept command */ + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_WR_ROW); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_WR_ROW; + + /* Make sure the command was accepted */ + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = array; + CY_SPC_CPU_DATA_REG = HI8(address); + CY_SPC_CPU_DATA_REG = LO8(address); + CY_SPC_CPU_DATA_REG = tempPolarity; + CY_SPC_CPU_DATA_REG = tempMagnitude; + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcEraseSector +******************************************************************************** +* Summary: +* Erases all data in the addressed sector (block of 64 rows). +* +* Parameters: +* uint8 array: +* Id of the array. +* +* uint8 sectorNumber: +* Zero based sector number within Flash/EEPROM array +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* +*******************************************************************************/ +cystatus CySpcEraseSector(uint8 array, uint8 sectorNumber) +{ + cystatus status = CYRET_STARTED; + + /* Make sure the SPC is ready to accept command */ + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_ER_SECTOR); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_ER_SECTOR; + + /* Make sure the command was accepted */ + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = array; + CY_SPC_CPU_DATA_REG = sectorNumber; + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcGetTemp +******************************************************************************** +* Summary: +* Returns the internal die temperature +* +* Parameters: +* uint8 numSamples: +* Number of samples. Valid values are 1-5, resulting in 2 - 32 samples +* respectively. +* +* uint16 timerPeriod: +* Number of ADC ACLK cycles. A valid 14 bit value is accepted, higher 2 bits +* of 16 bit values are ignored. +* +* uint8 clkDivSelect: +* ADC ACLK clock divide value. Valid values are 2 - 225. +* +* Return: +* CYRET_STARTED +* CYRET_CANCELED +* CYRET_LOCKED +* +*******************************************************************************/ +#if(CY_PSOC5A) +cystatus CySpcGetTemp(uint8 numSamples, uint16 timerPeriod, uint8 clkDivSelect) +#else +cystatus CySpcGetTemp(uint8 numSamples) +#endif /* (CY_PSOC5A) */ +{ + cystatus status = CYRET_STARTED; + + /* Make sure the SPC is ready to accept command */ + if(CY_SPC_IDLE) + { + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_ONE; + CY_SPC_CPU_DATA_REG = CY_SPC_KEY_TWO(CY_SPC_CMD_GET_TEMP); + CY_SPC_CPU_DATA_REG = CY_SPC_CMD_GET_TEMP; + + /* Make sure the command was accepted */ + if(CY_SPC_BUSY) + { + CY_SPC_CPU_DATA_REG = numSamples; + + #if(CY_PSOC5A) + CY_SPC_CPU_DATA_REG = HI8(timerPeriod); + CY_SPC_CPU_DATA_REG = LO8(timerPeriod); + CY_SPC_CPU_DATA_REG = clkDivSelect; + #endif /* (CY_PSOC5A) */ + } + else + { + status = CYRET_CANCELED; + } + } + else + { + status = CYRET_LOCKED; + } + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcLock +******************************************************************************** +* Summary: +* Locks the SPC so it can not be used by someone else: +* - Saves wait-pipeline enable state and enable pipeline (PSoC5) +* +* Parameters: +* Note +* +* Return: +* CYRET_SUCCESS - if the resource was free. +* CYRET_LOCKED - if the SPC is in use. +* +*******************************************************************************/ +cystatus CySpcLock(void) +{ + cystatus status = CYRET_LOCKED; + uint8 interruptState; + + /* Enter critical section */ + interruptState = CyEnterCriticalSection(); + + if(CY_SPC_UNLOCKED == SpcLockState) + { + SpcLockState = CY_SPC_LOCKED; + status = CYRET_SUCCESS; + + #if(CY_PSOC5LP) + + if(0u != (CY_SPC_CPU_WAITPIPE_REG & CY_SPC_CPU_WAITPIPE_BYPASS)) + { + /* Enable pipeline registers */ + CY_SPC_CPU_WAITPIPE_REG &= ((uint32)(~CY_SPC_CPU_WAITPIPE_BYPASS)); + + /* At least 2 NOP instructions are recommended */ + CY_NOP; + CY_NOP; + CY_NOP; + + spcWaitPipeBypass = CY_SPC_CPU_WAITPIPE_BYPASS; + } + + #endif /* (CY_PSOC5LP) */ + } + + /* Exit critical section */ + CyExitCriticalSection(interruptState); + + return(status); +} + + +/******************************************************************************* +* Function Name: CySpcUnlock +******************************************************************************** +* Summary: +* Unlocks the SPC so it can be used by someone else: +* - Restores wait-pipeline enable state (PSoC5) +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CySpcUnlock(void) +{ + uint8 interruptState; + + /* Enter critical section */ + interruptState = CyEnterCriticalSection(); + + /* Release the SPC object */ + SpcLockState = CY_SPC_UNLOCKED; + + #if(CY_PSOC5LP) + + if(CY_SPC_CPU_WAITPIPE_BYPASS == spcWaitPipeBypass) + { + /* Force to bypass pipeline registers */ + CY_SPC_CPU_WAITPIPE_REG |= CY_SPC_CPU_WAITPIPE_BYPASS; + + /* At least 2 NOP instructions are recommended */ + CY_NOP; + CY_NOP; + CY_NOP; + + spcWaitPipeBypass = 0u; + } + + #endif /* (CY_PSOC5LP) */ + + /* Exit critical section */ + CyExitCriticalSection(interruptState); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CySpc.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CySpc.h new file mode 100644 index 0000000..eb3683e --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/CySpc.h @@ -0,0 +1,159 @@ +/******************************************************************************* +* File Name: CySpc.c +* Version 3.40 +* +* Description: +* Provides definitions for the System Performance Component API. +* The SPC functions are not meant to be called directly by the user +* application. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYSPC_H) +#define CY_BOOT_CYSPC_H + +#include "cytypes.h" +#include "CyLib.h" +#include "cydevice_trm.h" + + +/*************************************** +* Global Variables +***************************************/ +extern uint8 SpcLockState; + + +/*************************************** +* Function Prototypes +***************************************/ +void CySpcStart(void); +void CySpcStop(void); +uint8 CySpcReadData(uint8 buffer[], uint8 size); +cystatus CySpcLoadMultiByte(uint8 array, uint16 address, const uint8 buffer[], uint8 size) ; +cystatus CySpcLoadRow(uint8 array, const uint8 buffer[], uint16 size); +cystatus CySpcWriteRow(uint8 array, uint16 address, uint8 tempPolarity, uint8 tempMagnitude) ; +cystatus CySpcEraseSector(uint8 array, uint8 sectorNumber); + +#if(CY_PSOC5A) + cystatus CySpcGetTemp(uint8 numSamples, uint16 timerPeriod, uint8 clkDivSelect); +#else + cystatus CySpcGetTemp(uint8 numSamples); +#endif /* (CY_PSOC5A) */ + + +cystatus CySpcLock(void); +void CySpcUnlock(void); + + +/*************************************** +* API Constants +***************************************/ + +#define CY_SPC_LOCKED (0x01u) +#define CY_SPC_UNLOCKED (0x00u) + +/******************************************************************************* +* The Array ID indicates the unique ID of the SONOS array being accessed: +* - 0x00-0x3E : Flash Arrays +* - 0x3F : Selects all Flash arrays simultaneously +* - 0x40-0x7F : Embedded EEPROM Arrays +*******************************************************************************/ +#define CY_SPC_FIRST_FLASH_ARRAYID (0x00u) +#define CY_SPC_LAST_FLASH_ARRAYID (0x3Fu) +#define CY_SPC_FIRST_EE_ARRAYID (0x40u) +#define CY_SPC_LAST_EE_ARRAYID (0x7Fu) + + +#define CY_SPC_STATUS_DATA_READY_MASK (0x01u) +#define CY_SPC_STATUS_IDLE_MASK (0x02u) +#define CY_SPC_STATUS_CODE_MASK (0xFCu) +#define CY_SPC_STATUS_CODE_SHIFT (0x02u) + +/* Status codes for the SPC. */ +#define CY_SPC_STATUS_SUCCESS (0x00u) /* Operation Successful */ +#define CY_SPC_STATUS_INVALID_ARRAY_ID (0x01u) /* Invalid Array ID for given command */ +#define CY_SPC_STATUS_INVALID_2BYTEKEY (0x02u) /* Invalid 2-byte key */ +#define CY_SPC_STATUS_ARRAY_ASLEEP (0x03u) /* Addressed Array is Asleep */ +#define CY_SPC_STATUS_EXTERN_ACCESS (0x04u) /* External Access Failure (SPC is not in external access mode) */ +#define CY_SPC_STATUS_INVALID_NUMBER (0x05u) /* Invalid 'N' Value for given command */ +#define CY_SPC_STATUS_TEST_MODE (0x06u) /* Test Mode Failure (SPC is not in test mode) */ +#define CY_SPC_STATUS_ALG_CSUM (0x07u) /* Smart Write Algorithm Checksum Failure */ +#define CY_SPC_STATUS_PARAM_CSUM (0x08u) /* Smart Write Parameter Checksum Failure */ +#define CY_SPC_STATUS_PROTECTION (0x09u) /* Protection Check Failure */ +#define CY_SPC_STATUS_ADDRESS_PARAM (0x0Au) /* Invalid Address parameter for the given command */ +#define CY_SPC_STATUS_COMMAND_CODE (0x0Bu) /* Invalid Command Code */ +#define CY_SPC_STATUS_ROW_ID (0x0Cu) /* Invalid Row ID parameter for given command */ +#define CY_SPC_STATUS_TADC_INPUT (0x0Du) /* Invalid input value for Get Temp & Get ADC commands */ +#define CY_SPC_STATUS_BUSY (0xFFu) /* SPC is busy */ + +#if(CY_PSOC5LP) + + /* Wait-state pipeline */ + #define CY_SPC_CPU_WAITPIPE_BYPASS ((uint32)0x01u) + +#endif /* (CY_PSOC5LP) */ + + +/*************************************** +* Registers +***************************************/ + +/* SPC CPU Data Register */ +#define CY_SPC_CPU_DATA_REG (* (reg8 *) CYREG_SPC_CPU_DATA ) +#define CY_SPC_CPU_DATA_PTR ( (reg8 *) CYREG_SPC_CPU_DATA ) + +/* SPC Status Register */ +#define CY_SPC_STATUS_REG (* (reg8 *) CYREG_SPC_SR ) +#define CY_SPC_STATUS_PTR ( (reg8 *) CYREG_SPC_SR ) + +/* Active Power Mode Configuration Register 0 */ +#define CY_SPC_PM_ACT_REG (* (reg8 *) CYREG_PM_ACT_CFG0 ) +#define CY_SPC_PM_ACT_PTR ( (reg8 *) CYREG_PM_ACT_CFG0 ) + +/* Standby Power Mode Configuration Register 0 */ +#define CY_SPC_PM_STBY_REG (* (reg8 *) CYREG_PM_STBY_CFG0 ) +#define CY_SPC_PM_STBY_PTR ( (reg8 *) CYREG_PM_STBY_CFG0 ) + +#if(CY_PSOC5LP) + + /* Wait State Pipeline */ + #define CY_SPC_CPU_WAITPIPE_REG (* (reg32 *) CYREG_PANTHER_WAITPIPE ) + #define CY_SPC_CPU_WAITPIPE_PTR ( (reg32 *) CYREG_PANTHER_WAITPIPE ) + +#endif /* (CY_PSOC5LP) */ + + +/*************************************** +* Macros +***************************************/ +#define CY_SPC_IDLE (0u != (CY_SPC_STATUS_REG & CY_SPC_STATUS_IDLE_MASK)) +#define CY_SPC_BUSY (0u == (CY_SPC_STATUS_REG & CY_SPC_STATUS_IDLE_MASK)) +#define CY_SPC_DATA_READY (0u != (CY_SPC_STATUS_REG & CY_SPC_STATUS_DATA_READY_MASK)) + +/* SPC must be in idle state in order to obtain correct status */ +#define CY_SPC_READ_STATUS (CY_SPC_IDLE ? \ + ((uint8)(CY_SPC_STATUS_REG >> CY_SPC_STATUS_CODE_SHIFT)) : \ + ((uint8) CY_SPC_STATUS_BUSY)) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.0 +*******************************************************************************/ +#define FIRST_FLASH_ARRAYID (CY_SPC_FIRST_FLASH_ARRAYID) +#define LAST_FLASH_ARRAYID (CY_SPC_LAST_FLASH_ARRAYID) +#define FIRST_EE_ARRAYID (CY_SPC_FIRST_EE_ARRAYID) +#define LAST_EE_ARRAYID (CY_SPC_LAST_EE_ARRAYID) +#define SIZEOF_ECC_ROW (CYDEV_ECC_ROW_SIZE) +#define SIZEOF_FLASH_ROW (CYDEV_FLS_ROW_SIZE) +#define SIZEOF_EEPROM_ROW (CYDEV_EEPROM_ROW_SIZE) + + +#endif /* (CY_BOOT_CYSPC_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/LED1.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/LED1.c new file mode 100644 index 0000000..a70ea8e --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/LED1.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: LED1.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "LED1.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + LED1__PORT == 15 && ((LED1__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: LED1_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void LED1_Write(uint8 value) +{ + uint8 staticBits = (LED1_DR & (uint8)(~LED1_MASK)); + LED1_DR = staticBits | ((uint8)(value << LED1_SHIFT) & LED1_MASK); +} + + +/******************************************************************************* +* Function Name: LED1_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void LED1_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(LED1_0, mode); +} + + +/******************************************************************************* +* Function Name: LED1_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro LED1_ReadPS calls this function. +* +*******************************************************************************/ +uint8 LED1_Read(void) +{ + return (LED1_PS & LED1_MASK) >> LED1_SHIFT; +} + + +/******************************************************************************* +* Function Name: LED1_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 LED1_ReadDataReg(void) +{ + return (LED1_DR & LED1_MASK) >> LED1_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(LED1_INTSTAT) + + /******************************************************************************* + * Function Name: LED1_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 LED1_ClearInterrupt(void) + { + return (LED1_INTSTAT & LED1_MASK) >> LED1_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/LED1.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/LED1.h new file mode 100644 index 0000000..7eb7d8d --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/LED1.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: LED1.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_LED1_H) /* Pins LED1_H */ +#define CY_PINS_LED1_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "LED1_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + LED1__PORT == 15 && ((LED1__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void LED1_Write(uint8 value) ; +void LED1_SetDriveMode(uint8 mode) ; +uint8 LED1_ReadDataReg(void) ; +uint8 LED1_Read(void) ; +uint8 LED1_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define LED1_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define LED1_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define LED1_DM_RES_UP PIN_DM_RES_UP +#define LED1_DM_RES_DWN PIN_DM_RES_DWN +#define LED1_DM_OD_LO PIN_DM_OD_LO +#define LED1_DM_OD_HI PIN_DM_OD_HI +#define LED1_DM_STRONG PIN_DM_STRONG +#define LED1_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define LED1_MASK LED1__MASK +#define LED1_SHIFT LED1__SHIFT +#define LED1_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define LED1_PS (* (reg8 *) LED1__PS) +/* Data Register */ +#define LED1_DR (* (reg8 *) LED1__DR) +/* Port Number */ +#define LED1_PRT_NUM (* (reg8 *) LED1__PRT) +/* Connect to Analog Globals */ +#define LED1_AG (* (reg8 *) LED1__AG) +/* Analog MUX bux enable */ +#define LED1_AMUX (* (reg8 *) LED1__AMUX) +/* Bidirectional Enable */ +#define LED1_BIE (* (reg8 *) LED1__BIE) +/* Bit-mask for Aliased Register Access */ +#define LED1_BIT_MASK (* (reg8 *) LED1__BIT_MASK) +/* Bypass Enable */ +#define LED1_BYP (* (reg8 *) LED1__BYP) +/* Port wide control signals */ +#define LED1_CTL (* (reg8 *) LED1__CTL) +/* Drive Modes */ +#define LED1_DM0 (* (reg8 *) LED1__DM0) +#define LED1_DM1 (* (reg8 *) LED1__DM1) +#define LED1_DM2 (* (reg8 *) LED1__DM2) +/* Input Buffer Disable Override */ +#define LED1_INP_DIS (* (reg8 *) LED1__INP_DIS) +/* LCD Common or Segment Drive */ +#define LED1_LCD_COM_SEG (* (reg8 *) LED1__LCD_COM_SEG) +/* Enable Segment LCD */ +#define LED1_LCD_EN (* (reg8 *) LED1__LCD_EN) +/* Slew Rate Control */ +#define LED1_SLW (* (reg8 *) LED1__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define LED1_PRTDSI__CAPS_SEL (* (reg8 *) LED1__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define LED1_PRTDSI__DBL_SYNC_IN (* (reg8 *) LED1__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define LED1_PRTDSI__OE_SEL0 (* (reg8 *) LED1__PRTDSI__OE_SEL0) +#define LED1_PRTDSI__OE_SEL1 (* (reg8 *) LED1__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define LED1_PRTDSI__OUT_SEL0 (* (reg8 *) LED1__PRTDSI__OUT_SEL0) +#define LED1_PRTDSI__OUT_SEL1 (* (reg8 *) LED1__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define LED1_PRTDSI__SYNC_OUT (* (reg8 *) LED1__PRTDSI__SYNC_OUT) + + +#if defined(LED1__INTSTAT) /* Interrupt Registers */ + + #define LED1_INTSTAT (* (reg8 *) LED1__INTSTAT) + #define LED1_SNAP (* (reg8 *) LED1__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_LED1_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/LED1_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/LED1_aliases.h new file mode 100644 index 0000000..040612f --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/LED1_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: LED1.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_LED1_ALIASES_H) /* Pins LED1_ALIASES_H */ +#define CY_PINS_LED1_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define LED1_0 LED1__0__PC + +#endif /* End Pins LED1_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/PARITY_EN.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/PARITY_EN.c new file mode 100644 index 0000000..d5642c3 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/PARITY_EN.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: PARITY_EN.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "PARITY_EN.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + PARITY_EN__PORT == 15 && ((PARITY_EN__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: PARITY_EN_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void PARITY_EN_Write(uint8 value) +{ + uint8 staticBits = (PARITY_EN_DR & (uint8)(~PARITY_EN_MASK)); + PARITY_EN_DR = staticBits | ((uint8)(value << PARITY_EN_SHIFT) & PARITY_EN_MASK); +} + + +/******************************************************************************* +* Function Name: PARITY_EN_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void PARITY_EN_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(PARITY_EN_0, mode); +} + + +/******************************************************************************* +* Function Name: PARITY_EN_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro PARITY_EN_ReadPS calls this function. +* +*******************************************************************************/ +uint8 PARITY_EN_Read(void) +{ + return (PARITY_EN_PS & PARITY_EN_MASK) >> PARITY_EN_SHIFT; +} + + +/******************************************************************************* +* Function Name: PARITY_EN_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 PARITY_EN_ReadDataReg(void) +{ + return (PARITY_EN_DR & PARITY_EN_MASK) >> PARITY_EN_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(PARITY_EN_INTSTAT) + + /******************************************************************************* + * Function Name: PARITY_EN_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 PARITY_EN_ClearInterrupt(void) + { + return (PARITY_EN_INTSTAT & PARITY_EN_MASK) >> PARITY_EN_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/PARITY_EN.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/PARITY_EN.h new file mode 100644 index 0000000..75953b0 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/PARITY_EN.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: PARITY_EN.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_PARITY_EN_H) /* Pins PARITY_EN_H */ +#define CY_PINS_PARITY_EN_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "PARITY_EN_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + PARITY_EN__PORT == 15 && ((PARITY_EN__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void PARITY_EN_Write(uint8 value) ; +void PARITY_EN_SetDriveMode(uint8 mode) ; +uint8 PARITY_EN_ReadDataReg(void) ; +uint8 PARITY_EN_Read(void) ; +uint8 PARITY_EN_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define PARITY_EN_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define PARITY_EN_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define PARITY_EN_DM_RES_UP PIN_DM_RES_UP +#define PARITY_EN_DM_RES_DWN PIN_DM_RES_DWN +#define PARITY_EN_DM_OD_LO PIN_DM_OD_LO +#define PARITY_EN_DM_OD_HI PIN_DM_OD_HI +#define PARITY_EN_DM_STRONG PIN_DM_STRONG +#define PARITY_EN_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define PARITY_EN_MASK PARITY_EN__MASK +#define PARITY_EN_SHIFT PARITY_EN__SHIFT +#define PARITY_EN_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define PARITY_EN_PS (* (reg8 *) PARITY_EN__PS) +/* Data Register */ +#define PARITY_EN_DR (* (reg8 *) PARITY_EN__DR) +/* Port Number */ +#define PARITY_EN_PRT_NUM (* (reg8 *) PARITY_EN__PRT) +/* Connect to Analog Globals */ +#define PARITY_EN_AG (* (reg8 *) PARITY_EN__AG) +/* Analog MUX bux enable */ +#define PARITY_EN_AMUX (* (reg8 *) PARITY_EN__AMUX) +/* Bidirectional Enable */ +#define PARITY_EN_BIE (* (reg8 *) PARITY_EN__BIE) +/* Bit-mask for Aliased Register Access */ +#define PARITY_EN_BIT_MASK (* (reg8 *) PARITY_EN__BIT_MASK) +/* Bypass Enable */ +#define PARITY_EN_BYP (* (reg8 *) PARITY_EN__BYP) +/* Port wide control signals */ +#define PARITY_EN_CTL (* (reg8 *) PARITY_EN__CTL) +/* Drive Modes */ +#define PARITY_EN_DM0 (* (reg8 *) PARITY_EN__DM0) +#define PARITY_EN_DM1 (* (reg8 *) PARITY_EN__DM1) +#define PARITY_EN_DM2 (* (reg8 *) PARITY_EN__DM2) +/* Input Buffer Disable Override */ +#define PARITY_EN_INP_DIS (* (reg8 *) PARITY_EN__INP_DIS) +/* LCD Common or Segment Drive */ +#define PARITY_EN_LCD_COM_SEG (* (reg8 *) PARITY_EN__LCD_COM_SEG) +/* Enable Segment LCD */ +#define PARITY_EN_LCD_EN (* (reg8 *) PARITY_EN__LCD_EN) +/* Slew Rate Control */ +#define PARITY_EN_SLW (* (reg8 *) PARITY_EN__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define PARITY_EN_PRTDSI__CAPS_SEL (* (reg8 *) PARITY_EN__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define PARITY_EN_PRTDSI__DBL_SYNC_IN (* (reg8 *) PARITY_EN__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define PARITY_EN_PRTDSI__OE_SEL0 (* (reg8 *) PARITY_EN__PRTDSI__OE_SEL0) +#define PARITY_EN_PRTDSI__OE_SEL1 (* (reg8 *) PARITY_EN__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define PARITY_EN_PRTDSI__OUT_SEL0 (* (reg8 *) PARITY_EN__PRTDSI__OUT_SEL0) +#define PARITY_EN_PRTDSI__OUT_SEL1 (* (reg8 *) PARITY_EN__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define PARITY_EN_PRTDSI__SYNC_OUT (* (reg8 *) PARITY_EN__PRTDSI__SYNC_OUT) + + +#if defined(PARITY_EN__INTSTAT) /* Interrupt Registers */ + + #define PARITY_EN_INTSTAT (* (reg8 *) PARITY_EN__INTSTAT) + #define PARITY_EN_SNAP (* (reg8 *) PARITY_EN__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_PARITY_EN_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/PARITY_EN_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/PARITY_EN_aliases.h new file mode 100644 index 0000000..04919da --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/PARITY_EN_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: PARITY_EN.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_PARITY_EN_ALIASES_H) /* Pins PARITY_EN_ALIASES_H */ +#define CY_PINS_PARITY_EN_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define PARITY_EN_0 PARITY_EN__0__PC + +#endif /* End Pins PARITY_EN_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_ID_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_ID_aliases.h new file mode 100644 index 0000000..0cdbb60 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_ID_aliases.h @@ -0,0 +1,34 @@ +/******************************************************************************* +* File Name: SCSI_ID.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SCSI_ID_ALIASES_H) /* Pins SCSI_ID_ALIASES_H */ +#define CY_PINS_SCSI_ID_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SCSI_ID_0 SCSI_ID__0__PC +#define SCSI_ID_1 SCSI_ID__1__PC +#define SCSI_ID_2 SCSI_ID__2__PC + +#endif /* End Pins SCSI_ID_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_DBx.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_DBx.c new file mode 100644 index 0000000..5d14607 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_DBx.c @@ -0,0 +1,144 @@ +/******************************************************************************* +* File Name: SCSI_In_DBx.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "SCSI_In_DBx.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + SCSI_In_DBx__PORT == 15 && ((SCSI_In_DBx__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: SCSI_In_DBx_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void SCSI_In_DBx_Write(uint8 value) +{ + uint8 staticBits = (SCSI_In_DBx_DR & (uint8)(~SCSI_In_DBx_MASK)); + SCSI_In_DBx_DR = staticBits | ((uint8)(value << SCSI_In_DBx_SHIFT) & SCSI_In_DBx_MASK); +} + + +/******************************************************************************* +* Function Name: SCSI_In_DBx_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void SCSI_In_DBx_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(SCSI_In_DBx_0, mode); + CyPins_SetPinDriveMode(SCSI_In_DBx_1, mode); + CyPins_SetPinDriveMode(SCSI_In_DBx_2, mode); + CyPins_SetPinDriveMode(SCSI_In_DBx_3, mode); + CyPins_SetPinDriveMode(SCSI_In_DBx_4, mode); + CyPins_SetPinDriveMode(SCSI_In_DBx_5, mode); + CyPins_SetPinDriveMode(SCSI_In_DBx_6, mode); + CyPins_SetPinDriveMode(SCSI_In_DBx_7, mode); +} + + +/******************************************************************************* +* Function Name: SCSI_In_DBx_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro SCSI_In_DBx_ReadPS calls this function. +* +*******************************************************************************/ +uint8 SCSI_In_DBx_Read(void) +{ + return (SCSI_In_DBx_PS & SCSI_In_DBx_MASK) >> SCSI_In_DBx_SHIFT; +} + + +/******************************************************************************* +* Function Name: SCSI_In_DBx_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 SCSI_In_DBx_ReadDataReg(void) +{ + return (SCSI_In_DBx_DR & SCSI_In_DBx_MASK) >> SCSI_In_DBx_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(SCSI_In_DBx_INTSTAT) + + /******************************************************************************* + * Function Name: SCSI_In_DBx_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 SCSI_In_DBx_ClearInterrupt(void) + { + return (SCSI_In_DBx_INTSTAT & SCSI_In_DBx_MASK) >> SCSI_In_DBx_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_DBx.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_DBx.h new file mode 100644 index 0000000..23ee284 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_DBx.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: SCSI_In_DBx.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SCSI_In_DBx_H) /* Pins SCSI_In_DBx_H */ +#define CY_PINS_SCSI_In_DBx_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "SCSI_In_DBx_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + SCSI_In_DBx__PORT == 15 && ((SCSI_In_DBx__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void SCSI_In_DBx_Write(uint8 value) ; +void SCSI_In_DBx_SetDriveMode(uint8 mode) ; +uint8 SCSI_In_DBx_ReadDataReg(void) ; +uint8 SCSI_In_DBx_Read(void) ; +uint8 SCSI_In_DBx_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define SCSI_In_DBx_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define SCSI_In_DBx_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define SCSI_In_DBx_DM_RES_UP PIN_DM_RES_UP +#define SCSI_In_DBx_DM_RES_DWN PIN_DM_RES_DWN +#define SCSI_In_DBx_DM_OD_LO PIN_DM_OD_LO +#define SCSI_In_DBx_DM_OD_HI PIN_DM_OD_HI +#define SCSI_In_DBx_DM_STRONG PIN_DM_STRONG +#define SCSI_In_DBx_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define SCSI_In_DBx_MASK SCSI_In_DBx__MASK +#define SCSI_In_DBx_SHIFT SCSI_In_DBx__SHIFT +#define SCSI_In_DBx_WIDTH 8u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define SCSI_In_DBx_PS (* (reg8 *) SCSI_In_DBx__PS) +/* Data Register */ +#define SCSI_In_DBx_DR (* (reg8 *) SCSI_In_DBx__DR) +/* Port Number */ +#define SCSI_In_DBx_PRT_NUM (* (reg8 *) SCSI_In_DBx__PRT) +/* Connect to Analog Globals */ +#define SCSI_In_DBx_AG (* (reg8 *) SCSI_In_DBx__AG) +/* Analog MUX bux enable */ +#define SCSI_In_DBx_AMUX (* (reg8 *) SCSI_In_DBx__AMUX) +/* Bidirectional Enable */ +#define SCSI_In_DBx_BIE (* (reg8 *) SCSI_In_DBx__BIE) +/* Bit-mask for Aliased Register Access */ +#define SCSI_In_DBx_BIT_MASK (* (reg8 *) SCSI_In_DBx__BIT_MASK) +/* Bypass Enable */ +#define SCSI_In_DBx_BYP (* (reg8 *) SCSI_In_DBx__BYP) +/* Port wide control signals */ +#define SCSI_In_DBx_CTL (* (reg8 *) SCSI_In_DBx__CTL) +/* Drive Modes */ +#define SCSI_In_DBx_DM0 (* (reg8 *) SCSI_In_DBx__DM0) +#define SCSI_In_DBx_DM1 (* (reg8 *) SCSI_In_DBx__DM1) +#define SCSI_In_DBx_DM2 (* (reg8 *) SCSI_In_DBx__DM2) +/* Input Buffer Disable Override */ +#define SCSI_In_DBx_INP_DIS (* (reg8 *) SCSI_In_DBx__INP_DIS) +/* LCD Common or Segment Drive */ +#define SCSI_In_DBx_LCD_COM_SEG (* (reg8 *) SCSI_In_DBx__LCD_COM_SEG) +/* Enable Segment LCD */ +#define SCSI_In_DBx_LCD_EN (* (reg8 *) SCSI_In_DBx__LCD_EN) +/* Slew Rate Control */ +#define SCSI_In_DBx_SLW (* (reg8 *) SCSI_In_DBx__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define SCSI_In_DBx_PRTDSI__CAPS_SEL (* (reg8 *) SCSI_In_DBx__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define SCSI_In_DBx_PRTDSI__DBL_SYNC_IN (* (reg8 *) SCSI_In_DBx__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define SCSI_In_DBx_PRTDSI__OE_SEL0 (* (reg8 *) SCSI_In_DBx__PRTDSI__OE_SEL0) +#define SCSI_In_DBx_PRTDSI__OE_SEL1 (* (reg8 *) SCSI_In_DBx__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define SCSI_In_DBx_PRTDSI__OUT_SEL0 (* (reg8 *) SCSI_In_DBx__PRTDSI__OUT_SEL0) +#define SCSI_In_DBx_PRTDSI__OUT_SEL1 (* (reg8 *) SCSI_In_DBx__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define SCSI_In_DBx_PRTDSI__SYNC_OUT (* (reg8 *) SCSI_In_DBx__PRTDSI__SYNC_OUT) + + +#if defined(SCSI_In_DBx__INTSTAT) /* Interrupt Registers */ + + #define SCSI_In_DBx_INTSTAT (* (reg8 *) SCSI_In_DBx__INTSTAT) + #define SCSI_In_DBx_SNAP (* (reg8 *) SCSI_In_DBx__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_SCSI_In_DBx_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_DBx_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_DBx_aliases.h new file mode 100644 index 0000000..6feb8e8 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_DBx_aliases.h @@ -0,0 +1,48 @@ +/******************************************************************************* +* File Name: SCSI_In_DBx.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SCSI_In_DBx_ALIASES_H) /* Pins SCSI_In_DBx_ALIASES_H */ +#define CY_PINS_SCSI_In_DBx_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SCSI_In_DBx_0 SCSI_In_DBx__0__PC +#define SCSI_In_DBx_1 SCSI_In_DBx__1__PC +#define SCSI_In_DBx_2 SCSI_In_DBx__2__PC +#define SCSI_In_DBx_3 SCSI_In_DBx__3__PC +#define SCSI_In_DBx_4 SCSI_In_DBx__4__PC +#define SCSI_In_DBx_5 SCSI_In_DBx__5__PC +#define SCSI_In_DBx_6 SCSI_In_DBx__6__PC +#define SCSI_In_DBx_7 SCSI_In_DBx__7__PC + +#define SCSI_In_DBx_SCSI_Out_DB0 SCSI_In_DBx__SCSI_Out_DB0__PC +#define SCSI_In_DBx_SCSI_Out_DB1 SCSI_In_DBx__SCSI_Out_DB1__PC +#define SCSI_In_DBx_SCSI_Out_DB2 SCSI_In_DBx__SCSI_Out_DB2__PC +#define SCSI_In_DBx_SCSI_Out_DB3 SCSI_In_DBx__SCSI_Out_DB3__PC +#define SCSI_In_DBx_SCSI_Out_DB4 SCSI_In_DBx__SCSI_Out_DB4__PC +#define SCSI_In_DBx_SCSI_Out_DB5 SCSI_In_DBx__SCSI_Out_DB5__PC +#define SCSI_In_DBx_SCSI_Out_DB6 SCSI_In_DBx__SCSI_Out_DB6__PC +#define SCSI_In_DBx_SCSI_Out_DB7 SCSI_In_DBx__SCSI_Out_DB7__PC + +#endif /* End Pins SCSI_In_DBx_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_aliases.h new file mode 100644 index 0000000..4243a84 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_In_aliases.h @@ -0,0 +1,52 @@ +/******************************************************************************* +* File Name: SCSI_In.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SCSI_In_ALIASES_H) /* Pins SCSI_In_ALIASES_H */ +#define CY_PINS_SCSI_In_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SCSI_In_0 SCSI_In__0__PC +#define SCSI_In_1 SCSI_In__1__PC +#define SCSI_In_2 SCSI_In__2__PC +#define SCSI_In_3 SCSI_In__3__PC +#define SCSI_In_4 SCSI_In__4__PC +#define SCSI_In_5 SCSI_In__5__PC +#define SCSI_In_6 SCSI_In__6__PC +#define SCSI_In_7 SCSI_In__7__PC +#define SCSI_In_8 SCSI_In__8__PC +#define SCSI_In_9 SCSI_In__9__PC + +#define SCSI_In_DBP SCSI_In__DBP__PC +#define SCSI_In_ATN SCSI_In__ATN__PC +#define SCSI_In_BSY SCSI_In__BSY__PC +#define SCSI_In_ACK SCSI_In__ACK__PC +#define SCSI_In_RST SCSI_In__RST__PC +#define SCSI_In_MSG SCSI_In__MSG__PC +#define SCSI_In_SEL SCSI_In__SEL__PC +#define SCSI_In_CD SCSI_In__CD__PC +#define SCSI_In_REQ SCSI_In__REQ__PC +#define SCSI_In_IO SCSI_In__IO__PC + +#endif /* End Pins SCSI_In_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx.c new file mode 100644 index 0000000..e673f31 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx.c @@ -0,0 +1,144 @@ +/******************************************************************************* +* File Name: SCSI_Out_DBx.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "SCSI_Out_DBx.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + SCSI_Out_DBx__PORT == 15 && ((SCSI_Out_DBx__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: SCSI_Out_DBx_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void SCSI_Out_DBx_Write(uint8 value) +{ + uint8 staticBits = (SCSI_Out_DBx_DR & (uint8)(~SCSI_Out_DBx_MASK)); + SCSI_Out_DBx_DR = staticBits | ((uint8)(value << SCSI_Out_DBx_SHIFT) & SCSI_Out_DBx_MASK); +} + + +/******************************************************************************* +* Function Name: SCSI_Out_DBx_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void SCSI_Out_DBx_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(SCSI_Out_DBx_0, mode); + CyPins_SetPinDriveMode(SCSI_Out_DBx_1, mode); + CyPins_SetPinDriveMode(SCSI_Out_DBx_2, mode); + CyPins_SetPinDriveMode(SCSI_Out_DBx_3, mode); + CyPins_SetPinDriveMode(SCSI_Out_DBx_4, mode); + CyPins_SetPinDriveMode(SCSI_Out_DBx_5, mode); + CyPins_SetPinDriveMode(SCSI_Out_DBx_6, mode); + CyPins_SetPinDriveMode(SCSI_Out_DBx_7, mode); +} + + +/******************************************************************************* +* Function Name: SCSI_Out_DBx_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro SCSI_Out_DBx_ReadPS calls this function. +* +*******************************************************************************/ +uint8 SCSI_Out_DBx_Read(void) +{ + return (SCSI_Out_DBx_PS & SCSI_Out_DBx_MASK) >> SCSI_Out_DBx_SHIFT; +} + + +/******************************************************************************* +* Function Name: SCSI_Out_DBx_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 SCSI_Out_DBx_ReadDataReg(void) +{ + return (SCSI_Out_DBx_DR & SCSI_Out_DBx_MASK) >> SCSI_Out_DBx_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(SCSI_Out_DBx_INTSTAT) + + /******************************************************************************* + * Function Name: SCSI_Out_DBx_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 SCSI_Out_DBx_ClearInterrupt(void) + { + return (SCSI_Out_DBx_INTSTAT & SCSI_Out_DBx_MASK) >> SCSI_Out_DBx_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx.h new file mode 100644 index 0000000..41bd7a9 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: SCSI_Out_DBx.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SCSI_Out_DBx_H) /* Pins SCSI_Out_DBx_H */ +#define CY_PINS_SCSI_Out_DBx_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "SCSI_Out_DBx_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + SCSI_Out_DBx__PORT == 15 && ((SCSI_Out_DBx__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void SCSI_Out_DBx_Write(uint8 value) ; +void SCSI_Out_DBx_SetDriveMode(uint8 mode) ; +uint8 SCSI_Out_DBx_ReadDataReg(void) ; +uint8 SCSI_Out_DBx_Read(void) ; +uint8 SCSI_Out_DBx_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define SCSI_Out_DBx_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define SCSI_Out_DBx_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define SCSI_Out_DBx_DM_RES_UP PIN_DM_RES_UP +#define SCSI_Out_DBx_DM_RES_DWN PIN_DM_RES_DWN +#define SCSI_Out_DBx_DM_OD_LO PIN_DM_OD_LO +#define SCSI_Out_DBx_DM_OD_HI PIN_DM_OD_HI +#define SCSI_Out_DBx_DM_STRONG PIN_DM_STRONG +#define SCSI_Out_DBx_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define SCSI_Out_DBx_MASK SCSI_Out_DBx__MASK +#define SCSI_Out_DBx_SHIFT SCSI_Out_DBx__SHIFT +#define SCSI_Out_DBx_WIDTH 8u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define SCSI_Out_DBx_PS (* (reg8 *) SCSI_Out_DBx__PS) +/* Data Register */ +#define SCSI_Out_DBx_DR (* (reg8 *) SCSI_Out_DBx__DR) +/* Port Number */ +#define SCSI_Out_DBx_PRT_NUM (* (reg8 *) SCSI_Out_DBx__PRT) +/* Connect to Analog Globals */ +#define SCSI_Out_DBx_AG (* (reg8 *) SCSI_Out_DBx__AG) +/* Analog MUX bux enable */ +#define SCSI_Out_DBx_AMUX (* (reg8 *) SCSI_Out_DBx__AMUX) +/* Bidirectional Enable */ +#define SCSI_Out_DBx_BIE (* (reg8 *) SCSI_Out_DBx__BIE) +/* Bit-mask for Aliased Register Access */ +#define SCSI_Out_DBx_BIT_MASK (* (reg8 *) SCSI_Out_DBx__BIT_MASK) +/* Bypass Enable */ +#define SCSI_Out_DBx_BYP (* (reg8 *) SCSI_Out_DBx__BYP) +/* Port wide control signals */ +#define SCSI_Out_DBx_CTL (* (reg8 *) SCSI_Out_DBx__CTL) +/* Drive Modes */ +#define SCSI_Out_DBx_DM0 (* (reg8 *) SCSI_Out_DBx__DM0) +#define SCSI_Out_DBx_DM1 (* (reg8 *) SCSI_Out_DBx__DM1) +#define SCSI_Out_DBx_DM2 (* (reg8 *) SCSI_Out_DBx__DM2) +/* Input Buffer Disable Override */ +#define SCSI_Out_DBx_INP_DIS (* (reg8 *) SCSI_Out_DBx__INP_DIS) +/* LCD Common or Segment Drive */ +#define SCSI_Out_DBx_LCD_COM_SEG (* (reg8 *) SCSI_Out_DBx__LCD_COM_SEG) +/* Enable Segment LCD */ +#define SCSI_Out_DBx_LCD_EN (* (reg8 *) SCSI_Out_DBx__LCD_EN) +/* Slew Rate Control */ +#define SCSI_Out_DBx_SLW (* (reg8 *) SCSI_Out_DBx__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define SCSI_Out_DBx_PRTDSI__CAPS_SEL (* (reg8 *) SCSI_Out_DBx__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define SCSI_Out_DBx_PRTDSI__DBL_SYNC_IN (* (reg8 *) SCSI_Out_DBx__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define SCSI_Out_DBx_PRTDSI__OE_SEL0 (* (reg8 *) SCSI_Out_DBx__PRTDSI__OE_SEL0) +#define SCSI_Out_DBx_PRTDSI__OE_SEL1 (* (reg8 *) SCSI_Out_DBx__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define SCSI_Out_DBx_PRTDSI__OUT_SEL0 (* (reg8 *) SCSI_Out_DBx__PRTDSI__OUT_SEL0) +#define SCSI_Out_DBx_PRTDSI__OUT_SEL1 (* (reg8 *) SCSI_Out_DBx__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define SCSI_Out_DBx_PRTDSI__SYNC_OUT (* (reg8 *) SCSI_Out_DBx__PRTDSI__SYNC_OUT) + + +#if defined(SCSI_Out_DBx__INTSTAT) /* Interrupt Registers */ + + #define SCSI_Out_DBx_INTSTAT (* (reg8 *) SCSI_Out_DBx__INTSTAT) + #define SCSI_Out_DBx_SNAP (* (reg8 *) SCSI_Out_DBx__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_SCSI_Out_DBx_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx_aliases.h new file mode 100644 index 0000000..26cf8de --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_DBx_aliases.h @@ -0,0 +1,48 @@ +/******************************************************************************* +* File Name: SCSI_Out_DBx.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SCSI_Out_DBx_ALIASES_H) /* Pins SCSI_Out_DBx_ALIASES_H */ +#define CY_PINS_SCSI_Out_DBx_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SCSI_Out_DBx_0 SCSI_Out_DBx__0__PC +#define SCSI_Out_DBx_1 SCSI_Out_DBx__1__PC +#define SCSI_Out_DBx_2 SCSI_Out_DBx__2__PC +#define SCSI_Out_DBx_3 SCSI_Out_DBx__3__PC +#define SCSI_Out_DBx_4 SCSI_Out_DBx__4__PC +#define SCSI_Out_DBx_5 SCSI_Out_DBx__5__PC +#define SCSI_Out_DBx_6 SCSI_Out_DBx__6__PC +#define SCSI_Out_DBx_7 SCSI_Out_DBx__7__PC + +#define SCSI_Out_DBx_SCSI_Out_DB0 SCSI_Out_DBx__SCSI_Out_DB0__PC +#define SCSI_Out_DBx_SCSI_Out_DB1 SCSI_Out_DBx__SCSI_Out_DB1__PC +#define SCSI_Out_DBx_SCSI_Out_DB2 SCSI_Out_DBx__SCSI_Out_DB2__PC +#define SCSI_Out_DBx_SCSI_Out_DB3 SCSI_Out_DBx__SCSI_Out_DB3__PC +#define SCSI_Out_DBx_SCSI_Out_DB4 SCSI_Out_DBx__SCSI_Out_DB4__PC +#define SCSI_Out_DBx_SCSI_Out_DB5 SCSI_Out_DBx__SCSI_Out_DB5__PC +#define SCSI_Out_DBx_SCSI_Out_DB6 SCSI_Out_DBx__SCSI_Out_DB6__PC +#define SCSI_Out_DBx_SCSI_Out_DB7 SCSI_Out_DBx__SCSI_Out_DB7__PC + +#endif /* End Pins SCSI_Out_DBx_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_aliases.h new file mode 100644 index 0000000..cc35e5b --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Out_aliases.h @@ -0,0 +1,52 @@ +/******************************************************************************* +* File Name: SCSI_Out.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SCSI_Out_ALIASES_H) /* Pins SCSI_Out_ALIASES_H */ +#define CY_PINS_SCSI_Out_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SCSI_Out_0 SCSI_Out__0__PC +#define SCSI_Out_1 SCSI_Out__1__PC +#define SCSI_Out_2 SCSI_Out__2__PC +#define SCSI_Out_3 SCSI_Out__3__PC +#define SCSI_Out_4 SCSI_Out__4__PC +#define SCSI_Out_5 SCSI_Out__5__PC +#define SCSI_Out_6 SCSI_Out__6__PC +#define SCSI_Out_7 SCSI_Out__7__PC +#define SCSI_Out_8 SCSI_Out__8__PC +#define SCSI_Out_9 SCSI_Out__9__PC + +#define SCSI_Out_DBP SCSI_Out__DBP__PC +#define SCSI_Out_ATN SCSI_Out__ATN__PC +#define SCSI_Out_BSY SCSI_Out__BSY__PC +#define SCSI_Out_ACK SCSI_Out__ACK__PC +#define SCSI_Out_RST SCSI_Out__RST__PC +#define SCSI_Out_MSG SCSI_Out__MSG__PC +#define SCSI_Out_SEL SCSI_Out__SEL__PC +#define SCSI_Out_CD SCSI_Out__CD__PC +#define SCSI_Out_REQ SCSI_Out__REQ__PC +#define SCSI_Out_IO SCSI_Out__IO__PC + +#endif /* End Pins SCSI_Out_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD.c new file mode 100644 index 0000000..c1d6394 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD.c @@ -0,0 +1,1155 @@ +/******************************************************************************* +* File Name: SD.c +* Version 2.40 +* +* Description: +* This file provides all API functionality of the SPI Master component. +* +* Note: +* None. +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "SD_PVT.h" + +#if(SD_TX_SOFTWARE_BUF_ENABLED) + volatile uint8 SD_txBuffer[SD_TX_BUFFER_SIZE] = {0u}; + volatile uint8 SD_txBufferFull; + volatile uint8 SD_txBufferRead; + volatile uint8 SD_txBufferWrite; +#endif /* (SD_TX_SOFTWARE_BUF_ENABLED) */ + +#if(SD_RX_SOFTWARE_BUF_ENABLED) + volatile uint8 SD_rxBuffer[SD_RX_BUFFER_SIZE] = {0u}; + volatile uint8 SD_rxBufferFull; + volatile uint8 SD_rxBufferRead; + volatile uint8 SD_rxBufferWrite; +#endif /* (SD_RX_SOFTWARE_BUF_ENABLED) */ + +uint8 SD_initVar = 0u; + +volatile uint8 SD_swStatusTx; +volatile uint8 SD_swStatusRx; + + +/******************************************************************************* +* Function Name: SD_Init +******************************************************************************** +* +* Summary: +* Inits/Restores default SPIM configuration provided with customizer. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Side Effects: +* When this function is called it initializes all of the necessary parameters +* for execution. i.e. setting the initial interrupt mask, configuring the +* interrupt service routine, configuring the bit-counter parameters and +* clearing the FIFO and Status Register. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SD_Init(void) +{ + /* Initialize the Bit counter */ + SD_COUNTER_PERIOD_REG = SD_BITCTR_INIT; + + /* Init TX ISR */ + #if(0u != SD_INTERNAL_TX_INT_ENABLED) + CyIntDisable (SD_TX_ISR_NUMBER); + CyIntSetPriority (SD_TX_ISR_NUMBER, SD_TX_ISR_PRIORITY); + (void) CyIntSetVector(SD_TX_ISR_NUMBER, &SD_TX_ISR); + #endif /* (0u != SD_INTERNAL_TX_INT_ENABLED) */ + + /* Init RX ISR */ + #if(0u != SD_INTERNAL_RX_INT_ENABLED) + CyIntDisable (SD_RX_ISR_NUMBER); + CyIntSetPriority (SD_RX_ISR_NUMBER, SD_RX_ISR_PRIORITY); + (void) CyIntSetVector(SD_RX_ISR_NUMBER, &SD_RX_ISR); + #endif /* (0u != SD_INTERNAL_RX_INT_ENABLED) */ + + /* Clear any stray data from the RX and TX FIFO */ + SD_ClearFIFO(); + + #if(SD_RX_SOFTWARE_BUF_ENABLED) + SD_rxBufferFull = 0u; + SD_rxBufferRead = 0u; + SD_rxBufferWrite = 0u; + #endif /* (SD_RX_SOFTWARE_BUF_ENABLED) */ + + #if(SD_TX_SOFTWARE_BUF_ENABLED) + SD_txBufferFull = 0u; + SD_txBufferRead = 0u; + SD_txBufferWrite = 0u; + #endif /* (SD_TX_SOFTWARE_BUF_ENABLED) */ + + (void) SD_ReadTxStatus(); /* Clear Tx status and swStatusTx */ + (void) SD_ReadRxStatus(); /* Clear Rx status and swStatusRx */ + + /* Configure TX and RX interrupt mask */ + SD_TX_STATUS_MASK_REG = SD_TX_INIT_INTERRUPTS_MASK; + SD_RX_STATUS_MASK_REG = SD_RX_INIT_INTERRUPTS_MASK; +} + + +/******************************************************************************* +* Function Name: SD_Enable +******************************************************************************** +* +* Summary: +* Enable SPIM component. +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +void SD_Enable(void) +{ + uint8 enableInterrupts; + + enableInterrupts = CyEnterCriticalSection(); + SD_COUNTER_CONTROL_REG |= SD_CNTR_ENABLE; + SD_TX_STATUS_ACTL_REG |= SD_INT_ENABLE; + SD_RX_STATUS_ACTL_REG |= SD_INT_ENABLE; + CyExitCriticalSection(enableInterrupts); + + #if(0u != SD_INTERNAL_CLOCK) + SD_IntClock_Enable(); + #endif /* (0u != SD_INTERNAL_CLOCK) */ + + SD_EnableTxInt(); + SD_EnableRxInt(); +} + + +/******************************************************************************* +* Function Name: SD_Start +******************************************************************************** +* +* Summary: +* Initialize and Enable the SPI Master component. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* SD_initVar - used to check initial configuration, modified on +* first function call. +* +* Theory: +* Enable the clock input to enable operation. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SD_Start(void) +{ + if(0u == SD_initVar) + { + SD_Init(); + SD_initVar = 1u; + } + + SD_Enable(); +} + + +/******************************************************************************* +* Function Name: SD_Stop +******************************************************************************** +* +* Summary: +* Disable the SPI Master component. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Disable the clock input to enable operation. +* +*******************************************************************************/ +void SD_Stop(void) +{ + uint8 enableInterrupts; + + enableInterrupts = CyEnterCriticalSection(); + SD_TX_STATUS_ACTL_REG &= ((uint8) ~SD_INT_ENABLE); + SD_RX_STATUS_ACTL_REG &= ((uint8) ~SD_INT_ENABLE); + CyExitCriticalSection(enableInterrupts); + + #if(0u != SD_INTERNAL_CLOCK) + SD_IntClock_Disable(); + #endif /* (0u != SD_INTERNAL_CLOCK) */ + + SD_DisableTxInt(); + SD_DisableRxInt(); +} + + +/******************************************************************************* +* Function Name: SD_EnableTxInt +******************************************************************************** +* +* Summary: +* Enable internal Tx interrupt generation. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Enable the internal Tx interrupt output -or- the interrupt component itself. +* +*******************************************************************************/ +void SD_EnableTxInt(void) +{ + #if(0u != SD_INTERNAL_TX_INT_ENABLED) + CyIntEnable(SD_TX_ISR_NUMBER); + #endif /* (0u != SD_INTERNAL_TX_INT_ENABLED) */ +} + + +/******************************************************************************* +* Function Name: SD_EnableRxInt +******************************************************************************** +* +* Summary: +* Enable internal Rx interrupt generation. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Enable the internal Rx interrupt output -or- the interrupt component itself. +* +*******************************************************************************/ +void SD_EnableRxInt(void) +{ + #if(0u != SD_INTERNAL_RX_INT_ENABLED) + CyIntEnable(SD_RX_ISR_NUMBER); + #endif /* (0u != SD_INTERNAL_RX_INT_ENABLED) */ +} + + +/******************************************************************************* +* Function Name: SD_DisableTxInt +******************************************************************************** +* +* Summary: +* Disable internal Tx interrupt generation. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Disable the internal Tx interrupt output -or- the interrupt component itself. +* +*******************************************************************************/ +void SD_DisableTxInt(void) +{ + #if(0u != SD_INTERNAL_TX_INT_ENABLED) + CyIntDisable(SD_TX_ISR_NUMBER); + #endif /* (0u != SD_INTERNAL_TX_INT_ENABLED) */ +} + + +/******************************************************************************* +* Function Name: SD_DisableRxInt +******************************************************************************** +* +* Summary: +* Disable internal Rx interrupt generation. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Disable the internal Rx interrupt output -or- the interrupt component itself. +* +*******************************************************************************/ +void SD_DisableRxInt(void) +{ + #if(0u != SD_INTERNAL_RX_INT_ENABLED) + CyIntDisable(SD_RX_ISR_NUMBER); + #endif /* (0u != SD_INTERNAL_RX_INT_ENABLED) */ +} + + +/******************************************************************************* +* Function Name: SD_SetTxInterruptMode +******************************************************************************** +* +* Summary: +* Configure which status bits trigger an interrupt event. +* +* Parameters: +* intSrc: An or'd combination of the desired status bit masks (defined in the +* header file). +* +* Return: +* None. +* +* Theory: +* Enables the output of specific status bits to the interrupt controller. +* +*******************************************************************************/ +void SD_SetTxInterruptMode(uint8 intSrc) +{ + SD_TX_STATUS_MASK_REG = intSrc; +} + + +/******************************************************************************* +* Function Name: SD_SetRxInterruptMode +******************************************************************************** +* +* Summary: +* Configure which status bits trigger an interrupt event. +* +* Parameters: +* intSrc: An or'd combination of the desired status bit masks (defined in the +* header file). +* +* Return: +* None. +* +* Theory: +* Enables the output of specific status bits to the interrupt controller. +* +*******************************************************************************/ +void SD_SetRxInterruptMode(uint8 intSrc) +{ + SD_RX_STATUS_MASK_REG = intSrc; +} + + +/******************************************************************************* +* Function Name: SD_ReadTxStatus +******************************************************************************** +* +* Summary: +* Read the Tx status register for the component. +* +* Parameters: +* None. +* +* Return: +* Contents of the Tx status register. +* +* Global variables: +* SD_swStatusTx - used to store in software status register, +* modified every function call - resets to zero. +* +* Theory: +* Allows the user and the API to read the Tx status register for error +* detection and flow control. +* +* Side Effects: +* Clear Tx status register of the component. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 SD_ReadTxStatus(void) +{ + uint8 tmpStatus; + + #if(SD_TX_SOFTWARE_BUF_ENABLED) + /* Disable TX interrupt to protect global veriables */ + SD_DisableTxInt(); + + tmpStatus = SD_GET_STATUS_TX(SD_swStatusTx); + SD_swStatusTx = 0u; + + SD_EnableTxInt(); + + #else + + tmpStatus = SD_TX_STATUS_REG; + + #endif /* (SD_TX_SOFTWARE_BUF_ENABLED) */ + + return(tmpStatus); +} + + +/******************************************************************************* +* Function Name: SD_ReadRxStatus +******************************************************************************** +* +* Summary: +* Read the Rx status register for the component. +* +* Parameters: +* None. +* +* Return: +* Contents of the Rx status register. +* +* Global variables: +* SD_swStatusRx - used to store in software Rx status register, +* modified every function call - resets to zero. +* +* Theory: +* Allows the user and the API to read the Rx status register for error +* detection and flow control. +* +* Side Effects: +* Clear Rx status register of the component. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 SD_ReadRxStatus(void) +{ + uint8 tmpStatus; + + #if(SD_RX_SOFTWARE_BUF_ENABLED) + /* Disable RX interrupt to protect global veriables */ + SD_DisableRxInt(); + + tmpStatus = SD_GET_STATUS_RX(SD_swStatusRx); + SD_swStatusRx = 0u; + + SD_EnableRxInt(); + + #else + + tmpStatus = SD_RX_STATUS_REG; + + #endif /* (SD_RX_SOFTWARE_BUF_ENABLED) */ + + return(tmpStatus); +} + + +/******************************************************************************* +* Function Name: SD_WriteTxData +******************************************************************************** +* +* Summary: +* Write a byte of data to be sent across the SPI. +* +* Parameters: +* txDataByte: The data value to send across the SPI. +* +* Return: +* None. +* +* Global variables: +* SD_txBufferWrite - used for the account of the bytes which +* have been written down in the TX software buffer, modified every function +* call if TX Software Buffer is used. +* SD_txBufferRead - used for the account of the bytes which +* have been read from the TX software buffer. +* SD_txBuffer[SD_TX_BUFFER_SIZE] - used to store +* data to sending, modified every function call if TX Software Buffer is used. +* +* Theory: +* Allows the user to transmit any byte of data in a single transfer. +* +* Side Effects: +* If this function is called again before the previous byte is finished then +* the next byte will be appended to the transfer with no time between +* the byte transfers. Clear Tx status register of the component. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SD_WriteTxData(uint8 txData) +{ + #if(SD_TX_SOFTWARE_BUF_ENABLED) + + uint8 tempStatus; + uint8 tmpTxBufferRead; + + /* Block if TX buffer is FULL: don't overwrite */ + do + { + tmpTxBufferRead = SD_txBufferRead; + if(0u == tmpTxBufferRead) + { + tmpTxBufferRead = (SD_TX_BUFFER_SIZE - 1u); + } + else + { + tmpTxBufferRead--; + } + + }while(tmpTxBufferRead == SD_txBufferWrite); + + /* Disable TX interrupt to protect global veriables */ + SD_DisableTxInt(); + + tempStatus = SD_GET_STATUS_TX(SD_swStatusTx); + SD_swStatusTx = tempStatus; + + + if((SD_txBufferRead == SD_txBufferWrite) && + (0u != (SD_swStatusTx & SD_STS_TX_FIFO_NOT_FULL))) + { + /* Add directly to the TX FIFO */ + CY_SET_REG8(SD_TXDATA_PTR, txData); + } + else + { + /* Add to the TX software buffer */ + SD_txBufferWrite++; + if(SD_txBufferWrite >= SD_TX_BUFFER_SIZE) + { + SD_txBufferWrite = 0u; + } + + if(SD_txBufferWrite == SD_txBufferRead) + { + SD_txBufferRead++; + if(SD_txBufferRead >= SD_TX_BUFFER_SIZE) + { + SD_txBufferRead = 0u; + } + SD_txBufferFull = 1u; + } + + SD_txBuffer[SD_txBufferWrite] = txData; + + SD_TX_STATUS_MASK_REG |= SD_STS_TX_FIFO_NOT_FULL; + } + + SD_EnableTxInt(); + + #else + + while(0u == (SD_TX_STATUS_REG & SD_STS_TX_FIFO_NOT_FULL)) + { + ; /* Wait for room in FIFO */ + } + + /* Put byte in TX FIFO */ + CY_SET_REG8(SD_TXDATA_PTR, txData); + + #endif /* (SD_TX_SOFTWARE_BUF_ENABLED) */ +} + + +/******************************************************************************* +* Function Name: SD_ReadRxData +******************************************************************************** +* +* Summary: +* Read the next byte of data received across the SPI. +* +* Parameters: +* None. +* +* Return: +* The next byte of data read from the FIFO. +* +* Global variables: +* SD_rxBufferWrite - used for the account of the bytes which +* have been written down in the RX software buffer. +* SD_rxBufferRead - used for the account of the bytes which +* have been read from the RX software buffer, modified every function +* call if RX Software Buffer is used. +* SD_rxBuffer[SD_RX_BUFFER_SIZE] - used to store +* received data. +* +* Theory: +* Allows the user to read a byte of data received. +* +* Side Effects: +* Will return invalid data if the FIFO is empty. The user should Call +* GetRxBufferSize() and if it returns a non-zero value then it is safe to call +* ReadByte() function. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 SD_ReadRxData(void) +{ + uint8 rxData; + + #if(SD_RX_SOFTWARE_BUF_ENABLED) + + /* Disable RX interrupt to protect global veriables */ + SD_DisableRxInt(); + + if(SD_rxBufferRead != SD_rxBufferWrite) + { + if(0u == SD_rxBufferFull) + { + SD_rxBufferRead++; + if(SD_rxBufferRead >= SD_RX_BUFFER_SIZE) + { + SD_rxBufferRead = 0u; + } + } + else + { + SD_rxBufferFull = 0u; + } + } + + rxData = SD_rxBuffer[SD_rxBufferRead]; + + SD_EnableRxInt(); + + #else + + rxData = CY_GET_REG8(SD_RXDATA_PTR); + + #endif /* (SD_RX_SOFTWARE_BUF_ENABLED) */ + + return(rxData); +} + + +/******************************************************************************* +* Function Name: SD_GetRxBufferSize +******************************************************************************** +* +* Summary: +* Returns the number of bytes/words of data currently held in the RX buffer. +* If RX Software Buffer not used then function return 0 if FIFO empty or 1 if +* FIFO not empty. In another case function return size of RX Software Buffer. +* +* Parameters: +* None. +* +* Return: +* Integer count of the number of bytes/words in the RX buffer. +* +* Global variables: +* SD_rxBufferWrite - used for the account of the bytes which +* have been written down in the RX software buffer. +* SD_rxBufferRead - used for the account of the bytes which +* have been read from the RX software buffer. +* +* Side Effects: +* Clear status register of the component. +* +*******************************************************************************/ +uint8 SD_GetRxBufferSize(void) +{ + uint8 size; + + #if(SD_RX_SOFTWARE_BUF_ENABLED) + + /* Disable RX interrupt to protect global veriables */ + SD_DisableRxInt(); + + if(SD_rxBufferRead == SD_rxBufferWrite) + { + size = 0u; + } + else if(SD_rxBufferRead < SD_rxBufferWrite) + { + size = (SD_rxBufferWrite - SD_rxBufferRead); + } + else + { + size = (SD_RX_BUFFER_SIZE - SD_rxBufferRead) + SD_rxBufferWrite; + } + + SD_EnableRxInt(); + + #else + + /* We can only know if there is data in the RX FIFO */ + size = (0u != (SD_RX_STATUS_REG & SD_STS_RX_FIFO_NOT_EMPTY)) ? 1u : 0u; + + #endif /* (SD_TX_SOFTWARE_BUF_ENABLED) */ + + return(size); +} + + +/******************************************************************************* +* Function Name: SD_GetTxBufferSize +******************************************************************************** +* +* Summary: +* Returns the number of bytes/words of data currently held in the TX buffer. +* If TX Software Buffer not used then function return 0 - if FIFO empty, 1 - if +* FIFO not full, 4 - if FIFO full. In another case function return size of TX +* Software Buffer. +* +* Parameters: +* None. +* +* Return: +* Integer count of the number of bytes/words in the TX buffer. +* +* Global variables: +* SD_txBufferWrite - used for the account of the bytes which +* have been written down in the TX software buffer. +* SD_txBufferRead - used for the account of the bytes which +* have been read from the TX software buffer. +* +* Side Effects: +* Clear status register of the component. +* +*******************************************************************************/ +uint8 SD_GetTxBufferSize(void) +{ + uint8 size; + + #if(SD_TX_SOFTWARE_BUF_ENABLED) + /* Disable TX interrupt to protect global veriables */ + SD_DisableTxInt(); + + if(SD_txBufferRead == SD_txBufferWrite) + { + size = 0u; + } + else if(SD_txBufferRead < SD_txBufferWrite) + { + size = (SD_txBufferWrite - SD_txBufferRead); + } + else + { + size = (SD_TX_BUFFER_SIZE - SD_txBufferRead) + SD_txBufferWrite; + } + + SD_EnableTxInt(); + + #else + + size = SD_TX_STATUS_REG; + + if(0u != (size & SD_STS_TX_FIFO_EMPTY)) + { + size = 0u; + } + else if(0u != (size & SD_STS_TX_FIFO_NOT_FULL)) + { + size = 1u; + } + else + { + size = SD_FIFO_SIZE; + } + + #endif /* (SD_TX_SOFTWARE_BUF_ENABLED) */ + + return(size); +} + + +/******************************************************************************* +* Function Name: SD_ClearRxBuffer +******************************************************************************** +* +* Summary: +* Clear the RX RAM buffer by setting the read and write pointers both to zero. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* SD_rxBufferWrite - used for the account of the bytes which +* have been written down in the RX software buffer, modified every function +* call - resets to zero. +* SD_rxBufferRead - used for the account of the bytes which +* have been read from the RX software buffer, modified every function call - +* resets to zero. +* +* Theory: +* Setting the pointers to zero makes the system believe there is no data to +* read and writing will resume at address 0 overwriting any data that may have +* remained in the RAM. +* +* Side Effects: +* Any received data not read from the RAM buffer will be lost when overwritten. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SD_ClearRxBuffer(void) +{ + /* Clear Hardware RX FIFO */ + while(0u !=(SD_RX_STATUS_REG & SD_STS_RX_FIFO_NOT_EMPTY)) + { + (void) CY_GET_REG8(SD_RXDATA_PTR); + } + + #if(SD_RX_SOFTWARE_BUF_ENABLED) + /* Disable RX interrupt to protect global veriables */ + SD_DisableRxInt(); + + SD_rxBufferFull = 0u; + SD_rxBufferRead = 0u; + SD_rxBufferWrite = 0u; + + SD_EnableRxInt(); + #endif /* (SD_RX_SOFTWARE_BUF_ENABLED) */ +} + + +/******************************************************************************* +* Function Name: SD_ClearTxBuffer +******************************************************************************** +* +* Summary: +* Clear the TX RAM buffer by setting the read and write pointers both to zero. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* SD_txBufferWrite - used for the account of the bytes which +* have been written down in the TX software buffer, modified every function +* call - resets to zero. +* SD_txBufferRead - used for the account of the bytes which +* have been read from the TX software buffer, modified every function call - +* resets to zero. +* +* Theory: +* Setting the pointers to zero makes the system believe there is no data to +* read and writing will resume at address 0 overwriting any data that may have +* remained in the RAM. +* +* Side Effects: +* Any data not yet transmitted from the RAM buffer will be lost when +* overwritten. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SD_ClearTxBuffer(void) +{ + uint8 enableInterrupts; + + enableInterrupts = CyEnterCriticalSection(); + /* Clear TX FIFO */ + SD_AUX_CONTROL_DP0_REG |= ((uint8) SD_TX_FIFO_CLR); + SD_AUX_CONTROL_DP0_REG &= ((uint8) ~SD_TX_FIFO_CLR); + + #if(SD_USE_SECOND_DATAPATH) + /* Clear TX FIFO for 2nd Datapath */ + SD_AUX_CONTROL_DP1_REG |= ((uint8) SD_TX_FIFO_CLR); + SD_AUX_CONTROL_DP1_REG &= ((uint8) ~SD_TX_FIFO_CLR); + #endif /* (SD_USE_SECOND_DATAPATH) */ + CyExitCriticalSection(enableInterrupts); + + #if(SD_TX_SOFTWARE_BUF_ENABLED) + /* Disable TX interrupt to protect global veriables */ + SD_DisableTxInt(); + + SD_txBufferFull = 0u; + SD_txBufferRead = 0u; + SD_txBufferWrite = 0u; + + /* Buffer is EMPTY: disable TX FIFO NOT FULL interrupt */ + SD_TX_STATUS_MASK_REG &= ((uint8) ~SD_STS_TX_FIFO_NOT_FULL); + + SD_EnableTxInt(); + #endif /* (SD_TX_SOFTWARE_BUF_ENABLED) */ +} + + +#if(0u != SD_BIDIRECTIONAL_MODE) + /******************************************************************************* + * Function Name: SD_TxEnable + ******************************************************************************** + * + * Summary: + * If the SPI master is configured to use a single bi-directional pin then this + * will set the bi-directional pin to transmit. + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + void SD_TxEnable(void) + { + SD_CONTROL_REG |= SD_CTRL_TX_SIGNAL_EN; + } + + + /******************************************************************************* + * Function Name: SD_TxDisable + ******************************************************************************** + * + * Summary: + * If the SPI master is configured to use a single bi-directional pin then this + * will set the bi-directional pin to receive. + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + void SD_TxDisable(void) + { + SD_CONTROL_REG &= ((uint8) ~SD_CTRL_TX_SIGNAL_EN); + } + +#endif /* (0u != SD_BIDIRECTIONAL_MODE) */ + + +/******************************************************************************* +* Function Name: SD_PutArray +******************************************************************************** +* +* Summary: +* Write available data from ROM/RAM to the TX buffer while space is available +* in the TX buffer. Keep trying until all data is passed to the TX buffer. +* +* Parameters: +* *buffer: Pointer to the location in RAM containing the data to send +* byteCount: The number of bytes to move to the transmit buffer. +* +* Return: +* None. +* +* Side Effects: +* Will stay in this routine until all data has been sent. May get locked in +* this loop if data is not being initiated by the master if there is not +* enough room in the TX FIFO. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SD_PutArray(const uint8 buffer[], uint8 byteCount) + +{ + uint8 bufIndex; + + bufIndex = 0u; + + while(byteCount > 0u) + { + SD_WriteTxData(buffer[bufIndex]); + bufIndex++; + byteCount--; + } +} + + +/******************************************************************************* +* Function Name: SD_ClearFIFO +******************************************************************************** +* +* Summary: +* Clear the RX and TX FIFO's of all data for a fresh start. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Side Effects: +* Clear status register of the component. +* +*******************************************************************************/ +void SD_ClearFIFO(void) +{ + uint8 enableInterrupts; + + /* Clear Hardware RX FIFO */ + while(0u !=(SD_RX_STATUS_REG & SD_STS_RX_FIFO_NOT_EMPTY)) + { + (void) CY_GET_REG8(SD_RXDATA_PTR); + } + + enableInterrupts = CyEnterCriticalSection(); + /* Clear TX FIFO */ + SD_AUX_CONTROL_DP0_REG |= ((uint8) SD_TX_FIFO_CLR); + SD_AUX_CONTROL_DP0_REG &= ((uint8) ~SD_TX_FIFO_CLR); + + #if(SD_USE_SECOND_DATAPATH) + /* Clear TX FIFO for 2nd Datapath */ + SD_AUX_CONTROL_DP1_REG |= ((uint8) SD_TX_FIFO_CLR); + SD_AUX_CONTROL_DP1_REG &= ((uint8) ~SD_TX_FIFO_CLR); + #endif /* (SD_USE_SECOND_DATAPATH) */ + CyExitCriticalSection(enableInterrupts); +} + + +/* Following functions are for version Compatibility, they are obsolete. +* Please do not use it in new projects. +*/ + + +/******************************************************************************* +* Function Name: SD_EnableInt +******************************************************************************** +* +* Summary: +* Enable internal interrupt generation. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Enable the internal interrupt output -or- the interrupt component itself. +* +*******************************************************************************/ +void SD_EnableInt(void) +{ + SD_EnableRxInt(); + SD_EnableTxInt(); +} + + +/******************************************************************************* +* Function Name: SD_DisableInt +******************************************************************************** +* +* Summary: +* Disable internal interrupt generation. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Disable the internal interrupt output -or- the interrupt component itself. +* +*******************************************************************************/ +void SD_DisableInt(void) +{ + SD_DisableTxInt(); + SD_DisableRxInt(); +} + + +/******************************************************************************* +* Function Name: SD_SetInterruptMode +******************************************************************************** +* +* Summary: +* Configure which status bits trigger an interrupt event. +* +* Parameters: +* intSrc: An or'd combination of the desired status bit masks (defined in the +* header file). +* +* Return: +* None. +* +* Theory: +* Enables the output of specific status bits to the interrupt controller. +* +*******************************************************************************/ +void SD_SetInterruptMode(uint8 intSrc) +{ + SD_TX_STATUS_MASK_REG = (intSrc & ((uint8) ~SD_STS_SPI_IDLE)); + SD_RX_STATUS_MASK_REG = intSrc; +} + + +/******************************************************************************* +* Function Name: SD_ReadStatus +******************************************************************************** +* +* Summary: +* Read the status register for the component. +* +* Parameters: +* None. +* +* Return: +* Contents of the status register. +* +* Global variables: +* SD_swStatus - used to store in software status register, +* modified every function call - resets to zero. +* +* Theory: +* Allows the user and the API to read the status register for error detection +* and flow control. +* +* Side Effects: +* Clear status register of the component. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 SD_ReadStatus(void) +{ + uint8 tmpStatus; + + #if(SD_TX_SOFTWARE_BUF_ENABLED || SD_RX_SOFTWARE_BUF_ENABLED) + + SD_DisableInt(); + + tmpStatus = SD_GET_STATUS_RX(SD_swStatusRx); + tmpStatus |= SD_GET_STATUS_TX(SD_swStatusTx); + tmpStatus &= ((uint8) ~SD_STS_SPI_IDLE); + + SD_swStatusTx = 0u; + SD_swStatusRx = 0u; + + SD_EnableInt(); + + #else + + tmpStatus = SD_RX_STATUS_REG; + tmpStatus |= SD_TX_STATUS_REG; + tmpStatus &= ((uint8) ~SD_STS_SPI_IDLE); + + #endif /* (SD_TX_SOFTWARE_BUF_ENABLED || SD_RX_SOFTWARE_BUF_ENABLED) */ + + return(tmpStatus); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD.h new file mode 100644 index 0000000..0f99abf --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD.h @@ -0,0 +1,389 @@ +/******************************************************************************* +* File Name: SD.h +* Version 2.40 +* +* Description: +* Contains the function prototypes, constants and register definition +* of the SPI Master Component. +* +* Note: +* None +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_SPIM_SD_H) +#define CY_SPIM_SD_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "CyLib.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component SPI_Master_v2_40 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + + +/*************************************** +* Conditional Compilation Parameters +***************************************/ + +#define SD_INTERNAL_CLOCK (0u) + +#if(0u != SD_INTERNAL_CLOCK) + #include "SD_IntClock.h" +#endif /* (0u != SD_INTERNAL_CLOCK) */ + +#define SD_MODE (1u) +#define SD_DATA_WIDTH (8u) +#define SD_MODE_USE_ZERO (1u) +#define SD_BIDIRECTIONAL_MODE (0u) + +/* Internal interrupt handling */ +#define SD_TX_BUFFER_SIZE (4u) +#define SD_RX_BUFFER_SIZE (4u) +#define SD_INTERNAL_TX_INT_ENABLED (0u) +#define SD_INTERNAL_RX_INT_ENABLED (0u) + +#define SD_SINGLE_REG_SIZE (8u) +#define SD_USE_SECOND_DATAPATH (SD_DATA_WIDTH > SD_SINGLE_REG_SIZE) + +#define SD_FIFO_SIZE (4u) +#define SD_TX_SOFTWARE_BUF_ENABLED ((0u != SD_INTERNAL_TX_INT_ENABLED) && \ + (SD_TX_BUFFER_SIZE > SD_FIFO_SIZE)) + +#define SD_RX_SOFTWARE_BUF_ENABLED ((0u != SD_INTERNAL_RX_INT_ENABLED) && \ + (SD_RX_BUFFER_SIZE > SD_FIFO_SIZE)) + + +/*************************************** +* Data Struct Definition +***************************************/ + +/* Sleep Mode API Support */ +typedef struct +{ + uint8 enableState; + uint8 cntrPeriod; + #if(CY_UDB_V0) + uint8 saveSrTxIntMask; + uint8 saveSrRxIntMask; + #endif /* (CY_UDB_V0) */ + +} SD_BACKUP_STRUCT; + + +/*************************************** +* Function Prototypes +***************************************/ + +void SD_Init(void) ; +void SD_Enable(void) ; +void SD_Start(void) ; +void SD_Stop(void) ; + +void SD_EnableTxInt(void) ; +void SD_EnableRxInt(void) ; +void SD_DisableTxInt(void) ; +void SD_DisableRxInt(void) ; + +void SD_Sleep(void) ; +void SD_Wakeup(void) ; +void SD_SaveConfig(void) ; +void SD_RestoreConfig(void) ; + +void SD_SetTxInterruptMode(uint8 intSrc) ; +void SD_SetRxInterruptMode(uint8 intSrc) ; +uint8 SD_ReadTxStatus(void) ; +uint8 SD_ReadRxStatus(void) ; +void SD_WriteTxData(uint8 txData) \ + ; +uint8 SD_ReadRxData(void) \ + ; +uint8 SD_GetRxBufferSize(void) ; +uint8 SD_GetTxBufferSize(void) ; +void SD_ClearRxBuffer(void) ; +void SD_ClearTxBuffer(void) ; +void SD_ClearFIFO(void) ; +void SD_PutArray(const uint8 buffer[], uint8 byteCount) \ + ; + +#if(0u != SD_BIDIRECTIONAL_MODE) + void SD_TxEnable(void) ; + void SD_TxDisable(void) ; +#endif /* (0u != SD_BIDIRECTIONAL_MODE) */ + +CY_ISR_PROTO(SD_TX_ISR); +CY_ISR_PROTO(SD_RX_ISR); + + +/********************************** +* Variable with external linkage +**********************************/ + +extern uint8 SD_initVar; + + +/*************************************** +* API Constants +***************************************/ + +#define SD_TX_ISR_NUMBER ((uint8) (SD_TxInternalInterrupt__INTC_NUMBER)) +#define SD_RX_ISR_NUMBER ((uint8) (SD_RxInternalInterrupt__INTC_NUMBER)) + +#define SD_TX_ISR_PRIORITY ((uint8) (SD_TxInternalInterrupt__INTC_PRIOR_NUM)) +#define SD_RX_ISR_PRIORITY ((uint8) (SD_RxInternalInterrupt__INTC_PRIOR_NUM)) + + +/*************************************** +* Initial Parameter Constants +***************************************/ + +#define SD_INT_ON_SPI_DONE ((uint8) (0u << SD_STS_SPI_DONE_SHIFT)) +#define SD_INT_ON_TX_EMPTY ((uint8) (0u << SD_STS_TX_FIFO_EMPTY_SHIFT)) +#define SD_INT_ON_TX_NOT_FULL ((uint8) (0u << \ + SD_STS_TX_FIFO_NOT_FULL_SHIFT)) +#define SD_INT_ON_BYTE_COMP ((uint8) (0u << SD_STS_BYTE_COMPLETE_SHIFT)) +#define SD_INT_ON_SPI_IDLE ((uint8) (0u << SD_STS_SPI_IDLE_SHIFT)) + +/* Disable TX_NOT_FULL if software buffer is used */ +#define SD_INT_ON_TX_NOT_FULL_DEF ((SD_TX_SOFTWARE_BUF_ENABLED) ? \ + (0u) : (SD_INT_ON_TX_NOT_FULL)) + +/* TX interrupt mask */ +#define SD_TX_INIT_INTERRUPTS_MASK (SD_INT_ON_SPI_DONE | \ + SD_INT_ON_TX_EMPTY | \ + SD_INT_ON_TX_NOT_FULL_DEF | \ + SD_INT_ON_BYTE_COMP | \ + SD_INT_ON_SPI_IDLE) + +#define SD_INT_ON_RX_FULL ((uint8) (0u << \ + SD_STS_RX_FIFO_FULL_SHIFT)) +#define SD_INT_ON_RX_NOT_EMPTY ((uint8) (0u << \ + SD_STS_RX_FIFO_NOT_EMPTY_SHIFT)) +#define SD_INT_ON_RX_OVER ((uint8) (0u << \ + SD_STS_RX_FIFO_OVERRUN_SHIFT)) + +/* RX interrupt mask */ +#define SD_RX_INIT_INTERRUPTS_MASK (SD_INT_ON_RX_FULL | \ + SD_INT_ON_RX_NOT_EMPTY | \ + SD_INT_ON_RX_OVER) +/* Nubmer of bits to receive/transmit */ +#define SD_BITCTR_INIT (((uint8) (SD_DATA_WIDTH << 1u)) - 1u) + + +/*************************************** +* Registers +***************************************/ + +#if(CY_PSOC3 || CY_PSOC5) + #define SD_TXDATA_REG (* (reg8 *) \ + SD_BSPIM_sR8_Dp_u0__F0_REG) + #define SD_TXDATA_PTR ( (reg8 *) \ + SD_BSPIM_sR8_Dp_u0__F0_REG) + #define SD_RXDATA_REG (* (reg8 *) \ + SD_BSPIM_sR8_Dp_u0__F1_REG) + #define SD_RXDATA_PTR ( (reg8 *) \ + SD_BSPIM_sR8_Dp_u0__F1_REG) +#else /* PSOC4 */ + #if(SD_USE_SECOND_DATAPATH) + #define SD_TXDATA_REG (* (reg16 *) \ + SD_BSPIM_sR8_Dp_u0__16BIT_F0_REG) + #define SD_TXDATA_PTR ( (reg16 *) \ + SD_BSPIM_sR8_Dp_u0__16BIT_F0_REG) + #define SD_RXDATA_REG (* (reg16 *) \ + SD_BSPIM_sR8_Dp_u0__16BIT_F1_REG) + #define SD_RXDATA_PTR ( (reg16 *) \ + SD_BSPIM_sR8_Dp_u0__16BIT_F1_REG) + #else + #define SD_TXDATA_REG (* (reg8 *) \ + SD_BSPIM_sR8_Dp_u0__F0_REG) + #define SD_TXDATA_PTR ( (reg8 *) \ + SD_BSPIM_sR8_Dp_u0__F0_REG) + #define SD_RXDATA_REG (* (reg8 *) \ + SD_BSPIM_sR8_Dp_u0__F1_REG) + #define SD_RXDATA_PTR ( (reg8 *) \ + SD_BSPIM_sR8_Dp_u0__F1_REG) + #endif /* (SD_USE_SECOND_DATAPATH) */ +#endif /* (CY_PSOC3 || CY_PSOC5) */ + +#define SD_AUX_CONTROL_DP0_REG (* (reg8 *) \ + SD_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG) +#define SD_AUX_CONTROL_DP0_PTR ( (reg8 *) \ + SD_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG) + +#if(SD_USE_SECOND_DATAPATH) + #define SD_AUX_CONTROL_DP1_REG (* (reg8 *) \ + SD_BSPIM_sR8_Dp_u1__DP_AUX_CTL_REG) + #define SD_AUX_CONTROL_DP1_PTR ( (reg8 *) \ + SD_BSPIM_sR8_Dp_u1__DP_AUX_CTL_REG) +#endif /* (SD_USE_SECOND_DATAPATH) */ + +#define SD_COUNTER_PERIOD_REG (* (reg8 *) SD_BSPIM_BitCounter__PERIOD_REG) +#define SD_COUNTER_PERIOD_PTR ( (reg8 *) SD_BSPIM_BitCounter__PERIOD_REG) +#define SD_COUNTER_CONTROL_REG (* (reg8 *) SD_BSPIM_BitCounter__CONTROL_AUX_CTL_REG) +#define SD_COUNTER_CONTROL_PTR ( (reg8 *) SD_BSPIM_BitCounter__CONTROL_AUX_CTL_REG) + +#define SD_TX_STATUS_REG (* (reg8 *) SD_BSPIM_TxStsReg__STATUS_REG) +#define SD_TX_STATUS_PTR ( (reg8 *) SD_BSPIM_TxStsReg__STATUS_REG) +#define SD_RX_STATUS_REG (* (reg8 *) SD_BSPIM_RxStsReg__STATUS_REG) +#define SD_RX_STATUS_PTR ( (reg8 *) SD_BSPIM_RxStsReg__STATUS_REG) + +#define SD_CONTROL_REG (* (reg8 *) \ + SD_BSPIM_BidirMode_SyncCtl_CtrlReg__CONTROL_REG) +#define SD_CONTROL_PTR ( (reg8 *) \ + SD_BSPIM_BidirMode_SyncCtl_CtrlReg__CONTROL_REG) + +#define SD_TX_STATUS_MASK_REG (* (reg8 *) SD_BSPIM_TxStsReg__MASK_REG) +#define SD_TX_STATUS_MASK_PTR ( (reg8 *) SD_BSPIM_TxStsReg__MASK_REG) +#define SD_RX_STATUS_MASK_REG (* (reg8 *) SD_BSPIM_RxStsReg__MASK_REG) +#define SD_RX_STATUS_MASK_PTR ( (reg8 *) SD_BSPIM_RxStsReg__MASK_REG) + +#define SD_TX_STATUS_ACTL_REG (* (reg8 *) SD_BSPIM_TxStsReg__STATUS_AUX_CTL_REG) +#define SD_TX_STATUS_ACTL_PTR ( (reg8 *) SD_BSPIM_TxStsReg__STATUS_AUX_CTL_REG) +#define SD_RX_STATUS_ACTL_REG (* (reg8 *) SD_BSPIM_RxStsReg__STATUS_AUX_CTL_REG) +#define SD_RX_STATUS_ACTL_PTR ( (reg8 *) SD_BSPIM_RxStsReg__STATUS_AUX_CTL_REG) + +#if(SD_USE_SECOND_DATAPATH) + #define SD_AUX_CONTROLDP1 (SD_AUX_CONTROL_DP1_REG) +#endif /* (SD_USE_SECOND_DATAPATH) */ + + +/*************************************** +* Register Constants +***************************************/ + +/* Status Register Definitions */ +#define SD_STS_SPI_DONE_SHIFT (0x00u) +#define SD_STS_TX_FIFO_EMPTY_SHIFT (0x01u) +#define SD_STS_TX_FIFO_NOT_FULL_SHIFT (0x02u) +#define SD_STS_BYTE_COMPLETE_SHIFT (0x03u) +#define SD_STS_SPI_IDLE_SHIFT (0x04u) +#define SD_STS_RX_FIFO_FULL_SHIFT (0x04u) +#define SD_STS_RX_FIFO_NOT_EMPTY_SHIFT (0x05u) +#define SD_STS_RX_FIFO_OVERRUN_SHIFT (0x06u) + +#define SD_STS_SPI_DONE ((uint8) (0x01u << SD_STS_SPI_DONE_SHIFT)) +#define SD_STS_TX_FIFO_EMPTY ((uint8) (0x01u << SD_STS_TX_FIFO_EMPTY_SHIFT)) +#define SD_STS_TX_FIFO_NOT_FULL ((uint8) (0x01u << SD_STS_TX_FIFO_NOT_FULL_SHIFT)) +#define SD_STS_BYTE_COMPLETE ((uint8) (0x01u << SD_STS_BYTE_COMPLETE_SHIFT)) +#define SD_STS_SPI_IDLE ((uint8) (0x01u << SD_STS_SPI_IDLE_SHIFT)) +#define SD_STS_RX_FIFO_FULL ((uint8) (0x01u << SD_STS_RX_FIFO_FULL_SHIFT)) +#define SD_STS_RX_FIFO_NOT_EMPTY ((uint8) (0x01u << SD_STS_RX_FIFO_NOT_EMPTY_SHIFT)) +#define SD_STS_RX_FIFO_OVERRUN ((uint8) (0x01u << SD_STS_RX_FIFO_OVERRUN_SHIFT)) + +/* TX and RX masks for clear on read bits */ +#define SD_TX_STS_CLR_ON_RD_BYTES_MASK (0x09u) +#define SD_RX_STS_CLR_ON_RD_BYTES_MASK (0x40u) + +/* StatusI Register Interrupt Enable Control Bits */ +/* As defined by the Register map for the AUX Control Register */ +#define SD_INT_ENABLE (0x10u) /* Enable interrupt from statusi */ +#define SD_TX_FIFO_CLR (0x01u) /* F0 - TX FIFO */ +#define SD_RX_FIFO_CLR (0x02u) /* F1 - RX FIFO */ +#define SD_FIFO_CLR (SD_TX_FIFO_CLR | SD_RX_FIFO_CLR) + +/* Bit Counter (7-bit) Control Register Bit Definitions */ +/* As defined by the Register map for the AUX Control Register */ +#define SD_CNTR_ENABLE (0x20u) /* Enable CNT7 */ + +/* Bi-Directional mode control bit */ +#define SD_CTRL_TX_SIGNAL_EN (0x01u) + +/* Datapath Auxillary Control Register definitions */ +#define SD_AUX_CTRL_FIFO0_CLR (0x01u) +#define SD_AUX_CTRL_FIFO1_CLR (0x02u) +#define SD_AUX_CTRL_FIFO0_LVL (0x04u) +#define SD_AUX_CTRL_FIFO1_LVL (0x08u) +#define SD_STATUS_ACTL_INT_EN_MASK (0x10u) + +/* Component disabled */ +#define SD_DISABLED (0u) + + +/*************************************** +* Macros +***************************************/ + +/* Returns true if componentn enabled */ +#define SD_IS_ENABLED (0u != (SD_TX_STATUS_ACTL_REG & SD_INT_ENABLE)) + +/* Retuns TX status register */ +#define SD_GET_STATUS_TX(swTxSts) ( (uint8)(SD_TX_STATUS_REG | \ + ((swTxSts) & SD_TX_STS_CLR_ON_RD_BYTES_MASK)) ) +/* Retuns RX status register */ +#define SD_GET_STATUS_RX(swRxSts) ( (uint8)(SD_RX_STATUS_REG | \ + ((swRxSts) & SD_RX_STS_CLR_ON_RD_BYTES_MASK)) ) + + +/*************************************** +* Obsolete definitions +***************************************/ + +/* Following definitions are for version compatibility. +* They are obsolete in SPIM v2_30. +* Please do not use it in new projects +*/ + +#define SD_WriteByte SD_WriteTxData +#define SD_ReadByte SD_ReadRxData +void SD_SetInterruptMode(uint8 intSrc) ; +uint8 SD_ReadStatus(void) ; +void SD_EnableInt(void) ; +void SD_DisableInt(void) ; + +/* Obsolete register names. Not to be used in new designs */ +#define SD_TXDATA (SD_TXDATA_REG) +#define SD_RXDATA (SD_RXDATA_REG) +#define SD_AUX_CONTROLDP0 (SD_AUX_CONTROL_DP0_REG) +#define SD_TXBUFFERREAD (SD_txBufferRead) +#define SD_TXBUFFERWRITE (SD_txBufferWrite) +#define SD_RXBUFFERREAD (SD_rxBufferRead) +#define SD_RXBUFFERWRITE (SD_rxBufferWrite) + +#define SD_COUNTER_PERIOD (SD_COUNTER_PERIOD_REG) +#define SD_COUNTER_CONTROL (SD_COUNTER_CONTROL_REG) +#define SD_STATUS (SD_TX_STATUS_REG) +#define SD_CONTROL (SD_CONTROL_REG) +#define SD_STATUS_MASK (SD_TX_STATUS_MASK_REG) +#define SD_STATUS_ACTL (SD_TX_STATUS_ACTL_REG) + +#define SD_INIT_INTERRUPTS_MASK (SD_INT_ON_SPI_DONE | \ + SD_INT_ON_TX_EMPTY | \ + SD_INT_ON_TX_NOT_FULL_DEF | \ + SD_INT_ON_RX_FULL | \ + SD_INT_ON_RX_NOT_EMPTY | \ + SD_INT_ON_RX_OVER | \ + SD_INT_ON_BYTE_COMP) + +/* Following definitions are for version Compatibility. +* They are obsolete in SPIM v2_40. +* Please do not use it in new projects +*/ + +#define SD_DataWidth (SD_DATA_WIDTH) +#define SD_InternalClockUsed (SD_INTERNAL_CLOCK) +#define SD_InternalTxInterruptEnabled (SD_INTERNAL_TX_INT_ENABLED) +#define SD_InternalRxInterruptEnabled (SD_INTERNAL_RX_INT_ENABLED) +#define SD_ModeUseZero (SD_MODE_USE_ZERO) +#define SD_BidirectionalMode (SD_BIDIRECTIONAL_MODE) +#define SD_Mode (SD_MODE) +#define SD_DATAWIDHT (SD_DATA_WIDTH) +#define SD_InternalInterruptEnabled (0u) + +#define SD_TXBUFFERSIZE (SD_TX_BUFFER_SIZE) +#define SD_RXBUFFERSIZE (SD_RX_BUFFER_SIZE) + +#define SD_TXBUFFER SD_txBuffer +#define SD_RXBUFFER SD_rxBuffer + +#endif /* (CY_SPIM_SD_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard.c new file mode 100644 index 0000000..3ac6cea --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard.c @@ -0,0 +1,1155 @@ +/******************************************************************************* +* File Name: SDCard.c +* Version 2.40 +* +* Description: +* This file provides all API functionality of the SPI Master component. +* +* Note: +* None. +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "SDCard_PVT.h" + +#if(SDCard_TX_SOFTWARE_BUF_ENABLED) + volatile uint8 SDCard_txBuffer[SDCard_TX_BUFFER_SIZE] = {0u}; + volatile uint8 SDCard_txBufferFull; + volatile uint8 SDCard_txBufferRead; + volatile uint8 SDCard_txBufferWrite; +#endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED) */ + +#if(SDCard_RX_SOFTWARE_BUF_ENABLED) + volatile uint8 SDCard_rxBuffer[SDCard_RX_BUFFER_SIZE] = {0u}; + volatile uint8 SDCard_rxBufferFull; + volatile uint8 SDCard_rxBufferRead; + volatile uint8 SDCard_rxBufferWrite; +#endif /* (SDCard_RX_SOFTWARE_BUF_ENABLED) */ + +uint8 SDCard_initVar = 0u; + +volatile uint8 SDCard_swStatusTx; +volatile uint8 SDCard_swStatusRx; + + +/******************************************************************************* +* Function Name: SDCard_Init +******************************************************************************** +* +* Summary: +* Inits/Restores default SPIM configuration provided with customizer. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Side Effects: +* When this function is called it initializes all of the necessary parameters +* for execution. i.e. setting the initial interrupt mask, configuring the +* interrupt service routine, configuring the bit-counter parameters and +* clearing the FIFO and Status Register. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SDCard_Init(void) +{ + /* Initialize the Bit counter */ + SDCard_COUNTER_PERIOD_REG = SDCard_BITCTR_INIT; + + /* Init TX ISR */ + #if(0u != SDCard_INTERNAL_TX_INT_ENABLED) + CyIntDisable (SDCard_TX_ISR_NUMBER); + CyIntSetPriority (SDCard_TX_ISR_NUMBER, SDCard_TX_ISR_PRIORITY); + (void) CyIntSetVector(SDCard_TX_ISR_NUMBER, &SDCard_TX_ISR); + #endif /* (0u != SDCard_INTERNAL_TX_INT_ENABLED) */ + + /* Init RX ISR */ + #if(0u != SDCard_INTERNAL_RX_INT_ENABLED) + CyIntDisable (SDCard_RX_ISR_NUMBER); + CyIntSetPriority (SDCard_RX_ISR_NUMBER, SDCard_RX_ISR_PRIORITY); + (void) CyIntSetVector(SDCard_RX_ISR_NUMBER, &SDCard_RX_ISR); + #endif /* (0u != SDCard_INTERNAL_RX_INT_ENABLED) */ + + /* Clear any stray data from the RX and TX FIFO */ + SDCard_ClearFIFO(); + + #if(SDCard_RX_SOFTWARE_BUF_ENABLED) + SDCard_rxBufferFull = 0u; + SDCard_rxBufferRead = 0u; + SDCard_rxBufferWrite = 0u; + #endif /* (SDCard_RX_SOFTWARE_BUF_ENABLED) */ + + #if(SDCard_TX_SOFTWARE_BUF_ENABLED) + SDCard_txBufferFull = 0u; + SDCard_txBufferRead = 0u; + SDCard_txBufferWrite = 0u; + #endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED) */ + + (void) SDCard_ReadTxStatus(); /* Clear Tx status and swStatusTx */ + (void) SDCard_ReadRxStatus(); /* Clear Rx status and swStatusRx */ + + /* Configure TX and RX interrupt mask */ + SDCard_TX_STATUS_MASK_REG = SDCard_TX_INIT_INTERRUPTS_MASK; + SDCard_RX_STATUS_MASK_REG = SDCard_RX_INIT_INTERRUPTS_MASK; +} + + +/******************************************************************************* +* Function Name: SDCard_Enable +******************************************************************************** +* +* Summary: +* Enable SPIM component. +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +void SDCard_Enable(void) +{ + uint8 enableInterrupts; + + enableInterrupts = CyEnterCriticalSection(); + SDCard_COUNTER_CONTROL_REG |= SDCard_CNTR_ENABLE; + SDCard_TX_STATUS_ACTL_REG |= SDCard_INT_ENABLE; + SDCard_RX_STATUS_ACTL_REG |= SDCard_INT_ENABLE; + CyExitCriticalSection(enableInterrupts); + + #if(0u != SDCard_INTERNAL_CLOCK) + SDCard_IntClock_Enable(); + #endif /* (0u != SDCard_INTERNAL_CLOCK) */ + + SDCard_EnableTxInt(); + SDCard_EnableRxInt(); +} + + +/******************************************************************************* +* Function Name: SDCard_Start +******************************************************************************** +* +* Summary: +* Initialize and Enable the SPI Master component. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* SDCard_initVar - used to check initial configuration, modified on +* first function call. +* +* Theory: +* Enable the clock input to enable operation. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SDCard_Start(void) +{ + if(0u == SDCard_initVar) + { + SDCard_Init(); + SDCard_initVar = 1u; + } + + SDCard_Enable(); +} + + +/******************************************************************************* +* Function Name: SDCard_Stop +******************************************************************************** +* +* Summary: +* Disable the SPI Master component. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Disable the clock input to enable operation. +* +*******************************************************************************/ +void SDCard_Stop(void) +{ + uint8 enableInterrupts; + + enableInterrupts = CyEnterCriticalSection(); + SDCard_TX_STATUS_ACTL_REG &= ((uint8) ~SDCard_INT_ENABLE); + SDCard_RX_STATUS_ACTL_REG &= ((uint8) ~SDCard_INT_ENABLE); + CyExitCriticalSection(enableInterrupts); + + #if(0u != SDCard_INTERNAL_CLOCK) + SDCard_IntClock_Disable(); + #endif /* (0u != SDCard_INTERNAL_CLOCK) */ + + SDCard_DisableTxInt(); + SDCard_DisableRxInt(); +} + + +/******************************************************************************* +* Function Name: SDCard_EnableTxInt +******************************************************************************** +* +* Summary: +* Enable internal Tx interrupt generation. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Enable the internal Tx interrupt output -or- the interrupt component itself. +* +*******************************************************************************/ +void SDCard_EnableTxInt(void) +{ + #if(0u != SDCard_INTERNAL_TX_INT_ENABLED) + CyIntEnable(SDCard_TX_ISR_NUMBER); + #endif /* (0u != SDCard_INTERNAL_TX_INT_ENABLED) */ +} + + +/******************************************************************************* +* Function Name: SDCard_EnableRxInt +******************************************************************************** +* +* Summary: +* Enable internal Rx interrupt generation. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Enable the internal Rx interrupt output -or- the interrupt component itself. +* +*******************************************************************************/ +void SDCard_EnableRxInt(void) +{ + #if(0u != SDCard_INTERNAL_RX_INT_ENABLED) + CyIntEnable(SDCard_RX_ISR_NUMBER); + #endif /* (0u != SDCard_INTERNAL_RX_INT_ENABLED) */ +} + + +/******************************************************************************* +* Function Name: SDCard_DisableTxInt +******************************************************************************** +* +* Summary: +* Disable internal Tx interrupt generation. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Disable the internal Tx interrupt output -or- the interrupt component itself. +* +*******************************************************************************/ +void SDCard_DisableTxInt(void) +{ + #if(0u != SDCard_INTERNAL_TX_INT_ENABLED) + CyIntDisable(SDCard_TX_ISR_NUMBER); + #endif /* (0u != SDCard_INTERNAL_TX_INT_ENABLED) */ +} + + +/******************************************************************************* +* Function Name: SDCard_DisableRxInt +******************************************************************************** +* +* Summary: +* Disable internal Rx interrupt generation. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Disable the internal Rx interrupt output -or- the interrupt component itself. +* +*******************************************************************************/ +void SDCard_DisableRxInt(void) +{ + #if(0u != SDCard_INTERNAL_RX_INT_ENABLED) + CyIntDisable(SDCard_RX_ISR_NUMBER); + #endif /* (0u != SDCard_INTERNAL_RX_INT_ENABLED) */ +} + + +/******************************************************************************* +* Function Name: SDCard_SetTxInterruptMode +******************************************************************************** +* +* Summary: +* Configure which status bits trigger an interrupt event. +* +* Parameters: +* intSrc: An or'd combination of the desired status bit masks (defined in the +* header file). +* +* Return: +* None. +* +* Theory: +* Enables the output of specific status bits to the interrupt controller. +* +*******************************************************************************/ +void SDCard_SetTxInterruptMode(uint8 intSrc) +{ + SDCard_TX_STATUS_MASK_REG = intSrc; +} + + +/******************************************************************************* +* Function Name: SDCard_SetRxInterruptMode +******************************************************************************** +* +* Summary: +* Configure which status bits trigger an interrupt event. +* +* Parameters: +* intSrc: An or'd combination of the desired status bit masks (defined in the +* header file). +* +* Return: +* None. +* +* Theory: +* Enables the output of specific status bits to the interrupt controller. +* +*******************************************************************************/ +void SDCard_SetRxInterruptMode(uint8 intSrc) +{ + SDCard_RX_STATUS_MASK_REG = intSrc; +} + + +/******************************************************************************* +* Function Name: SDCard_ReadTxStatus +******************************************************************************** +* +* Summary: +* Read the Tx status register for the component. +* +* Parameters: +* None. +* +* Return: +* Contents of the Tx status register. +* +* Global variables: +* SDCard_swStatusTx - used to store in software status register, +* modified every function call - resets to zero. +* +* Theory: +* Allows the user and the API to read the Tx status register for error +* detection and flow control. +* +* Side Effects: +* Clear Tx status register of the component. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 SDCard_ReadTxStatus(void) +{ + uint8 tmpStatus; + + #if(SDCard_TX_SOFTWARE_BUF_ENABLED) + /* Disable TX interrupt to protect global veriables */ + SDCard_DisableTxInt(); + + tmpStatus = SDCard_GET_STATUS_TX(SDCard_swStatusTx); + SDCard_swStatusTx = 0u; + + SDCard_EnableTxInt(); + + #else + + tmpStatus = SDCard_TX_STATUS_REG; + + #endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED) */ + + return(tmpStatus); +} + + +/******************************************************************************* +* Function Name: SDCard_ReadRxStatus +******************************************************************************** +* +* Summary: +* Read the Rx status register for the component. +* +* Parameters: +* None. +* +* Return: +* Contents of the Rx status register. +* +* Global variables: +* SDCard_swStatusRx - used to store in software Rx status register, +* modified every function call - resets to zero. +* +* Theory: +* Allows the user and the API to read the Rx status register for error +* detection and flow control. +* +* Side Effects: +* Clear Rx status register of the component. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 SDCard_ReadRxStatus(void) +{ + uint8 tmpStatus; + + #if(SDCard_RX_SOFTWARE_BUF_ENABLED) + /* Disable RX interrupt to protect global veriables */ + SDCard_DisableRxInt(); + + tmpStatus = SDCard_GET_STATUS_RX(SDCard_swStatusRx); + SDCard_swStatusRx = 0u; + + SDCard_EnableRxInt(); + + #else + + tmpStatus = SDCard_RX_STATUS_REG; + + #endif /* (SDCard_RX_SOFTWARE_BUF_ENABLED) */ + + return(tmpStatus); +} + + +/******************************************************************************* +* Function Name: SDCard_WriteTxData +******************************************************************************** +* +* Summary: +* Write a byte of data to be sent across the SPI. +* +* Parameters: +* txDataByte: The data value to send across the SPI. +* +* Return: +* None. +* +* Global variables: +* SDCard_txBufferWrite - used for the account of the bytes which +* have been written down in the TX software buffer, modified every function +* call if TX Software Buffer is used. +* SDCard_txBufferRead - used for the account of the bytes which +* have been read from the TX software buffer. +* SDCard_txBuffer[SDCard_TX_BUFFER_SIZE] - used to store +* data to sending, modified every function call if TX Software Buffer is used. +* +* Theory: +* Allows the user to transmit any byte of data in a single transfer. +* +* Side Effects: +* If this function is called again before the previous byte is finished then +* the next byte will be appended to the transfer with no time between +* the byte transfers. Clear Tx status register of the component. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SDCard_WriteTxData(uint8 txData) +{ + #if(SDCard_TX_SOFTWARE_BUF_ENABLED) + + uint8 tempStatus; + uint8 tmpTxBufferRead; + + /* Block if TX buffer is FULL: don't overwrite */ + do + { + tmpTxBufferRead = SDCard_txBufferRead; + if(0u == tmpTxBufferRead) + { + tmpTxBufferRead = (SDCard_TX_BUFFER_SIZE - 1u); + } + else + { + tmpTxBufferRead--; + } + + }while(tmpTxBufferRead == SDCard_txBufferWrite); + + /* Disable TX interrupt to protect global veriables */ + SDCard_DisableTxInt(); + + tempStatus = SDCard_GET_STATUS_TX(SDCard_swStatusTx); + SDCard_swStatusTx = tempStatus; + + + if((SDCard_txBufferRead == SDCard_txBufferWrite) && + (0u != (SDCard_swStatusTx & SDCard_STS_TX_FIFO_NOT_FULL))) + { + /* Add directly to the TX FIFO */ + CY_SET_REG8(SDCard_TXDATA_PTR, txData); + } + else + { + /* Add to the TX software buffer */ + SDCard_txBufferWrite++; + if(SDCard_txBufferWrite >= SDCard_TX_BUFFER_SIZE) + { + SDCard_txBufferWrite = 0u; + } + + if(SDCard_txBufferWrite == SDCard_txBufferRead) + { + SDCard_txBufferRead++; + if(SDCard_txBufferRead >= SDCard_TX_BUFFER_SIZE) + { + SDCard_txBufferRead = 0u; + } + SDCard_txBufferFull = 1u; + } + + SDCard_txBuffer[SDCard_txBufferWrite] = txData; + + SDCard_TX_STATUS_MASK_REG |= SDCard_STS_TX_FIFO_NOT_FULL; + } + + SDCard_EnableTxInt(); + + #else + + while(0u == (SDCard_TX_STATUS_REG & SDCard_STS_TX_FIFO_NOT_FULL)) + { + ; /* Wait for room in FIFO */ + } + + /* Put byte in TX FIFO */ + CY_SET_REG8(SDCard_TXDATA_PTR, txData); + + #endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED) */ +} + + +/******************************************************************************* +* Function Name: SDCard_ReadRxData +******************************************************************************** +* +* Summary: +* Read the next byte of data received across the SPI. +* +* Parameters: +* None. +* +* Return: +* The next byte of data read from the FIFO. +* +* Global variables: +* SDCard_rxBufferWrite - used for the account of the bytes which +* have been written down in the RX software buffer. +* SDCard_rxBufferRead - used for the account of the bytes which +* have been read from the RX software buffer, modified every function +* call if RX Software Buffer is used. +* SDCard_rxBuffer[SDCard_RX_BUFFER_SIZE] - used to store +* received data. +* +* Theory: +* Allows the user to read a byte of data received. +* +* Side Effects: +* Will return invalid data if the FIFO is empty. The user should Call +* GetRxBufferSize() and if it returns a non-zero value then it is safe to call +* ReadByte() function. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 SDCard_ReadRxData(void) +{ + uint8 rxData; + + #if(SDCard_RX_SOFTWARE_BUF_ENABLED) + + /* Disable RX interrupt to protect global veriables */ + SDCard_DisableRxInt(); + + if(SDCard_rxBufferRead != SDCard_rxBufferWrite) + { + if(0u == SDCard_rxBufferFull) + { + SDCard_rxBufferRead++; + if(SDCard_rxBufferRead >= SDCard_RX_BUFFER_SIZE) + { + SDCard_rxBufferRead = 0u; + } + } + else + { + SDCard_rxBufferFull = 0u; + } + } + + rxData = SDCard_rxBuffer[SDCard_rxBufferRead]; + + SDCard_EnableRxInt(); + + #else + + rxData = CY_GET_REG8(SDCard_RXDATA_PTR); + + #endif /* (SDCard_RX_SOFTWARE_BUF_ENABLED) */ + + return(rxData); +} + + +/******************************************************************************* +* Function Name: SDCard_GetRxBufferSize +******************************************************************************** +* +* Summary: +* Returns the number of bytes/words of data currently held in the RX buffer. +* If RX Software Buffer not used then function return 0 if FIFO empty or 1 if +* FIFO not empty. In another case function return size of RX Software Buffer. +* +* Parameters: +* None. +* +* Return: +* Integer count of the number of bytes/words in the RX buffer. +* +* Global variables: +* SDCard_rxBufferWrite - used for the account of the bytes which +* have been written down in the RX software buffer. +* SDCard_rxBufferRead - used for the account of the bytes which +* have been read from the RX software buffer. +* +* Side Effects: +* Clear status register of the component. +* +*******************************************************************************/ +uint8 SDCard_GetRxBufferSize(void) +{ + uint8 size; + + #if(SDCard_RX_SOFTWARE_BUF_ENABLED) + + /* Disable RX interrupt to protect global veriables */ + SDCard_DisableRxInt(); + + if(SDCard_rxBufferRead == SDCard_rxBufferWrite) + { + size = 0u; + } + else if(SDCard_rxBufferRead < SDCard_rxBufferWrite) + { + size = (SDCard_rxBufferWrite - SDCard_rxBufferRead); + } + else + { + size = (SDCard_RX_BUFFER_SIZE - SDCard_rxBufferRead) + SDCard_rxBufferWrite; + } + + SDCard_EnableRxInt(); + + #else + + /* We can only know if there is data in the RX FIFO */ + size = (0u != (SDCard_RX_STATUS_REG & SDCard_STS_RX_FIFO_NOT_EMPTY)) ? 1u : 0u; + + #endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED) */ + + return(size); +} + + +/******************************************************************************* +* Function Name: SDCard_GetTxBufferSize +******************************************************************************** +* +* Summary: +* Returns the number of bytes/words of data currently held in the TX buffer. +* If TX Software Buffer not used then function return 0 - if FIFO empty, 1 - if +* FIFO not full, 4 - if FIFO full. In another case function return size of TX +* Software Buffer. +* +* Parameters: +* None. +* +* Return: +* Integer count of the number of bytes/words in the TX buffer. +* +* Global variables: +* SDCard_txBufferWrite - used for the account of the bytes which +* have been written down in the TX software buffer. +* SDCard_txBufferRead - used for the account of the bytes which +* have been read from the TX software buffer. +* +* Side Effects: +* Clear status register of the component. +* +*******************************************************************************/ +uint8 SDCard_GetTxBufferSize(void) +{ + uint8 size; + + #if(SDCard_TX_SOFTWARE_BUF_ENABLED) + /* Disable TX interrupt to protect global veriables */ + SDCard_DisableTxInt(); + + if(SDCard_txBufferRead == SDCard_txBufferWrite) + { + size = 0u; + } + else if(SDCard_txBufferRead < SDCard_txBufferWrite) + { + size = (SDCard_txBufferWrite - SDCard_txBufferRead); + } + else + { + size = (SDCard_TX_BUFFER_SIZE - SDCard_txBufferRead) + SDCard_txBufferWrite; + } + + SDCard_EnableTxInt(); + + #else + + size = SDCard_TX_STATUS_REG; + + if(0u != (size & SDCard_STS_TX_FIFO_EMPTY)) + { + size = 0u; + } + else if(0u != (size & SDCard_STS_TX_FIFO_NOT_FULL)) + { + size = 1u; + } + else + { + size = SDCard_FIFO_SIZE; + } + + #endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED) */ + + return(size); +} + + +/******************************************************************************* +* Function Name: SDCard_ClearRxBuffer +******************************************************************************** +* +* Summary: +* Clear the RX RAM buffer by setting the read and write pointers both to zero. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* SDCard_rxBufferWrite - used for the account of the bytes which +* have been written down in the RX software buffer, modified every function +* call - resets to zero. +* SDCard_rxBufferRead - used for the account of the bytes which +* have been read from the RX software buffer, modified every function call - +* resets to zero. +* +* Theory: +* Setting the pointers to zero makes the system believe there is no data to +* read and writing will resume at address 0 overwriting any data that may have +* remained in the RAM. +* +* Side Effects: +* Any received data not read from the RAM buffer will be lost when overwritten. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SDCard_ClearRxBuffer(void) +{ + /* Clear Hardware RX FIFO */ + while(0u !=(SDCard_RX_STATUS_REG & SDCard_STS_RX_FIFO_NOT_EMPTY)) + { + (void) CY_GET_REG8(SDCard_RXDATA_PTR); + } + + #if(SDCard_RX_SOFTWARE_BUF_ENABLED) + /* Disable RX interrupt to protect global veriables */ + SDCard_DisableRxInt(); + + SDCard_rxBufferFull = 0u; + SDCard_rxBufferRead = 0u; + SDCard_rxBufferWrite = 0u; + + SDCard_EnableRxInt(); + #endif /* (SDCard_RX_SOFTWARE_BUF_ENABLED) */ +} + + +/******************************************************************************* +* Function Name: SDCard_ClearTxBuffer +******************************************************************************** +* +* Summary: +* Clear the TX RAM buffer by setting the read and write pointers both to zero. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* SDCard_txBufferWrite - used for the account of the bytes which +* have been written down in the TX software buffer, modified every function +* call - resets to zero. +* SDCard_txBufferRead - used for the account of the bytes which +* have been read from the TX software buffer, modified every function call - +* resets to zero. +* +* Theory: +* Setting the pointers to zero makes the system believe there is no data to +* read and writing will resume at address 0 overwriting any data that may have +* remained in the RAM. +* +* Side Effects: +* Any data not yet transmitted from the RAM buffer will be lost when +* overwritten. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SDCard_ClearTxBuffer(void) +{ + uint8 enableInterrupts; + + enableInterrupts = CyEnterCriticalSection(); + /* Clear TX FIFO */ + SDCard_AUX_CONTROL_DP0_REG |= ((uint8) SDCard_TX_FIFO_CLR); + SDCard_AUX_CONTROL_DP0_REG &= ((uint8) ~SDCard_TX_FIFO_CLR); + + #if(SDCard_USE_SECOND_DATAPATH) + /* Clear TX FIFO for 2nd Datapath */ + SDCard_AUX_CONTROL_DP1_REG |= ((uint8) SDCard_TX_FIFO_CLR); + SDCard_AUX_CONTROL_DP1_REG &= ((uint8) ~SDCard_TX_FIFO_CLR); + #endif /* (SDCard_USE_SECOND_DATAPATH) */ + CyExitCriticalSection(enableInterrupts); + + #if(SDCard_TX_SOFTWARE_BUF_ENABLED) + /* Disable TX interrupt to protect global veriables */ + SDCard_DisableTxInt(); + + SDCard_txBufferFull = 0u; + SDCard_txBufferRead = 0u; + SDCard_txBufferWrite = 0u; + + /* Buffer is EMPTY: disable TX FIFO NOT FULL interrupt */ + SDCard_TX_STATUS_MASK_REG &= ((uint8) ~SDCard_STS_TX_FIFO_NOT_FULL); + + SDCard_EnableTxInt(); + #endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED) */ +} + + +#if(0u != SDCard_BIDIRECTIONAL_MODE) + /******************************************************************************* + * Function Name: SDCard_TxEnable + ******************************************************************************** + * + * Summary: + * If the SPI master is configured to use a single bi-directional pin then this + * will set the bi-directional pin to transmit. + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + void SDCard_TxEnable(void) + { + SDCard_CONTROL_REG |= SDCard_CTRL_TX_SIGNAL_EN; + } + + + /******************************************************************************* + * Function Name: SDCard_TxDisable + ******************************************************************************** + * + * Summary: + * If the SPI master is configured to use a single bi-directional pin then this + * will set the bi-directional pin to receive. + * + * Parameters: + * None. + * + * Return: + * None. + * + *******************************************************************************/ + void SDCard_TxDisable(void) + { + SDCard_CONTROL_REG &= ((uint8) ~SDCard_CTRL_TX_SIGNAL_EN); + } + +#endif /* (0u != SDCard_BIDIRECTIONAL_MODE) */ + + +/******************************************************************************* +* Function Name: SDCard_PutArray +******************************************************************************** +* +* Summary: +* Write available data from ROM/RAM to the TX buffer while space is available +* in the TX buffer. Keep trying until all data is passed to the TX buffer. +* +* Parameters: +* *buffer: Pointer to the location in RAM containing the data to send +* byteCount: The number of bytes to move to the transmit buffer. +* +* Return: +* None. +* +* Side Effects: +* Will stay in this routine until all data has been sent. May get locked in +* this loop if data is not being initiated by the master if there is not +* enough room in the TX FIFO. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SDCard_PutArray(const uint8 buffer[], uint8 byteCount) + +{ + uint8 bufIndex; + + bufIndex = 0u; + + while(byteCount > 0u) + { + SDCard_WriteTxData(buffer[bufIndex]); + bufIndex++; + byteCount--; + } +} + + +/******************************************************************************* +* Function Name: SDCard_ClearFIFO +******************************************************************************** +* +* Summary: +* Clear the RX and TX FIFO's of all data for a fresh start. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Side Effects: +* Clear status register of the component. +* +*******************************************************************************/ +void SDCard_ClearFIFO(void) +{ + uint8 enableInterrupts; + + /* Clear Hardware RX FIFO */ + while(0u !=(SDCard_RX_STATUS_REG & SDCard_STS_RX_FIFO_NOT_EMPTY)) + { + (void) CY_GET_REG8(SDCard_RXDATA_PTR); + } + + enableInterrupts = CyEnterCriticalSection(); + /* Clear TX FIFO */ + SDCard_AUX_CONTROL_DP0_REG |= ((uint8) SDCard_TX_FIFO_CLR); + SDCard_AUX_CONTROL_DP0_REG &= ((uint8) ~SDCard_TX_FIFO_CLR); + + #if(SDCard_USE_SECOND_DATAPATH) + /* Clear TX FIFO for 2nd Datapath */ + SDCard_AUX_CONTROL_DP1_REG |= ((uint8) SDCard_TX_FIFO_CLR); + SDCard_AUX_CONTROL_DP1_REG &= ((uint8) ~SDCard_TX_FIFO_CLR); + #endif /* (SDCard_USE_SECOND_DATAPATH) */ + CyExitCriticalSection(enableInterrupts); +} + + +/* Following functions are for version Compatibility, they are obsolete. +* Please do not use it in new projects. +*/ + + +/******************************************************************************* +* Function Name: SDCard_EnableInt +******************************************************************************** +* +* Summary: +* Enable internal interrupt generation. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Enable the internal interrupt output -or- the interrupt component itself. +* +*******************************************************************************/ +void SDCard_EnableInt(void) +{ + SDCard_EnableRxInt(); + SDCard_EnableTxInt(); +} + + +/******************************************************************************* +* Function Name: SDCard_DisableInt +******************************************************************************** +* +* Summary: +* Disable internal interrupt generation. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Theory: +* Disable the internal interrupt output -or- the interrupt component itself. +* +*******************************************************************************/ +void SDCard_DisableInt(void) +{ + SDCard_DisableTxInt(); + SDCard_DisableRxInt(); +} + + +/******************************************************************************* +* Function Name: SDCard_SetInterruptMode +******************************************************************************** +* +* Summary: +* Configure which status bits trigger an interrupt event. +* +* Parameters: +* intSrc: An or'd combination of the desired status bit masks (defined in the +* header file). +* +* Return: +* None. +* +* Theory: +* Enables the output of specific status bits to the interrupt controller. +* +*******************************************************************************/ +void SDCard_SetInterruptMode(uint8 intSrc) +{ + SDCard_TX_STATUS_MASK_REG = (intSrc & ((uint8) ~SDCard_STS_SPI_IDLE)); + SDCard_RX_STATUS_MASK_REG = intSrc; +} + + +/******************************************************************************* +* Function Name: SDCard_ReadStatus +******************************************************************************** +* +* Summary: +* Read the status register for the component. +* +* Parameters: +* None. +* +* Return: +* Contents of the status register. +* +* Global variables: +* SDCard_swStatus - used to store in software status register, +* modified every function call - resets to zero. +* +* Theory: +* Allows the user and the API to read the status register for error detection +* and flow control. +* +* Side Effects: +* Clear status register of the component. +* +* Reentrant: +* No. +* +*******************************************************************************/ +uint8 SDCard_ReadStatus(void) +{ + uint8 tmpStatus; + + #if(SDCard_TX_SOFTWARE_BUF_ENABLED || SDCard_RX_SOFTWARE_BUF_ENABLED) + + SDCard_DisableInt(); + + tmpStatus = SDCard_GET_STATUS_RX(SDCard_swStatusRx); + tmpStatus |= SDCard_GET_STATUS_TX(SDCard_swStatusTx); + tmpStatus &= ((uint8) ~SDCard_STS_SPI_IDLE); + + SDCard_swStatusTx = 0u; + SDCard_swStatusRx = 0u; + + SDCard_EnableInt(); + + #else + + tmpStatus = SDCard_RX_STATUS_REG; + tmpStatus |= SDCard_TX_STATUS_REG; + tmpStatus &= ((uint8) ~SDCard_STS_SPI_IDLE); + + #endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED || SDCard_RX_SOFTWARE_BUF_ENABLED) */ + + return(tmpStatus); +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard.h new file mode 100644 index 0000000..1c1f875 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard.h @@ -0,0 +1,389 @@ +/******************************************************************************* +* File Name: SDCard.h +* Version 2.40 +* +* Description: +* Contains the function prototypes, constants and register definition +* of the SPI Master Component. +* +* Note: +* None +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_SPIM_SDCard_H) +#define CY_SPIM_SDCard_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "CyLib.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component SPI_Master_v2_40 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + + +/*************************************** +* Conditional Compilation Parameters +***************************************/ + +#define SDCard_INTERNAL_CLOCK (0u) + +#if(0u != SDCard_INTERNAL_CLOCK) + #include "SDCard_IntClock.h" +#endif /* (0u != SDCard_INTERNAL_CLOCK) */ + +#define SDCard_MODE (1u) +#define SDCard_DATA_WIDTH (8u) +#define SDCard_MODE_USE_ZERO (1u) +#define SDCard_BIDIRECTIONAL_MODE (0u) + +/* Internal interrupt handling */ +#define SDCard_TX_BUFFER_SIZE (4u) +#define SDCard_RX_BUFFER_SIZE (4u) +#define SDCard_INTERNAL_TX_INT_ENABLED (1u) +#define SDCard_INTERNAL_RX_INT_ENABLED (1u) + +#define SDCard_SINGLE_REG_SIZE (8u) +#define SDCard_USE_SECOND_DATAPATH (SDCard_DATA_WIDTH > SDCard_SINGLE_REG_SIZE) + +#define SDCard_FIFO_SIZE (4u) +#define SDCard_TX_SOFTWARE_BUF_ENABLED ((0u != SDCard_INTERNAL_TX_INT_ENABLED) && \ + (SDCard_TX_BUFFER_SIZE > SDCard_FIFO_SIZE)) + +#define SDCard_RX_SOFTWARE_BUF_ENABLED ((0u != SDCard_INTERNAL_RX_INT_ENABLED) && \ + (SDCard_RX_BUFFER_SIZE > SDCard_FIFO_SIZE)) + + +/*************************************** +* Data Struct Definition +***************************************/ + +/* Sleep Mode API Support */ +typedef struct +{ + uint8 enableState; + uint8 cntrPeriod; + #if(CY_UDB_V0) + uint8 saveSrTxIntMask; + uint8 saveSrRxIntMask; + #endif /* (CY_UDB_V0) */ + +} SDCard_BACKUP_STRUCT; + + +/*************************************** +* Function Prototypes +***************************************/ + +void SDCard_Init(void) ; +void SDCard_Enable(void) ; +void SDCard_Start(void) ; +void SDCard_Stop(void) ; + +void SDCard_EnableTxInt(void) ; +void SDCard_EnableRxInt(void) ; +void SDCard_DisableTxInt(void) ; +void SDCard_DisableRxInt(void) ; + +void SDCard_Sleep(void) ; +void SDCard_Wakeup(void) ; +void SDCard_SaveConfig(void) ; +void SDCard_RestoreConfig(void) ; + +void SDCard_SetTxInterruptMode(uint8 intSrc) ; +void SDCard_SetRxInterruptMode(uint8 intSrc) ; +uint8 SDCard_ReadTxStatus(void) ; +uint8 SDCard_ReadRxStatus(void) ; +void SDCard_WriteTxData(uint8 txData) \ + ; +uint8 SDCard_ReadRxData(void) \ + ; +uint8 SDCard_GetRxBufferSize(void) ; +uint8 SDCard_GetTxBufferSize(void) ; +void SDCard_ClearRxBuffer(void) ; +void SDCard_ClearTxBuffer(void) ; +void SDCard_ClearFIFO(void) ; +void SDCard_PutArray(const uint8 buffer[], uint8 byteCount) \ + ; + +#if(0u != SDCard_BIDIRECTIONAL_MODE) + void SDCard_TxEnable(void) ; + void SDCard_TxDisable(void) ; +#endif /* (0u != SDCard_BIDIRECTIONAL_MODE) */ + +CY_ISR_PROTO(SDCard_TX_ISR); +CY_ISR_PROTO(SDCard_RX_ISR); + + +/********************************** +* Variable with external linkage +**********************************/ + +extern uint8 SDCard_initVar; + + +/*************************************** +* API Constants +***************************************/ + +#define SDCard_TX_ISR_NUMBER ((uint8) (SDCard_TxInternalInterrupt__INTC_NUMBER)) +#define SDCard_RX_ISR_NUMBER ((uint8) (SDCard_RxInternalInterrupt__INTC_NUMBER)) + +#define SDCard_TX_ISR_PRIORITY ((uint8) (SDCard_TxInternalInterrupt__INTC_PRIOR_NUM)) +#define SDCard_RX_ISR_PRIORITY ((uint8) (SDCard_RxInternalInterrupt__INTC_PRIOR_NUM)) + + +/*************************************** +* Initial Parameter Constants +***************************************/ + +#define SDCard_INT_ON_SPI_DONE ((uint8) (0u << SDCard_STS_SPI_DONE_SHIFT)) +#define SDCard_INT_ON_TX_EMPTY ((uint8) (0u << SDCard_STS_TX_FIFO_EMPTY_SHIFT)) +#define SDCard_INT_ON_TX_NOT_FULL ((uint8) (0u << \ + SDCard_STS_TX_FIFO_NOT_FULL_SHIFT)) +#define SDCard_INT_ON_BYTE_COMP ((uint8) (0u << SDCard_STS_BYTE_COMPLETE_SHIFT)) +#define SDCard_INT_ON_SPI_IDLE ((uint8) (0u << SDCard_STS_SPI_IDLE_SHIFT)) + +/* Disable TX_NOT_FULL if software buffer is used */ +#define SDCard_INT_ON_TX_NOT_FULL_DEF ((SDCard_TX_SOFTWARE_BUF_ENABLED) ? \ + (0u) : (SDCard_INT_ON_TX_NOT_FULL)) + +/* TX interrupt mask */ +#define SDCard_TX_INIT_INTERRUPTS_MASK (SDCard_INT_ON_SPI_DONE | \ + SDCard_INT_ON_TX_EMPTY | \ + SDCard_INT_ON_TX_NOT_FULL_DEF | \ + SDCard_INT_ON_BYTE_COMP | \ + SDCard_INT_ON_SPI_IDLE) + +#define SDCard_INT_ON_RX_FULL ((uint8) (0u << \ + SDCard_STS_RX_FIFO_FULL_SHIFT)) +#define SDCard_INT_ON_RX_NOT_EMPTY ((uint8) (0u << \ + SDCard_STS_RX_FIFO_NOT_EMPTY_SHIFT)) +#define SDCard_INT_ON_RX_OVER ((uint8) (0u << \ + SDCard_STS_RX_FIFO_OVERRUN_SHIFT)) + +/* RX interrupt mask */ +#define SDCard_RX_INIT_INTERRUPTS_MASK (SDCard_INT_ON_RX_FULL | \ + SDCard_INT_ON_RX_NOT_EMPTY | \ + SDCard_INT_ON_RX_OVER) +/* Nubmer of bits to receive/transmit */ +#define SDCard_BITCTR_INIT (((uint8) (SDCard_DATA_WIDTH << 1u)) - 1u) + + +/*************************************** +* Registers +***************************************/ + +#if(CY_PSOC3 || CY_PSOC5) + #define SDCard_TXDATA_REG (* (reg8 *) \ + SDCard_BSPIM_sR8_Dp_u0__F0_REG) + #define SDCard_TXDATA_PTR ( (reg8 *) \ + SDCard_BSPIM_sR8_Dp_u0__F0_REG) + #define SDCard_RXDATA_REG (* (reg8 *) \ + SDCard_BSPIM_sR8_Dp_u0__F1_REG) + #define SDCard_RXDATA_PTR ( (reg8 *) \ + SDCard_BSPIM_sR8_Dp_u0__F1_REG) +#else /* PSOC4 */ + #if(SDCard_USE_SECOND_DATAPATH) + #define SDCard_TXDATA_REG (* (reg16 *) \ + SDCard_BSPIM_sR8_Dp_u0__16BIT_F0_REG) + #define SDCard_TXDATA_PTR ( (reg16 *) \ + SDCard_BSPIM_sR8_Dp_u0__16BIT_F0_REG) + #define SDCard_RXDATA_REG (* (reg16 *) \ + SDCard_BSPIM_sR8_Dp_u0__16BIT_F1_REG) + #define SDCard_RXDATA_PTR ( (reg16 *) \ + SDCard_BSPIM_sR8_Dp_u0__16BIT_F1_REG) + #else + #define SDCard_TXDATA_REG (* (reg8 *) \ + SDCard_BSPIM_sR8_Dp_u0__F0_REG) + #define SDCard_TXDATA_PTR ( (reg8 *) \ + SDCard_BSPIM_sR8_Dp_u0__F0_REG) + #define SDCard_RXDATA_REG (* (reg8 *) \ + SDCard_BSPIM_sR8_Dp_u0__F1_REG) + #define SDCard_RXDATA_PTR ( (reg8 *) \ + SDCard_BSPIM_sR8_Dp_u0__F1_REG) + #endif /* (SDCard_USE_SECOND_DATAPATH) */ +#endif /* (CY_PSOC3 || CY_PSOC5) */ + +#define SDCard_AUX_CONTROL_DP0_REG (* (reg8 *) \ + SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG) +#define SDCard_AUX_CONTROL_DP0_PTR ( (reg8 *) \ + SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG) + +#if(SDCard_USE_SECOND_DATAPATH) + #define SDCard_AUX_CONTROL_DP1_REG (* (reg8 *) \ + SDCard_BSPIM_sR8_Dp_u1__DP_AUX_CTL_REG) + #define SDCard_AUX_CONTROL_DP1_PTR ( (reg8 *) \ + SDCard_BSPIM_sR8_Dp_u1__DP_AUX_CTL_REG) +#endif /* (SDCard_USE_SECOND_DATAPATH) */ + +#define SDCard_COUNTER_PERIOD_REG (* (reg8 *) SDCard_BSPIM_BitCounter__PERIOD_REG) +#define SDCard_COUNTER_PERIOD_PTR ( (reg8 *) SDCard_BSPIM_BitCounter__PERIOD_REG) +#define SDCard_COUNTER_CONTROL_REG (* (reg8 *) SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG) +#define SDCard_COUNTER_CONTROL_PTR ( (reg8 *) SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG) + +#define SDCard_TX_STATUS_REG (* (reg8 *) SDCard_BSPIM_TxStsReg__STATUS_REG) +#define SDCard_TX_STATUS_PTR ( (reg8 *) SDCard_BSPIM_TxStsReg__STATUS_REG) +#define SDCard_RX_STATUS_REG (* (reg8 *) SDCard_BSPIM_RxStsReg__STATUS_REG) +#define SDCard_RX_STATUS_PTR ( (reg8 *) SDCard_BSPIM_RxStsReg__STATUS_REG) + +#define SDCard_CONTROL_REG (* (reg8 *) \ + SDCard_BSPIM_BidirMode_SyncCtl_CtrlReg__CONTROL_REG) +#define SDCard_CONTROL_PTR ( (reg8 *) \ + SDCard_BSPIM_BidirMode_SyncCtl_CtrlReg__CONTROL_REG) + +#define SDCard_TX_STATUS_MASK_REG (* (reg8 *) SDCard_BSPIM_TxStsReg__MASK_REG) +#define SDCard_TX_STATUS_MASK_PTR ( (reg8 *) SDCard_BSPIM_TxStsReg__MASK_REG) +#define SDCard_RX_STATUS_MASK_REG (* (reg8 *) SDCard_BSPIM_RxStsReg__MASK_REG) +#define SDCard_RX_STATUS_MASK_PTR ( (reg8 *) SDCard_BSPIM_RxStsReg__MASK_REG) + +#define SDCard_TX_STATUS_ACTL_REG (* (reg8 *) SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG) +#define SDCard_TX_STATUS_ACTL_PTR ( (reg8 *) SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG) +#define SDCard_RX_STATUS_ACTL_REG (* (reg8 *) SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG) +#define SDCard_RX_STATUS_ACTL_PTR ( (reg8 *) SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG) + +#if(SDCard_USE_SECOND_DATAPATH) + #define SDCard_AUX_CONTROLDP1 (SDCard_AUX_CONTROL_DP1_REG) +#endif /* (SDCard_USE_SECOND_DATAPATH) */ + + +/*************************************** +* Register Constants +***************************************/ + +/* Status Register Definitions */ +#define SDCard_STS_SPI_DONE_SHIFT (0x00u) +#define SDCard_STS_TX_FIFO_EMPTY_SHIFT (0x01u) +#define SDCard_STS_TX_FIFO_NOT_FULL_SHIFT (0x02u) +#define SDCard_STS_BYTE_COMPLETE_SHIFT (0x03u) +#define SDCard_STS_SPI_IDLE_SHIFT (0x04u) +#define SDCard_STS_RX_FIFO_FULL_SHIFT (0x04u) +#define SDCard_STS_RX_FIFO_NOT_EMPTY_SHIFT (0x05u) +#define SDCard_STS_RX_FIFO_OVERRUN_SHIFT (0x06u) + +#define SDCard_STS_SPI_DONE ((uint8) (0x01u << SDCard_STS_SPI_DONE_SHIFT)) +#define SDCard_STS_TX_FIFO_EMPTY ((uint8) (0x01u << SDCard_STS_TX_FIFO_EMPTY_SHIFT)) +#define SDCard_STS_TX_FIFO_NOT_FULL ((uint8) (0x01u << SDCard_STS_TX_FIFO_NOT_FULL_SHIFT)) +#define SDCard_STS_BYTE_COMPLETE ((uint8) (0x01u << SDCard_STS_BYTE_COMPLETE_SHIFT)) +#define SDCard_STS_SPI_IDLE ((uint8) (0x01u << SDCard_STS_SPI_IDLE_SHIFT)) +#define SDCard_STS_RX_FIFO_FULL ((uint8) (0x01u << SDCard_STS_RX_FIFO_FULL_SHIFT)) +#define SDCard_STS_RX_FIFO_NOT_EMPTY ((uint8) (0x01u << SDCard_STS_RX_FIFO_NOT_EMPTY_SHIFT)) +#define SDCard_STS_RX_FIFO_OVERRUN ((uint8) (0x01u << SDCard_STS_RX_FIFO_OVERRUN_SHIFT)) + +/* TX and RX masks for clear on read bits */ +#define SDCard_TX_STS_CLR_ON_RD_BYTES_MASK (0x09u) +#define SDCard_RX_STS_CLR_ON_RD_BYTES_MASK (0x40u) + +/* StatusI Register Interrupt Enable Control Bits */ +/* As defined by the Register map for the AUX Control Register */ +#define SDCard_INT_ENABLE (0x10u) /* Enable interrupt from statusi */ +#define SDCard_TX_FIFO_CLR (0x01u) /* F0 - TX FIFO */ +#define SDCard_RX_FIFO_CLR (0x02u) /* F1 - RX FIFO */ +#define SDCard_FIFO_CLR (SDCard_TX_FIFO_CLR | SDCard_RX_FIFO_CLR) + +/* Bit Counter (7-bit) Control Register Bit Definitions */ +/* As defined by the Register map for the AUX Control Register */ +#define SDCard_CNTR_ENABLE (0x20u) /* Enable CNT7 */ + +/* Bi-Directional mode control bit */ +#define SDCard_CTRL_TX_SIGNAL_EN (0x01u) + +/* Datapath Auxillary Control Register definitions */ +#define SDCard_AUX_CTRL_FIFO0_CLR (0x01u) +#define SDCard_AUX_CTRL_FIFO1_CLR (0x02u) +#define SDCard_AUX_CTRL_FIFO0_LVL (0x04u) +#define SDCard_AUX_CTRL_FIFO1_LVL (0x08u) +#define SDCard_STATUS_ACTL_INT_EN_MASK (0x10u) + +/* Component disabled */ +#define SDCard_DISABLED (0u) + + +/*************************************** +* Macros +***************************************/ + +/* Returns true if componentn enabled */ +#define SDCard_IS_ENABLED (0u != (SDCard_TX_STATUS_ACTL_REG & SDCard_INT_ENABLE)) + +/* Retuns TX status register */ +#define SDCard_GET_STATUS_TX(swTxSts) ( (uint8)(SDCard_TX_STATUS_REG | \ + ((swTxSts) & SDCard_TX_STS_CLR_ON_RD_BYTES_MASK)) ) +/* Retuns RX status register */ +#define SDCard_GET_STATUS_RX(swRxSts) ( (uint8)(SDCard_RX_STATUS_REG | \ + ((swRxSts) & SDCard_RX_STS_CLR_ON_RD_BYTES_MASK)) ) + + +/*************************************** +* Obsolete definitions +***************************************/ + +/* Following definitions are for version compatibility. +* They are obsolete in SPIM v2_30. +* Please do not use it in new projects +*/ + +#define SDCard_WriteByte SDCard_WriteTxData +#define SDCard_ReadByte SDCard_ReadRxData +void SDCard_SetInterruptMode(uint8 intSrc) ; +uint8 SDCard_ReadStatus(void) ; +void SDCard_EnableInt(void) ; +void SDCard_DisableInt(void) ; + +/* Obsolete register names. Not to be used in new designs */ +#define SDCard_TXDATA (SDCard_TXDATA_REG) +#define SDCard_RXDATA (SDCard_RXDATA_REG) +#define SDCard_AUX_CONTROLDP0 (SDCard_AUX_CONTROL_DP0_REG) +#define SDCard_TXBUFFERREAD (SDCard_txBufferRead) +#define SDCard_TXBUFFERWRITE (SDCard_txBufferWrite) +#define SDCard_RXBUFFERREAD (SDCard_rxBufferRead) +#define SDCard_RXBUFFERWRITE (SDCard_rxBufferWrite) + +#define SDCard_COUNTER_PERIOD (SDCard_COUNTER_PERIOD_REG) +#define SDCard_COUNTER_CONTROL (SDCard_COUNTER_CONTROL_REG) +#define SDCard_STATUS (SDCard_TX_STATUS_REG) +#define SDCard_CONTROL (SDCard_CONTROL_REG) +#define SDCard_STATUS_MASK (SDCard_TX_STATUS_MASK_REG) +#define SDCard_STATUS_ACTL (SDCard_TX_STATUS_ACTL_REG) + +#define SDCard_INIT_INTERRUPTS_MASK (SDCard_INT_ON_SPI_DONE | \ + SDCard_INT_ON_TX_EMPTY | \ + SDCard_INT_ON_TX_NOT_FULL_DEF | \ + SDCard_INT_ON_RX_FULL | \ + SDCard_INT_ON_RX_NOT_EMPTY | \ + SDCard_INT_ON_RX_OVER | \ + SDCard_INT_ON_BYTE_COMP) + +/* Following definitions are for version Compatibility. +* They are obsolete in SPIM v2_40. +* Please do not use it in new projects +*/ + +#define SDCard_DataWidth (SDCard_DATA_WIDTH) +#define SDCard_InternalClockUsed (SDCard_INTERNAL_CLOCK) +#define SDCard_InternalTxInterruptEnabled (SDCard_INTERNAL_TX_INT_ENABLED) +#define SDCard_InternalRxInterruptEnabled (SDCard_INTERNAL_RX_INT_ENABLED) +#define SDCard_ModeUseZero (SDCard_MODE_USE_ZERO) +#define SDCard_BidirectionalMode (SDCard_BIDIRECTIONAL_MODE) +#define SDCard_Mode (SDCard_MODE) +#define SDCard_DATAWIDHT (SDCard_DATA_WIDTH) +#define SDCard_InternalInterruptEnabled (0u) + +#define SDCard_TXBUFFERSIZE (SDCard_TX_BUFFER_SIZE) +#define SDCard_RXBUFFERSIZE (SDCard_RX_BUFFER_SIZE) + +#define SDCard_TXBUFFER SDCard_txBuffer +#define SDCard_RXBUFFER SDCard_rxBuffer + +#endif /* (CY_SPIM_SDCard_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard_INT.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard_INT.c new file mode 100644 index 0000000..d2e68ea --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard_INT.c @@ -0,0 +1,189 @@ +/******************************************************************************* +* File Name: SDCard_INT.c +* Version 2.40 +* +* Description: +* This file provides all Interrupt Service Routine (ISR) for the SPI Master +* component. +* +* Note: +* None. +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "SDCard_PVT.h" + +/* User code required at start of ISR */ +/* `#START SDCard_ISR_START_DEF` */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: SDCard_TX_ISR +******************************************************************************** +* +* Summary: +* Interrupt Service Routine for TX portion of the SPI Master. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* SDCard_txBufferWrite - used for the account of the bytes which +* have been written down in the TX software buffer. +* SDCard_txBufferRead - used for the account of the bytes which +* have been read from the TX software buffer, modified when exist data to +* sending and FIFO Not Full. +* SDCard_txBuffer[SDCard_TX_BUFFER_SIZE] - used to store +* data to sending. +* All described above Global variables are used when Software Buffer is used. +* +*******************************************************************************/ +CY_ISR(SDCard_TX_ISR) +{ + #if(SDCard_TX_SOFTWARE_BUF_ENABLED) + uint8 tmpStatus; + #endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED) */ + + /* User code required at start of ISR */ + /* `#START SDCard_TX_ISR_START` */ + + /* `#END` */ + + #if(SDCard_TX_SOFTWARE_BUF_ENABLED) + /* Check if TX data buffer is not empty and there is space in TX FIFO */ + while(SDCard_txBufferRead != SDCard_txBufferWrite) + { + tmpStatus = SDCard_GET_STATUS_TX(SDCard_swStatusTx); + SDCard_swStatusTx = tmpStatus; + + if(0u != (SDCard_swStatusTx & SDCard_STS_TX_FIFO_NOT_FULL)) + { + if(0u == SDCard_txBufferFull) + { + SDCard_txBufferRead++; + + if(SDCard_txBufferRead >= SDCard_TX_BUFFER_SIZE) + { + SDCard_txBufferRead = 0u; + } + } + else + { + SDCard_txBufferFull = 0u; + } + + /* Move data from the Buffer to the FIFO */ + CY_SET_REG8(SDCard_TXDATA_PTR, + SDCard_txBuffer[SDCard_txBufferRead]); + } + else + { + break; + } + } + + if(SDCard_txBufferRead == SDCard_txBufferWrite) + { + /* TX Buffer is EMPTY: disable interrupt on TX NOT FULL */ + SDCard_TX_STATUS_MASK_REG &= ((uint8) ~SDCard_STS_TX_FIFO_NOT_FULL); + } + + #endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED) */ + + /* User code required at end of ISR (Optional) */ + /* `#START SDCard_TX_ISR_END` */ + + /* `#END` */ +} + + +/******************************************************************************* +* Function Name: SDCard_RX_ISR +******************************************************************************** +* +* Summary: +* Interrupt Service Routine for RX portion of the SPI Master. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* SDCard_rxBufferWrite - used for the account of the bytes which +* have been written down in the RX software buffer modified when FIFO contains +* new data. +* SDCard_rxBufferRead - used for the account of the bytes which +* have been read from the RX software buffer, modified when overflow occurred. +* SDCard_rxBuffer[SDCard_RX_BUFFER_SIZE] - used to store +* received data, modified when FIFO contains new data. +* All described above Global variables are used when Software Buffer is used. +* +*******************************************************************************/ +CY_ISR(SDCard_RX_ISR) +{ + #if(SDCard_RX_SOFTWARE_BUF_ENABLED) + uint8 tmpStatus; + uint8 rxData; + #endif /* (SDCard_RX_SOFTWARE_BUF_ENABLED) */ + + /* User code required at start of ISR */ + /* `#START SDCard_RX_ISR_START` */ + + /* `#END` */ + + #if(SDCard_RX_SOFTWARE_BUF_ENABLED) + + tmpStatus = SDCard_GET_STATUS_RX(SDCard_swStatusRx); + SDCard_swStatusRx = tmpStatus; + + /* Check if RX data FIFO has some data to be moved into the RX Buffer */ + while(0u != (SDCard_swStatusRx & SDCard_STS_RX_FIFO_NOT_EMPTY)) + { + rxData = CY_GET_REG8(SDCard_RXDATA_PTR); + + /* Set next pointer. */ + SDCard_rxBufferWrite++; + if(SDCard_rxBufferWrite >= SDCard_RX_BUFFER_SIZE) + { + SDCard_rxBufferWrite = 0u; + } + + if(SDCard_rxBufferWrite == SDCard_rxBufferRead) + { + SDCard_rxBufferRead++; + if(SDCard_rxBufferRead >= SDCard_RX_BUFFER_SIZE) + { + SDCard_rxBufferRead = 0u; + } + + SDCard_rxBufferFull = 1u; + } + + /* Move data from the FIFO to the Buffer */ + SDCard_rxBuffer[SDCard_rxBufferWrite] = rxData; + + tmpStatus = SDCard_GET_STATUS_RX(SDCard_swStatusRx); + SDCard_swStatusRx = tmpStatus; + } + + #endif /* (SDCard_RX_SOFTWARE_BUF_ENABLED) */ + + /* User code required at end of ISR (Optional) */ + /* `#START SDCard_RX_ISR_END` */ + + /* `#END` */ +} + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard_PM.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard_PM.c new file mode 100644 index 0000000..8819841 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard_PM.c @@ -0,0 +1,180 @@ +/******************************************************************************* +* File Name: SDCard_PM.c +* Version 2.40 +* +* Description: +* This file contains the setup, control and status commands to support +* component operations in low power mode. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "SDCard_PVT.h" + +static SDCard_BACKUP_STRUCT SDCard_backup = +{ + SDCard_DISABLED, + SDCard_BITCTR_INIT, + #if(CY_UDB_V0) + SDCard_TX_INIT_INTERRUPTS_MASK, + SDCard_RX_INIT_INTERRUPTS_MASK + #endif /* CY_UDB_V0 */ +}; + + +/******************************************************************************* +* Function Name: SDCard_SaveConfig +******************************************************************************** +* +* Summary: +* Saves SPIM configuration. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global Variables: +* SDCard_backup - modified when non-retention registers are saved. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SDCard_SaveConfig(void) +{ + /* Store Status Mask registers */ + #if(CY_UDB_V0) + SDCard_backup.cntrPeriod = SDCard_COUNTER_PERIOD_REG; + SDCard_backup.saveSrTxIntMask = SDCard_TX_STATUS_MASK_REG; + SDCard_backup.saveSrRxIntMask = SDCard_RX_STATUS_MASK_REG; + #endif /* (CY_UDB_V0) */ +} + + +/******************************************************************************* +* Function Name: SDCard_RestoreConfig +******************************************************************************** +* +* Summary: +* Restores SPIM configuration. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global Variables: +* SDCard_backup - used when non-retention registers are restored. +* +* Side Effects: +* If this API is called without first calling SaveConfig then in the following +* registers will be default values from Customizer: +* SDCard_STATUS_MASK_REG and SDCard_COUNTER_PERIOD_REG. +* +*******************************************************************************/ +void SDCard_RestoreConfig(void) +{ + /* Restore the data, saved by SaveConfig() function */ + #if(CY_UDB_V0) + SDCard_COUNTER_PERIOD_REG = SDCard_backup.cntrPeriod; + SDCard_TX_STATUS_MASK_REG = ((uint8) SDCard_backup.saveSrTxIntMask); + SDCard_RX_STATUS_MASK_REG = ((uint8) SDCard_backup.saveSrRxIntMask); + #endif /* (CY_UDB_V0) */ +} + + +/******************************************************************************* +* Function Name: SDCard_Sleep +******************************************************************************** +* +* Summary: +* Prepare SPIM Component goes to sleep. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global Variables: +* SDCard_backup - modified when non-retention registers are saved. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SDCard_Sleep(void) +{ + /* Save components enable state */ + SDCard_backup.enableState = ((uint8) SDCard_IS_ENABLED); + + SDCard_Stop(); + SDCard_SaveConfig(); +} + + +/******************************************************************************* +* Function Name: SDCard_Wakeup +******************************************************************************** +* +* Summary: +* Prepare SPIM Component to wake up. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global Variables: +* SDCard_backup - used when non-retention registers are restored. +* SDCard_txBufferWrite - modified every function call - resets to +* zero. +* SDCard_txBufferRead - modified every function call - resets to +* zero. +* SDCard_rxBufferWrite - modified every function call - resets to +* zero. +* SDCard_rxBufferRead - modified every function call - resets to +* zero. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SDCard_Wakeup(void) +{ + SDCard_RestoreConfig(); + + #if(SDCard_RX_SOFTWARE_BUF_ENABLED) + SDCard_rxBufferFull = 0u; + SDCard_rxBufferRead = 0u; + SDCard_rxBufferWrite = 0u; + #endif /* (SDCard_RX_SOFTWARE_BUF_ENABLED) */ + + #if(SDCard_TX_SOFTWARE_BUF_ENABLED) + SDCard_txBufferFull = 0u; + SDCard_txBufferRead = 0u; + SDCard_txBufferWrite = 0u; + #endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED) */ + + /* Clear any data from the RX and TX FIFO */ + SDCard_ClearFIFO(); + + /* Restore components block enable state */ + if(0u != SDCard_backup.enableState) + { + SDCard_Enable(); + } +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard_PVT.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard_PVT.h new file mode 100644 index 0000000..7618531 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SDCard_PVT.h @@ -0,0 +1,53 @@ +/******************************************************************************* +* File Name: .h +* Version 2.40 +* +* Description: +* This private header file contains internal definitions for the SPIM +* component. Do not use these definitions directly in your application. +* +* Note: +* +******************************************************************************** +* Copyright 2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_SPIM_PVT_SDCard_H) +#define CY_SPIM_PVT_SDCard_H + +#include "SDCard.h" + + +/********************************** +* Functions with external linkage +**********************************/ + + +/********************************** +* Variables with external linkage +**********************************/ + +extern volatile uint8 SDCard_swStatusTx; +extern volatile uint8 SDCard_swStatusRx; + +#if(SDCard_TX_SOFTWARE_BUF_ENABLED) + extern volatile uint8 SDCard_txBuffer[SDCard_TX_BUFFER_SIZE]; + extern volatile uint8 SDCard_txBufferRead; + extern volatile uint8 SDCard_txBufferWrite; + extern volatile uint8 SDCard_txBufferFull; +#endif /* (SDCard_TX_SOFTWARE_BUF_ENABLED) */ + +#if(SDCard_RX_SOFTWARE_BUF_ENABLED) + extern volatile uint8 SDCard_rxBuffer[SDCard_RX_BUFFER_SIZE]; + extern volatile uint8 SDCard_rxBufferRead; + extern volatile uint8 SDCard_rxBufferWrite; + extern volatile uint8 SDCard_rxBufferFull; +#endif /* (SDCard_RX_SOFTWARE_BUF_ENABLED) */ + +#endif /* CY_SPIM_PVT_SDCard_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CD.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CD.c new file mode 100644 index 0000000..1824609 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CD.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: SD_CD.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "SD_CD.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + SD_CD__PORT == 15 && ((SD_CD__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: SD_CD_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void SD_CD_Write(uint8 value) +{ + uint8 staticBits = (SD_CD_DR & (uint8)(~SD_CD_MASK)); + SD_CD_DR = staticBits | ((uint8)(value << SD_CD_SHIFT) & SD_CD_MASK); +} + + +/******************************************************************************* +* Function Name: SD_CD_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void SD_CD_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(SD_CD_0, mode); +} + + +/******************************************************************************* +* Function Name: SD_CD_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro SD_CD_ReadPS calls this function. +* +*******************************************************************************/ +uint8 SD_CD_Read(void) +{ + return (SD_CD_PS & SD_CD_MASK) >> SD_CD_SHIFT; +} + + +/******************************************************************************* +* Function Name: SD_CD_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 SD_CD_ReadDataReg(void) +{ + return (SD_CD_DR & SD_CD_MASK) >> SD_CD_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(SD_CD_INTSTAT) + + /******************************************************************************* + * Function Name: SD_CD_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 SD_CD_ClearInterrupt(void) + { + return (SD_CD_INTSTAT & SD_CD_MASK) >> SD_CD_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CD.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CD.h new file mode 100644 index 0000000..fca729e --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CD.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: SD_CD.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_CD_H) /* Pins SD_CD_H */ +#define CY_PINS_SD_CD_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "SD_CD_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + SD_CD__PORT == 15 && ((SD_CD__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void SD_CD_Write(uint8 value) ; +void SD_CD_SetDriveMode(uint8 mode) ; +uint8 SD_CD_ReadDataReg(void) ; +uint8 SD_CD_Read(void) ; +uint8 SD_CD_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define SD_CD_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define SD_CD_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define SD_CD_DM_RES_UP PIN_DM_RES_UP +#define SD_CD_DM_RES_DWN PIN_DM_RES_DWN +#define SD_CD_DM_OD_LO PIN_DM_OD_LO +#define SD_CD_DM_OD_HI PIN_DM_OD_HI +#define SD_CD_DM_STRONG PIN_DM_STRONG +#define SD_CD_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define SD_CD_MASK SD_CD__MASK +#define SD_CD_SHIFT SD_CD__SHIFT +#define SD_CD_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define SD_CD_PS (* (reg8 *) SD_CD__PS) +/* Data Register */ +#define SD_CD_DR (* (reg8 *) SD_CD__DR) +/* Port Number */ +#define SD_CD_PRT_NUM (* (reg8 *) SD_CD__PRT) +/* Connect to Analog Globals */ +#define SD_CD_AG (* (reg8 *) SD_CD__AG) +/* Analog MUX bux enable */ +#define SD_CD_AMUX (* (reg8 *) SD_CD__AMUX) +/* Bidirectional Enable */ +#define SD_CD_BIE (* (reg8 *) SD_CD__BIE) +/* Bit-mask for Aliased Register Access */ +#define SD_CD_BIT_MASK (* (reg8 *) SD_CD__BIT_MASK) +/* Bypass Enable */ +#define SD_CD_BYP (* (reg8 *) SD_CD__BYP) +/* Port wide control signals */ +#define SD_CD_CTL (* (reg8 *) SD_CD__CTL) +/* Drive Modes */ +#define SD_CD_DM0 (* (reg8 *) SD_CD__DM0) +#define SD_CD_DM1 (* (reg8 *) SD_CD__DM1) +#define SD_CD_DM2 (* (reg8 *) SD_CD__DM2) +/* Input Buffer Disable Override */ +#define SD_CD_INP_DIS (* (reg8 *) SD_CD__INP_DIS) +/* LCD Common or Segment Drive */ +#define SD_CD_LCD_COM_SEG (* (reg8 *) SD_CD__LCD_COM_SEG) +/* Enable Segment LCD */ +#define SD_CD_LCD_EN (* (reg8 *) SD_CD__LCD_EN) +/* Slew Rate Control */ +#define SD_CD_SLW (* (reg8 *) SD_CD__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define SD_CD_PRTDSI__CAPS_SEL (* (reg8 *) SD_CD__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define SD_CD_PRTDSI__DBL_SYNC_IN (* (reg8 *) SD_CD__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define SD_CD_PRTDSI__OE_SEL0 (* (reg8 *) SD_CD__PRTDSI__OE_SEL0) +#define SD_CD_PRTDSI__OE_SEL1 (* (reg8 *) SD_CD__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define SD_CD_PRTDSI__OUT_SEL0 (* (reg8 *) SD_CD__PRTDSI__OUT_SEL0) +#define SD_CD_PRTDSI__OUT_SEL1 (* (reg8 *) SD_CD__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define SD_CD_PRTDSI__SYNC_OUT (* (reg8 *) SD_CD__PRTDSI__SYNC_OUT) + + +#if defined(SD_CD__INTSTAT) /* Interrupt Registers */ + + #define SD_CD_INTSTAT (* (reg8 *) SD_CD__INTSTAT) + #define SD_CD_SNAP (* (reg8 *) SD_CD__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_SD_CD_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CD_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CD_aliases.h new file mode 100644 index 0000000..782cb81 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CD_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: SD_CD.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_CD_ALIASES_H) /* Pins SD_CD_ALIASES_H */ +#define CY_PINS_SD_CD_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SD_CD_0 SD_CD__0__PC + +#endif /* End Pins SD_CD_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CS.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CS.c new file mode 100644 index 0000000..37a6919 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CS.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: SD_CS.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "SD_CS.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + SD_CS__PORT == 15 && ((SD_CS__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: SD_CS_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void SD_CS_Write(uint8 value) +{ + uint8 staticBits = (SD_CS_DR & (uint8)(~SD_CS_MASK)); + SD_CS_DR = staticBits | ((uint8)(value << SD_CS_SHIFT) & SD_CS_MASK); +} + + +/******************************************************************************* +* Function Name: SD_CS_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void SD_CS_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(SD_CS_0, mode); +} + + +/******************************************************************************* +* Function Name: SD_CS_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro SD_CS_ReadPS calls this function. +* +*******************************************************************************/ +uint8 SD_CS_Read(void) +{ + return (SD_CS_PS & SD_CS_MASK) >> SD_CS_SHIFT; +} + + +/******************************************************************************* +* Function Name: SD_CS_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 SD_CS_ReadDataReg(void) +{ + return (SD_CS_DR & SD_CS_MASK) >> SD_CS_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(SD_CS_INTSTAT) + + /******************************************************************************* + * Function Name: SD_CS_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 SD_CS_ClearInterrupt(void) + { + return (SD_CS_INTSTAT & SD_CS_MASK) >> SD_CS_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CS.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CS.h new file mode 100644 index 0000000..aa66de1 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CS.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: SD_CS.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_CS_H) /* Pins SD_CS_H */ +#define CY_PINS_SD_CS_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "SD_CS_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + SD_CS__PORT == 15 && ((SD_CS__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void SD_CS_Write(uint8 value) ; +void SD_CS_SetDriveMode(uint8 mode) ; +uint8 SD_CS_ReadDataReg(void) ; +uint8 SD_CS_Read(void) ; +uint8 SD_CS_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define SD_CS_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define SD_CS_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define SD_CS_DM_RES_UP PIN_DM_RES_UP +#define SD_CS_DM_RES_DWN PIN_DM_RES_DWN +#define SD_CS_DM_OD_LO PIN_DM_OD_LO +#define SD_CS_DM_OD_HI PIN_DM_OD_HI +#define SD_CS_DM_STRONG PIN_DM_STRONG +#define SD_CS_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define SD_CS_MASK SD_CS__MASK +#define SD_CS_SHIFT SD_CS__SHIFT +#define SD_CS_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define SD_CS_PS (* (reg8 *) SD_CS__PS) +/* Data Register */ +#define SD_CS_DR (* (reg8 *) SD_CS__DR) +/* Port Number */ +#define SD_CS_PRT_NUM (* (reg8 *) SD_CS__PRT) +/* Connect to Analog Globals */ +#define SD_CS_AG (* (reg8 *) SD_CS__AG) +/* Analog MUX bux enable */ +#define SD_CS_AMUX (* (reg8 *) SD_CS__AMUX) +/* Bidirectional Enable */ +#define SD_CS_BIE (* (reg8 *) SD_CS__BIE) +/* Bit-mask for Aliased Register Access */ +#define SD_CS_BIT_MASK (* (reg8 *) SD_CS__BIT_MASK) +/* Bypass Enable */ +#define SD_CS_BYP (* (reg8 *) SD_CS__BYP) +/* Port wide control signals */ +#define SD_CS_CTL (* (reg8 *) SD_CS__CTL) +/* Drive Modes */ +#define SD_CS_DM0 (* (reg8 *) SD_CS__DM0) +#define SD_CS_DM1 (* (reg8 *) SD_CS__DM1) +#define SD_CS_DM2 (* (reg8 *) SD_CS__DM2) +/* Input Buffer Disable Override */ +#define SD_CS_INP_DIS (* (reg8 *) SD_CS__INP_DIS) +/* LCD Common or Segment Drive */ +#define SD_CS_LCD_COM_SEG (* (reg8 *) SD_CS__LCD_COM_SEG) +/* Enable Segment LCD */ +#define SD_CS_LCD_EN (* (reg8 *) SD_CS__LCD_EN) +/* Slew Rate Control */ +#define SD_CS_SLW (* (reg8 *) SD_CS__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define SD_CS_PRTDSI__CAPS_SEL (* (reg8 *) SD_CS__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define SD_CS_PRTDSI__DBL_SYNC_IN (* (reg8 *) SD_CS__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define SD_CS_PRTDSI__OE_SEL0 (* (reg8 *) SD_CS__PRTDSI__OE_SEL0) +#define SD_CS_PRTDSI__OE_SEL1 (* (reg8 *) SD_CS__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define SD_CS_PRTDSI__OUT_SEL0 (* (reg8 *) SD_CS__PRTDSI__OUT_SEL0) +#define SD_CS_PRTDSI__OUT_SEL1 (* (reg8 *) SD_CS__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define SD_CS_PRTDSI__SYNC_OUT (* (reg8 *) SD_CS__PRTDSI__SYNC_OUT) + + +#if defined(SD_CS__INTSTAT) /* Interrupt Registers */ + + #define SD_CS_INTSTAT (* (reg8 *) SD_CS__INTSTAT) + #define SD_CS_SNAP (* (reg8 *) SD_CS__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_SD_CS_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CS_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CS_aliases.h new file mode 100644 index 0000000..d6c29cc --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_CS_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: SD_CS.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_CS_ALIASES_H) /* Pins SD_CS_ALIASES_H */ +#define CY_PINS_SD_CS_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SD_CS_0 SD_CS__0__PC + +#endif /* End Pins SD_CS_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Clk_Ctl.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Clk_Ctl.c new file mode 100644 index 0000000..6553ced --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Clk_Ctl.c @@ -0,0 +1,63 @@ +/******************************************************************************* +* File Name: SD_Clk_Ctl.c +* Version 1.70 +* +* Description: +* This file contains API to enable firmware control of a Control Register. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "SD_Clk_Ctl.h" + +#if !defined(SD_Clk_Ctl_Sync_ctrl_reg__REMOVED) /* Check for removal by optimization */ + +/******************************************************************************* +* Function Name: SD_Clk_Ctl_Write +******************************************************************************** +* +* Summary: +* Write a byte to the Control Register. +* +* Parameters: +* control: The value to be assigned to the Control Register. +* +* Return: +* None. +* +*******************************************************************************/ +void SD_Clk_Ctl_Write(uint8 control) +{ + SD_Clk_Ctl_Control = control; +} + + +/******************************************************************************* +* Function Name: SD_Clk_Ctl_Read +******************************************************************************** +* +* Summary: +* Reads the current value assigned to the Control Register. +* +* Parameters: +* None. +* +* Return: +* Returns the current value in the Control Register. +* +*******************************************************************************/ +uint8 SD_Clk_Ctl_Read(void) +{ + return SD_Clk_Ctl_Control; +} + +#endif /* End check for removal by optimization */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Clk_Ctl.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Clk_Ctl.h new file mode 100644 index 0000000..7c6d263 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Clk_Ctl.h @@ -0,0 +1,42 @@ +/******************************************************************************* +* File Name: SD_Clk_Ctl.h +* Version 1.70 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_CONTROL_REG_SD_Clk_Ctl_H) /* CY_CONTROL_REG_SD_Clk_Ctl_H */ +#define CY_CONTROL_REG_SD_Clk_Ctl_H + +#include "cytypes.h" + + +/*************************************** +* Function Prototypes +***************************************/ + +void SD_Clk_Ctl_Write(uint8 control) ; +uint8 SD_Clk_Ctl_Read(void) ; + + +/*************************************** +* Registers +***************************************/ + +/* Control Register */ +#define SD_Clk_Ctl_Control (* (reg8 *) SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_REG ) +#define SD_Clk_Ctl_Control_PTR ( (reg8 *) SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_REG ) + +#endif /* End CY_CONTROL_REG_SD_Clk_Ctl_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT1.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT1.c new file mode 100644 index 0000000..534aa57 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT1.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: SD_DAT1.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "SD_DAT1.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + SD_DAT1__PORT == 15 && ((SD_DAT1__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: SD_DAT1_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void SD_DAT1_Write(uint8 value) +{ + uint8 staticBits = (SD_DAT1_DR & (uint8)(~SD_DAT1_MASK)); + SD_DAT1_DR = staticBits | ((uint8)(value << SD_DAT1_SHIFT) & SD_DAT1_MASK); +} + + +/******************************************************************************* +* Function Name: SD_DAT1_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void SD_DAT1_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(SD_DAT1_0, mode); +} + + +/******************************************************************************* +* Function Name: SD_DAT1_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro SD_DAT1_ReadPS calls this function. +* +*******************************************************************************/ +uint8 SD_DAT1_Read(void) +{ + return (SD_DAT1_PS & SD_DAT1_MASK) >> SD_DAT1_SHIFT; +} + + +/******************************************************************************* +* Function Name: SD_DAT1_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 SD_DAT1_ReadDataReg(void) +{ + return (SD_DAT1_DR & SD_DAT1_MASK) >> SD_DAT1_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(SD_DAT1_INTSTAT) + + /******************************************************************************* + * Function Name: SD_DAT1_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 SD_DAT1_ClearInterrupt(void) + { + return (SD_DAT1_INTSTAT & SD_DAT1_MASK) >> SD_DAT1_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT1.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT1.h new file mode 100644 index 0000000..d7e2253 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT1.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: SD_DAT1.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_DAT1_H) /* Pins SD_DAT1_H */ +#define CY_PINS_SD_DAT1_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "SD_DAT1_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + SD_DAT1__PORT == 15 && ((SD_DAT1__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void SD_DAT1_Write(uint8 value) ; +void SD_DAT1_SetDriveMode(uint8 mode) ; +uint8 SD_DAT1_ReadDataReg(void) ; +uint8 SD_DAT1_Read(void) ; +uint8 SD_DAT1_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define SD_DAT1_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define SD_DAT1_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define SD_DAT1_DM_RES_UP PIN_DM_RES_UP +#define SD_DAT1_DM_RES_DWN PIN_DM_RES_DWN +#define SD_DAT1_DM_OD_LO PIN_DM_OD_LO +#define SD_DAT1_DM_OD_HI PIN_DM_OD_HI +#define SD_DAT1_DM_STRONG PIN_DM_STRONG +#define SD_DAT1_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define SD_DAT1_MASK SD_DAT1__MASK +#define SD_DAT1_SHIFT SD_DAT1__SHIFT +#define SD_DAT1_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define SD_DAT1_PS (* (reg8 *) SD_DAT1__PS) +/* Data Register */ +#define SD_DAT1_DR (* (reg8 *) SD_DAT1__DR) +/* Port Number */ +#define SD_DAT1_PRT_NUM (* (reg8 *) SD_DAT1__PRT) +/* Connect to Analog Globals */ +#define SD_DAT1_AG (* (reg8 *) SD_DAT1__AG) +/* Analog MUX bux enable */ +#define SD_DAT1_AMUX (* (reg8 *) SD_DAT1__AMUX) +/* Bidirectional Enable */ +#define SD_DAT1_BIE (* (reg8 *) SD_DAT1__BIE) +/* Bit-mask for Aliased Register Access */ +#define SD_DAT1_BIT_MASK (* (reg8 *) SD_DAT1__BIT_MASK) +/* Bypass Enable */ +#define SD_DAT1_BYP (* (reg8 *) SD_DAT1__BYP) +/* Port wide control signals */ +#define SD_DAT1_CTL (* (reg8 *) SD_DAT1__CTL) +/* Drive Modes */ +#define SD_DAT1_DM0 (* (reg8 *) SD_DAT1__DM0) +#define SD_DAT1_DM1 (* (reg8 *) SD_DAT1__DM1) +#define SD_DAT1_DM2 (* (reg8 *) SD_DAT1__DM2) +/* Input Buffer Disable Override */ +#define SD_DAT1_INP_DIS (* (reg8 *) SD_DAT1__INP_DIS) +/* LCD Common or Segment Drive */ +#define SD_DAT1_LCD_COM_SEG (* (reg8 *) SD_DAT1__LCD_COM_SEG) +/* Enable Segment LCD */ +#define SD_DAT1_LCD_EN (* (reg8 *) SD_DAT1__LCD_EN) +/* Slew Rate Control */ +#define SD_DAT1_SLW (* (reg8 *) SD_DAT1__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define SD_DAT1_PRTDSI__CAPS_SEL (* (reg8 *) SD_DAT1__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define SD_DAT1_PRTDSI__DBL_SYNC_IN (* (reg8 *) SD_DAT1__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define SD_DAT1_PRTDSI__OE_SEL0 (* (reg8 *) SD_DAT1__PRTDSI__OE_SEL0) +#define SD_DAT1_PRTDSI__OE_SEL1 (* (reg8 *) SD_DAT1__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define SD_DAT1_PRTDSI__OUT_SEL0 (* (reg8 *) SD_DAT1__PRTDSI__OUT_SEL0) +#define SD_DAT1_PRTDSI__OUT_SEL1 (* (reg8 *) SD_DAT1__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define SD_DAT1_PRTDSI__SYNC_OUT (* (reg8 *) SD_DAT1__PRTDSI__SYNC_OUT) + + +#if defined(SD_DAT1__INTSTAT) /* Interrupt Registers */ + + #define SD_DAT1_INTSTAT (* (reg8 *) SD_DAT1__INTSTAT) + #define SD_DAT1_SNAP (* (reg8 *) SD_DAT1__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_SD_DAT1_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT1_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT1_aliases.h new file mode 100644 index 0000000..a26e0de --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT1_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: SD_DAT1.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_DAT1_ALIASES_H) /* Pins SD_DAT1_ALIASES_H */ +#define CY_PINS_SD_DAT1_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SD_DAT1_0 SD_DAT1__0__PC + +#endif /* End Pins SD_DAT1_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT2.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT2.c new file mode 100644 index 0000000..8dfc6ae --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT2.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: SD_DAT2.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "SD_DAT2.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + SD_DAT2__PORT == 15 && ((SD_DAT2__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: SD_DAT2_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void SD_DAT2_Write(uint8 value) +{ + uint8 staticBits = (SD_DAT2_DR & (uint8)(~SD_DAT2_MASK)); + SD_DAT2_DR = staticBits | ((uint8)(value << SD_DAT2_SHIFT) & SD_DAT2_MASK); +} + + +/******************************************************************************* +* Function Name: SD_DAT2_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void SD_DAT2_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(SD_DAT2_0, mode); +} + + +/******************************************************************************* +* Function Name: SD_DAT2_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro SD_DAT2_ReadPS calls this function. +* +*******************************************************************************/ +uint8 SD_DAT2_Read(void) +{ + return (SD_DAT2_PS & SD_DAT2_MASK) >> SD_DAT2_SHIFT; +} + + +/******************************************************************************* +* Function Name: SD_DAT2_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 SD_DAT2_ReadDataReg(void) +{ + return (SD_DAT2_DR & SD_DAT2_MASK) >> SD_DAT2_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(SD_DAT2_INTSTAT) + + /******************************************************************************* + * Function Name: SD_DAT2_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 SD_DAT2_ClearInterrupt(void) + { + return (SD_DAT2_INTSTAT & SD_DAT2_MASK) >> SD_DAT2_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT2.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT2.h new file mode 100644 index 0000000..bfb3017 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT2.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: SD_DAT2.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_DAT2_H) /* Pins SD_DAT2_H */ +#define CY_PINS_SD_DAT2_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "SD_DAT2_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + SD_DAT2__PORT == 15 && ((SD_DAT2__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void SD_DAT2_Write(uint8 value) ; +void SD_DAT2_SetDriveMode(uint8 mode) ; +uint8 SD_DAT2_ReadDataReg(void) ; +uint8 SD_DAT2_Read(void) ; +uint8 SD_DAT2_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define SD_DAT2_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define SD_DAT2_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define SD_DAT2_DM_RES_UP PIN_DM_RES_UP +#define SD_DAT2_DM_RES_DWN PIN_DM_RES_DWN +#define SD_DAT2_DM_OD_LO PIN_DM_OD_LO +#define SD_DAT2_DM_OD_HI PIN_DM_OD_HI +#define SD_DAT2_DM_STRONG PIN_DM_STRONG +#define SD_DAT2_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define SD_DAT2_MASK SD_DAT2__MASK +#define SD_DAT2_SHIFT SD_DAT2__SHIFT +#define SD_DAT2_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define SD_DAT2_PS (* (reg8 *) SD_DAT2__PS) +/* Data Register */ +#define SD_DAT2_DR (* (reg8 *) SD_DAT2__DR) +/* Port Number */ +#define SD_DAT2_PRT_NUM (* (reg8 *) SD_DAT2__PRT) +/* Connect to Analog Globals */ +#define SD_DAT2_AG (* (reg8 *) SD_DAT2__AG) +/* Analog MUX bux enable */ +#define SD_DAT2_AMUX (* (reg8 *) SD_DAT2__AMUX) +/* Bidirectional Enable */ +#define SD_DAT2_BIE (* (reg8 *) SD_DAT2__BIE) +/* Bit-mask for Aliased Register Access */ +#define SD_DAT2_BIT_MASK (* (reg8 *) SD_DAT2__BIT_MASK) +/* Bypass Enable */ +#define SD_DAT2_BYP (* (reg8 *) SD_DAT2__BYP) +/* Port wide control signals */ +#define SD_DAT2_CTL (* (reg8 *) SD_DAT2__CTL) +/* Drive Modes */ +#define SD_DAT2_DM0 (* (reg8 *) SD_DAT2__DM0) +#define SD_DAT2_DM1 (* (reg8 *) SD_DAT2__DM1) +#define SD_DAT2_DM2 (* (reg8 *) SD_DAT2__DM2) +/* Input Buffer Disable Override */ +#define SD_DAT2_INP_DIS (* (reg8 *) SD_DAT2__INP_DIS) +/* LCD Common or Segment Drive */ +#define SD_DAT2_LCD_COM_SEG (* (reg8 *) SD_DAT2__LCD_COM_SEG) +/* Enable Segment LCD */ +#define SD_DAT2_LCD_EN (* (reg8 *) SD_DAT2__LCD_EN) +/* Slew Rate Control */ +#define SD_DAT2_SLW (* (reg8 *) SD_DAT2__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define SD_DAT2_PRTDSI__CAPS_SEL (* (reg8 *) SD_DAT2__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define SD_DAT2_PRTDSI__DBL_SYNC_IN (* (reg8 *) SD_DAT2__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define SD_DAT2_PRTDSI__OE_SEL0 (* (reg8 *) SD_DAT2__PRTDSI__OE_SEL0) +#define SD_DAT2_PRTDSI__OE_SEL1 (* (reg8 *) SD_DAT2__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define SD_DAT2_PRTDSI__OUT_SEL0 (* (reg8 *) SD_DAT2__PRTDSI__OUT_SEL0) +#define SD_DAT2_PRTDSI__OUT_SEL1 (* (reg8 *) SD_DAT2__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define SD_DAT2_PRTDSI__SYNC_OUT (* (reg8 *) SD_DAT2__PRTDSI__SYNC_OUT) + + +#if defined(SD_DAT2__INTSTAT) /* Interrupt Registers */ + + #define SD_DAT2_INTSTAT (* (reg8 *) SD_DAT2__INTSTAT) + #define SD_DAT2_SNAP (* (reg8 *) SD_DAT2__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_SD_DAT2_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT2_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT2_aliases.h new file mode 100644 index 0000000..5f26214 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_DAT2_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: SD_DAT2.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_DAT2_ALIASES_H) /* Pins SD_DAT2_ALIASES_H */ +#define CY_PINS_SD_DAT2_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SD_DAT2_0 SD_DAT2__0__PC + +#endif /* End Pins SD_DAT2_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Data_Clk.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Data_Clk.c new file mode 100644 index 0000000..77538c6 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Data_Clk.c @@ -0,0 +1,521 @@ +/******************************************************************************* +* File Name: SD_Data_Clk.c +* Version 2.0 +* +* Description: +* This file provides the source code to the API for the clock component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include +#include "SD_Data_Clk.h" + +/* Clock Distribution registers. */ +#define CLK_DIST_LD (* (reg8 *) CYREG_CLKDIST_LD) +#define CLK_DIST_BCFG2 (* (reg8 *) CYREG_CLKDIST_BCFG2) +#define BCFG2_MASK (0x80u) +#define CLK_DIST_DMASK (* (reg8 *) CYREG_CLKDIST_DMASK) +#define CLK_DIST_AMASK (* (reg8 *) CYREG_CLKDIST_AMASK) + +#define HAS_CLKDIST_LD_DISABLE (CY_PSOC3 || CY_PSOC5LP) + + +/******************************************************************************* +* Function Name: SD_Data_Clk_Start +******************************************************************************** +* +* Summary: +* Starts the clock. Note that on startup, clocks may be already running if the +* "Start on Reset" option is enabled in the DWR. +* +* Parameters: +* None +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Data_Clk_Start(void) +{ + /* Set the bit to enable the clock. */ + SD_Data_Clk_CLKEN |= SD_Data_Clk_CLKEN_MASK; + SD_Data_Clk_CLKSTBY |= SD_Data_Clk_CLKSTBY_MASK; +} + + +/******************************************************************************* +* Function Name: SD_Data_Clk_Stop +******************************************************************************** +* +* Summary: +* Stops the clock and returns immediately. This API does not require the +* source clock to be running but may return before the hardware is actually +* disabled. If the settings of the clock are changed after calling this +* function, the clock may glitch when it is started. To avoid the clock +* glitch, use the StopBlock function. +* +* Parameters: +* None +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Data_Clk_Stop(void) +{ + /* Clear the bit to disable the clock. */ + SD_Data_Clk_CLKEN &= (uint8)(~SD_Data_Clk_CLKEN_MASK); + SD_Data_Clk_CLKSTBY &= (uint8)(~SD_Data_Clk_CLKSTBY_MASK); +} + + +#if(CY_PSOC3 || CY_PSOC5LP) + + +/******************************************************************************* +* Function Name: SD_Data_Clk_StopBlock +******************************************************************************** +* +* Summary: +* Stops the clock and waits for the hardware to actually be disabled before +* returning. This ensures that the clock is never truncated (high part of the +* cycle will terminate before the clock is disabled and the API returns). +* Note that the source clock must be running or this API will never return as +* a stopped clock cannot be disabled. +* +* Parameters: +* None +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Data_Clk_StopBlock(void) +{ + if ((SD_Data_Clk_CLKEN & SD_Data_Clk_CLKEN_MASK) != 0u) + { +#if HAS_CLKDIST_LD_DISABLE + uint16 oldDivider; + + CLK_DIST_LD = 0u; + + /* Clear all the mask bits except ours. */ +#if defined(SD_Data_Clk__CFG3) + CLK_DIST_AMASK = SD_Data_Clk_CLKEN_MASK; + CLK_DIST_DMASK = 0x00u; +#else + CLK_DIST_DMASK = SD_Data_Clk_CLKEN_MASK; + CLK_DIST_AMASK = 0x00u; +#endif /* SD_Data_Clk__CFG3 */ + + /* Clear mask of bus clock. */ + CLK_DIST_BCFG2 &= (uint8)(~BCFG2_MASK); + + oldDivider = CY_GET_REG16(SD_Data_Clk_DIV_PTR); + CY_SET_REG16(CYREG_CLKDIST_WRK0, oldDivider); + CLK_DIST_LD = CYCLK_LD_DISABLE | CYCLK_LD_SYNC_EN | CYCLK_LD_LOAD; + + /* Wait for clock to be disabled */ + while ((CLK_DIST_LD & CYCLK_LD_LOAD) != 0u) { } +#endif /* HAS_CLKDIST_LD_DISABLE */ + + /* Clear the bit to disable the clock. */ + SD_Data_Clk_CLKEN &= (uint8)(~SD_Data_Clk_CLKEN_MASK); + SD_Data_Clk_CLKSTBY &= (uint8)(~SD_Data_Clk_CLKSTBY_MASK); + +#if HAS_CLKDIST_LD_DISABLE + /* Clear the disable bit */ + CLK_DIST_LD = 0x00u; + CY_SET_REG16(SD_Data_Clk_DIV_PTR, oldDivider); +#endif /* HAS_CLKDIST_LD_DISABLE */ + } +} +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + +/******************************************************************************* +* Function Name: SD_Data_Clk_StandbyPower +******************************************************************************** +* +* Summary: +* Sets whether the clock is active in standby mode. +* +* Parameters: +* state: 0 to disable clock during standby, nonzero to enable. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Data_Clk_StandbyPower(uint8 state) +{ + if(state == 0u) + { + SD_Data_Clk_CLKSTBY &= (uint8)(~SD_Data_Clk_CLKSTBY_MASK); + } + else + { + SD_Data_Clk_CLKSTBY |= SD_Data_Clk_CLKSTBY_MASK; + } +} + + +/******************************************************************************* +* Function Name: SD_Data_Clk_SetDividerRegister +******************************************************************************** +* +* Summary: +* Modifies the clock divider and, thus, the frequency. When the clock divider +* register is set to zero or changed from zero, the clock will be temporarily +* disabled in order to change the SSS mode bit. If the clock is enabled when +* SetDividerRegister is called, then the source clock must be running. +* +* Parameters: +* clkDivider: Divider register value (0-65,535). This value is NOT the +* divider; the clock hardware divides by clkDivider plus one. For example, +* to divide the clock by 2, this parameter should be set to 1. +* restart: If nonzero, restarts the clock divider: the current clock cycle +* will be truncated and the new divide value will take effect immediately. If +* zero, the new divide value will take effect at the end of the current clock +* cycle. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Data_Clk_SetDividerRegister(uint16 clkDivider, uint8 restart) + +{ + uint8 enabled; + + uint8 currSrc = SD_Data_Clk_GetSourceRegister(); + uint16 oldDivider = SD_Data_Clk_GetDividerRegister(); + + if (clkDivider != oldDivider) + { + enabled = SD_Data_Clk_CLKEN & SD_Data_Clk_CLKEN_MASK; + + if ((currSrc == (uint8)CYCLK_SRC_SEL_CLK_SYNC_D) && ((oldDivider == 0u) || (clkDivider == 0u))) + { + /* Moving to/from SSS requires correct ordering to prevent halting the clock */ + if (oldDivider == 0u) + { + /* Moving away from SSS, set the divider first so when SSS is cleared we */ + /* don't halt the clock. Using the shadow load isn't required as the */ + /* divider is ignored while SSS is set. */ + CY_SET_REG16(SD_Data_Clk_DIV_PTR, clkDivider); + SD_Data_Clk_MOD_SRC &= (uint8)(~CYCLK_SSS); + } + else + { + /* Moving to SSS, set SSS which then ignores the divider and we can set */ + /* it without bothering with the shadow load. */ + SD_Data_Clk_MOD_SRC |= CYCLK_SSS; + CY_SET_REG16(SD_Data_Clk_DIV_PTR, clkDivider); + } + } + else + { + + if (enabled != 0u) + { + CLK_DIST_LD = 0x00u; + + /* Clear all the mask bits except ours. */ +#if defined(SD_Data_Clk__CFG3) + CLK_DIST_AMASK = SD_Data_Clk_CLKEN_MASK; + CLK_DIST_DMASK = 0x00u; +#else + CLK_DIST_DMASK = SD_Data_Clk_CLKEN_MASK; + CLK_DIST_AMASK = 0x00u; +#endif /* SD_Data_Clk__CFG3 */ + /* Clear mask of bus clock. */ + CLK_DIST_BCFG2 &= (uint8)(~BCFG2_MASK); + + /* If clock is currently enabled, disable it if async or going from N-to-1*/ + if (((SD_Data_Clk_MOD_SRC & CYCLK_SYNC) == 0u) || (clkDivider == 0u)) + { +#if HAS_CLKDIST_LD_DISABLE + CY_SET_REG16(CYREG_CLKDIST_WRK0, oldDivider); + CLK_DIST_LD = CYCLK_LD_DISABLE|CYCLK_LD_SYNC_EN|CYCLK_LD_LOAD; + + /* Wait for clock to be disabled */ + while ((CLK_DIST_LD & CYCLK_LD_LOAD) != 0u) { } +#endif /* HAS_CLKDIST_LD_DISABLE */ + + SD_Data_Clk_CLKEN &= (uint8)(~SD_Data_Clk_CLKEN_MASK); + +#if HAS_CLKDIST_LD_DISABLE + /* Clear the disable bit */ + CLK_DIST_LD = 0x00u; +#endif /* HAS_CLKDIST_LD_DISABLE */ + } + } + + /* Load divide value. */ + if ((SD_Data_Clk_CLKEN & SD_Data_Clk_CLKEN_MASK) != 0u) + { + /* If the clock is still enabled, use the shadow registers */ + CY_SET_REG16(CYREG_CLKDIST_WRK0, clkDivider); + + CLK_DIST_LD = (CYCLK_LD_LOAD | ((restart != 0u) ? CYCLK_LD_SYNC_EN : 0x00u)); + while ((CLK_DIST_LD & CYCLK_LD_LOAD) != 0u) { } + } + else + { + /* If the clock is disabled, set the divider directly */ + CY_SET_REG16(SD_Data_Clk_DIV_PTR, clkDivider); + SD_Data_Clk_CLKEN |= enabled; + } + } + } +} + + +/******************************************************************************* +* Function Name: SD_Data_Clk_GetDividerRegister +******************************************************************************** +* +* Summary: +* Gets the clock divider register value. +* +* Parameters: +* None +* +* Returns: +* Divide value of the clock minus 1. For example, if the clock is set to +* divide by 2, the return value will be 1. +* +*******************************************************************************/ +uint16 SD_Data_Clk_GetDividerRegister(void) +{ + return CY_GET_REG16(SD_Data_Clk_DIV_PTR); +} + + +/******************************************************************************* +* Function Name: SD_Data_Clk_SetModeRegister +******************************************************************************** +* +* Summary: +* Sets flags that control the operating mode of the clock. This function only +* changes flags from 0 to 1; flags that are already 1 will remain unchanged. +* To clear flags, use the ClearModeRegister function. The clock must be +* disabled before changing the mode. +* +* Parameters: +* clkMode: Bit mask containing the bits to set. For PSoC 3 and PSoC 5, +* clkMode should be a set of the following optional bits or'ed together. +* - CYCLK_EARLY Enable early phase mode. Rising edge of output clock will +* occur when the divider count reaches half of the divide +* value. +* - CYCLK_DUTY Enable 50% duty cycle output. When enabled, the output clock +* is asserted for approximately half of its period. When +* disabled, the output clock is asserted for one period of the +* source clock. +* - CYCLK_SYNC Enable output synchronization to master clock. This should +* be enabled for all synchronous clocks. +* See the Technical Reference Manual for details about setting the mode of +* the clock. Specifically, see the CLKDIST.DCFG.CFG2 register. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Data_Clk_SetModeRegister(uint8 modeBitMask) +{ + SD_Data_Clk_MOD_SRC |= modeBitMask & (uint8)SD_Data_Clk_MODE_MASK; +} + + +/******************************************************************************* +* Function Name: SD_Data_Clk_ClearModeRegister +******************************************************************************** +* +* Summary: +* Clears flags that control the operating mode of the clock. This function +* only changes flags from 1 to 0; flags that are already 0 will remain +* unchanged. To set flags, use the SetModeRegister function. The clock must be +* disabled before changing the mode. +* +* Parameters: +* clkMode: Bit mask containing the bits to clear. For PSoC 3 and PSoC 5, +* clkMode should be a set of the following optional bits or'ed together. +* - CYCLK_EARLY Enable early phase mode. Rising edge of output clock will +* occur when the divider count reaches half of the divide +* value. +* - CYCLK_DUTY Enable 50% duty cycle output. When enabled, the output clock +* is asserted for approximately half of its period. When +* disabled, the output clock is asserted for one period of the +* source clock. +* - CYCLK_SYNC Enable output synchronization to master clock. This should +* be enabled for all synchronous clocks. +* See the Technical Reference Manual for details about setting the mode of +* the clock. Specifically, see the CLKDIST.DCFG.CFG2 register. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Data_Clk_ClearModeRegister(uint8 modeBitMask) +{ + SD_Data_Clk_MOD_SRC &= (uint8)(~modeBitMask) | (uint8)(~(uint8)(SD_Data_Clk_MODE_MASK)); +} + + +/******************************************************************************* +* Function Name: SD_Data_Clk_GetModeRegister +******************************************************************************** +* +* Summary: +* Gets the clock mode register value. +* +* Parameters: +* None +* +* Returns: +* Bit mask representing the enabled mode bits. See the SetModeRegister and +* ClearModeRegister descriptions for details about the mode bits. +* +*******************************************************************************/ +uint8 SD_Data_Clk_GetModeRegister(void) +{ + return SD_Data_Clk_MOD_SRC & (uint8)(SD_Data_Clk_MODE_MASK); +} + + +/******************************************************************************* +* Function Name: SD_Data_Clk_SetSourceRegister +******************************************************************************** +* +* Summary: +* Sets the input source of the clock. The clock must be disabled before +* changing the source. The old and new clock sources must be running. +* +* Parameters: +* clkSource: For PSoC 3 and PSoC 5 devices, clkSource should be one of the +* following input sources: +* - CYCLK_SRC_SEL_SYNC_DIG +* - CYCLK_SRC_SEL_IMO +* - CYCLK_SRC_SEL_XTALM +* - CYCLK_SRC_SEL_ILO +* - CYCLK_SRC_SEL_PLL +* - CYCLK_SRC_SEL_XTALK +* - CYCLK_SRC_SEL_DSI_G +* - CYCLK_SRC_SEL_DSI_D/CYCLK_SRC_SEL_DSI_A +* See the Technical Reference Manual for details on clock sources. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Data_Clk_SetSourceRegister(uint8 clkSource) +{ + uint16 currDiv = SD_Data_Clk_GetDividerRegister(); + uint8 oldSrc = SD_Data_Clk_GetSourceRegister(); + + if (((oldSrc != ((uint8)CYCLK_SRC_SEL_CLK_SYNC_D)) && + (clkSource == ((uint8)CYCLK_SRC_SEL_CLK_SYNC_D))) && (currDiv == 0u)) + { + /* Switching to Master and divider is 1, set SSS, which will output master, */ + /* then set the source so we are consistent. */ + SD_Data_Clk_MOD_SRC |= CYCLK_SSS; + SD_Data_Clk_MOD_SRC = + (SD_Data_Clk_MOD_SRC & (uint8)(~SD_Data_Clk_SRC_SEL_MSK)) | clkSource; + } + else if (((oldSrc == ((uint8)CYCLK_SRC_SEL_CLK_SYNC_D)) && + (clkSource != ((uint8)CYCLK_SRC_SEL_CLK_SYNC_D))) && (currDiv == 0u)) + { + /* Switching from Master to not and divider is 1, set source, so we don't */ + /* lock when we clear SSS. */ + SD_Data_Clk_MOD_SRC = + (SD_Data_Clk_MOD_SRC & (uint8)(~SD_Data_Clk_SRC_SEL_MSK)) | clkSource; + SD_Data_Clk_MOD_SRC &= (uint8)(~CYCLK_SSS); + } + else + { + SD_Data_Clk_MOD_SRC = + (SD_Data_Clk_MOD_SRC & (uint8)(~SD_Data_Clk_SRC_SEL_MSK)) | clkSource; + } +} + + +/******************************************************************************* +* Function Name: SD_Data_Clk_GetSourceRegister +******************************************************************************** +* +* Summary: +* Gets the input source of the clock. +* +* Parameters: +* None +* +* Returns: +* The input source of the clock. See SetSourceRegister for details. +* +*******************************************************************************/ +uint8 SD_Data_Clk_GetSourceRegister(void) +{ + return SD_Data_Clk_MOD_SRC & SD_Data_Clk_SRC_SEL_MSK; +} + + +#if defined(SD_Data_Clk__CFG3) + + +/******************************************************************************* +* Function Name: SD_Data_Clk_SetPhaseRegister +******************************************************************************** +* +* Summary: +* Sets the phase delay of the analog clock. This function is only available +* for analog clocks. The clock must be disabled before changing the phase +* delay to avoid glitches. +* +* Parameters: +* clkPhase: Amount to delay the phase of the clock, in 1.0ns increments. +* clkPhase must be from 1 to 11 inclusive. Other values, including 0, +* disable the clock. clkPhase = 1 produces a 0ns delay and clkPhase = 11 +* produces a 10ns delay. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Data_Clk_SetPhaseRegister(uint8 clkPhase) +{ + SD_Data_Clk_PHASE = clkPhase & SD_Data_Clk_PHASE_MASK; +} + + +/******************************************************************************* +* Function Name: SD_Data_Clk_GetPhase +******************************************************************************** +* +* Summary: +* Gets the phase delay of the analog clock. This function is only available +* for analog clocks. +* +* Parameters: +* None +* +* Returns: +* Phase of the analog clock. See SetPhaseRegister for details. +* +*******************************************************************************/ +uint8 SD_Data_Clk_GetPhaseRegister(void) +{ + return SD_Data_Clk_PHASE & SD_Data_Clk_PHASE_MASK; +} + +#endif /* SD_Data_Clk__CFG3 */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Data_Clk.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Data_Clk.h new file mode 100644 index 0000000..0ecaab6 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Data_Clk.h @@ -0,0 +1,124 @@ +/******************************************************************************* +* File Name: SD_Data_Clk.h +* Version 2.0 +* +* Description: +* Provides the function and constant definitions for the clock component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_CLOCK_SD_Data_Clk_H) +#define CY_CLOCK_SD_Data_Clk_H + +#include +#include + + +/*************************************** +* Conditional Compilation Parameters +***************************************/ + +/* Check to see if required defines such as CY_PSOC5LP are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5LP) + #error Component cy_clock_v2_0 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5LP) */ + + +/*************************************** +* Function Prototypes +***************************************/ + +void SD_Data_Clk_Start(void) ; +void SD_Data_Clk_Stop(void) ; + +#if(CY_PSOC3 || CY_PSOC5LP) +void SD_Data_Clk_StopBlock(void) ; +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + +void SD_Data_Clk_StandbyPower(uint8 state) ; +void SD_Data_Clk_SetDividerRegister(uint16 clkDivider, uint8 restart) + ; +uint16 SD_Data_Clk_GetDividerRegister(void) ; +void SD_Data_Clk_SetModeRegister(uint8 modeBitMask) ; +void SD_Data_Clk_ClearModeRegister(uint8 modeBitMask) ; +uint8 SD_Data_Clk_GetModeRegister(void) ; +void SD_Data_Clk_SetSourceRegister(uint8 clkSource) ; +uint8 SD_Data_Clk_GetSourceRegister(void) ; +#if defined(SD_Data_Clk__CFG3) +void SD_Data_Clk_SetPhaseRegister(uint8 clkPhase) ; +uint8 SD_Data_Clk_GetPhaseRegister(void) ; +#endif /* defined(SD_Data_Clk__CFG3) */ + +#define SD_Data_Clk_Enable() SD_Data_Clk_Start() +#define SD_Data_Clk_Disable() SD_Data_Clk_Stop() +#define SD_Data_Clk_SetDivider(clkDivider) SD_Data_Clk_SetDividerRegister(clkDivider, 1) +#define SD_Data_Clk_SetDividerValue(clkDivider) SD_Data_Clk_SetDividerRegister((clkDivider) - 1, 1) +#define SD_Data_Clk_SetMode(clkMode) SD_Data_Clk_SetModeRegister(clkMode) +#define SD_Data_Clk_SetSource(clkSource) SD_Data_Clk_SetSourceRegister(clkSource) +#if defined(SD_Data_Clk__CFG3) +#define SD_Data_Clk_SetPhase(clkPhase) SD_Data_Clk_SetPhaseRegister(clkPhase) +#define SD_Data_Clk_SetPhaseValue(clkPhase) SD_Data_Clk_SetPhaseRegister((clkPhase) + 1) +#endif /* defined(SD_Data_Clk__CFG3) */ + + +/*************************************** +* Registers +***************************************/ + +/* Register to enable or disable the clock */ +#define SD_Data_Clk_CLKEN (* (reg8 *) SD_Data_Clk__PM_ACT_CFG) +#define SD_Data_Clk_CLKEN_PTR ((reg8 *) SD_Data_Clk__PM_ACT_CFG) + +/* Register to enable or disable the clock */ +#define SD_Data_Clk_CLKSTBY (* (reg8 *) SD_Data_Clk__PM_STBY_CFG) +#define SD_Data_Clk_CLKSTBY_PTR ((reg8 *) SD_Data_Clk__PM_STBY_CFG) + +/* Clock LSB divider configuration register. */ +#define SD_Data_Clk_DIV_LSB (* (reg8 *) SD_Data_Clk__CFG0) +#define SD_Data_Clk_DIV_LSB_PTR ((reg8 *) SD_Data_Clk__CFG0) +#define SD_Data_Clk_DIV_PTR ((reg16 *) SD_Data_Clk__CFG0) + +/* Clock MSB divider configuration register. */ +#define SD_Data_Clk_DIV_MSB (* (reg8 *) SD_Data_Clk__CFG1) +#define SD_Data_Clk_DIV_MSB_PTR ((reg8 *) SD_Data_Clk__CFG1) + +/* Mode and source configuration register */ +#define SD_Data_Clk_MOD_SRC (* (reg8 *) SD_Data_Clk__CFG2) +#define SD_Data_Clk_MOD_SRC_PTR ((reg8 *) SD_Data_Clk__CFG2) + +#if defined(SD_Data_Clk__CFG3) +/* Analog clock phase configuration register */ +#define SD_Data_Clk_PHASE (* (reg8 *) SD_Data_Clk__CFG3) +#define SD_Data_Clk_PHASE_PTR ((reg8 *) SD_Data_Clk__CFG3) +#endif /* defined(SD_Data_Clk__CFG3) */ + + +/************************************** +* Register Constants +**************************************/ + +/* Power manager register masks */ +#define SD_Data_Clk_CLKEN_MASK SD_Data_Clk__PM_ACT_MSK +#define SD_Data_Clk_CLKSTBY_MASK SD_Data_Clk__PM_STBY_MSK + +/* CFG2 field masks */ +#define SD_Data_Clk_SRC_SEL_MSK SD_Data_Clk__CFG2_SRC_SEL_MASK +#define SD_Data_Clk_MODE_MASK (~(SD_Data_Clk_SRC_SEL_MSK)) + +#if defined(SD_Data_Clk__CFG3) +/* CFG3 phase mask */ +#define SD_Data_Clk_PHASE_MASK SD_Data_Clk__CFG3_PHASE_DLY_MASK +#endif /* defined(SD_Data_Clk__CFG3) */ + +#endif /* CY_CLOCK_SD_Data_Clk_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_INT.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_INT.c new file mode 100644 index 0000000..b9bb216 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_INT.c @@ -0,0 +1,189 @@ +/******************************************************************************* +* File Name: SD_INT.c +* Version 2.40 +* +* Description: +* This file provides all Interrupt Service Routine (ISR) for the SPI Master +* component. +* +* Note: +* None. +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "SD_PVT.h" + +/* User code required at start of ISR */ +/* `#START SD_ISR_START_DEF` */ + +/* `#END` */ + + +/******************************************************************************* +* Function Name: SD_TX_ISR +******************************************************************************** +* +* Summary: +* Interrupt Service Routine for TX portion of the SPI Master. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* SD_txBufferWrite - used for the account of the bytes which +* have been written down in the TX software buffer. +* SD_txBufferRead - used for the account of the bytes which +* have been read from the TX software buffer, modified when exist data to +* sending and FIFO Not Full. +* SD_txBuffer[SD_TX_BUFFER_SIZE] - used to store +* data to sending. +* All described above Global variables are used when Software Buffer is used. +* +*******************************************************************************/ +CY_ISR(SD_TX_ISR) +{ + #if(SD_TX_SOFTWARE_BUF_ENABLED) + uint8 tmpStatus; + #endif /* (SD_TX_SOFTWARE_BUF_ENABLED) */ + + /* User code required at start of ISR */ + /* `#START SD_TX_ISR_START` */ + + /* `#END` */ + + #if(SD_TX_SOFTWARE_BUF_ENABLED) + /* Check if TX data buffer is not empty and there is space in TX FIFO */ + while(SD_txBufferRead != SD_txBufferWrite) + { + tmpStatus = SD_GET_STATUS_TX(SD_swStatusTx); + SD_swStatusTx = tmpStatus; + + if(0u != (SD_swStatusTx & SD_STS_TX_FIFO_NOT_FULL)) + { + if(0u == SD_txBufferFull) + { + SD_txBufferRead++; + + if(SD_txBufferRead >= SD_TX_BUFFER_SIZE) + { + SD_txBufferRead = 0u; + } + } + else + { + SD_txBufferFull = 0u; + } + + /* Move data from the Buffer to the FIFO */ + CY_SET_REG8(SD_TXDATA_PTR, + SD_txBuffer[SD_txBufferRead]); + } + else + { + break; + } + } + + if(SD_txBufferRead == SD_txBufferWrite) + { + /* TX Buffer is EMPTY: disable interrupt on TX NOT FULL */ + SD_TX_STATUS_MASK_REG &= ((uint8) ~SD_STS_TX_FIFO_NOT_FULL); + } + + #endif /* (SD_TX_SOFTWARE_BUF_ENABLED) */ + + /* User code required at end of ISR (Optional) */ + /* `#START SD_TX_ISR_END` */ + + /* `#END` */ +} + + +/******************************************************************************* +* Function Name: SD_RX_ISR +******************************************************************************** +* +* Summary: +* Interrupt Service Routine for RX portion of the SPI Master. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global variables: +* SD_rxBufferWrite - used for the account of the bytes which +* have been written down in the RX software buffer modified when FIFO contains +* new data. +* SD_rxBufferRead - used for the account of the bytes which +* have been read from the RX software buffer, modified when overflow occurred. +* SD_rxBuffer[SD_RX_BUFFER_SIZE] - used to store +* received data, modified when FIFO contains new data. +* All described above Global variables are used when Software Buffer is used. +* +*******************************************************************************/ +CY_ISR(SD_RX_ISR) +{ + #if(SD_RX_SOFTWARE_BUF_ENABLED) + uint8 tmpStatus; + uint8 rxData; + #endif /* (SD_RX_SOFTWARE_BUF_ENABLED) */ + + /* User code required at start of ISR */ + /* `#START SD_RX_ISR_START` */ + + /* `#END` */ + + #if(SD_RX_SOFTWARE_BUF_ENABLED) + + tmpStatus = SD_GET_STATUS_RX(SD_swStatusRx); + SD_swStatusRx = tmpStatus; + + /* Check if RX data FIFO has some data to be moved into the RX Buffer */ + while(0u != (SD_swStatusRx & SD_STS_RX_FIFO_NOT_EMPTY)) + { + rxData = CY_GET_REG8(SD_RXDATA_PTR); + + /* Set next pointer. */ + SD_rxBufferWrite++; + if(SD_rxBufferWrite >= SD_RX_BUFFER_SIZE) + { + SD_rxBufferWrite = 0u; + } + + if(SD_rxBufferWrite == SD_rxBufferRead) + { + SD_rxBufferRead++; + if(SD_rxBufferRead >= SD_RX_BUFFER_SIZE) + { + SD_rxBufferRead = 0u; + } + + SD_rxBufferFull = 1u; + } + + /* Move data from the FIFO to the Buffer */ + SD_rxBuffer[SD_rxBufferWrite] = rxData; + + tmpStatus = SD_GET_STATUS_RX(SD_swStatusRx); + SD_swStatusRx = tmpStatus; + } + + #endif /* (SD_RX_SOFTWARE_BUF_ENABLED) */ + + /* User code required at end of ISR (Optional) */ + /* `#START SD_RX_ISR_END` */ + + /* `#END` */ +} + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Init_Clk.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Init_Clk.c new file mode 100644 index 0000000..c893ef0 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Init_Clk.c @@ -0,0 +1,521 @@ +/******************************************************************************* +* File Name: SD_Init_Clk.c +* Version 2.0 +* +* Description: +* This file provides the source code to the API for the clock component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include +#include "SD_Init_Clk.h" + +/* Clock Distribution registers. */ +#define CLK_DIST_LD (* (reg8 *) CYREG_CLKDIST_LD) +#define CLK_DIST_BCFG2 (* (reg8 *) CYREG_CLKDIST_BCFG2) +#define BCFG2_MASK (0x80u) +#define CLK_DIST_DMASK (* (reg8 *) CYREG_CLKDIST_DMASK) +#define CLK_DIST_AMASK (* (reg8 *) CYREG_CLKDIST_AMASK) + +#define HAS_CLKDIST_LD_DISABLE (CY_PSOC3 || CY_PSOC5LP) + + +/******************************************************************************* +* Function Name: SD_Init_Clk_Start +******************************************************************************** +* +* Summary: +* Starts the clock. Note that on startup, clocks may be already running if the +* "Start on Reset" option is enabled in the DWR. +* +* Parameters: +* None +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Init_Clk_Start(void) +{ + /* Set the bit to enable the clock. */ + SD_Init_Clk_CLKEN |= SD_Init_Clk_CLKEN_MASK; + SD_Init_Clk_CLKSTBY |= SD_Init_Clk_CLKSTBY_MASK; +} + + +/******************************************************************************* +* Function Name: SD_Init_Clk_Stop +******************************************************************************** +* +* Summary: +* Stops the clock and returns immediately. This API does not require the +* source clock to be running but may return before the hardware is actually +* disabled. If the settings of the clock are changed after calling this +* function, the clock may glitch when it is started. To avoid the clock +* glitch, use the StopBlock function. +* +* Parameters: +* None +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Init_Clk_Stop(void) +{ + /* Clear the bit to disable the clock. */ + SD_Init_Clk_CLKEN &= (uint8)(~SD_Init_Clk_CLKEN_MASK); + SD_Init_Clk_CLKSTBY &= (uint8)(~SD_Init_Clk_CLKSTBY_MASK); +} + + +#if(CY_PSOC3 || CY_PSOC5LP) + + +/******************************************************************************* +* Function Name: SD_Init_Clk_StopBlock +******************************************************************************** +* +* Summary: +* Stops the clock and waits for the hardware to actually be disabled before +* returning. This ensures that the clock is never truncated (high part of the +* cycle will terminate before the clock is disabled and the API returns). +* Note that the source clock must be running or this API will never return as +* a stopped clock cannot be disabled. +* +* Parameters: +* None +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Init_Clk_StopBlock(void) +{ + if ((SD_Init_Clk_CLKEN & SD_Init_Clk_CLKEN_MASK) != 0u) + { +#if HAS_CLKDIST_LD_DISABLE + uint16 oldDivider; + + CLK_DIST_LD = 0u; + + /* Clear all the mask bits except ours. */ +#if defined(SD_Init_Clk__CFG3) + CLK_DIST_AMASK = SD_Init_Clk_CLKEN_MASK; + CLK_DIST_DMASK = 0x00u; +#else + CLK_DIST_DMASK = SD_Init_Clk_CLKEN_MASK; + CLK_DIST_AMASK = 0x00u; +#endif /* SD_Init_Clk__CFG3 */ + + /* Clear mask of bus clock. */ + CLK_DIST_BCFG2 &= (uint8)(~BCFG2_MASK); + + oldDivider = CY_GET_REG16(SD_Init_Clk_DIV_PTR); + CY_SET_REG16(CYREG_CLKDIST_WRK0, oldDivider); + CLK_DIST_LD = CYCLK_LD_DISABLE | CYCLK_LD_SYNC_EN | CYCLK_LD_LOAD; + + /* Wait for clock to be disabled */ + while ((CLK_DIST_LD & CYCLK_LD_LOAD) != 0u) { } +#endif /* HAS_CLKDIST_LD_DISABLE */ + + /* Clear the bit to disable the clock. */ + SD_Init_Clk_CLKEN &= (uint8)(~SD_Init_Clk_CLKEN_MASK); + SD_Init_Clk_CLKSTBY &= (uint8)(~SD_Init_Clk_CLKSTBY_MASK); + +#if HAS_CLKDIST_LD_DISABLE + /* Clear the disable bit */ + CLK_DIST_LD = 0x00u; + CY_SET_REG16(SD_Init_Clk_DIV_PTR, oldDivider); +#endif /* HAS_CLKDIST_LD_DISABLE */ + } +} +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + +/******************************************************************************* +* Function Name: SD_Init_Clk_StandbyPower +******************************************************************************** +* +* Summary: +* Sets whether the clock is active in standby mode. +* +* Parameters: +* state: 0 to disable clock during standby, nonzero to enable. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Init_Clk_StandbyPower(uint8 state) +{ + if(state == 0u) + { + SD_Init_Clk_CLKSTBY &= (uint8)(~SD_Init_Clk_CLKSTBY_MASK); + } + else + { + SD_Init_Clk_CLKSTBY |= SD_Init_Clk_CLKSTBY_MASK; + } +} + + +/******************************************************************************* +* Function Name: SD_Init_Clk_SetDividerRegister +******************************************************************************** +* +* Summary: +* Modifies the clock divider and, thus, the frequency. When the clock divider +* register is set to zero or changed from zero, the clock will be temporarily +* disabled in order to change the SSS mode bit. If the clock is enabled when +* SetDividerRegister is called, then the source clock must be running. +* +* Parameters: +* clkDivider: Divider register value (0-65,535). This value is NOT the +* divider; the clock hardware divides by clkDivider plus one. For example, +* to divide the clock by 2, this parameter should be set to 1. +* restart: If nonzero, restarts the clock divider: the current clock cycle +* will be truncated and the new divide value will take effect immediately. If +* zero, the new divide value will take effect at the end of the current clock +* cycle. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Init_Clk_SetDividerRegister(uint16 clkDivider, uint8 restart) + +{ + uint8 enabled; + + uint8 currSrc = SD_Init_Clk_GetSourceRegister(); + uint16 oldDivider = SD_Init_Clk_GetDividerRegister(); + + if (clkDivider != oldDivider) + { + enabled = SD_Init_Clk_CLKEN & SD_Init_Clk_CLKEN_MASK; + + if ((currSrc == (uint8)CYCLK_SRC_SEL_CLK_SYNC_D) && ((oldDivider == 0u) || (clkDivider == 0u))) + { + /* Moving to/from SSS requires correct ordering to prevent halting the clock */ + if (oldDivider == 0u) + { + /* Moving away from SSS, set the divider first so when SSS is cleared we */ + /* don't halt the clock. Using the shadow load isn't required as the */ + /* divider is ignored while SSS is set. */ + CY_SET_REG16(SD_Init_Clk_DIV_PTR, clkDivider); + SD_Init_Clk_MOD_SRC &= (uint8)(~CYCLK_SSS); + } + else + { + /* Moving to SSS, set SSS which then ignores the divider and we can set */ + /* it without bothering with the shadow load. */ + SD_Init_Clk_MOD_SRC |= CYCLK_SSS; + CY_SET_REG16(SD_Init_Clk_DIV_PTR, clkDivider); + } + } + else + { + + if (enabled != 0u) + { + CLK_DIST_LD = 0x00u; + + /* Clear all the mask bits except ours. */ +#if defined(SD_Init_Clk__CFG3) + CLK_DIST_AMASK = SD_Init_Clk_CLKEN_MASK; + CLK_DIST_DMASK = 0x00u; +#else + CLK_DIST_DMASK = SD_Init_Clk_CLKEN_MASK; + CLK_DIST_AMASK = 0x00u; +#endif /* SD_Init_Clk__CFG3 */ + /* Clear mask of bus clock. */ + CLK_DIST_BCFG2 &= (uint8)(~BCFG2_MASK); + + /* If clock is currently enabled, disable it if async or going from N-to-1*/ + if (((SD_Init_Clk_MOD_SRC & CYCLK_SYNC) == 0u) || (clkDivider == 0u)) + { +#if HAS_CLKDIST_LD_DISABLE + CY_SET_REG16(CYREG_CLKDIST_WRK0, oldDivider); + CLK_DIST_LD = CYCLK_LD_DISABLE|CYCLK_LD_SYNC_EN|CYCLK_LD_LOAD; + + /* Wait for clock to be disabled */ + while ((CLK_DIST_LD & CYCLK_LD_LOAD) != 0u) { } +#endif /* HAS_CLKDIST_LD_DISABLE */ + + SD_Init_Clk_CLKEN &= (uint8)(~SD_Init_Clk_CLKEN_MASK); + +#if HAS_CLKDIST_LD_DISABLE + /* Clear the disable bit */ + CLK_DIST_LD = 0x00u; +#endif /* HAS_CLKDIST_LD_DISABLE */ + } + } + + /* Load divide value. */ + if ((SD_Init_Clk_CLKEN & SD_Init_Clk_CLKEN_MASK) != 0u) + { + /* If the clock is still enabled, use the shadow registers */ + CY_SET_REG16(CYREG_CLKDIST_WRK0, clkDivider); + + CLK_DIST_LD = (CYCLK_LD_LOAD | ((restart != 0u) ? CYCLK_LD_SYNC_EN : 0x00u)); + while ((CLK_DIST_LD & CYCLK_LD_LOAD) != 0u) { } + } + else + { + /* If the clock is disabled, set the divider directly */ + CY_SET_REG16(SD_Init_Clk_DIV_PTR, clkDivider); + SD_Init_Clk_CLKEN |= enabled; + } + } + } +} + + +/******************************************************************************* +* Function Name: SD_Init_Clk_GetDividerRegister +******************************************************************************** +* +* Summary: +* Gets the clock divider register value. +* +* Parameters: +* None +* +* Returns: +* Divide value of the clock minus 1. For example, if the clock is set to +* divide by 2, the return value will be 1. +* +*******************************************************************************/ +uint16 SD_Init_Clk_GetDividerRegister(void) +{ + return CY_GET_REG16(SD_Init_Clk_DIV_PTR); +} + + +/******************************************************************************* +* Function Name: SD_Init_Clk_SetModeRegister +******************************************************************************** +* +* Summary: +* Sets flags that control the operating mode of the clock. This function only +* changes flags from 0 to 1; flags that are already 1 will remain unchanged. +* To clear flags, use the ClearModeRegister function. The clock must be +* disabled before changing the mode. +* +* Parameters: +* clkMode: Bit mask containing the bits to set. For PSoC 3 and PSoC 5, +* clkMode should be a set of the following optional bits or'ed together. +* - CYCLK_EARLY Enable early phase mode. Rising edge of output clock will +* occur when the divider count reaches half of the divide +* value. +* - CYCLK_DUTY Enable 50% duty cycle output. When enabled, the output clock +* is asserted for approximately half of its period. When +* disabled, the output clock is asserted for one period of the +* source clock. +* - CYCLK_SYNC Enable output synchronization to master clock. This should +* be enabled for all synchronous clocks. +* See the Technical Reference Manual for details about setting the mode of +* the clock. Specifically, see the CLKDIST.DCFG.CFG2 register. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Init_Clk_SetModeRegister(uint8 modeBitMask) +{ + SD_Init_Clk_MOD_SRC |= modeBitMask & (uint8)SD_Init_Clk_MODE_MASK; +} + + +/******************************************************************************* +* Function Name: SD_Init_Clk_ClearModeRegister +******************************************************************************** +* +* Summary: +* Clears flags that control the operating mode of the clock. This function +* only changes flags from 1 to 0; flags that are already 0 will remain +* unchanged. To set flags, use the SetModeRegister function. The clock must be +* disabled before changing the mode. +* +* Parameters: +* clkMode: Bit mask containing the bits to clear. For PSoC 3 and PSoC 5, +* clkMode should be a set of the following optional bits or'ed together. +* - CYCLK_EARLY Enable early phase mode. Rising edge of output clock will +* occur when the divider count reaches half of the divide +* value. +* - CYCLK_DUTY Enable 50% duty cycle output. When enabled, the output clock +* is asserted for approximately half of its period. When +* disabled, the output clock is asserted for one period of the +* source clock. +* - CYCLK_SYNC Enable output synchronization to master clock. This should +* be enabled for all synchronous clocks. +* See the Technical Reference Manual for details about setting the mode of +* the clock. Specifically, see the CLKDIST.DCFG.CFG2 register. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Init_Clk_ClearModeRegister(uint8 modeBitMask) +{ + SD_Init_Clk_MOD_SRC &= (uint8)(~modeBitMask) | (uint8)(~(uint8)(SD_Init_Clk_MODE_MASK)); +} + + +/******************************************************************************* +* Function Name: SD_Init_Clk_GetModeRegister +******************************************************************************** +* +* Summary: +* Gets the clock mode register value. +* +* Parameters: +* None +* +* Returns: +* Bit mask representing the enabled mode bits. See the SetModeRegister and +* ClearModeRegister descriptions for details about the mode bits. +* +*******************************************************************************/ +uint8 SD_Init_Clk_GetModeRegister(void) +{ + return SD_Init_Clk_MOD_SRC & (uint8)(SD_Init_Clk_MODE_MASK); +} + + +/******************************************************************************* +* Function Name: SD_Init_Clk_SetSourceRegister +******************************************************************************** +* +* Summary: +* Sets the input source of the clock. The clock must be disabled before +* changing the source. The old and new clock sources must be running. +* +* Parameters: +* clkSource: For PSoC 3 and PSoC 5 devices, clkSource should be one of the +* following input sources: +* - CYCLK_SRC_SEL_SYNC_DIG +* - CYCLK_SRC_SEL_IMO +* - CYCLK_SRC_SEL_XTALM +* - CYCLK_SRC_SEL_ILO +* - CYCLK_SRC_SEL_PLL +* - CYCLK_SRC_SEL_XTALK +* - CYCLK_SRC_SEL_DSI_G +* - CYCLK_SRC_SEL_DSI_D/CYCLK_SRC_SEL_DSI_A +* See the Technical Reference Manual for details on clock sources. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Init_Clk_SetSourceRegister(uint8 clkSource) +{ + uint16 currDiv = SD_Init_Clk_GetDividerRegister(); + uint8 oldSrc = SD_Init_Clk_GetSourceRegister(); + + if (((oldSrc != ((uint8)CYCLK_SRC_SEL_CLK_SYNC_D)) && + (clkSource == ((uint8)CYCLK_SRC_SEL_CLK_SYNC_D))) && (currDiv == 0u)) + { + /* Switching to Master and divider is 1, set SSS, which will output master, */ + /* then set the source so we are consistent. */ + SD_Init_Clk_MOD_SRC |= CYCLK_SSS; + SD_Init_Clk_MOD_SRC = + (SD_Init_Clk_MOD_SRC & (uint8)(~SD_Init_Clk_SRC_SEL_MSK)) | clkSource; + } + else if (((oldSrc == ((uint8)CYCLK_SRC_SEL_CLK_SYNC_D)) && + (clkSource != ((uint8)CYCLK_SRC_SEL_CLK_SYNC_D))) && (currDiv == 0u)) + { + /* Switching from Master to not and divider is 1, set source, so we don't */ + /* lock when we clear SSS. */ + SD_Init_Clk_MOD_SRC = + (SD_Init_Clk_MOD_SRC & (uint8)(~SD_Init_Clk_SRC_SEL_MSK)) | clkSource; + SD_Init_Clk_MOD_SRC &= (uint8)(~CYCLK_SSS); + } + else + { + SD_Init_Clk_MOD_SRC = + (SD_Init_Clk_MOD_SRC & (uint8)(~SD_Init_Clk_SRC_SEL_MSK)) | clkSource; + } +} + + +/******************************************************************************* +* Function Name: SD_Init_Clk_GetSourceRegister +******************************************************************************** +* +* Summary: +* Gets the input source of the clock. +* +* Parameters: +* None +* +* Returns: +* The input source of the clock. See SetSourceRegister for details. +* +*******************************************************************************/ +uint8 SD_Init_Clk_GetSourceRegister(void) +{ + return SD_Init_Clk_MOD_SRC & SD_Init_Clk_SRC_SEL_MSK; +} + + +#if defined(SD_Init_Clk__CFG3) + + +/******************************************************************************* +* Function Name: SD_Init_Clk_SetPhaseRegister +******************************************************************************** +* +* Summary: +* Sets the phase delay of the analog clock. This function is only available +* for analog clocks. The clock must be disabled before changing the phase +* delay to avoid glitches. +* +* Parameters: +* clkPhase: Amount to delay the phase of the clock, in 1.0ns increments. +* clkPhase must be from 1 to 11 inclusive. Other values, including 0, +* disable the clock. clkPhase = 1 produces a 0ns delay and clkPhase = 11 +* produces a 10ns delay. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_Init_Clk_SetPhaseRegister(uint8 clkPhase) +{ + SD_Init_Clk_PHASE = clkPhase & SD_Init_Clk_PHASE_MASK; +} + + +/******************************************************************************* +* Function Name: SD_Init_Clk_GetPhase +******************************************************************************** +* +* Summary: +* Gets the phase delay of the analog clock. This function is only available +* for analog clocks. +* +* Parameters: +* None +* +* Returns: +* Phase of the analog clock. See SetPhaseRegister for details. +* +*******************************************************************************/ +uint8 SD_Init_Clk_GetPhaseRegister(void) +{ + return SD_Init_Clk_PHASE & SD_Init_Clk_PHASE_MASK; +} + +#endif /* SD_Init_Clk__CFG3 */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Init_Clk.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Init_Clk.h new file mode 100644 index 0000000..04adc2a --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_Init_Clk.h @@ -0,0 +1,124 @@ +/******************************************************************************* +* File Name: SD_Init_Clk.h +* Version 2.0 +* +* Description: +* Provides the function and constant definitions for the clock component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_CLOCK_SD_Init_Clk_H) +#define CY_CLOCK_SD_Init_Clk_H + +#include +#include + + +/*************************************** +* Conditional Compilation Parameters +***************************************/ + +/* Check to see if required defines such as CY_PSOC5LP are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5LP) + #error Component cy_clock_v2_0 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5LP) */ + + +/*************************************** +* Function Prototypes +***************************************/ + +void SD_Init_Clk_Start(void) ; +void SD_Init_Clk_Stop(void) ; + +#if(CY_PSOC3 || CY_PSOC5LP) +void SD_Init_Clk_StopBlock(void) ; +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + +void SD_Init_Clk_StandbyPower(uint8 state) ; +void SD_Init_Clk_SetDividerRegister(uint16 clkDivider, uint8 restart) + ; +uint16 SD_Init_Clk_GetDividerRegister(void) ; +void SD_Init_Clk_SetModeRegister(uint8 modeBitMask) ; +void SD_Init_Clk_ClearModeRegister(uint8 modeBitMask) ; +uint8 SD_Init_Clk_GetModeRegister(void) ; +void SD_Init_Clk_SetSourceRegister(uint8 clkSource) ; +uint8 SD_Init_Clk_GetSourceRegister(void) ; +#if defined(SD_Init_Clk__CFG3) +void SD_Init_Clk_SetPhaseRegister(uint8 clkPhase) ; +uint8 SD_Init_Clk_GetPhaseRegister(void) ; +#endif /* defined(SD_Init_Clk__CFG3) */ + +#define SD_Init_Clk_Enable() SD_Init_Clk_Start() +#define SD_Init_Clk_Disable() SD_Init_Clk_Stop() +#define SD_Init_Clk_SetDivider(clkDivider) SD_Init_Clk_SetDividerRegister(clkDivider, 1) +#define SD_Init_Clk_SetDividerValue(clkDivider) SD_Init_Clk_SetDividerRegister((clkDivider) - 1, 1) +#define SD_Init_Clk_SetMode(clkMode) SD_Init_Clk_SetModeRegister(clkMode) +#define SD_Init_Clk_SetSource(clkSource) SD_Init_Clk_SetSourceRegister(clkSource) +#if defined(SD_Init_Clk__CFG3) +#define SD_Init_Clk_SetPhase(clkPhase) SD_Init_Clk_SetPhaseRegister(clkPhase) +#define SD_Init_Clk_SetPhaseValue(clkPhase) SD_Init_Clk_SetPhaseRegister((clkPhase) + 1) +#endif /* defined(SD_Init_Clk__CFG3) */ + + +/*************************************** +* Registers +***************************************/ + +/* Register to enable or disable the clock */ +#define SD_Init_Clk_CLKEN (* (reg8 *) SD_Init_Clk__PM_ACT_CFG) +#define SD_Init_Clk_CLKEN_PTR ((reg8 *) SD_Init_Clk__PM_ACT_CFG) + +/* Register to enable or disable the clock */ +#define SD_Init_Clk_CLKSTBY (* (reg8 *) SD_Init_Clk__PM_STBY_CFG) +#define SD_Init_Clk_CLKSTBY_PTR ((reg8 *) SD_Init_Clk__PM_STBY_CFG) + +/* Clock LSB divider configuration register. */ +#define SD_Init_Clk_DIV_LSB (* (reg8 *) SD_Init_Clk__CFG0) +#define SD_Init_Clk_DIV_LSB_PTR ((reg8 *) SD_Init_Clk__CFG0) +#define SD_Init_Clk_DIV_PTR ((reg16 *) SD_Init_Clk__CFG0) + +/* Clock MSB divider configuration register. */ +#define SD_Init_Clk_DIV_MSB (* (reg8 *) SD_Init_Clk__CFG1) +#define SD_Init_Clk_DIV_MSB_PTR ((reg8 *) SD_Init_Clk__CFG1) + +/* Mode and source configuration register */ +#define SD_Init_Clk_MOD_SRC (* (reg8 *) SD_Init_Clk__CFG2) +#define SD_Init_Clk_MOD_SRC_PTR ((reg8 *) SD_Init_Clk__CFG2) + +#if defined(SD_Init_Clk__CFG3) +/* Analog clock phase configuration register */ +#define SD_Init_Clk_PHASE (* (reg8 *) SD_Init_Clk__CFG3) +#define SD_Init_Clk_PHASE_PTR ((reg8 *) SD_Init_Clk__CFG3) +#endif /* defined(SD_Init_Clk__CFG3) */ + + +/************************************** +* Register Constants +**************************************/ + +/* Power manager register masks */ +#define SD_Init_Clk_CLKEN_MASK SD_Init_Clk__PM_ACT_MSK +#define SD_Init_Clk_CLKSTBY_MASK SD_Init_Clk__PM_STBY_MSK + +/* CFG2 field masks */ +#define SD_Init_Clk_SRC_SEL_MSK SD_Init_Clk__CFG2_SRC_SEL_MASK +#define SD_Init_Clk_MODE_MASK (~(SD_Init_Clk_SRC_SEL_MSK)) + +#if defined(SD_Init_Clk__CFG3) +/* CFG3 phase mask */ +#define SD_Init_Clk_PHASE_MASK SD_Init_Clk__CFG3_PHASE_DLY_MASK +#endif /* defined(SD_Init_Clk__CFG3) */ + +#endif /* CY_CLOCK_SD_Init_Clk_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_IntClock.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_IntClock.c new file mode 100644 index 0000000..8848744 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_IntClock.c @@ -0,0 +1,521 @@ +/******************************************************************************* +* File Name: SD_IntClock.c +* Version 2.0 +* +* Description: +* This file provides the source code to the API for the clock component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include +#include "SD_IntClock.h" + +/* Clock Distribution registers. */ +#define CLK_DIST_LD (* (reg8 *) CYREG_CLKDIST_LD) +#define CLK_DIST_BCFG2 (* (reg8 *) CYREG_CLKDIST_BCFG2) +#define BCFG2_MASK (0x80u) +#define CLK_DIST_DMASK (* (reg8 *) CYREG_CLKDIST_DMASK) +#define CLK_DIST_AMASK (* (reg8 *) CYREG_CLKDIST_AMASK) + +#define HAS_CLKDIST_LD_DISABLE (CY_PSOC3 || CY_PSOC5LP) + + +/******************************************************************************* +* Function Name: SD_IntClock_Start +******************************************************************************** +* +* Summary: +* Starts the clock. Note that on startup, clocks may be already running if the +* "Start on Reset" option is enabled in the DWR. +* +* Parameters: +* None +* +* Returns: +* None +* +*******************************************************************************/ +void SD_IntClock_Start(void) +{ + /* Set the bit to enable the clock. */ + SD_IntClock_CLKEN |= SD_IntClock_CLKEN_MASK; + SD_IntClock_CLKSTBY |= SD_IntClock_CLKSTBY_MASK; +} + + +/******************************************************************************* +* Function Name: SD_IntClock_Stop +******************************************************************************** +* +* Summary: +* Stops the clock and returns immediately. This API does not require the +* source clock to be running but may return before the hardware is actually +* disabled. If the settings of the clock are changed after calling this +* function, the clock may glitch when it is started. To avoid the clock +* glitch, use the StopBlock function. +* +* Parameters: +* None +* +* Returns: +* None +* +*******************************************************************************/ +void SD_IntClock_Stop(void) +{ + /* Clear the bit to disable the clock. */ + SD_IntClock_CLKEN &= (uint8)(~SD_IntClock_CLKEN_MASK); + SD_IntClock_CLKSTBY &= (uint8)(~SD_IntClock_CLKSTBY_MASK); +} + + +#if(CY_PSOC3 || CY_PSOC5LP) + + +/******************************************************************************* +* Function Name: SD_IntClock_StopBlock +******************************************************************************** +* +* Summary: +* Stops the clock and waits for the hardware to actually be disabled before +* returning. This ensures that the clock is never truncated (high part of the +* cycle will terminate before the clock is disabled and the API returns). +* Note that the source clock must be running or this API will never return as +* a stopped clock cannot be disabled. +* +* Parameters: +* None +* +* Returns: +* None +* +*******************************************************************************/ +void SD_IntClock_StopBlock(void) +{ + if ((SD_IntClock_CLKEN & SD_IntClock_CLKEN_MASK) != 0u) + { +#if HAS_CLKDIST_LD_DISABLE + uint16 oldDivider; + + CLK_DIST_LD = 0u; + + /* Clear all the mask bits except ours. */ +#if defined(SD_IntClock__CFG3) + CLK_DIST_AMASK = SD_IntClock_CLKEN_MASK; + CLK_DIST_DMASK = 0x00u; +#else + CLK_DIST_DMASK = SD_IntClock_CLKEN_MASK; + CLK_DIST_AMASK = 0x00u; +#endif /* SD_IntClock__CFG3 */ + + /* Clear mask of bus clock. */ + CLK_DIST_BCFG2 &= (uint8)(~BCFG2_MASK); + + oldDivider = CY_GET_REG16(SD_IntClock_DIV_PTR); + CY_SET_REG16(CYREG_CLKDIST_WRK0, oldDivider); + CLK_DIST_LD = CYCLK_LD_DISABLE | CYCLK_LD_SYNC_EN | CYCLK_LD_LOAD; + + /* Wait for clock to be disabled */ + while ((CLK_DIST_LD & CYCLK_LD_LOAD) != 0u) { } +#endif /* HAS_CLKDIST_LD_DISABLE */ + + /* Clear the bit to disable the clock. */ + SD_IntClock_CLKEN &= (uint8)(~SD_IntClock_CLKEN_MASK); + SD_IntClock_CLKSTBY &= (uint8)(~SD_IntClock_CLKSTBY_MASK); + +#if HAS_CLKDIST_LD_DISABLE + /* Clear the disable bit */ + CLK_DIST_LD = 0x00u; + CY_SET_REG16(SD_IntClock_DIV_PTR, oldDivider); +#endif /* HAS_CLKDIST_LD_DISABLE */ + } +} +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + +/******************************************************************************* +* Function Name: SD_IntClock_StandbyPower +******************************************************************************** +* +* Summary: +* Sets whether the clock is active in standby mode. +* +* Parameters: +* state: 0 to disable clock during standby, nonzero to enable. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_IntClock_StandbyPower(uint8 state) +{ + if(state == 0u) + { + SD_IntClock_CLKSTBY &= (uint8)(~SD_IntClock_CLKSTBY_MASK); + } + else + { + SD_IntClock_CLKSTBY |= SD_IntClock_CLKSTBY_MASK; + } +} + + +/******************************************************************************* +* Function Name: SD_IntClock_SetDividerRegister +******************************************************************************** +* +* Summary: +* Modifies the clock divider and, thus, the frequency. When the clock divider +* register is set to zero or changed from zero, the clock will be temporarily +* disabled in order to change the SSS mode bit. If the clock is enabled when +* SetDividerRegister is called, then the source clock must be running. +* +* Parameters: +* clkDivider: Divider register value (0-65,535). This value is NOT the +* divider; the clock hardware divides by clkDivider plus one. For example, +* to divide the clock by 2, this parameter should be set to 1. +* restart: If nonzero, restarts the clock divider: the current clock cycle +* will be truncated and the new divide value will take effect immediately. If +* zero, the new divide value will take effect at the end of the current clock +* cycle. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_IntClock_SetDividerRegister(uint16 clkDivider, uint8 restart) + +{ + uint8 enabled; + + uint8 currSrc = SD_IntClock_GetSourceRegister(); + uint16 oldDivider = SD_IntClock_GetDividerRegister(); + + if (clkDivider != oldDivider) + { + enabled = SD_IntClock_CLKEN & SD_IntClock_CLKEN_MASK; + + if ((currSrc == (uint8)CYCLK_SRC_SEL_CLK_SYNC_D) && ((oldDivider == 0u) || (clkDivider == 0u))) + { + /* Moving to/from SSS requires correct ordering to prevent halting the clock */ + if (oldDivider == 0u) + { + /* Moving away from SSS, set the divider first so when SSS is cleared we */ + /* don't halt the clock. Using the shadow load isn't required as the */ + /* divider is ignored while SSS is set. */ + CY_SET_REG16(SD_IntClock_DIV_PTR, clkDivider); + SD_IntClock_MOD_SRC &= (uint8)(~CYCLK_SSS); + } + else + { + /* Moving to SSS, set SSS which then ignores the divider and we can set */ + /* it without bothering with the shadow load. */ + SD_IntClock_MOD_SRC |= CYCLK_SSS; + CY_SET_REG16(SD_IntClock_DIV_PTR, clkDivider); + } + } + else + { + + if (enabled != 0u) + { + CLK_DIST_LD = 0x00u; + + /* Clear all the mask bits except ours. */ +#if defined(SD_IntClock__CFG3) + CLK_DIST_AMASK = SD_IntClock_CLKEN_MASK; + CLK_DIST_DMASK = 0x00u; +#else + CLK_DIST_DMASK = SD_IntClock_CLKEN_MASK; + CLK_DIST_AMASK = 0x00u; +#endif /* SD_IntClock__CFG3 */ + /* Clear mask of bus clock. */ + CLK_DIST_BCFG2 &= (uint8)(~BCFG2_MASK); + + /* If clock is currently enabled, disable it if async or going from N-to-1*/ + if (((SD_IntClock_MOD_SRC & CYCLK_SYNC) == 0u) || (clkDivider == 0u)) + { +#if HAS_CLKDIST_LD_DISABLE + CY_SET_REG16(CYREG_CLKDIST_WRK0, oldDivider); + CLK_DIST_LD = CYCLK_LD_DISABLE|CYCLK_LD_SYNC_EN|CYCLK_LD_LOAD; + + /* Wait for clock to be disabled */ + while ((CLK_DIST_LD & CYCLK_LD_LOAD) != 0u) { } +#endif /* HAS_CLKDIST_LD_DISABLE */ + + SD_IntClock_CLKEN &= (uint8)(~SD_IntClock_CLKEN_MASK); + +#if HAS_CLKDIST_LD_DISABLE + /* Clear the disable bit */ + CLK_DIST_LD = 0x00u; +#endif /* HAS_CLKDIST_LD_DISABLE */ + } + } + + /* Load divide value. */ + if ((SD_IntClock_CLKEN & SD_IntClock_CLKEN_MASK) != 0u) + { + /* If the clock is still enabled, use the shadow registers */ + CY_SET_REG16(CYREG_CLKDIST_WRK0, clkDivider); + + CLK_DIST_LD = (CYCLK_LD_LOAD | ((restart != 0u) ? CYCLK_LD_SYNC_EN : 0x00u)); + while ((CLK_DIST_LD & CYCLK_LD_LOAD) != 0u) { } + } + else + { + /* If the clock is disabled, set the divider directly */ + CY_SET_REG16(SD_IntClock_DIV_PTR, clkDivider); + SD_IntClock_CLKEN |= enabled; + } + } + } +} + + +/******************************************************************************* +* Function Name: SD_IntClock_GetDividerRegister +******************************************************************************** +* +* Summary: +* Gets the clock divider register value. +* +* Parameters: +* None +* +* Returns: +* Divide value of the clock minus 1. For example, if the clock is set to +* divide by 2, the return value will be 1. +* +*******************************************************************************/ +uint16 SD_IntClock_GetDividerRegister(void) +{ + return CY_GET_REG16(SD_IntClock_DIV_PTR); +} + + +/******************************************************************************* +* Function Name: SD_IntClock_SetModeRegister +******************************************************************************** +* +* Summary: +* Sets flags that control the operating mode of the clock. This function only +* changes flags from 0 to 1; flags that are already 1 will remain unchanged. +* To clear flags, use the ClearModeRegister function. The clock must be +* disabled before changing the mode. +* +* Parameters: +* clkMode: Bit mask containing the bits to set. For PSoC 3 and PSoC 5, +* clkMode should be a set of the following optional bits or'ed together. +* - CYCLK_EARLY Enable early phase mode. Rising edge of output clock will +* occur when the divider count reaches half of the divide +* value. +* - CYCLK_DUTY Enable 50% duty cycle output. When enabled, the output clock +* is asserted for approximately half of its period. When +* disabled, the output clock is asserted for one period of the +* source clock. +* - CYCLK_SYNC Enable output synchronization to master clock. This should +* be enabled for all synchronous clocks. +* See the Technical Reference Manual for details about setting the mode of +* the clock. Specifically, see the CLKDIST.DCFG.CFG2 register. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_IntClock_SetModeRegister(uint8 modeBitMask) +{ + SD_IntClock_MOD_SRC |= modeBitMask & (uint8)SD_IntClock_MODE_MASK; +} + + +/******************************************************************************* +* Function Name: SD_IntClock_ClearModeRegister +******************************************************************************** +* +* Summary: +* Clears flags that control the operating mode of the clock. This function +* only changes flags from 1 to 0; flags that are already 0 will remain +* unchanged. To set flags, use the SetModeRegister function. The clock must be +* disabled before changing the mode. +* +* Parameters: +* clkMode: Bit mask containing the bits to clear. For PSoC 3 and PSoC 5, +* clkMode should be a set of the following optional bits or'ed together. +* - CYCLK_EARLY Enable early phase mode. Rising edge of output clock will +* occur when the divider count reaches half of the divide +* value. +* - CYCLK_DUTY Enable 50% duty cycle output. When enabled, the output clock +* is asserted for approximately half of its period. When +* disabled, the output clock is asserted for one period of the +* source clock. +* - CYCLK_SYNC Enable output synchronization to master clock. This should +* be enabled for all synchronous clocks. +* See the Technical Reference Manual for details about setting the mode of +* the clock. Specifically, see the CLKDIST.DCFG.CFG2 register. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_IntClock_ClearModeRegister(uint8 modeBitMask) +{ + SD_IntClock_MOD_SRC &= (uint8)(~modeBitMask) | (uint8)(~(uint8)(SD_IntClock_MODE_MASK)); +} + + +/******************************************************************************* +* Function Name: SD_IntClock_GetModeRegister +******************************************************************************** +* +* Summary: +* Gets the clock mode register value. +* +* Parameters: +* None +* +* Returns: +* Bit mask representing the enabled mode bits. See the SetModeRegister and +* ClearModeRegister descriptions for details about the mode bits. +* +*******************************************************************************/ +uint8 SD_IntClock_GetModeRegister(void) +{ + return SD_IntClock_MOD_SRC & (uint8)(SD_IntClock_MODE_MASK); +} + + +/******************************************************************************* +* Function Name: SD_IntClock_SetSourceRegister +******************************************************************************** +* +* Summary: +* Sets the input source of the clock. The clock must be disabled before +* changing the source. The old and new clock sources must be running. +* +* Parameters: +* clkSource: For PSoC 3 and PSoC 5 devices, clkSource should be one of the +* following input sources: +* - CYCLK_SRC_SEL_SYNC_DIG +* - CYCLK_SRC_SEL_IMO +* - CYCLK_SRC_SEL_XTALM +* - CYCLK_SRC_SEL_ILO +* - CYCLK_SRC_SEL_PLL +* - CYCLK_SRC_SEL_XTALK +* - CYCLK_SRC_SEL_DSI_G +* - CYCLK_SRC_SEL_DSI_D/CYCLK_SRC_SEL_DSI_A +* See the Technical Reference Manual for details on clock sources. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_IntClock_SetSourceRegister(uint8 clkSource) +{ + uint16 currDiv = SD_IntClock_GetDividerRegister(); + uint8 oldSrc = SD_IntClock_GetSourceRegister(); + + if (((oldSrc != ((uint8)CYCLK_SRC_SEL_CLK_SYNC_D)) && + (clkSource == ((uint8)CYCLK_SRC_SEL_CLK_SYNC_D))) && (currDiv == 0u)) + { + /* Switching to Master and divider is 1, set SSS, which will output master, */ + /* then set the source so we are consistent. */ + SD_IntClock_MOD_SRC |= CYCLK_SSS; + SD_IntClock_MOD_SRC = + (SD_IntClock_MOD_SRC & (uint8)(~SD_IntClock_SRC_SEL_MSK)) | clkSource; + } + else if (((oldSrc == ((uint8)CYCLK_SRC_SEL_CLK_SYNC_D)) && + (clkSource != ((uint8)CYCLK_SRC_SEL_CLK_SYNC_D))) && (currDiv == 0u)) + { + /* Switching from Master to not and divider is 1, set source, so we don't */ + /* lock when we clear SSS. */ + SD_IntClock_MOD_SRC = + (SD_IntClock_MOD_SRC & (uint8)(~SD_IntClock_SRC_SEL_MSK)) | clkSource; + SD_IntClock_MOD_SRC &= (uint8)(~CYCLK_SSS); + } + else + { + SD_IntClock_MOD_SRC = + (SD_IntClock_MOD_SRC & (uint8)(~SD_IntClock_SRC_SEL_MSK)) | clkSource; + } +} + + +/******************************************************************************* +* Function Name: SD_IntClock_GetSourceRegister +******************************************************************************** +* +* Summary: +* Gets the input source of the clock. +* +* Parameters: +* None +* +* Returns: +* The input source of the clock. See SetSourceRegister for details. +* +*******************************************************************************/ +uint8 SD_IntClock_GetSourceRegister(void) +{ + return SD_IntClock_MOD_SRC & SD_IntClock_SRC_SEL_MSK; +} + + +#if defined(SD_IntClock__CFG3) + + +/******************************************************************************* +* Function Name: SD_IntClock_SetPhaseRegister +******************************************************************************** +* +* Summary: +* Sets the phase delay of the analog clock. This function is only available +* for analog clocks. The clock must be disabled before changing the phase +* delay to avoid glitches. +* +* Parameters: +* clkPhase: Amount to delay the phase of the clock, in 1.0ns increments. +* clkPhase must be from 1 to 11 inclusive. Other values, including 0, +* disable the clock. clkPhase = 1 produces a 0ns delay and clkPhase = 11 +* produces a 10ns delay. +* +* Returns: +* None +* +*******************************************************************************/ +void SD_IntClock_SetPhaseRegister(uint8 clkPhase) +{ + SD_IntClock_PHASE = clkPhase & SD_IntClock_PHASE_MASK; +} + + +/******************************************************************************* +* Function Name: SD_IntClock_GetPhase +******************************************************************************** +* +* Summary: +* Gets the phase delay of the analog clock. This function is only available +* for analog clocks. +* +* Parameters: +* None +* +* Returns: +* Phase of the analog clock. See SetPhaseRegister for details. +* +*******************************************************************************/ +uint8 SD_IntClock_GetPhaseRegister(void) +{ + return SD_IntClock_PHASE & SD_IntClock_PHASE_MASK; +} + +#endif /* SD_IntClock__CFG3 */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_IntClock.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_IntClock.h new file mode 100644 index 0000000..df76982 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_IntClock.h @@ -0,0 +1,124 @@ +/******************************************************************************* +* File Name: SD_IntClock.h +* Version 2.0 +* +* Description: +* Provides the function and constant definitions for the clock component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_CLOCK_SD_IntClock_H) +#define CY_CLOCK_SD_IntClock_H + +#include +#include + + +/*************************************** +* Conditional Compilation Parameters +***************************************/ + +/* Check to see if required defines such as CY_PSOC5LP are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5LP) + #error Component cy_clock_v2_0 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5LP) */ + + +/*************************************** +* Function Prototypes +***************************************/ + +void SD_IntClock_Start(void) ; +void SD_IntClock_Stop(void) ; + +#if(CY_PSOC3 || CY_PSOC5LP) +void SD_IntClock_StopBlock(void) ; +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + +void SD_IntClock_StandbyPower(uint8 state) ; +void SD_IntClock_SetDividerRegister(uint16 clkDivider, uint8 restart) + ; +uint16 SD_IntClock_GetDividerRegister(void) ; +void SD_IntClock_SetModeRegister(uint8 modeBitMask) ; +void SD_IntClock_ClearModeRegister(uint8 modeBitMask) ; +uint8 SD_IntClock_GetModeRegister(void) ; +void SD_IntClock_SetSourceRegister(uint8 clkSource) ; +uint8 SD_IntClock_GetSourceRegister(void) ; +#if defined(SD_IntClock__CFG3) +void SD_IntClock_SetPhaseRegister(uint8 clkPhase) ; +uint8 SD_IntClock_GetPhaseRegister(void) ; +#endif /* defined(SD_IntClock__CFG3) */ + +#define SD_IntClock_Enable() SD_IntClock_Start() +#define SD_IntClock_Disable() SD_IntClock_Stop() +#define SD_IntClock_SetDivider(clkDivider) SD_IntClock_SetDividerRegister(clkDivider, 1) +#define SD_IntClock_SetDividerValue(clkDivider) SD_IntClock_SetDividerRegister((clkDivider) - 1, 1) +#define SD_IntClock_SetMode(clkMode) SD_IntClock_SetModeRegister(clkMode) +#define SD_IntClock_SetSource(clkSource) SD_IntClock_SetSourceRegister(clkSource) +#if defined(SD_IntClock__CFG3) +#define SD_IntClock_SetPhase(clkPhase) SD_IntClock_SetPhaseRegister(clkPhase) +#define SD_IntClock_SetPhaseValue(clkPhase) SD_IntClock_SetPhaseRegister((clkPhase) + 1) +#endif /* defined(SD_IntClock__CFG3) */ + + +/*************************************** +* Registers +***************************************/ + +/* Register to enable or disable the clock */ +#define SD_IntClock_CLKEN (* (reg8 *) SD_IntClock__PM_ACT_CFG) +#define SD_IntClock_CLKEN_PTR ((reg8 *) SD_IntClock__PM_ACT_CFG) + +/* Register to enable or disable the clock */ +#define SD_IntClock_CLKSTBY (* (reg8 *) SD_IntClock__PM_STBY_CFG) +#define SD_IntClock_CLKSTBY_PTR ((reg8 *) SD_IntClock__PM_STBY_CFG) + +/* Clock LSB divider configuration register. */ +#define SD_IntClock_DIV_LSB (* (reg8 *) SD_IntClock__CFG0) +#define SD_IntClock_DIV_LSB_PTR ((reg8 *) SD_IntClock__CFG0) +#define SD_IntClock_DIV_PTR ((reg16 *) SD_IntClock__CFG0) + +/* Clock MSB divider configuration register. */ +#define SD_IntClock_DIV_MSB (* (reg8 *) SD_IntClock__CFG1) +#define SD_IntClock_DIV_MSB_PTR ((reg8 *) SD_IntClock__CFG1) + +/* Mode and source configuration register */ +#define SD_IntClock_MOD_SRC (* (reg8 *) SD_IntClock__CFG2) +#define SD_IntClock_MOD_SRC_PTR ((reg8 *) SD_IntClock__CFG2) + +#if defined(SD_IntClock__CFG3) +/* Analog clock phase configuration register */ +#define SD_IntClock_PHASE (* (reg8 *) SD_IntClock__CFG3) +#define SD_IntClock_PHASE_PTR ((reg8 *) SD_IntClock__CFG3) +#endif /* defined(SD_IntClock__CFG3) */ + + +/************************************** +* Register Constants +**************************************/ + +/* Power manager register masks */ +#define SD_IntClock_CLKEN_MASK SD_IntClock__PM_ACT_MSK +#define SD_IntClock_CLKSTBY_MASK SD_IntClock__PM_STBY_MSK + +/* CFG2 field masks */ +#define SD_IntClock_SRC_SEL_MSK SD_IntClock__CFG2_SRC_SEL_MASK +#define SD_IntClock_MODE_MASK (~(SD_IntClock_SRC_SEL_MSK)) + +#if defined(SD_IntClock__CFG3) +/* CFG3 phase mask */ +#define SD_IntClock_PHASE_MASK SD_IntClock__CFG3_PHASE_DLY_MASK +#endif /* defined(SD_IntClock__CFG3) */ + +#endif /* CY_CLOCK_SD_IntClock_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MISO.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MISO.c new file mode 100644 index 0000000..5a3ec62 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MISO.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: SD_MISO.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "SD_MISO.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + SD_MISO__PORT == 15 && ((SD_MISO__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: SD_MISO_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void SD_MISO_Write(uint8 value) +{ + uint8 staticBits = (SD_MISO_DR & (uint8)(~SD_MISO_MASK)); + SD_MISO_DR = staticBits | ((uint8)(value << SD_MISO_SHIFT) & SD_MISO_MASK); +} + + +/******************************************************************************* +* Function Name: SD_MISO_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void SD_MISO_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(SD_MISO_0, mode); +} + + +/******************************************************************************* +* Function Name: SD_MISO_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro SD_MISO_ReadPS calls this function. +* +*******************************************************************************/ +uint8 SD_MISO_Read(void) +{ + return (SD_MISO_PS & SD_MISO_MASK) >> SD_MISO_SHIFT; +} + + +/******************************************************************************* +* Function Name: SD_MISO_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 SD_MISO_ReadDataReg(void) +{ + return (SD_MISO_DR & SD_MISO_MASK) >> SD_MISO_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(SD_MISO_INTSTAT) + + /******************************************************************************* + * Function Name: SD_MISO_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 SD_MISO_ClearInterrupt(void) + { + return (SD_MISO_INTSTAT & SD_MISO_MASK) >> SD_MISO_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MISO.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MISO.h new file mode 100644 index 0000000..e36fa07 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MISO.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: SD_MISO.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_MISO_H) /* Pins SD_MISO_H */ +#define CY_PINS_SD_MISO_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "SD_MISO_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + SD_MISO__PORT == 15 && ((SD_MISO__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void SD_MISO_Write(uint8 value) ; +void SD_MISO_SetDriveMode(uint8 mode) ; +uint8 SD_MISO_ReadDataReg(void) ; +uint8 SD_MISO_Read(void) ; +uint8 SD_MISO_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define SD_MISO_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define SD_MISO_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define SD_MISO_DM_RES_UP PIN_DM_RES_UP +#define SD_MISO_DM_RES_DWN PIN_DM_RES_DWN +#define SD_MISO_DM_OD_LO PIN_DM_OD_LO +#define SD_MISO_DM_OD_HI PIN_DM_OD_HI +#define SD_MISO_DM_STRONG PIN_DM_STRONG +#define SD_MISO_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define SD_MISO_MASK SD_MISO__MASK +#define SD_MISO_SHIFT SD_MISO__SHIFT +#define SD_MISO_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define SD_MISO_PS (* (reg8 *) SD_MISO__PS) +/* Data Register */ +#define SD_MISO_DR (* (reg8 *) SD_MISO__DR) +/* Port Number */ +#define SD_MISO_PRT_NUM (* (reg8 *) SD_MISO__PRT) +/* Connect to Analog Globals */ +#define SD_MISO_AG (* (reg8 *) SD_MISO__AG) +/* Analog MUX bux enable */ +#define SD_MISO_AMUX (* (reg8 *) SD_MISO__AMUX) +/* Bidirectional Enable */ +#define SD_MISO_BIE (* (reg8 *) SD_MISO__BIE) +/* Bit-mask for Aliased Register Access */ +#define SD_MISO_BIT_MASK (* (reg8 *) SD_MISO__BIT_MASK) +/* Bypass Enable */ +#define SD_MISO_BYP (* (reg8 *) SD_MISO__BYP) +/* Port wide control signals */ +#define SD_MISO_CTL (* (reg8 *) SD_MISO__CTL) +/* Drive Modes */ +#define SD_MISO_DM0 (* (reg8 *) SD_MISO__DM0) +#define SD_MISO_DM1 (* (reg8 *) SD_MISO__DM1) +#define SD_MISO_DM2 (* (reg8 *) SD_MISO__DM2) +/* Input Buffer Disable Override */ +#define SD_MISO_INP_DIS (* (reg8 *) SD_MISO__INP_DIS) +/* LCD Common or Segment Drive */ +#define SD_MISO_LCD_COM_SEG (* (reg8 *) SD_MISO__LCD_COM_SEG) +/* Enable Segment LCD */ +#define SD_MISO_LCD_EN (* (reg8 *) SD_MISO__LCD_EN) +/* Slew Rate Control */ +#define SD_MISO_SLW (* (reg8 *) SD_MISO__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define SD_MISO_PRTDSI__CAPS_SEL (* (reg8 *) SD_MISO__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define SD_MISO_PRTDSI__DBL_SYNC_IN (* (reg8 *) SD_MISO__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define SD_MISO_PRTDSI__OE_SEL0 (* (reg8 *) SD_MISO__PRTDSI__OE_SEL0) +#define SD_MISO_PRTDSI__OE_SEL1 (* (reg8 *) SD_MISO__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define SD_MISO_PRTDSI__OUT_SEL0 (* (reg8 *) SD_MISO__PRTDSI__OUT_SEL0) +#define SD_MISO_PRTDSI__OUT_SEL1 (* (reg8 *) SD_MISO__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define SD_MISO_PRTDSI__SYNC_OUT (* (reg8 *) SD_MISO__PRTDSI__SYNC_OUT) + + +#if defined(SD_MISO__INTSTAT) /* Interrupt Registers */ + + #define SD_MISO_INTSTAT (* (reg8 *) SD_MISO__INTSTAT) + #define SD_MISO_SNAP (* (reg8 *) SD_MISO__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_SD_MISO_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MISO_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MISO_aliases.h new file mode 100644 index 0000000..78313af --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MISO_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: SD_MISO.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_MISO_ALIASES_H) /* Pins SD_MISO_ALIASES_H */ +#define CY_PINS_SD_MISO_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SD_MISO_0 SD_MISO__0__PC + +#endif /* End Pins SD_MISO_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MOSI.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MOSI.c new file mode 100644 index 0000000..7986406 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MOSI.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: SD_MOSI.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "SD_MOSI.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + SD_MOSI__PORT == 15 && ((SD_MOSI__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: SD_MOSI_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void SD_MOSI_Write(uint8 value) +{ + uint8 staticBits = (SD_MOSI_DR & (uint8)(~SD_MOSI_MASK)); + SD_MOSI_DR = staticBits | ((uint8)(value << SD_MOSI_SHIFT) & SD_MOSI_MASK); +} + + +/******************************************************************************* +* Function Name: SD_MOSI_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void SD_MOSI_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(SD_MOSI_0, mode); +} + + +/******************************************************************************* +* Function Name: SD_MOSI_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro SD_MOSI_ReadPS calls this function. +* +*******************************************************************************/ +uint8 SD_MOSI_Read(void) +{ + return (SD_MOSI_PS & SD_MOSI_MASK) >> SD_MOSI_SHIFT; +} + + +/******************************************************************************* +* Function Name: SD_MOSI_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 SD_MOSI_ReadDataReg(void) +{ + return (SD_MOSI_DR & SD_MOSI_MASK) >> SD_MOSI_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(SD_MOSI_INTSTAT) + + /******************************************************************************* + * Function Name: SD_MOSI_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 SD_MOSI_ClearInterrupt(void) + { + return (SD_MOSI_INTSTAT & SD_MOSI_MASK) >> SD_MOSI_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MOSI.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MOSI.h new file mode 100644 index 0000000..54a0ded --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MOSI.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: SD_MOSI.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_MOSI_H) /* Pins SD_MOSI_H */ +#define CY_PINS_SD_MOSI_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "SD_MOSI_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + SD_MOSI__PORT == 15 && ((SD_MOSI__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void SD_MOSI_Write(uint8 value) ; +void SD_MOSI_SetDriveMode(uint8 mode) ; +uint8 SD_MOSI_ReadDataReg(void) ; +uint8 SD_MOSI_Read(void) ; +uint8 SD_MOSI_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define SD_MOSI_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define SD_MOSI_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define SD_MOSI_DM_RES_UP PIN_DM_RES_UP +#define SD_MOSI_DM_RES_DWN PIN_DM_RES_DWN +#define SD_MOSI_DM_OD_LO PIN_DM_OD_LO +#define SD_MOSI_DM_OD_HI PIN_DM_OD_HI +#define SD_MOSI_DM_STRONG PIN_DM_STRONG +#define SD_MOSI_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define SD_MOSI_MASK SD_MOSI__MASK +#define SD_MOSI_SHIFT SD_MOSI__SHIFT +#define SD_MOSI_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define SD_MOSI_PS (* (reg8 *) SD_MOSI__PS) +/* Data Register */ +#define SD_MOSI_DR (* (reg8 *) SD_MOSI__DR) +/* Port Number */ +#define SD_MOSI_PRT_NUM (* (reg8 *) SD_MOSI__PRT) +/* Connect to Analog Globals */ +#define SD_MOSI_AG (* (reg8 *) SD_MOSI__AG) +/* Analog MUX bux enable */ +#define SD_MOSI_AMUX (* (reg8 *) SD_MOSI__AMUX) +/* Bidirectional Enable */ +#define SD_MOSI_BIE (* (reg8 *) SD_MOSI__BIE) +/* Bit-mask for Aliased Register Access */ +#define SD_MOSI_BIT_MASK (* (reg8 *) SD_MOSI__BIT_MASK) +/* Bypass Enable */ +#define SD_MOSI_BYP (* (reg8 *) SD_MOSI__BYP) +/* Port wide control signals */ +#define SD_MOSI_CTL (* (reg8 *) SD_MOSI__CTL) +/* Drive Modes */ +#define SD_MOSI_DM0 (* (reg8 *) SD_MOSI__DM0) +#define SD_MOSI_DM1 (* (reg8 *) SD_MOSI__DM1) +#define SD_MOSI_DM2 (* (reg8 *) SD_MOSI__DM2) +/* Input Buffer Disable Override */ +#define SD_MOSI_INP_DIS (* (reg8 *) SD_MOSI__INP_DIS) +/* LCD Common or Segment Drive */ +#define SD_MOSI_LCD_COM_SEG (* (reg8 *) SD_MOSI__LCD_COM_SEG) +/* Enable Segment LCD */ +#define SD_MOSI_LCD_EN (* (reg8 *) SD_MOSI__LCD_EN) +/* Slew Rate Control */ +#define SD_MOSI_SLW (* (reg8 *) SD_MOSI__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define SD_MOSI_PRTDSI__CAPS_SEL (* (reg8 *) SD_MOSI__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define SD_MOSI_PRTDSI__DBL_SYNC_IN (* (reg8 *) SD_MOSI__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define SD_MOSI_PRTDSI__OE_SEL0 (* (reg8 *) SD_MOSI__PRTDSI__OE_SEL0) +#define SD_MOSI_PRTDSI__OE_SEL1 (* (reg8 *) SD_MOSI__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define SD_MOSI_PRTDSI__OUT_SEL0 (* (reg8 *) SD_MOSI__PRTDSI__OUT_SEL0) +#define SD_MOSI_PRTDSI__OUT_SEL1 (* (reg8 *) SD_MOSI__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define SD_MOSI_PRTDSI__SYNC_OUT (* (reg8 *) SD_MOSI__PRTDSI__SYNC_OUT) + + +#if defined(SD_MOSI__INTSTAT) /* Interrupt Registers */ + + #define SD_MOSI_INTSTAT (* (reg8 *) SD_MOSI__INTSTAT) + #define SD_MOSI_SNAP (* (reg8 *) SD_MOSI__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_SD_MOSI_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MOSI_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MOSI_aliases.h new file mode 100644 index 0000000..1cf2c44 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_MOSI_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: SD_MOSI.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_MOSI_ALIASES_H) /* Pins SD_MOSI_ALIASES_H */ +#define CY_PINS_SD_MOSI_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SD_MOSI_0 SD_MOSI__0__PC + +#endif /* End Pins SD_MOSI_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_PM.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_PM.c new file mode 100644 index 0000000..d2388e6 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_PM.c @@ -0,0 +1,180 @@ +/******************************************************************************* +* File Name: SD_PM.c +* Version 2.40 +* +* Description: +* This file contains the setup, control and status commands to support +* component operations in low power mode. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "SD_PVT.h" + +static SD_BACKUP_STRUCT SD_backup = +{ + SD_DISABLED, + SD_BITCTR_INIT, + #if(CY_UDB_V0) + SD_TX_INIT_INTERRUPTS_MASK, + SD_RX_INIT_INTERRUPTS_MASK + #endif /* CY_UDB_V0 */ +}; + + +/******************************************************************************* +* Function Name: SD_SaveConfig +******************************************************************************** +* +* Summary: +* Saves SPIM configuration. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global Variables: +* SD_backup - modified when non-retention registers are saved. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SD_SaveConfig(void) +{ + /* Store Status Mask registers */ + #if(CY_UDB_V0) + SD_backup.cntrPeriod = SD_COUNTER_PERIOD_REG; + SD_backup.saveSrTxIntMask = SD_TX_STATUS_MASK_REG; + SD_backup.saveSrRxIntMask = SD_RX_STATUS_MASK_REG; + #endif /* (CY_UDB_V0) */ +} + + +/******************************************************************************* +* Function Name: SD_RestoreConfig +******************************************************************************** +* +* Summary: +* Restores SPIM configuration. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global Variables: +* SD_backup - used when non-retention registers are restored. +* +* Side Effects: +* If this API is called without first calling SaveConfig then in the following +* registers will be default values from Customizer: +* SD_STATUS_MASK_REG and SD_COUNTER_PERIOD_REG. +* +*******************************************************************************/ +void SD_RestoreConfig(void) +{ + /* Restore the data, saved by SaveConfig() function */ + #if(CY_UDB_V0) + SD_COUNTER_PERIOD_REG = SD_backup.cntrPeriod; + SD_TX_STATUS_MASK_REG = ((uint8) SD_backup.saveSrTxIntMask); + SD_RX_STATUS_MASK_REG = ((uint8) SD_backup.saveSrRxIntMask); + #endif /* (CY_UDB_V0) */ +} + + +/******************************************************************************* +* Function Name: SD_Sleep +******************************************************************************** +* +* Summary: +* Prepare SPIM Component goes to sleep. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global Variables: +* SD_backup - modified when non-retention registers are saved. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SD_Sleep(void) +{ + /* Save components enable state */ + SD_backup.enableState = ((uint8) SD_IS_ENABLED); + + SD_Stop(); + SD_SaveConfig(); +} + + +/******************************************************************************* +* Function Name: SD_Wakeup +******************************************************************************** +* +* Summary: +* Prepare SPIM Component to wake up. +* +* Parameters: +* None. +* +* Return: +* None. +* +* Global Variables: +* SD_backup - used when non-retention registers are restored. +* SD_txBufferWrite - modified every function call - resets to +* zero. +* SD_txBufferRead - modified every function call - resets to +* zero. +* SD_rxBufferWrite - modified every function call - resets to +* zero. +* SD_rxBufferRead - modified every function call - resets to +* zero. +* +* Reentrant: +* No. +* +*******************************************************************************/ +void SD_Wakeup(void) +{ + SD_RestoreConfig(); + + #if(SD_RX_SOFTWARE_BUF_ENABLED) + SD_rxBufferFull = 0u; + SD_rxBufferRead = 0u; + SD_rxBufferWrite = 0u; + #endif /* (SD_RX_SOFTWARE_BUF_ENABLED) */ + + #if(SD_TX_SOFTWARE_BUF_ENABLED) + SD_txBufferFull = 0u; + SD_txBufferRead = 0u; + SD_txBufferWrite = 0u; + #endif /* (SD_TX_SOFTWARE_BUF_ENABLED) */ + + /* Clear any data from the RX and TX FIFO */ + SD_ClearFIFO(); + + /* Restore components block enable state */ + if(0u != SD_backup.enableState) + { + SD_Enable(); + } +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_PVT.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_PVT.h new file mode 100644 index 0000000..cadc78e --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_PVT.h @@ -0,0 +1,53 @@ +/******************************************************************************* +* File Name: .h +* Version 2.40 +* +* Description: +* This private header file contains internal definitions for the SPIM +* component. Do not use these definitions directly in your application. +* +* Note: +* +******************************************************************************** +* Copyright 2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_SPIM_PVT_SD_H) +#define CY_SPIM_PVT_SD_H + +#include "SD.h" + + +/********************************** +* Functions with external linkage +**********************************/ + + +/********************************** +* Variables with external linkage +**********************************/ + +extern volatile uint8 SD_swStatusTx; +extern volatile uint8 SD_swStatusRx; + +#if(SD_TX_SOFTWARE_BUF_ENABLED) + extern volatile uint8 SD_txBuffer[SD_TX_BUFFER_SIZE]; + extern volatile uint8 SD_txBufferRead; + extern volatile uint8 SD_txBufferWrite; + extern volatile uint8 SD_txBufferFull; +#endif /* (SD_TX_SOFTWARE_BUF_ENABLED) */ + +#if(SD_RX_SOFTWARE_BUF_ENABLED) + extern volatile uint8 SD_rxBuffer[SD_RX_BUFFER_SIZE]; + extern volatile uint8 SD_rxBufferRead; + extern volatile uint8 SD_rxBufferWrite; + extern volatile uint8 SD_rxBufferFull; +#endif /* (SD_RX_SOFTWARE_BUF_ENABLED) */ + +#endif /* CY_SPIM_PVT_SD_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_SCK.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_SCK.c new file mode 100644 index 0000000..d592236 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_SCK.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: SD_SCK.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "SD_SCK.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + SD_SCK__PORT == 15 && ((SD_SCK__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: SD_SCK_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void SD_SCK_Write(uint8 value) +{ + uint8 staticBits = (SD_SCK_DR & (uint8)(~SD_SCK_MASK)); + SD_SCK_DR = staticBits | ((uint8)(value << SD_SCK_SHIFT) & SD_SCK_MASK); +} + + +/******************************************************************************* +* Function Name: SD_SCK_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void SD_SCK_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(SD_SCK_0, mode); +} + + +/******************************************************************************* +* Function Name: SD_SCK_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro SD_SCK_ReadPS calls this function. +* +*******************************************************************************/ +uint8 SD_SCK_Read(void) +{ + return (SD_SCK_PS & SD_SCK_MASK) >> SD_SCK_SHIFT; +} + + +/******************************************************************************* +* Function Name: SD_SCK_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 SD_SCK_ReadDataReg(void) +{ + return (SD_SCK_DR & SD_SCK_MASK) >> SD_SCK_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(SD_SCK_INTSTAT) + + /******************************************************************************* + * Function Name: SD_SCK_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 SD_SCK_ClearInterrupt(void) + { + return (SD_SCK_INTSTAT & SD_SCK_MASK) >> SD_SCK_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_SCK.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_SCK.h new file mode 100644 index 0000000..a4a9351 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_SCK.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: SD_SCK.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_SCK_H) /* Pins SD_SCK_H */ +#define CY_PINS_SD_SCK_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "SD_SCK_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + SD_SCK__PORT == 15 && ((SD_SCK__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void SD_SCK_Write(uint8 value) ; +void SD_SCK_SetDriveMode(uint8 mode) ; +uint8 SD_SCK_ReadDataReg(void) ; +uint8 SD_SCK_Read(void) ; +uint8 SD_SCK_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define SD_SCK_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define SD_SCK_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define SD_SCK_DM_RES_UP PIN_DM_RES_UP +#define SD_SCK_DM_RES_DWN PIN_DM_RES_DWN +#define SD_SCK_DM_OD_LO PIN_DM_OD_LO +#define SD_SCK_DM_OD_HI PIN_DM_OD_HI +#define SD_SCK_DM_STRONG PIN_DM_STRONG +#define SD_SCK_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define SD_SCK_MASK SD_SCK__MASK +#define SD_SCK_SHIFT SD_SCK__SHIFT +#define SD_SCK_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define SD_SCK_PS (* (reg8 *) SD_SCK__PS) +/* Data Register */ +#define SD_SCK_DR (* (reg8 *) SD_SCK__DR) +/* Port Number */ +#define SD_SCK_PRT_NUM (* (reg8 *) SD_SCK__PRT) +/* Connect to Analog Globals */ +#define SD_SCK_AG (* (reg8 *) SD_SCK__AG) +/* Analog MUX bux enable */ +#define SD_SCK_AMUX (* (reg8 *) SD_SCK__AMUX) +/* Bidirectional Enable */ +#define SD_SCK_BIE (* (reg8 *) SD_SCK__BIE) +/* Bit-mask for Aliased Register Access */ +#define SD_SCK_BIT_MASK (* (reg8 *) SD_SCK__BIT_MASK) +/* Bypass Enable */ +#define SD_SCK_BYP (* (reg8 *) SD_SCK__BYP) +/* Port wide control signals */ +#define SD_SCK_CTL (* (reg8 *) SD_SCK__CTL) +/* Drive Modes */ +#define SD_SCK_DM0 (* (reg8 *) SD_SCK__DM0) +#define SD_SCK_DM1 (* (reg8 *) SD_SCK__DM1) +#define SD_SCK_DM2 (* (reg8 *) SD_SCK__DM2) +/* Input Buffer Disable Override */ +#define SD_SCK_INP_DIS (* (reg8 *) SD_SCK__INP_DIS) +/* LCD Common or Segment Drive */ +#define SD_SCK_LCD_COM_SEG (* (reg8 *) SD_SCK__LCD_COM_SEG) +/* Enable Segment LCD */ +#define SD_SCK_LCD_EN (* (reg8 *) SD_SCK__LCD_EN) +/* Slew Rate Control */ +#define SD_SCK_SLW (* (reg8 *) SD_SCK__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define SD_SCK_PRTDSI__CAPS_SEL (* (reg8 *) SD_SCK__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define SD_SCK_PRTDSI__DBL_SYNC_IN (* (reg8 *) SD_SCK__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define SD_SCK_PRTDSI__OE_SEL0 (* (reg8 *) SD_SCK__PRTDSI__OE_SEL0) +#define SD_SCK_PRTDSI__OE_SEL1 (* (reg8 *) SD_SCK__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define SD_SCK_PRTDSI__OUT_SEL0 (* (reg8 *) SD_SCK__PRTDSI__OUT_SEL0) +#define SD_SCK_PRTDSI__OUT_SEL1 (* (reg8 *) SD_SCK__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define SD_SCK_PRTDSI__SYNC_OUT (* (reg8 *) SD_SCK__PRTDSI__SYNC_OUT) + + +#if defined(SD_SCK__INTSTAT) /* Interrupt Registers */ + + #define SD_SCK_INTSTAT (* (reg8 *) SD_SCK__INTSTAT) + #define SD_SCK_SNAP (* (reg8 *) SD_SCK__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_SD_SCK_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_SCK_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_SCK_aliases.h new file mode 100644 index 0000000..93890ac --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_SCK_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: SD_SCK.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_SCK_ALIASES_H) /* Pins SD_SCK_ALIASES_H */ +#define CY_PINS_SD_SCK_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SD_SCK_0 SD_SCK__0__PC + +#endif /* End Pins SD_SCK_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_WP.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_WP.c new file mode 100644 index 0000000..136473c --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_WP.c @@ -0,0 +1,137 @@ +/******************************************************************************* +* File Name: SD_WP.c +* Version 1.90 +* +* Description: +* This file contains API to enable firmware control of a Pins component. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" +#include "SD_WP.h" + +/* APIs are not generated for P15[7:6] on PSoC 5 */ +#if !(CY_PSOC5A &&\ + SD_WP__PORT == 15 && ((SD_WP__MASK & 0xC0) != 0)) + + +/******************************************************************************* +* Function Name: SD_WP_Write +******************************************************************************** +* +* Summary: +* Assign a new value to the digital port's data output register. +* +* Parameters: +* prtValue: The value to be assigned to the Digital Port. +* +* Return: +* None +* +*******************************************************************************/ +void SD_WP_Write(uint8 value) +{ + uint8 staticBits = (SD_WP_DR & (uint8)(~SD_WP_MASK)); + SD_WP_DR = staticBits | ((uint8)(value << SD_WP_SHIFT) & SD_WP_MASK); +} + + +/******************************************************************************* +* Function Name: SD_WP_SetDriveMode +******************************************************************************** +* +* Summary: +* Change the drive mode on the pins of the port. +* +* Parameters: +* mode: Change the pins to this drive mode. +* +* Return: +* None +* +*******************************************************************************/ +void SD_WP_SetDriveMode(uint8 mode) +{ + CyPins_SetPinDriveMode(SD_WP_0, mode); +} + + +/******************************************************************************* +* Function Name: SD_WP_Read +******************************************************************************** +* +* Summary: +* Read the current value on the pins of the Digital Port in right justified +* form. +* +* Parameters: +* None +* +* Return: +* Returns the current value of the Digital Port as a right justified number +* +* Note: +* Macro SD_WP_ReadPS calls this function. +* +*******************************************************************************/ +uint8 SD_WP_Read(void) +{ + return (SD_WP_PS & SD_WP_MASK) >> SD_WP_SHIFT; +} + + +/******************************************************************************* +* Function Name: SD_WP_ReadDataReg +******************************************************************************** +* +* Summary: +* Read the current value assigned to a Digital Port's data output register +* +* Parameters: +* None +* +* Return: +* Returns the current value assigned to the Digital Port's data output register +* +*******************************************************************************/ +uint8 SD_WP_ReadDataReg(void) +{ + return (SD_WP_DR & SD_WP_MASK) >> SD_WP_SHIFT; +} + + +/* If Interrupts Are Enabled for this Pins component */ +#if defined(SD_WP_INTSTAT) + + /******************************************************************************* + * Function Name: SD_WP_ClearInterrupt + ******************************************************************************** + * Summary: + * Clears any active interrupts attached to port and returns the value of the + * interrupt status register. + * + * Parameters: + * None + * + * Return: + * Returns the value of the interrupt status register + * + *******************************************************************************/ + uint8 SD_WP_ClearInterrupt(void) + { + return (SD_WP_INTSTAT & SD_WP_MASK) >> SD_WP_SHIFT; + } + +#endif /* If Interrupts Are Enabled for this Pins component */ + +#endif /* CY_PSOC5A... */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_WP.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_WP.h new file mode 100644 index 0000000..368e2f6 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_WP.h @@ -0,0 +1,130 @@ +/******************************************************************************* +* File Name: SD_WP.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_WP_H) /* Pins SD_WP_H */ +#define CY_PINS_SD_WP_H + +#include "cytypes.h" +#include "cyfitter.h" +#include "cypins.h" +#include "SD_WP_aliases.h" + +/* Check to see if required defines such as CY_PSOC5A are available */ +/* They are defined starting with cy_boot v3.0 */ +#if !defined (CY_PSOC5A) + #error Component cy_pins_v1_90 requires cy_boot v3.0 or later +#endif /* (CY_PSOC5A) */ + +/* APIs are not generated for P15[7:6] */ +#if !(CY_PSOC5A &&\ + SD_WP__PORT == 15 && ((SD_WP__MASK & 0xC0) != 0)) + + +/*************************************** +* Function Prototypes +***************************************/ + +void SD_WP_Write(uint8 value) ; +void SD_WP_SetDriveMode(uint8 mode) ; +uint8 SD_WP_ReadDataReg(void) ; +uint8 SD_WP_Read(void) ; +uint8 SD_WP_ClearInterrupt(void) ; + + +/*************************************** +* API Constants +***************************************/ + +/* Drive Modes */ +#define SD_WP_DM_ALG_HIZ PIN_DM_ALG_HIZ +#define SD_WP_DM_DIG_HIZ PIN_DM_DIG_HIZ +#define SD_WP_DM_RES_UP PIN_DM_RES_UP +#define SD_WP_DM_RES_DWN PIN_DM_RES_DWN +#define SD_WP_DM_OD_LO PIN_DM_OD_LO +#define SD_WP_DM_OD_HI PIN_DM_OD_HI +#define SD_WP_DM_STRONG PIN_DM_STRONG +#define SD_WP_DM_RES_UPDWN PIN_DM_RES_UPDWN + +/* Digital Port Constants */ +#define SD_WP_MASK SD_WP__MASK +#define SD_WP_SHIFT SD_WP__SHIFT +#define SD_WP_WIDTH 1u + + +/*************************************** +* Registers +***************************************/ + +/* Main Port Registers */ +/* Pin State */ +#define SD_WP_PS (* (reg8 *) SD_WP__PS) +/* Data Register */ +#define SD_WP_DR (* (reg8 *) SD_WP__DR) +/* Port Number */ +#define SD_WP_PRT_NUM (* (reg8 *) SD_WP__PRT) +/* Connect to Analog Globals */ +#define SD_WP_AG (* (reg8 *) SD_WP__AG) +/* Analog MUX bux enable */ +#define SD_WP_AMUX (* (reg8 *) SD_WP__AMUX) +/* Bidirectional Enable */ +#define SD_WP_BIE (* (reg8 *) SD_WP__BIE) +/* Bit-mask for Aliased Register Access */ +#define SD_WP_BIT_MASK (* (reg8 *) SD_WP__BIT_MASK) +/* Bypass Enable */ +#define SD_WP_BYP (* (reg8 *) SD_WP__BYP) +/* Port wide control signals */ +#define SD_WP_CTL (* (reg8 *) SD_WP__CTL) +/* Drive Modes */ +#define SD_WP_DM0 (* (reg8 *) SD_WP__DM0) +#define SD_WP_DM1 (* (reg8 *) SD_WP__DM1) +#define SD_WP_DM2 (* (reg8 *) SD_WP__DM2) +/* Input Buffer Disable Override */ +#define SD_WP_INP_DIS (* (reg8 *) SD_WP__INP_DIS) +/* LCD Common or Segment Drive */ +#define SD_WP_LCD_COM_SEG (* (reg8 *) SD_WP__LCD_COM_SEG) +/* Enable Segment LCD */ +#define SD_WP_LCD_EN (* (reg8 *) SD_WP__LCD_EN) +/* Slew Rate Control */ +#define SD_WP_SLW (* (reg8 *) SD_WP__SLW) + +/* DSI Port Registers */ +/* Global DSI Select Register */ +#define SD_WP_PRTDSI__CAPS_SEL (* (reg8 *) SD_WP__PRTDSI__CAPS_SEL) +/* Double Sync Enable */ +#define SD_WP_PRTDSI__DBL_SYNC_IN (* (reg8 *) SD_WP__PRTDSI__DBL_SYNC_IN) +/* Output Enable Select Drive Strength */ +#define SD_WP_PRTDSI__OE_SEL0 (* (reg8 *) SD_WP__PRTDSI__OE_SEL0) +#define SD_WP_PRTDSI__OE_SEL1 (* (reg8 *) SD_WP__PRTDSI__OE_SEL1) +/* Port Pin Output Select Registers */ +#define SD_WP_PRTDSI__OUT_SEL0 (* (reg8 *) SD_WP__PRTDSI__OUT_SEL0) +#define SD_WP_PRTDSI__OUT_SEL1 (* (reg8 *) SD_WP__PRTDSI__OUT_SEL1) +/* Sync Output Enable Registers */ +#define SD_WP_PRTDSI__SYNC_OUT (* (reg8 *) SD_WP__PRTDSI__SYNC_OUT) + + +#if defined(SD_WP__INTSTAT) /* Interrupt Registers */ + + #define SD_WP_INTSTAT (* (reg8 *) SD_WP__INTSTAT) + #define SD_WP_SNAP (* (reg8 *) SD_WP__SNAP) + +#endif /* Interrupt Registers */ + +#endif /* CY_PSOC5A... */ + +#endif /* CY_PINS_SD_WP_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_WP_aliases.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_WP_aliases.h new file mode 100644 index 0000000..9a14d37 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/SD_WP_aliases.h @@ -0,0 +1,32 @@ +/******************************************************************************* +* File Name: SD_WP.h +* Version 1.90 +* +* Description: +* This file containts Control Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_PINS_SD_WP_ALIASES_H) /* Pins SD_WP_ALIASES_H */ +#define CY_PINS_SD_WP_ALIASES_H + +#include "cytypes.h" +#include "cyfitter.h" + + + +/*************************************** +* Constants +***************************************/ +#define SD_WP_0 SD_WP__0__PC + +#endif /* End Pins SD_WP_ALIASES_H */ + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cm3gcc.ld b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cm3gcc.ld new file mode 100644 index 0000000..fb5ad88 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cm3gcc.ld @@ -0,0 +1,223 @@ +/* Linker script for ARM M-profile Simulator + * + * Version: Sourcery G++ Lite 2010q1-188 + * Support: https://support.codesourcery.com/GNUToolchain/ + * + * Copyright (c) 2007, 2008, 2009, 2010 CodeSourcery, Inc. + * + * The authors hereby grant permission to use, copy, modify, distribute, + * and license this software and its documentation for any purpose, provided + * that existing copyright notices are retained in all copies and that this + * notice is included verbatim in any distributions. No written agreement, + * license, or royalty fee is required for any of the authorized uses. + * Modifications to this software may be copyrighted by their authors + * and need not follow the licensing terms described here, provided that + * the new terms are clearly indicated on the first page of each file where + * they apply. + */ +OUTPUT_FORMAT ("elf32-littlearm", "elf32-bigarm", "elf32-littlearm") +ENTRY(__cs3_reset) +SEARCH_DIR(.) +GROUP(-lgcc -lc -lcs3 -lcs3unhosted -lcs3micro) + +MEMORY +{ + rom (rx) : ORIGIN = 0, LENGTH = (262144 - 0) + ram (rwx) : ORIGIN = 0x20000000 - (65536 / 2), LENGTH = (65536 - 0x4000 - 0x1000) +} + +/* These force the linker to search for particular symbols from + * the start of the link process and thus ensure the user's + * overrides are picked up + */ +EXTERN(__cs3_reset Reset) +EXTERN(__cs3_start_asm __cs3_start_asm_generic_m) +/* Bring in the interrupt routines & vector */ +INCLUDE micro-names.inc +EXTERN(__cs3_start_c main __cs3_stack __cs3_heap_end) + +/* Provide fall-back values */ +PROVIDE(__cs3_heap_start = _end); +PROVIDE(__cs3_region_num = (__cs3_regions_end - __cs3_regions) / 20); +PROVIDE(__cs3_stack = 0x20000000 + (65536 / 2)); +PROVIDE(__cs3_heap_end = __cs3_stack - 0x4000); + + +SECTIONS +{ + + .text : + { + CREATE_OBJECT_SYMBOLS + PROVIDE(__cs3_interrupt_vector = RomVectors); + *(.romvectors) + *(.cs3.interrupt_vector) + /* Make sure we pulled in an interrupt vector. */ + ASSERT (. != __cs3_interrupt_vector, "No interrupt vector"); + + PROVIDE(__cs3_reset = Reset); + *(.cs3.reset) + /* Make sure we pulled in some reset code. */ + ASSERT (. != __cs3_reset, "No reset code"); + + /* Place the DMA initialization before text to ensure it gets placed in first 64K of flash */ + *(.dma_init) + ASSERT(0 + . <= 0x10000 || !0, "DMA Init must be within the first 64k of flash"); + + *(.text.cs3.init) + *(.text .text.* .gnu.linkonce.t.*) + *(.plt) + *(.gnu.warning) + *(.glue_7t) *(.glue_7) *(.vfp11_veneer) + + KEEP(*(.bootloader)) /* necessary for bootloader's, but doesn't impact non-bootloaders */ + + *(.ARM.extab* .gnu.linkonce.armextab.*) + *(.gcc_except_table) + } >rom + .eh_frame_hdr : ALIGN (4) + { + KEEP (*(.eh_frame_hdr)) + } >rom + .eh_frame : ALIGN (4) + { + KEEP (*(.eh_frame)) + } >rom + /* .ARM.exidx is sorted, so has to go in its own output section. */ + PROVIDE_HIDDEN (__exidx_start = .); + .ARM.exidx : + { + *(.ARM.exidx* .gnu.linkonce.armexidx.*) + } >rom + __exidx_end = .; + .rodata : ALIGN (4) + { + *(.rodata .rodata.* .gnu.linkonce.r.*) + + . = ALIGN(4); + KEEP(*(.init)) + + . = ALIGN(4); + __preinit_array_start = .; + KEEP (*(.preinit_array)) + __preinit_array_end = .; + + . = ALIGN(4); + __init_array_start = .; + KEEP (*(SORT(.init_array.*))) + KEEP (*(.init_array)) + __init_array_end = .; + + . = ALIGN(4); + KEEP(*(.fini)) + + . = ALIGN(4); + __fini_array_start = .; + KEEP (*(.fini_array)) + KEEP (*(SORT(.fini_array.*))) + __fini_array_end = .; + + . = ALIGN(0x4); + KEEP (*crtbegin.o(.ctors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*crtend.o(.ctors)) + + . = ALIGN(0x4); + KEEP (*crtbegin.o(.dtors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*crtend.o(.dtors)) + + . = ALIGN(4); + __cs3_regions = .; + LONG (0) + LONG (__cs3_region_init_ram) + LONG (__cs3_region_start_data) + LONG (__cs3_region_init_size_ram) + LONG (__cs3_region_zero_size_ram) + __cs3_regions_end = .; + + . = ALIGN (8); + _etext = .; + } >rom + + .ramvectors (NOLOAD) : ALIGN(8) + { + __cs3_region_start_ram = .; + *(.cs3.region-head.ram) + ASSERT (. == __cs3_region_start_ram, ".cs3.region-head.ram not permitted"); + KEEP(*(.ramvectors)) + } + + .noinit (NOLOAD) : ALIGN(8) + { + KEEP(*(.noinit)) + } + + .data : ALIGN(8) + { + __cs3_region_start_data = .; + + KEEP(*(.jcr)) + *(.got.plt) *(.got) + *(.shdata) + *(.data .data.* .gnu.linkonce.d.*) + . = ALIGN (8); + *(.ram) + _edata = .; + } >ram AT>rom + .bss : ALIGN(8) + { + *(.shbss) + *(.bss .bss.* .gnu.linkonce.b.*) + *(COMMON) + . = ALIGN (8); + *(.ram.b) + _end = .; + __end = .; + } >ram AT>rom + + __cs3_region_end_ram = __cs3_region_start_ram + LENGTH(ram); + __cs3_region_size_ram = LENGTH(ram); + __cs3_region_init_ram = LOADADDR (.data); + __cs3_region_init_size_ram = _edata - ADDR (.data); + __cs3_region_zero_size_ram = _end - _edata; + + + .stab 0 (NOLOAD) : { *(.stab) } + .stabstr 0 (NOLOAD) : { *(.stabstr) } + /* DWARF debug sections. + * Symbols in the DWARF debugging sections are relative to the beginning + * of the section so we begin them at 0. + */ + /* DWARF 1 */ + .debug 0 : { *(.debug) } + .line 0 : { *(.line) } + /* GNU DWARF 1 extensions */ + .debug_srcinfo 0 : { *(.debug_srcinfo) } + .debug_sfnames 0 : { *(.debug_sfnames) } + /* DWARF 1.1 and DWARF 2 */ + .debug_aranges 0 : { *(.debug_aranges) } + .debug_pubnames 0 : { *(.debug_pubnames) } + /* DWARF 2 */ + .debug_info 0 : { *(.debug_info .gnu.linkonce.wi.*) } + .debug_abbrev 0 : { *(.debug_abbrev) } + .debug_line 0 : { *(.debug_line) } + .debug_frame 0 : { *(.debug_frame) } + .debug_str 0 : { *(.debug_str) } + .debug_loc 0 : { *(.debug_loc) } + .debug_macinfo 0 : { *(.debug_macinfo) } + /* DWARF 2.1 */ + .debug_ranges 0 : { *(.debug_ranges) } + /* SGI/MIPS DWARF 2 extensions */ + .debug_weaknames 0 : { *(.debug_weaknames) } + .debug_funcnames 0 : { *(.debug_funcnames) } + .debug_typenames 0 : { *(.debug_typenames) } + .debug_varnames 0 : { *(.debug_varnames) } + + .note.gnu.arm.ident 0 : { KEEP (*(.note.gnu.arm.ident)) } + .ARM.attributes 0 : { KEEP (*(.ARM.attributes)) } + /DISCARD/ : { *(.note.GNU-stack) } +} + diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/config.hex b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/config.hex new file mode 100644 index 0000000..c281d8f --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/config.hex @@ -0,0 +1,30 @@ +:20000000065200400165004034060140220701404314014043150140031601403F1701409B +:2000200003400140024101400242014002430140064801400D49014004500140015101405F +:2000400014FF18081CE12CFF34F06430870F81A1830E844785618698878288818A408BCF3F +:200060008C048D4C9001914C924094889661974C98E299449A089B089C419D10A041A11029 +:20008000A441A508A720A840A944AC10AD4CB110B361B4C0B50FB63FB780B880B902BA2077 +:2000A000BB0CBE40BF40D409D604D80BD90BDB0BDC99DD90DF01008401200A6A102A1302EE +:2000C00019201B80209421042244281029602A042B40302832013380381439425810590AE3 +:2000E0005A80628063407801C007C20FC40FCA0FCC0FCE0FD60FD809DE0102010404050176 +:20010000060807060A040E30120314101504160C1703182019031A0C1B041C0320032403E8 +:20012000280329052B022E483002324033073401363C3B083E1154405604580B590B5B0B91 +:200140005C995D905F0180E084208501860387028A088EE0900892109486950197049A01A1 +:200160009D06A210A645A902AB01B018B107B2E0B407B902BE05D808D908DB04DC99DF01A8 +:2001800000040180024003080404058007840A6A0C060D040E081028120113021501170833 +:2001A000184019821B181D041E882108278028042C0431083210378838043C0460806250DE +:2001C00063206C0278017F01848089018B408D048E0490049108926A9302944097409801B7 +:2001E0009A519B0E9D02A028A508A640B704C0FFC27FC43FCA24CC56CE42D80FDE11E020BD +:20020000E250E480EC1056085B045D90008401210A68102A13021B402018210122902308A9 +:20022000280229202A043028314032013814394240444104480449084B40500252555E8092 +:20024000610864016702682869416A427140730278019004910892089542966298029920F9 +:200260009C019D4A9E049F02A040A42AA680A740A812AF40C00FC20EC40FCA0ECC0FCE0F51 +:20028000D007D20CD610D812DE0130103640CC309C10A6409C10A6409C10A6402320270820 +:2002A0009C10AE40C860EE400B205004578084108B188C048F809B089C10B720C210D460F6 +:2002C000E64001010B0111011B01000FC02902461F172028802000D000D6600090D64000B2 +:2002E00000D0FF067FD2800400D60000C0000100C0040400C002080000219F8E000F00F0DE +:20030000000FFF01002200080000404063024000050EFDBC3DFFFFFF2200F008040000005B +:2003200000000228040B0B0B909900010000C00040011011C00100114001400100000000CE +:2003400000000000000000000000000000FFFF00000000000800300008000000000000005F +:200360000000000010000000FF000000000000010200F10E0E000C000000000000FCFC005A +:2003800000000000F0000FF00000000000010000F00F0F000000000100000000000000005E +:00000001FF diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/core_cm3.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/core_cm3.c new file mode 100644 index 0000000..56fddc5 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/core_cm3.c @@ -0,0 +1,784 @@ +/**************************************************************************//** + * @file core_cm3.c + * @brief CMSIS Cortex-M3 Core Peripheral Access Layer Source File + * @version V1.30 + * @date 30. October 2009 + * + * @note + * Copyright (C) 2009 ARM Limited. All rights reserved. + * + * @par + * ARM Limited (ARM) is supplying this software for use with Cortex-M + * processor based microcontrollers. This file can be freely distributed + * within development tools that are supporting such ARM based processors. + * + * @par + * THIS SOFTWARE IS PROVIDED "AS IS". NO WARRANTIES, WHETHER EXPRESS, IMPLIED + * OR STATUTORY, INCLUDING, BUT NOT LIMITED TO, IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE. + * ARM SHALL NOT, IN ANY CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL, OR + * CONSEQUENTIAL DAMAGES, FOR ANY REASON WHATSOEVER. + * + ******************************************************************************/ + +#include + +/* define compiler specific symbols */ +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only avaiable in High optimization mode! */ + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + +#endif + + +/* ################### Compiler specific Intrinsics ########################### */ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +/** + * @brief Return the Process Stack Pointer + * + * @return ProcessStackPointer + * + * Return the actual process stack pointer + */ +__ASM uint32_t __get_PSP(void) +{ + mrs r0, psp + bx lr +} + +/** + * @brief Set the Process Stack Pointer + * + * @param topOfProcStack Process Stack Pointer + * + * Assign the value ProcessStackPointer to the MSP + * (process stack pointer) Cortex processor register + */ +__ASM void __set_PSP(uint32_t topOfProcStack) +{ + msr psp, r0 + bx lr +} + +/** + * @brief Return the Main Stack Pointer + * + * @return Main Stack Pointer + * + * Return the current value of the MSP (main stack pointer) + * Cortex processor register + */ +__ASM uint32_t __get_MSP(void) +{ + mrs r0, msp + bx lr +} + +/** + * @brief Set the Main Stack Pointer + * + * @param topOfMainStack Main Stack Pointer + * + * Assign the value mainStackPointer to the MSP + * (main stack pointer) Cortex processor register + */ +__ASM void __set_MSP(uint32_t mainStackPointer) +{ + msr msp, r0 + bx lr +} + +/** + * @brief Reverse byte order in unsigned short value + * + * @param value value to reverse + * @return reversed value + * + * Reverse byte order in unsigned short value + */ +__ASM uint32_t __REV16(uint16_t value) +{ + rev16 r0, r0 + bx lr +} + +/** + * @brief Reverse byte order in signed short value with sign extension to integer + * + * @param value value to reverse + * @return reversed value + * + * Reverse byte order in signed short value with sign extension to integer + */ +__ASM int32_t __REVSH(int16_t value) +{ + revsh r0, r0 + bx lr +} + + +#if (__ARMCC_VERSION < 400000) + +/** + * @brief Remove the exclusive lock created by ldrex + * + * Removes the exclusive lock which is created by ldrex. + */ +__ASM void __CLREX(void) +{ + clrex +} + +/** + * @brief Return the Base Priority value + * + * @return BasePriority + * + * Return the content of the base priority register + */ +__ASM uint32_t __get_BASEPRI(void) +{ + mrs r0, basepri + bx lr +} + +/** + * @brief Set the Base Priority value + * + * @param basePri BasePriority + * + * Set the base priority register + */ +__ASM void __set_BASEPRI(uint32_t basePri) +{ + msr basepri, r0 + bx lr +} + +/** + * @brief Return the Priority Mask value + * + * @return PriMask + * + * Return state of the priority mask bit from the priority mask register + */ +__ASM uint32_t __get_PRIMASK(void) +{ + mrs r0, primask + bx lr +} + +/** + * @brief Set the Priority Mask value + * + * @param priMask PriMask + * + * Set the priority mask bit in the priority mask register + */ +__ASM void __set_PRIMASK(uint32_t priMask) +{ + msr primask, r0 + bx lr +} + +/** + * @brief Return the Fault Mask value + * + * @return FaultMask + * + * Return the content of the fault mask register + */ +__ASM uint32_t __get_FAULTMASK(void) +{ + mrs r0, faultmask + bx lr +} + +/** + * @brief Set the Fault Mask value + * + * @param faultMask faultMask value + * + * Set the fault mask register + */ +__ASM void __set_FAULTMASK(uint32_t faultMask) +{ + msr faultmask, r0 + bx lr +} + +/** + * @brief Return the Control Register value + * + * @return Control value + * + * Return the content of the control register + */ +__ASM uint32_t __get_CONTROL(void) +{ + mrs r0, control + bx lr +} + +/** + * @brief Set the Control Register value + * + * @param control Control value + * + * Set the control register + */ +__ASM void __set_CONTROL(uint32_t control) +{ + msr control, r0 + bx lr +} + +#endif /* __ARMCC_VERSION */ + + + +#elif (defined (__ICCARM__)) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ +#pragma diag_suppress=Pe940 + +/** + * @brief Return the Process Stack Pointer + * + * @return ProcessStackPointer + * + * Return the actual process stack pointer + */ +uint32_t __get_PSP(void) +{ + __ASM("mrs r0, psp"); + __ASM("bx lr"); +} + +/** + * @brief Set the Process Stack Pointer + * + * @param topOfProcStack Process Stack Pointer + * + * Assign the value ProcessStackPointer to the MSP + * (process stack pointer) Cortex processor register + */ +void __set_PSP(uint32_t topOfProcStack) +{ + __ASM("msr psp, r0"); + __ASM("bx lr"); +} + +/** + * @brief Return the Main Stack Pointer + * + * @return Main Stack Pointer + * + * Return the current value of the MSP (main stack pointer) + * Cortex processor register + */ +uint32_t __get_MSP(void) +{ + __ASM("mrs r0, msp"); + __ASM("bx lr"); +} + +/** + * @brief Set the Main Stack Pointer + * + * @param topOfMainStack Main Stack Pointer + * + * Assign the value mainStackPointer to the MSP + * (main stack pointer) Cortex processor register + */ +void __set_MSP(uint32_t topOfMainStack) +{ + __ASM("msr msp, r0"); + __ASM("bx lr"); +} + +/** + * @brief Reverse byte order in unsigned short value + * + * @param value value to reverse + * @return reversed value + * + * Reverse byte order in unsigned short value + */ +uint32_t __REV16(uint16_t value) +{ + __ASM("rev16 r0, r0"); + __ASM("bx lr"); +} + +/** + * @brief Reverse bit order of value + * + * @param value value to reverse + * @return reversed value + * + * Reverse bit order of value + */ +uint32_t __RBIT(uint32_t value) +{ + __ASM("rbit r0, r0"); + __ASM("bx lr"); +} + +/** + * @brief LDR Exclusive (8 bit) + * + * @param *addr address pointer + * @return value of (*address) + * + * Exclusive LDR command for 8 bit values) + */ +uint8_t __LDREXB(uint8_t *addr) +{ + __ASM("ldrexb r0, [r0]"); + __ASM("bx lr"); +} + +/** + * @brief LDR Exclusive (16 bit) + * + * @param *addr address pointer + * @return value of (*address) + * + * Exclusive LDR command for 16 bit values + */ +uint16_t __LDREXH(uint16_t *addr) +{ + __ASM("ldrexh r0, [r0]"); + __ASM("bx lr"); +} + +/** + * @brief LDR Exclusive (32 bit) + * + * @param *addr address pointer + * @return value of (*address) + * + * Exclusive LDR command for 32 bit values + */ +uint32_t __LDREXW(uint32_t *addr) +{ + __ASM("ldrex r0, [r0]"); + __ASM("bx lr"); +} + +/** + * @brief STR Exclusive (8 bit) + * + * @param value value to store + * @param *addr address pointer + * @return successful / failed + * + * Exclusive STR command for 8 bit values + */ +uint32_t __STREXB(uint8_t value, uint8_t *addr) +{ + __ASM("strexb r0, r0, [r1]"); + __ASM("bx lr"); +} + +/** + * @brief STR Exclusive (16 bit) + * + * @param value value to store + * @param *addr address pointer + * @return successful / failed + * + * Exclusive STR command for 16 bit values + */ +uint32_t __STREXH(uint16_t value, uint16_t *addr) +{ + __ASM("strexh r0, r0, [r1]"); + __ASM("bx lr"); +} + +/** + * @brief STR Exclusive (32 bit) + * + * @param value value to store + * @param *addr address pointer + * @return successful / failed + * + * Exclusive STR command for 32 bit values + */ +uint32_t __STREXW(uint32_t value, uint32_t *addr) +{ + __ASM("strex r0, r0, [r1]"); + __ASM("bx lr"); +} + +#pragma diag_default=Pe940 + + +#elif (defined (__GNUC__)) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +/** + * @brief Return the Process Stack Pointer + * + * @return ProcessStackPointer + * + * Return the actual process stack pointer + */ +uint32_t __get_PSP(void) __attribute__( ( naked ) ); +uint32_t __get_PSP(void) +{ + uint32_t result=0; + + __ASM volatile ("MRS %0, psp\n\t" + "MOV r0, %0 \n\t" + "BX lr \n\t" : "=r" (result) ); + return(result); +} + +/** + * @brief Set the Process Stack Pointer + * + * @param topOfProcStack Process Stack Pointer + * + * Assign the value ProcessStackPointer to the MSP + * (process stack pointer) Cortex processor register + */ +void __set_PSP(uint32_t topOfProcStack) __attribute__( ( naked ) ); +void __set_PSP(uint32_t topOfProcStack) +{ + __ASM volatile ("MSR psp, %0\n\t" + "BX lr \n\t" : : "r" (topOfProcStack) ); +} + +/** + * @brief Return the Main Stack Pointer + * + * @return Main Stack Pointer + * + * Return the current value of the MSP (main stack pointer) + * Cortex processor register + */ +uint32_t __get_MSP(void) __attribute__( ( naked ) ); +uint32_t __get_MSP(void) +{ + uint32_t result=0; + + __ASM volatile ("MRS %0, msp\n\t" + "MOV r0, %0 \n\t" + "BX lr \n\t" : "=r" (result) ); + return(result); +} + +/** + * @brief Set the Main Stack Pointer + * + * @param topOfMainStack Main Stack Pointer + * + * Assign the value mainStackPointer to the MSP + * (main stack pointer) Cortex processor register + */ +void __set_MSP(uint32_t topOfMainStack) __attribute__( ( naked ) ); +void __set_MSP(uint32_t topOfMainStack) +{ + __ASM volatile ("MSR msp, %0\n\t" + "BX lr \n\t" : : "r" (topOfMainStack) ); +} + +/** + * @brief Return the Base Priority value + * + * @return BasePriority + * + * Return the content of the base priority register + */ +uint32_t __get_BASEPRI(void) +{ + uint32_t result=0; + + __ASM volatile ("MRS %0, basepri_max" : "=r" (result) ); + return(result); +} + +/** + * @brief Set the Base Priority value + * + * @param basePri BasePriority + * + * Set the base priority register + */ +void __set_BASEPRI(uint32_t value) +{ + __ASM volatile ("MSR basepri, %0" : : "r" (value) ); +} + +/** + * @brief Return the Priority Mask value + * + * @return PriMask + * + * Return state of the priority mask bit from the priority mask register + */ +uint32_t __get_PRIMASK(void) +{ + uint32_t result=0; + + __ASM volatile ("MRS %0, primask" : "=r" (result) ); + return(result); +} + +/** + * @brief Set the Priority Mask value + * + * @param priMask PriMask + * + * Set the priority mask bit in the priority mask register + */ +void __set_PRIMASK(uint32_t priMask) +{ + __ASM volatile ("MSR primask, %0" : : "r" (priMask) ); +} + +/** + * @brief Return the Fault Mask value + * + * @return FaultMask + * + * Return the content of the fault mask register + */ +uint32_t __get_FAULTMASK(void) +{ + uint32_t result=0; + + __ASM volatile ("MRS %0, faultmask" : "=r" (result) ); + return(result); +} + +/** + * @brief Set the Fault Mask value + * + * @param faultMask faultMask value + * + * Set the fault mask register + */ +void __set_FAULTMASK(uint32_t faultMask) +{ + __ASM volatile ("MSR faultmask, %0" : : "r" (faultMask) ); +} + +/** + * @brief Return the Control Register value +* +* @return Control value + * + * Return the content of the control register + */ +uint32_t __get_CONTROL(void) +{ + uint32_t result=0; + + __ASM volatile ("MRS %0, control" : "=r" (result) ); + return(result); +} + +/** + * @brief Set the Control Register value + * + * @param control Control value + * + * Set the control register + */ +void __set_CONTROL(uint32_t control) +{ + __ASM volatile ("MSR control, %0" : : "r" (control) ); +} + + +/** + * @brief Reverse byte order in integer value + * + * @param value value to reverse + * @return reversed value + * + * Reverse byte order in integer value + */ +uint32_t __REV(uint32_t value) +{ + uint32_t result=0; + + __ASM volatile ("rev %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + +/** + * @brief Reverse byte order in unsigned short value + * + * @param value value to reverse + * @return reversed value + * + * Reverse byte order in unsigned short value + */ +uint32_t __REV16(uint16_t value) +{ + uint32_t result=0; + + __ASM volatile ("rev16 %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + +/** + * @brief Reverse byte order in signed short value with sign extension to integer + * + * @param value value to reverse + * @return reversed value + * + * Reverse byte order in signed short value with sign extension to integer + */ +int32_t __REVSH(int16_t value) +{ + uint32_t result=0; + + __ASM volatile ("revsh %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + +/** + * @brief Reverse bit order of value + * + * @param value value to reverse + * @return reversed value + * + * Reverse bit order of value + */ +uint32_t __RBIT(uint32_t value) +{ + uint32_t result=0; + + __ASM volatile ("rbit %0, %1" : "=r" (result) : "r" (value) ); + return(result); +} + +/** + * @brief LDR Exclusive (8 bit) + * + * @param *addr address pointer + * @return value of (*address) + * + * Exclusive LDR command for 8 bit value + */ +uint8_t __LDREXB(uint8_t *addr) +{ + uint8_t result=0; + + __ASM volatile ("ldrexb %0, [%1]" : "=r" (result) : "r" (addr) ); + return(result); +} + +/** + * @brief LDR Exclusive (16 bit) + * + * @param *addr address pointer + * @return value of (*address) + * + * Exclusive LDR command for 16 bit values + */ +uint16_t __LDREXH(uint16_t *addr) +{ + uint16_t result=0; + + __ASM volatile ("ldrexh %0, [%1]" : "=r" (result) : "r" (addr) ); + return(result); +} + +/** + * @brief LDR Exclusive (32 bit) + * + * @param *addr address pointer + * @return value of (*address) + * + * Exclusive LDR command for 32 bit values + */ +uint32_t __LDREXW(uint32_t *addr) +{ + uint32_t result=0; + + __ASM volatile ("ldrex %0, [%1]" : "=r" (result) : "r" (addr) ); + return(result); +} + +/** + * @brief STR Exclusive (8 bit) + * + * @param value value to store + * @param *addr address pointer + * @return successful / failed + * + * Exclusive STR command for 8 bit values + */ +uint32_t __STREXB(uint8_t value, uint8_t *addr) +{ + uint32_t result=0; + + __ASM volatile ("strexb %0, %2, [%1]" : "=r" (result) : "r" (addr), "r" (value) ); + return(result); +} + +/** + * @brief STR Exclusive (16 bit) + * + * @param value value to store + * @param *addr address pointer + * @return successful / failed + * + * Exclusive STR command for 16 bit values + */ +uint32_t __STREXH(uint16_t value, uint16_t *addr) +{ + uint32_t result=0; + + __ASM volatile ("strexh %0, %2, [%1]" : "=r" (result) : "r" (addr), "r" (value) ); + return(result); +} + +/** + * @brief STR Exclusive (32 bit) + * + * @param value value to store + * @param *addr address pointer + * @return successful / failed + * + * Exclusive STR command for 32 bit values + */ +uint32_t __STREXW(uint32_t value, uint32_t *addr) +{ + uint32_t result=0; + + __ASM volatile ("strex %0, %2, [%1]" : "=r" (result) : "r" (addr), "r" (value) ); + return(result); +} + + +#elif (defined (__TASKING__)) /*------------------ TASKING Compiler ---------------------*/ +/* TASKING carm specific functions */ + +/* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all instrinsics, + * Including the CMSIS ones. + */ + +#endif diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/core_cm3.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/core_cm3.h new file mode 100644 index 0000000..d97a0f4 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/core_cm3.h @@ -0,0 +1,1818 @@ +/**************************************************************************//** + * @file core_cm3.h + * @brief CMSIS Cortex-M3 Core Peripheral Access Layer Header File + * @version V1.30 + * @date 30. October 2009 + * + * @note + * Copyright (C) 2009 ARM Limited. All rights reserved. + * + * @par + * ARM Limited (ARM) is supplying this software for use with Cortex-M + * processor based microcontrollers. This file can be freely distributed + * within development tools that are supporting such ARM based processors. + * + * @par + * THIS SOFTWARE IS PROVIDED "AS IS". NO WARRANTIES, WHETHER EXPRESS, IMPLIED + * OR STATUTORY, INCLUDING, BUT NOT LIMITED TO, IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE. + * ARM SHALL NOT, IN ANY CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL, OR + * CONSEQUENTIAL DAMAGES, FOR ANY REASON WHATSOEVER. + * + ******************************************************************************/ + +#ifndef __CM3_CORE_H__ +#define __CM3_CORE_H__ + +/** @addtogroup CMSIS_CM3_core_LintCinfiguration CMSIS CM3 Core Lint Configuration + * + * List of Lint messages which will be suppressed and not shown: + * - Error 10: \n + * register uint32_t __regBasePri __asm("basepri"); \n + * Error 10: Expecting ';' + * . + * - Error 530: \n + * return(__regBasePri); \n + * Warning 530: Symbol '__regBasePri' (line 264) not initialized + * . + * - Error 550: \n + * __regBasePri = (basePri & 0x1ff); \n + * Warning 550: Symbol '__regBasePri' (line 271) not accessed + * . + * - Error 754: \n + * uint32_t RESERVED0[24]; \n + * Info 754: local structure member '' (line 109, file ./cm3_core.h) not referenced + * . + * - Error 750: \n + * #define __CM3_CORE_H__ \n + * Info 750: local macro '__CM3_CORE_H__' (line 43, file./cm3_core.h) not referenced + * . + * - Error 528: \n + * static __INLINE void NVIC_DisableIRQ(uint32_t IRQn) \n + * Warning 528: Symbol 'NVIC_DisableIRQ(unsigned int)' (line 419, file ./cm3_core.h) not referenced + * . + * - Error 751: \n + * } InterruptType_Type; \n + * Info 751: local typedef 'InterruptType_Type' (line 170, file ./cm3_core.h) not referenced + * . + * Note: To re-enable a Message, insert a space before 'lint' * + * + */ + +/*lint -save */ +/*lint -e10 */ +/*lint -e530 */ +/*lint -e550 */ +/*lint -e754 */ +/*lint -e750 */ +/*lint -e528 */ +/*lint -e751 */ + + +/** @addtogroup CMSIS_CM3_core_definitions CM3 Core Definitions + This file defines all structures and symbols for CMSIS core: + - CMSIS version number + - Cortex-M core registers and bitfields + - Cortex-M core peripheral base address + @{ + */ + +#ifdef __cplusplus + extern "C" { +#endif + +#define __CM3_CMSIS_VERSION_MAIN (0x01) /*!< [31:16] CMSIS HAL main version */ +#define __CM3_CMSIS_VERSION_SUB (0x30) /*!< [15:0] CMSIS HAL sub version */ +#define __CM3_CMSIS_VERSION ((__CM3_CMSIS_VERSION_MAIN << 16) | __CM3_CMSIS_VERSION_SUB) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x03) /*!< Cortex core */ + +#include /* Include standard types */ + +#if defined (__ICCARM__) + #include /* IAR Intrinsics */ +#endif + + +#ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 3 /*!< standard definition for NVIC Priority Bits */ +#endif + + + + +/** + * IO definitions + * + * define access restrictions to peripheral registers + */ + +#ifdef __cplusplus + #define __I volatile /*!< defines 'read only' permissions */ +#else + #define __I volatile const /*!< defines 'read only' permissions */ +#endif +#define __O volatile /*!< defines 'write only' permissions */ +#define __IO volatile /*!< defines 'read / write' permissions */ + + + +/******************************************************************************* + * Register Abstraction + ******************************************************************************/ +/** @addtogroup CMSIS_CM3_core_register CMSIS CM3 Core Register + @{ +*/ + + +/** @addtogroup CMSIS_CM3_NVIC CMSIS CM3 NVIC + memory mapped structure for Nested Vectored Interrupt Controller (NVIC) + @{ + */ +typedef struct +{ + __IO uint32_t ISER[8]; /*!< Offset: 0x000 Interrupt Set Enable Register */ + uint32_t RESERVED0[24]; + __IO uint32_t ICER[8]; /*!< Offset: 0x080 Interrupt Clear Enable Register */ + uint32_t RSERVED1[24]; + __IO uint32_t ISPR[8]; /*!< Offset: 0x100 Interrupt Set Pending Register */ + uint32_t RESERVED2[24]; + __IO uint32_t ICPR[8]; /*!< Offset: 0x180 Interrupt Clear Pending Register */ + uint32_t RESERVED3[24]; + __IO uint32_t IABR[8]; /*!< Offset: 0x200 Interrupt Active bit Register */ + uint32_t RESERVED4[56]; + __IO uint8_t IP[240]; /*!< Offset: 0x300 Interrupt Priority Register (8Bit wide) */ + uint32_t RESERVED5[644]; + __O uint32_t STIR; /*!< Offset: 0xE00 Software Trigger Interrupt Register */ +} NVIC_Type; +/*@}*/ /* end of group CMSIS_CM3_NVIC */ + + +/** @addtogroup CMSIS_CM3_SCB CMSIS CM3 SCB + memory mapped structure for System Control Block (SCB) + @{ + */ +typedef struct +{ + __I uint32_t CPUID; /*!< Offset: 0x00 CPU ID Base Register */ + __IO uint32_t ICSR; /*!< Offset: 0x04 Interrupt Control State Register */ + __IO uint32_t VTOR; /*!< Offset: 0x08 Vector Table Offset Register */ + __IO uint32_t AIRCR; /*!< Offset: 0x0C Application Interrupt / Reset Control Register */ + __IO uint32_t SCR; /*!< Offset: 0x10 System Control Register */ + __IO uint32_t CCR; /*!< Offset: 0x14 Configuration Control Register */ + __IO uint8_t SHP[12]; /*!< Offset: 0x18 System Handlers Priority Registers (4-7, 8-11, 12-15) */ + __IO uint32_t SHCSR; /*!< Offset: 0x24 System Handler Control and State Register */ + __IO uint32_t CFSR; /*!< Offset: 0x28 Configurable Fault Status Register */ + __IO uint32_t HFSR; /*!< Offset: 0x2C Hard Fault Status Register */ + __IO uint32_t DFSR; /*!< Offset: 0x30 Debug Fault Status Register */ + __IO uint32_t MMFAR; /*!< Offset: 0x34 Mem Manage Address Register */ + __IO uint32_t BFAR; /*!< Offset: 0x38 Bus Fault Address Register */ + __IO uint32_t AFSR; /*!< Offset: 0x3C Auxiliary Fault Status Register */ + __I uint32_t PFR[2]; /*!< Offset: 0x40 Processor Feature Register */ + __I uint32_t DFR; /*!< Offset: 0x48 Debug Feature Register */ + __I uint32_t ADR; /*!< Offset: 0x4C Auxiliary Feature Register */ + __I uint32_t MMFR[4]; /*!< Offset: 0x50 Memory Model Feature Register */ + __I uint32_t ISAR[5]; /*!< Offset: 0x60 ISA Feature Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24 /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFul << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20 /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFul << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_PARTNO_Pos 4 /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFul << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0 /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFul << SCB_CPUID_REVISION_Pos) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31 /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1ul << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28 /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1ul << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27 /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1ul << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26 /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1ul << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25 /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1ul << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23 /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1ul << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22 /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1ul << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12 /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFul << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_RETTOBASE_Pos 11 /*!< SCB ICSR: RETTOBASE Position */ +#define SCB_ICSR_RETTOBASE_Msk (1ul << SCB_ICSR_RETTOBASE_Pos) /*!< SCB ICSR: RETTOBASE Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0 /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFul << SCB_ICSR_VECTACTIVE_Pos) /*!< SCB ICSR: VECTACTIVE Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_VTOR_TBLBASE_Pos 29 /*!< SCB VTOR: TBLBASE Position */ +#define SCB_VTOR_TBLBASE_Msk (0x1FFul << SCB_VTOR_TBLBASE_Pos) /*!< SCB VTOR: TBLBASE Mask */ + +#define SCB_VTOR_TBLOFF_Pos 7 /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0x3FFFFFul << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16 /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFul << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16 /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFul << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15 /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1ul << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_PRIGROUP_Pos 8 /*!< SCB AIRCR: PRIGROUP Position */ +#define SCB_AIRCR_PRIGROUP_Msk (7ul << SCB_AIRCR_PRIGROUP_Pos) /*!< SCB AIRCR: PRIGROUP Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2 /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1ul << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1 /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1ul << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +#define SCB_AIRCR_VECTRESET_Pos 0 /*!< SCB AIRCR: VECTRESET Position */ +#define SCB_AIRCR_VECTRESET_Msk (1ul << SCB_AIRCR_VECTRESET_Pos) /*!< SCB AIRCR: VECTRESET Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4 /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1ul << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2 /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1ul << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1 /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1ul << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9 /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1ul << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_BFHFNMIGN_Pos 8 /*!< SCB CCR: BFHFNMIGN Position */ +#define SCB_CCR_BFHFNMIGN_Msk (1ul << SCB_CCR_BFHFNMIGN_Pos) /*!< SCB CCR: BFHFNMIGN Mask */ + +#define SCB_CCR_DIV_0_TRP_Pos 4 /*!< SCB CCR: DIV_0_TRP Position */ +#define SCB_CCR_DIV_0_TRP_Msk (1ul << SCB_CCR_DIV_0_TRP_Pos) /*!< SCB CCR: DIV_0_TRP Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3 /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1ul << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +#define SCB_CCR_USERSETMPEND_Pos 1 /*!< SCB CCR: USERSETMPEND Position */ +#define SCB_CCR_USERSETMPEND_Msk (1ul << SCB_CCR_USERSETMPEND_Pos) /*!< SCB CCR: USERSETMPEND Mask */ + +#define SCB_CCR_NONBASETHRDENA_Pos 0 /*!< SCB CCR: NONBASETHRDENA Position */ +#define SCB_CCR_NONBASETHRDENA_Msk (1ul << SCB_CCR_NONBASETHRDENA_Pos) /*!< SCB CCR: NONBASETHRDENA Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_USGFAULTENA_Pos 18 /*!< SCB SHCSR: USGFAULTENA Position */ +#define SCB_SHCSR_USGFAULTENA_Msk (1ul << SCB_SHCSR_USGFAULTENA_Pos) /*!< SCB SHCSR: USGFAULTENA Mask */ + +#define SCB_SHCSR_BUSFAULTENA_Pos 17 /*!< SCB SHCSR: BUSFAULTENA Position */ +#define SCB_SHCSR_BUSFAULTENA_Msk (1ul << SCB_SHCSR_BUSFAULTENA_Pos) /*!< SCB SHCSR: BUSFAULTENA Mask */ + +#define SCB_SHCSR_MEMFAULTENA_Pos 16 /*!< SCB SHCSR: MEMFAULTENA Position */ +#define SCB_SHCSR_MEMFAULTENA_Msk (1ul << SCB_SHCSR_MEMFAULTENA_Pos) /*!< SCB SHCSR: MEMFAULTENA Mask */ + +#define SCB_SHCSR_SVCALLPENDED_Pos 15 /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1ul << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +#define SCB_SHCSR_BUSFAULTPENDED_Pos 14 /*!< SCB SHCSR: BUSFAULTPENDED Position */ +#define SCB_SHCSR_BUSFAULTPENDED_Msk (1ul << SCB_SHCSR_BUSFAULTPENDED_Pos) /*!< SCB SHCSR: BUSFAULTPENDED Mask */ + +#define SCB_SHCSR_MEMFAULTPENDED_Pos 13 /*!< SCB SHCSR: MEMFAULTPENDED Position */ +#define SCB_SHCSR_MEMFAULTPENDED_Msk (1ul << SCB_SHCSR_MEMFAULTPENDED_Pos) /*!< SCB SHCSR: MEMFAULTPENDED Mask */ + +#define SCB_SHCSR_USGFAULTPENDED_Pos 12 /*!< SCB SHCSR: USGFAULTPENDED Position */ +#define SCB_SHCSR_USGFAULTPENDED_Msk (1ul << SCB_SHCSR_USGFAULTPENDED_Pos) /*!< SCB SHCSR: USGFAULTPENDED Mask */ + +#define SCB_SHCSR_SYSTICKACT_Pos 11 /*!< SCB SHCSR: SYSTICKACT Position */ +#define SCB_SHCSR_SYSTICKACT_Msk (1ul << SCB_SHCSR_SYSTICKACT_Pos) /*!< SCB SHCSR: SYSTICKACT Mask */ + +#define SCB_SHCSR_PENDSVACT_Pos 10 /*!< SCB SHCSR: PENDSVACT Position */ +#define SCB_SHCSR_PENDSVACT_Msk (1ul << SCB_SHCSR_PENDSVACT_Pos) /*!< SCB SHCSR: PENDSVACT Mask */ + +#define SCB_SHCSR_MONITORACT_Pos 8 /*!< SCB SHCSR: MONITORACT Position */ +#define SCB_SHCSR_MONITORACT_Msk (1ul << SCB_SHCSR_MONITORACT_Pos) /*!< SCB SHCSR: MONITORACT Mask */ + +#define SCB_SHCSR_SVCALLACT_Pos 7 /*!< SCB SHCSR: SVCALLACT Position */ +#define SCB_SHCSR_SVCALLACT_Msk (1ul << SCB_SHCSR_SVCALLACT_Pos) /*!< SCB SHCSR: SVCALLACT Mask */ + +#define SCB_SHCSR_USGFAULTACT_Pos 3 /*!< SCB SHCSR: USGFAULTACT Position */ +#define SCB_SHCSR_USGFAULTACT_Msk (1ul << SCB_SHCSR_USGFAULTACT_Pos) /*!< SCB SHCSR: USGFAULTACT Mask */ + +#define SCB_SHCSR_BUSFAULTACT_Pos 1 /*!< SCB SHCSR: BUSFAULTACT Position */ +#define SCB_SHCSR_BUSFAULTACT_Msk (1ul << SCB_SHCSR_BUSFAULTACT_Pos) /*!< SCB SHCSR: BUSFAULTACT Mask */ + +#define SCB_SHCSR_MEMFAULTACT_Pos 0 /*!< SCB SHCSR: MEMFAULTACT Position */ +#define SCB_SHCSR_MEMFAULTACT_Msk (1ul << SCB_SHCSR_MEMFAULTACT_Pos) /*!< SCB SHCSR: MEMFAULTACT Mask */ + +/* SCB Configurable Fault Status Registers Definitions */ +#define SCB_CFSR_USGFAULTSR_Pos 16 /*!< SCB CFSR: Usage Fault Status Register Position */ +#define SCB_CFSR_USGFAULTSR_Msk (0xFFFFul << SCB_CFSR_USGFAULTSR_Pos) /*!< SCB CFSR: Usage Fault Status Register Mask */ + +#define SCB_CFSR_BUSFAULTSR_Pos 8 /*!< SCB CFSR: Bus Fault Status Register Position */ +#define SCB_CFSR_BUSFAULTSR_Msk (0xFFul << SCB_CFSR_BUSFAULTSR_Pos) /*!< SCB CFSR: Bus Fault Status Register Mask */ + +#define SCB_CFSR_MEMFAULTSR_Pos 0 /*!< SCB CFSR: Memory Manage Fault Status Register Position */ +#define SCB_CFSR_MEMFAULTSR_Msk (0xFFul << SCB_CFSR_MEMFAULTSR_Pos) /*!< SCB CFSR: Memory Manage Fault Status Register Mask */ + +/* SCB Hard Fault Status Registers Definitions */ +#define SCB_HFSR_DEBUGEVT_Pos 31 /*!< SCB HFSR: DEBUGEVT Position */ +#define SCB_HFSR_DEBUGEVT_Msk (1ul << SCB_HFSR_DEBUGEVT_Pos) /*!< SCB HFSR: DEBUGEVT Mask */ + +#define SCB_HFSR_FORCED_Pos 30 /*!< SCB HFSR: FORCED Position */ +#define SCB_HFSR_FORCED_Msk (1ul << SCB_HFSR_FORCED_Pos) /*!< SCB HFSR: FORCED Mask */ + +#define SCB_HFSR_VECTTBL_Pos 1 /*!< SCB HFSR: VECTTBL Position */ +#define SCB_HFSR_VECTTBL_Msk (1ul << SCB_HFSR_VECTTBL_Pos) /*!< SCB HFSR: VECTTBL Mask */ + +/* SCB Debug Fault Status Register Definitions */ +#define SCB_DFSR_EXTERNAL_Pos 4 /*!< SCB DFSR: EXTERNAL Position */ +#define SCB_DFSR_EXTERNAL_Msk (1ul << SCB_DFSR_EXTERNAL_Pos) /*!< SCB DFSR: EXTERNAL Mask */ + +#define SCB_DFSR_VCATCH_Pos 3 /*!< SCB DFSR: VCATCH Position */ +#define SCB_DFSR_VCATCH_Msk (1ul << SCB_DFSR_VCATCH_Pos) /*!< SCB DFSR: VCATCH Mask */ + +#define SCB_DFSR_DWTTRAP_Pos 2 /*!< SCB DFSR: DWTTRAP Position */ +#define SCB_DFSR_DWTTRAP_Msk (1ul << SCB_DFSR_DWTTRAP_Pos) /*!< SCB DFSR: DWTTRAP Mask */ + +#define SCB_DFSR_BKPT_Pos 1 /*!< SCB DFSR: BKPT Position */ +#define SCB_DFSR_BKPT_Msk (1ul << SCB_DFSR_BKPT_Pos) /*!< SCB DFSR: BKPT Mask */ + +#define SCB_DFSR_HALTED_Pos 0 /*!< SCB DFSR: HALTED Position */ +#define SCB_DFSR_HALTED_Msk (1ul << SCB_DFSR_HALTED_Pos) /*!< SCB DFSR: HALTED Mask */ +/*@}*/ /* end of group CMSIS_CM3_SCB */ + + +/** @addtogroup CMSIS_CM3_SysTick CMSIS CM3 SysTick + memory mapped structure for SysTick + @{ + */ +typedef struct +{ + __IO uint32_t CTRL; /*!< Offset: 0x00 SysTick Control and Status Register */ + __IO uint32_t LOAD; /*!< Offset: 0x04 SysTick Reload Value Register */ + __IO uint32_t VAL; /*!< Offset: 0x08 SysTick Current Value Register */ + __I uint32_t CALIB; /*!< Offset: 0x0C SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16 /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1ul << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2 /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1ul << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1 /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1ul << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0 /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1ul << SysTick_CTRL_ENABLE_Pos) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0 /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFul << SysTick_LOAD_RELOAD_Pos) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0 /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFul << SysTick_VAL_CURRENT_Pos) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31 /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1ul << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30 /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1ul << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0 /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFul << SysTick_VAL_CURRENT_Pos) /*!< SysTick CALIB: TENMS Mask */ +/*@}*/ /* end of group CMSIS_CM3_SysTick */ + + +/** @addtogroup CMSIS_CM3_ITM CMSIS CM3 ITM + memory mapped structure for Instrumentation Trace Macrocell (ITM) + @{ + */ +typedef struct +{ + __O union + { + __O uint8_t u8; /*!< Offset: ITM Stimulus Port 8-bit */ + __O uint16_t u16; /*!< Offset: ITM Stimulus Port 16-bit */ + __O uint32_t u32; /*!< Offset: ITM Stimulus Port 32-bit */ + } PORT [32]; /*!< Offset: 0x00 ITM Stimulus Port Registers */ + uint32_t RESERVED0[864]; + __IO uint32_t TER; /*!< Offset: ITM Trace Enable Register */ + uint32_t RESERVED1[15]; + __IO uint32_t TPR; /*!< Offset: ITM Trace Privilege Register */ + uint32_t RESERVED2[15]; + __IO uint32_t TCR; /*!< Offset: ITM Trace Control Register */ + uint32_t RESERVED3[29]; + __IO uint32_t IWR; /*!< Offset: ITM Integration Write Register */ + __IO uint32_t IRR; /*!< Offset: ITM Integration Read Register */ + __IO uint32_t IMCR; /*!< Offset: ITM Integration Mode Control Register */ + uint32_t RESERVED4[43]; + __IO uint32_t LAR; /*!< Offset: ITM Lock Access Register */ + __IO uint32_t LSR; /*!< Offset: ITM Lock Status Register */ + uint32_t RESERVED5[6]; + __I uint32_t PID4; /*!< Offset: ITM Peripheral Identification Register #4 */ + __I uint32_t PID5; /*!< Offset: ITM Peripheral Identification Register #5 */ + __I uint32_t PID6; /*!< Offset: ITM Peripheral Identification Register #6 */ + __I uint32_t PID7; /*!< Offset: ITM Peripheral Identification Register #7 */ + __I uint32_t PID0; /*!< Offset: ITM Peripheral Identification Register #0 */ + __I uint32_t PID1; /*!< Offset: ITM Peripheral Identification Register #1 */ + __I uint32_t PID2; /*!< Offset: ITM Peripheral Identification Register #2 */ + __I uint32_t PID3; /*!< Offset: ITM Peripheral Identification Register #3 */ + __I uint32_t CID0; /*!< Offset: ITM Component Identification Register #0 */ + __I uint32_t CID1; /*!< Offset: ITM Component Identification Register #1 */ + __I uint32_t CID2; /*!< Offset: ITM Component Identification Register #2 */ + __I uint32_t CID3; /*!< Offset: ITM Component Identification Register #3 */ +} ITM_Type; + +/* ITM Trace Privilege Register Definitions */ +#define ITM_TPR_PRIVMASK_Pos 0 /*!< ITM TPR: PRIVMASK Position */ +#define ITM_TPR_PRIVMASK_Msk (0xFul << ITM_TPR_PRIVMASK_Pos) /*!< ITM TPR: PRIVMASK Mask */ + +/* ITM Trace Control Register Definitions */ +#define ITM_TCR_BUSY_Pos 23 /*!< ITM TCR: BUSY Position */ +#define ITM_TCR_BUSY_Msk (1ul << ITM_TCR_BUSY_Pos) /*!< ITM TCR: BUSY Mask */ + +#define ITM_TCR_ATBID_Pos 16 /*!< ITM TCR: ATBID Position */ +#define ITM_TCR_ATBID_Msk (0x7Ful << ITM_TCR_ATBID_Pos) /*!< ITM TCR: ATBID Mask */ + +#define ITM_TCR_TSPrescale_Pos 8 /*!< ITM TCR: TSPrescale Position */ +#define ITM_TCR_TSPrescale_Msk (3ul << ITM_TCR_TSPrescale_Pos) /*!< ITM TCR: TSPrescale Mask */ + +#define ITM_TCR_SWOENA_Pos 4 /*!< ITM TCR: SWOENA Position */ +#define ITM_TCR_SWOENA_Msk (1ul << ITM_TCR_SWOENA_Pos) /*!< ITM TCR: SWOENA Mask */ + +#define ITM_TCR_DWTENA_Pos 3 /*!< ITM TCR: DWTENA Position */ +#define ITM_TCR_DWTENA_Msk (1ul << ITM_TCR_DWTENA_Pos) /*!< ITM TCR: DWTENA Mask */ + +#define ITM_TCR_SYNCENA_Pos 2 /*!< ITM TCR: SYNCENA Position */ +#define ITM_TCR_SYNCENA_Msk (1ul << ITM_TCR_SYNCENA_Pos) /*!< ITM TCR: SYNCENA Mask */ + +#define ITM_TCR_TSENA_Pos 1 /*!< ITM TCR: TSENA Position */ +#define ITM_TCR_TSENA_Msk (1ul << ITM_TCR_TSENA_Pos) /*!< ITM TCR: TSENA Mask */ + +#define ITM_TCR_ITMENA_Pos 0 /*!< ITM TCR: ITM Enable bit Position */ +#define ITM_TCR_ITMENA_Msk (1ul << ITM_TCR_ITMENA_Pos) /*!< ITM TCR: ITM Enable bit Mask */ + +/* ITM Integration Write Register Definitions */ +#define ITM_IWR_ATVALIDM_Pos 0 /*!< ITM IWR: ATVALIDM Position */ +#define ITM_IWR_ATVALIDM_Msk (1ul << ITM_IWR_ATVALIDM_Pos) /*!< ITM IWR: ATVALIDM Mask */ + +/* ITM Integration Read Register Definitions */ +#define ITM_IRR_ATREADYM_Pos 0 /*!< ITM IRR: ATREADYM Position */ +#define ITM_IRR_ATREADYM_Msk (1ul << ITM_IRR_ATREADYM_Pos) /*!< ITM IRR: ATREADYM Mask */ + +/* ITM Integration Mode Control Register Definitions */ +#define ITM_IMCR_INTEGRATION_Pos 0 /*!< ITM IMCR: INTEGRATION Position */ +#define ITM_IMCR_INTEGRATION_Msk (1ul << ITM_IMCR_INTEGRATION_Pos) /*!< ITM IMCR: INTEGRATION Mask */ + +/* ITM Lock Status Register Definitions */ +#define ITM_LSR_ByteAcc_Pos 2 /*!< ITM LSR: ByteAcc Position */ +#define ITM_LSR_ByteAcc_Msk (1ul << ITM_LSR_ByteAcc_Pos) /*!< ITM LSR: ByteAcc Mask */ + +#define ITM_LSR_Access_Pos 1 /*!< ITM LSR: Access Position */ +#define ITM_LSR_Access_Msk (1ul << ITM_LSR_Access_Pos) /*!< ITM LSR: Access Mask */ + +#define ITM_LSR_Present_Pos 0 /*!< ITM LSR: Present Position */ +#define ITM_LSR_Present_Msk (1ul << ITM_LSR_Present_Pos) /*!< ITM LSR: Present Mask */ +/*@}*/ /* end of group CMSIS_CM3_ITM */ + + +/** @addtogroup CMSIS_CM3_InterruptType CMSIS CM3 Interrupt Type + memory mapped structure for Interrupt Type + @{ + */ +typedef struct +{ + uint32_t RESERVED0; + __I uint32_t ICTR; /*!< Offset: 0x04 Interrupt Control Type Register */ +#if ((defined __CM3_REV) && (__CM3_REV >= 0x200)) + __IO uint32_t ACTLR; /*!< Offset: 0x08 Auxiliary Control Register */ +#else + uint32_t RESERVED1; +#endif +} InterruptType_Type; + +/* Interrupt Controller Type Register Definitions */ +#define InterruptType_ICTR_INTLINESNUM_Pos 0 /*!< InterruptType ICTR: INTLINESNUM Position */ +#define InterruptType_ICTR_INTLINESNUM_Msk (0x1Ful << InterruptType_ICTR_INTLINESNUM_Pos) /*!< InterruptType ICTR: INTLINESNUM Mask */ + +/* Auxiliary Control Register Definitions */ +#define InterruptType_ACTLR_DISFOLD_Pos 2 /*!< InterruptType ACTLR: DISFOLD Position */ +#define InterruptType_ACTLR_DISFOLD_Msk (1ul << InterruptType_ACTLR_DISFOLD_Pos) /*!< InterruptType ACTLR: DISFOLD Mask */ + +#define InterruptType_ACTLR_DISDEFWBUF_Pos 1 /*!< InterruptType ACTLR: DISDEFWBUF Position */ +#define InterruptType_ACTLR_DISDEFWBUF_Msk (1ul << InterruptType_ACTLR_DISDEFWBUF_Pos) /*!< InterruptType ACTLR: DISDEFWBUF Mask */ + +#define InterruptType_ACTLR_DISMCYCINT_Pos 0 /*!< InterruptType ACTLR: DISMCYCINT Position */ +#define InterruptType_ACTLR_DISMCYCINT_Msk (1ul << InterruptType_ACTLR_DISMCYCINT_Pos) /*!< InterruptType ACTLR: DISMCYCINT Mask */ +/*@}*/ /* end of group CMSIS_CM3_InterruptType */ + + +#if defined (__MPU_PRESENT) && (__MPU_PRESENT == 1) +/** @addtogroup CMSIS_CM3_MPU CMSIS CM3 MPU + memory mapped structure for Memory Protection Unit (MPU) + @{ + */ +typedef struct +{ + __I uint32_t TYPE; /*!< Offset: 0x00 MPU Type Register */ + __IO uint32_t CTRL; /*!< Offset: 0x04 MPU Control Register */ + __IO uint32_t RNR; /*!< Offset: 0x08 MPU Region RNRber Register */ + __IO uint32_t RBAR; /*!< Offset: 0x0C MPU Region Base Address Register */ + __IO uint32_t RASR; /*!< Offset: 0x10 MPU Region Attribute and Size Register */ + __IO uint32_t RBAR_A1; /*!< Offset: 0x14 MPU Alias 1 Region Base Address Register */ + __IO uint32_t RASR_A1; /*!< Offset: 0x18 MPU Alias 1 Region Attribute and Size Register */ + __IO uint32_t RBAR_A2; /*!< Offset: 0x1C MPU Alias 2 Region Base Address Register */ + __IO uint32_t RASR_A2; /*!< Offset: 0x20 MPU Alias 2 Region Attribute and Size Register */ + __IO uint32_t RBAR_A3; /*!< Offset: 0x24 MPU Alias 3 Region Base Address Register */ + __IO uint32_t RASR_A3; /*!< Offset: 0x28 MPU Alias 3 Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register */ +#define MPU_TYPE_IREGION_Pos 16 /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFul << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8 /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFul << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0 /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1ul << MPU_TYPE_SEPARATE_Pos) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register */ +#define MPU_CTRL_PRIVDEFENA_Pos 2 /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1ul << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1 /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1ul << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0 /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1ul << MPU_CTRL_ENABLE_Pos) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register */ +#define MPU_RNR_REGION_Pos 0 /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFul << MPU_RNR_REGION_Pos) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register */ +#define MPU_RBAR_ADDR_Pos 5 /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0x7FFFFFFul << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4 /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1ul << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0 /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFul << MPU_RBAR_REGION_Pos) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register */ +#define MPU_RASR_XN_Pos 28 /*!< MPU RASR: XN Position */ +#define MPU_RASR_XN_Msk (1ul << MPU_RASR_XN_Pos) /*!< MPU RASR: XN Mask */ + +#define MPU_RASR_AP_Pos 24 /*!< MPU RASR: AP Position */ +#define MPU_RASR_AP_Msk (7ul << MPU_RASR_AP_Pos) /*!< MPU RASR: AP Mask */ + +#define MPU_RASR_TEX_Pos 19 /*!< MPU RASR: TEX Position */ +#define MPU_RASR_TEX_Msk (7ul << MPU_RASR_TEX_Pos) /*!< MPU RASR: TEX Mask */ + +#define MPU_RASR_S_Pos 18 /*!< MPU RASR: Shareable bit Position */ +#define MPU_RASR_S_Msk (1ul << MPU_RASR_S_Pos) /*!< MPU RASR: Shareable bit Mask */ + +#define MPU_RASR_C_Pos 17 /*!< MPU RASR: Cacheable bit Position */ +#define MPU_RASR_C_Msk (1ul << MPU_RASR_C_Pos) /*!< MPU RASR: Cacheable bit Mask */ + +#define MPU_RASR_B_Pos 16 /*!< MPU RASR: Bufferable bit Position */ +#define MPU_RASR_B_Msk (1ul << MPU_RASR_B_Pos) /*!< MPU RASR: Bufferable bit Mask */ + +#define MPU_RASR_SRD_Pos 8 /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFul << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1 /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1Ful << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENA_Pos 0 /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENA_Msk (0x1Ful << MPU_RASR_ENA_Pos) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@}*/ /* end of group CMSIS_CM3_MPU */ +#endif + + +/** @addtogroup CMSIS_CM3_CoreDebug CMSIS CM3 Core Debug + memory mapped structure for Core Debug Register + @{ + */ +typedef struct +{ + __IO uint32_t DHCSR; /*!< Offset: 0x00 Debug Halting Control and Status Register */ + __O uint32_t DCRSR; /*!< Offset: 0x04 Debug Core Register Selector Register */ + __IO uint32_t DCRDR; /*!< Offset: 0x08 Debug Core Register Data Register */ + __IO uint32_t DEMCR; /*!< Offset: 0x0C Debug Exception and Monitor Control Register */ +} CoreDebug_Type; + +/* Debug Halting Control and Status Register */ +#define CoreDebug_DHCSR_DBGKEY_Pos 16 /*!< CoreDebug DHCSR: DBGKEY Position */ +#define CoreDebug_DHCSR_DBGKEY_Msk (0xFFFFul << CoreDebug_DHCSR_DBGKEY_Pos) /*!< CoreDebug DHCSR: DBGKEY Mask */ + +#define CoreDebug_DHCSR_S_RESET_ST_Pos 25 /*!< CoreDebug DHCSR: S_RESET_ST Position */ +#define CoreDebug_DHCSR_S_RESET_ST_Msk (1ul << CoreDebug_DHCSR_S_RESET_ST_Pos) /*!< CoreDebug DHCSR: S_RESET_ST Mask */ + +#define CoreDebug_DHCSR_S_RETIRE_ST_Pos 24 /*!< CoreDebug DHCSR: S_RETIRE_ST Position */ +#define CoreDebug_DHCSR_S_RETIRE_ST_Msk (1ul << CoreDebug_DHCSR_S_RETIRE_ST_Pos) /*!< CoreDebug DHCSR: S_RETIRE_ST Mask */ + +#define CoreDebug_DHCSR_S_LOCKUP_Pos 19 /*!< CoreDebug DHCSR: S_LOCKUP Position */ +#define CoreDebug_DHCSR_S_LOCKUP_Msk (1ul << CoreDebug_DHCSR_S_LOCKUP_Pos) /*!< CoreDebug DHCSR: S_LOCKUP Mask */ + +#define CoreDebug_DHCSR_S_SLEEP_Pos 18 /*!< CoreDebug DHCSR: S_SLEEP Position */ +#define CoreDebug_DHCSR_S_SLEEP_Msk (1ul << CoreDebug_DHCSR_S_SLEEP_Pos) /*!< CoreDebug DHCSR: S_SLEEP Mask */ + +#define CoreDebug_DHCSR_S_HALT_Pos 17 /*!< CoreDebug DHCSR: S_HALT Position */ +#define CoreDebug_DHCSR_S_HALT_Msk (1ul << CoreDebug_DHCSR_S_HALT_Pos) /*!< CoreDebug DHCSR: S_HALT Mask */ + +#define CoreDebug_DHCSR_S_REGRDY_Pos 16 /*!< CoreDebug DHCSR: S_REGRDY Position */ +#define CoreDebug_DHCSR_S_REGRDY_Msk (1ul << CoreDebug_DHCSR_S_REGRDY_Pos) /*!< CoreDebug DHCSR: S_REGRDY Mask */ + +#define CoreDebug_DHCSR_C_SNAPSTALL_Pos 5 /*!< CoreDebug DHCSR: C_SNAPSTALL Position */ +#define CoreDebug_DHCSR_C_SNAPSTALL_Msk (1ul << CoreDebug_DHCSR_C_SNAPSTALL_Pos) /*!< CoreDebug DHCSR: C_SNAPSTALL Mask */ + +#define CoreDebug_DHCSR_C_MASKINTS_Pos 3 /*!< CoreDebug DHCSR: C_MASKINTS Position */ +#define CoreDebug_DHCSR_C_MASKINTS_Msk (1ul << CoreDebug_DHCSR_C_MASKINTS_Pos) /*!< CoreDebug DHCSR: C_MASKINTS Mask */ + +#define CoreDebug_DHCSR_C_STEP_Pos 2 /*!< CoreDebug DHCSR: C_STEP Position */ +#define CoreDebug_DHCSR_C_STEP_Msk (1ul << CoreDebug_DHCSR_C_STEP_Pos) /*!< CoreDebug DHCSR: C_STEP Mask */ + +#define CoreDebug_DHCSR_C_HALT_Pos 1 /*!< CoreDebug DHCSR: C_HALT Position */ +#define CoreDebug_DHCSR_C_HALT_Msk (1ul << CoreDebug_DHCSR_C_HALT_Pos) /*!< CoreDebug DHCSR: C_HALT Mask */ + +#define CoreDebug_DHCSR_C_DEBUGEN_Pos 0 /*!< CoreDebug DHCSR: C_DEBUGEN Position */ +#define CoreDebug_DHCSR_C_DEBUGEN_Msk (1ul << CoreDebug_DHCSR_C_DEBUGEN_Pos) /*!< CoreDebug DHCSR: C_DEBUGEN Mask */ + +/* Debug Core Register Selector Register */ +#define CoreDebug_DCRSR_REGWnR_Pos 16 /*!< CoreDebug DCRSR: REGWnR Position */ +#define CoreDebug_DCRSR_REGWnR_Msk (1ul << CoreDebug_DCRSR_REGWnR_Pos) /*!< CoreDebug DCRSR: REGWnR Mask */ + +#define CoreDebug_DCRSR_REGSEL_Pos 0 /*!< CoreDebug DCRSR: REGSEL Position */ +#define CoreDebug_DCRSR_REGSEL_Msk (0x1Ful << CoreDebug_DCRSR_REGSEL_Pos) /*!< CoreDebug DCRSR: REGSEL Mask */ + +/* Debug Exception and Monitor Control Register */ +#define CoreDebug_DEMCR_TRCENA_Pos 24 /*!< CoreDebug DEMCR: TRCENA Position */ +#define CoreDebug_DEMCR_TRCENA_Msk (1ul << CoreDebug_DEMCR_TRCENA_Pos) /*!< CoreDebug DEMCR: TRCENA Mask */ + +#define CoreDebug_DEMCR_MON_REQ_Pos 19 /*!< CoreDebug DEMCR: MON_REQ Position */ +#define CoreDebug_DEMCR_MON_REQ_Msk (1ul << CoreDebug_DEMCR_MON_REQ_Pos) /*!< CoreDebug DEMCR: MON_REQ Mask */ + +#define CoreDebug_DEMCR_MON_STEP_Pos 18 /*!< CoreDebug DEMCR: MON_STEP Position */ +#define CoreDebug_DEMCR_MON_STEP_Msk (1ul << CoreDebug_DEMCR_MON_STEP_Pos) /*!< CoreDebug DEMCR: MON_STEP Mask */ + +#define CoreDebug_DEMCR_MON_PEND_Pos 17 /*!< CoreDebug DEMCR: MON_PEND Position */ +#define CoreDebug_DEMCR_MON_PEND_Msk (1ul << CoreDebug_DEMCR_MON_PEND_Pos) /*!< CoreDebug DEMCR: MON_PEND Mask */ + +#define CoreDebug_DEMCR_MON_EN_Pos 16 /*!< CoreDebug DEMCR: MON_EN Position */ +#define CoreDebug_DEMCR_MON_EN_Msk (1ul << CoreDebug_DEMCR_MON_EN_Pos) /*!< CoreDebug DEMCR: MON_EN Mask */ + +#define CoreDebug_DEMCR_VC_HARDERR_Pos 10 /*!< CoreDebug DEMCR: VC_HARDERR Position */ +#define CoreDebug_DEMCR_VC_HARDERR_Msk (1ul << CoreDebug_DEMCR_VC_HARDERR_Pos) /*!< CoreDebug DEMCR: VC_HARDERR Mask */ + +#define CoreDebug_DEMCR_VC_INTERR_Pos 9 /*!< CoreDebug DEMCR: VC_INTERR Position */ +#define CoreDebug_DEMCR_VC_INTERR_Msk (1ul << CoreDebug_DEMCR_VC_INTERR_Pos) /*!< CoreDebug DEMCR: VC_INTERR Mask */ + +#define CoreDebug_DEMCR_VC_BUSERR_Pos 8 /*!< CoreDebug DEMCR: VC_BUSERR Position */ +#define CoreDebug_DEMCR_VC_BUSERR_Msk (1ul << CoreDebug_DEMCR_VC_BUSERR_Pos) /*!< CoreDebug DEMCR: VC_BUSERR Mask */ + +#define CoreDebug_DEMCR_VC_STATERR_Pos 7 /*!< CoreDebug DEMCR: VC_STATERR Position */ +#define CoreDebug_DEMCR_VC_STATERR_Msk (1ul << CoreDebug_DEMCR_VC_STATERR_Pos) /*!< CoreDebug DEMCR: VC_STATERR Mask */ + +#define CoreDebug_DEMCR_VC_CHKERR_Pos 6 /*!< CoreDebug DEMCR: VC_CHKERR Position */ +#define CoreDebug_DEMCR_VC_CHKERR_Msk (1ul << CoreDebug_DEMCR_VC_CHKERR_Pos) /*!< CoreDebug DEMCR: VC_CHKERR Mask */ + +#define CoreDebug_DEMCR_VC_NOCPERR_Pos 5 /*!< CoreDebug DEMCR: VC_NOCPERR Position */ +#define CoreDebug_DEMCR_VC_NOCPERR_Msk (1ul << CoreDebug_DEMCR_VC_NOCPERR_Pos) /*!< CoreDebug DEMCR: VC_NOCPERR Mask */ + +#define CoreDebug_DEMCR_VC_MMERR_Pos 4 /*!< CoreDebug DEMCR: VC_MMERR Position */ +#define CoreDebug_DEMCR_VC_MMERR_Msk (1ul << CoreDebug_DEMCR_VC_MMERR_Pos) /*!< CoreDebug DEMCR: VC_MMERR Mask */ + +#define CoreDebug_DEMCR_VC_CORERESET_Pos 0 /*!< CoreDebug DEMCR: VC_CORERESET Position */ +#define CoreDebug_DEMCR_VC_CORERESET_Msk (1ul << CoreDebug_DEMCR_VC_CORERESET_Pos) /*!< CoreDebug DEMCR: VC_CORERESET Mask */ +/*@}*/ /* end of group CMSIS_CM3_CoreDebug */ + + +/* Memory mapping of Cortex-M3 Hardware */ +#define SCS_BASE (0xE000E000) /*!< System Control Space Base Address */ +#define ITM_BASE (0xE0000000) /*!< ITM Base Address */ +#define CoreDebug_BASE (0xE000EDF0) /*!< Core Debug Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00) /*!< System Control Block Base Address */ + +#define InterruptType ((InterruptType_Type *) SCS_BASE) /*!< Interrupt Type Register */ +#define SCB ((SCB_Type *) SCB_BASE) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE) /*!< NVIC configuration struct */ +#define ITM ((ITM_Type *) ITM_BASE) /*!< ITM configuration struct */ +#define CoreDebug ((CoreDebug_Type *) CoreDebug_BASE) /*!< Core Debug configuration struct */ + +#if defined (__MPU_PRESENT) && (__MPU_PRESENT == 1) + #define MPU_BASE (SCS_BASE + 0x0D90) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type*) MPU_BASE) /*!< Memory Protection Unit */ +#endif + +/*@}*/ /* end of group CMSIS_CM3_core_register */ + + +/******************************************************************************* + * Hardware Abstraction Layer + ******************************************************************************/ + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only avaiable in High optimization mode! */ + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + +#endif + + +/* ################### Compiler specific Intrinsics ########################### */ + +#if defined ( __CC_ARM ) /*------------------RealView Compiler -----------------*/ +/* ARM armcc specific functions */ + +#define __enable_fault_irq __enable_fiq +#define __disable_fault_irq __disable_fiq + +#define __NOP __nop +#define __WFI __wfi +#define __WFE __wfe +#define __SEV __sev +#define __ISB() __isb(0) +#define __DSB() __dsb(0) +#define __DMB() __dmb(0) +#define __REV __rev +#define __RBIT __rbit +#define __LDREXB(ptr) ((unsigned char ) __ldrex(ptr)) +#define __LDREXH(ptr) ((unsigned short) __ldrex(ptr)) +#define __LDREXW(ptr) ((unsigned int ) __ldrex(ptr)) +#define __STREXB(value, ptr) __strex(value, ptr) +#define __STREXH(value, ptr) __strex(value, ptr) +#define __STREXW(value, ptr) __strex(value, ptr) + + +/* intrinsic unsigned long long __ldrexd(volatile void *ptr) */ +/* intrinsic int __strexd(unsigned long long val, volatile void *ptr) */ +/* intrinsic void __enable_irq(); */ +/* intrinsic void __disable_irq(); */ + + +/** + * @brief Return the Process Stack Pointer + * + * @return ProcessStackPointer + * + * Return the actual process stack pointer + */ +extern uint32_t __get_PSP(void); + +/** + * @brief Set the Process Stack Pointer + * + * @param topOfProcStack Process Stack Pointer + * + * Assign the value ProcessStackPointer to the MSP + * (process stack pointer) Cortex processor register + */ +extern void __set_PSP(uint32_t topOfProcStack); + +/** + * @brief Return the Main Stack Pointer + * + * @return Main Stack Pointer + * + * Return the current value of the MSP (main stack pointer) + * Cortex processor register + */ +extern uint32_t __get_MSP(void); + +/** + * @brief Set the Main Stack Pointer + * + * @param topOfMainStack Main Stack Pointer + * + * Assign the value mainStackPointer to the MSP + * (main stack pointer) Cortex processor register + */ +extern void __set_MSP(uint32_t topOfMainStack); + +/** + * @brief Reverse byte order in unsigned short value + * + * @param value value to reverse + * @return reversed value + * + * Reverse byte order in unsigned short value + */ +extern uint32_t __REV16(uint16_t value); + +/** + * @brief Reverse byte order in signed short value with sign extension to integer + * + * @param value value to reverse + * @return reversed value + * + * Reverse byte order in signed short value with sign extension to integer + */ +extern int32_t __REVSH(int16_t value); + + +#if (__ARMCC_VERSION < 400000) + +/** + * @brief Remove the exclusive lock created by ldrex + * + * Removes the exclusive lock which is created by ldrex. + */ +extern void __CLREX(void); + +/** + * @brief Return the Base Priority value + * + * @return BasePriority + * + * Return the content of the base priority register + */ +extern uint32_t __get_BASEPRI(void); + +/** + * @brief Set the Base Priority value + * + * @param basePri BasePriority + * + * Set the base priority register + */ +extern void __set_BASEPRI(uint32_t basePri); + +/** + * @brief Return the Priority Mask value + * + * @return PriMask + * + * Return state of the priority mask bit from the priority mask register + */ +extern uint32_t __get_PRIMASK(void); + +/** + * @brief Set the Priority Mask value + * + * @param priMask PriMask + * + * Set the priority mask bit in the priority mask register + */ +extern void __set_PRIMASK(uint32_t priMask); + +/** + * @brief Return the Fault Mask value + * + * @return FaultMask + * + * Return the content of the fault mask register + */ +extern uint32_t __get_FAULTMASK(void); + +/** + * @brief Set the Fault Mask value + * + * @param faultMask faultMask value + * + * Set the fault mask register + */ +extern void __set_FAULTMASK(uint32_t faultMask); + +/** + * @brief Return the Control Register value + * + * @return Control value + * + * Return the content of the control register + */ +extern uint32_t __get_CONTROL(void); + +/** + * @brief Set the Control Register value + * + * @param control Control value + * + * Set the control register + */ +extern void __set_CONTROL(uint32_t control); + +#else /* (__ARMCC_VERSION >= 400000) */ + +/** + * @brief Remove the exclusive lock created by ldrex + * + * Removes the exclusive lock which is created by ldrex. + */ +#define __CLREX __clrex + +/** + * @brief Return the Base Priority value + * + * @return BasePriority + * + * Return the content of the base priority register + */ +static __INLINE uint32_t __get_BASEPRI(void) +{ + register uint32_t __regBasePri __ASM("basepri"); + return(__regBasePri); +} + +/** + * @brief Set the Base Priority value + * + * @param basePri BasePriority + * + * Set the base priority register + */ +static __INLINE void __set_BASEPRI(uint32_t basePri) +{ + register uint32_t __regBasePri __ASM("basepri"); + __regBasePri = (basePri & 0xff); +} + +/** + * @brief Return the Priority Mask value + * + * @return PriMask + * + * Return state of the priority mask bit from the priority mask register + */ +static __INLINE uint32_t __get_PRIMASK(void) +{ + register uint32_t __regPriMask __ASM("primask"); + return(__regPriMask); +} + +/** + * @brief Set the Priority Mask value + * + * @param priMask PriMask + * + * Set the priority mask bit in the priority mask register + */ +static __INLINE void __set_PRIMASK(uint32_t priMask) +{ + register uint32_t __regPriMask __ASM("primask"); + __regPriMask = (priMask); +} + +/** + * @brief Return the Fault Mask value + * + * @return FaultMask + * + * Return the content of the fault mask register + */ +static __INLINE uint32_t __get_FAULTMASK(void) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + return(__regFaultMask); +} + +/** + * @brief Set the Fault Mask value + * + * @param faultMask faultMask value + * + * Set the fault mask register + */ +static __INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + __regFaultMask = (faultMask & 1); +} + +/** + * @brief Return the Control Register value + * + * @return Control value + * + * Return the content of the control register + */ +static __INLINE uint32_t __get_CONTROL(void) +{ + register uint32_t __regControl __ASM("control"); + return(__regControl); +} + +/** + * @brief Set the Control Register value + * + * @param control Control value + * + * Set the control register + */ +static __INLINE void __set_CONTROL(uint32_t control) +{ + register uint32_t __regControl __ASM("control"); + __regControl = control; +} + +#endif /* __ARMCC_VERSION */ + + + +#elif (defined (__ICCARM__)) /*------------------ ICC Compiler -------------------*/ +/* IAR iccarm specific functions */ + +#define __enable_irq __enable_interrupt /*!< global Interrupt enable */ +#define __disable_irq __disable_interrupt /*!< global Interrupt disable */ + +static __INLINE void __enable_fault_irq() { __ASM ("cpsie f"); } +static __INLINE void __disable_fault_irq() { __ASM ("cpsid f"); } + +#define __NOP __no_operation /*!< no operation intrinsic in IAR Compiler */ +static __INLINE void __WFI() { __ASM ("wfi"); } +static __INLINE void __WFE() { __ASM ("wfe"); } +static __INLINE void __SEV() { __ASM ("sev"); } +static __INLINE void __CLREX() { __ASM ("clrex"); } + +/* intrinsic void __ISB(void) */ +/* intrinsic void __DSB(void) */ +/* intrinsic void __DMB(void) */ +/* intrinsic void __set_PRIMASK(); */ +/* intrinsic void __get_PRIMASK(); */ +/* intrinsic void __set_FAULTMASK(); */ +/* intrinsic void __get_FAULTMASK(); */ +/* intrinsic uint32_t __REV(uint32_t value); */ +/* intrinsic uint32_t __REVSH(uint32_t value); */ +/* intrinsic unsigned long __STREX(unsigned long, unsigned long); */ +/* intrinsic unsigned long __LDREX(unsigned long *); */ + + +/** + * @brief Return the Process Stack Pointer + * + * @return ProcessStackPointer + * + * Return the actual process stack pointer + */ +extern uint32_t __get_PSP(void); + +/** + * @brief Set the Process Stack Pointer + * + * @param topOfProcStack Process Stack Pointer + * + * Assign the value ProcessStackPointer to the MSP + * (process stack pointer) Cortex processor register + */ +extern void __set_PSP(uint32_t topOfProcStack); + +/** + * @brief Return the Main Stack Pointer + * + * @return Main Stack Pointer + * + * Return the current value of the MSP (main stack pointer) + * Cortex processor register + */ +extern uint32_t __get_MSP(void); + +/** + * @brief Set the Main Stack Pointer + * + * @param topOfMainStack Main Stack Pointer + * + * Assign the value mainStackPointer to the MSP + * (main stack pointer) Cortex processor register + */ +extern void __set_MSP(uint32_t topOfMainStack); + +/** + * @brief Reverse byte order in unsigned short value + * + * @param value value to reverse + * @return reversed value + * + * Reverse byte order in unsigned short value + */ +extern uint32_t __REV16(uint16_t value); + +/** + * @brief Reverse bit order of value + * + * @param value value to reverse + * @return reversed value + * + * Reverse bit order of value + */ +extern uint32_t __RBIT(uint32_t value); + +/** + * @brief LDR Exclusive (8 bit) + * + * @param *addr address pointer + * @return value of (*address) + * + * Exclusive LDR command for 8 bit values) + */ +extern uint8_t __LDREXB(uint8_t *addr); + +/** + * @brief LDR Exclusive (16 bit) + * + * @param *addr address pointer + * @return value of (*address) + * + * Exclusive LDR command for 16 bit values + */ +extern uint16_t __LDREXH(uint16_t *addr); + +/** + * @brief LDR Exclusive (32 bit) + * + * @param *addr address pointer + * @return value of (*address) + * + * Exclusive LDR command for 32 bit values + */ +extern uint32_t __LDREXW(uint32_t *addr); + +/** + * @brief STR Exclusive (8 bit) + * + * @param value value to store + * @param *addr address pointer + * @return successful / failed + * + * Exclusive STR command for 8 bit values + */ +extern uint32_t __STREXB(uint8_t value, uint8_t *addr); + +/** + * @brief STR Exclusive (16 bit) + * + * @param value value to store + * @param *addr address pointer + * @return successful / failed + * + * Exclusive STR command for 16 bit values + */ +extern uint32_t __STREXH(uint16_t value, uint16_t *addr); + +/** + * @brief STR Exclusive (32 bit) + * + * @param value value to store + * @param *addr address pointer + * @return successful / failed + * + * Exclusive STR command for 32 bit values + */ +extern uint32_t __STREXW(uint32_t value, uint32_t *addr); + + + +#elif (defined (__GNUC__)) /*------------------ GNU Compiler ---------------------*/ +/* GNU gcc specific functions */ + +static __INLINE void __enable_irq() { __ASM volatile ("cpsie i"); } +static __INLINE void __disable_irq() { __ASM volatile ("cpsid i"); } + +static __INLINE void __enable_fault_irq() { __ASM volatile ("cpsie f"); } +static __INLINE void __disable_fault_irq() { __ASM volatile ("cpsid f"); } + +static __INLINE void __NOP() { __ASM volatile ("nop"); } +static __INLINE void __WFI() { __ASM volatile ("wfi"); } +static __INLINE void __WFE() { __ASM volatile ("wfe"); } +static __INLINE void __SEV() { __ASM volatile ("sev"); } +static __INLINE void __ISB() { __ASM volatile ("isb"); } +static __INLINE void __DSB() { __ASM volatile ("dsb"); } +static __INLINE void __DMB() { __ASM volatile ("dmb"); } +static __INLINE void __CLREX() { __ASM volatile ("clrex"); } + + +/** + * @brief Return the Process Stack Pointer + * + * @return ProcessStackPointer + * + * Return the actual process stack pointer + */ +extern uint32_t __get_PSP(void); + +/** + * @brief Set the Process Stack Pointer + * + * @param topOfProcStack Process Stack Pointer + * + * Assign the value ProcessStackPointer to the MSP + * (process stack pointer) Cortex processor register + */ +extern void __set_PSP(uint32_t topOfProcStack); + +/** + * @brief Return the Main Stack Pointer + * + * @return Main Stack Pointer + * + * Return the current value of the MSP (main stack pointer) + * Cortex processor register + */ +extern uint32_t __get_MSP(void); + +/** + * @brief Set the Main Stack Pointer + * + * @param topOfMainStack Main Stack Pointer + * + * Assign the value mainStackPointer to the MSP + * (main stack pointer) Cortex processor register + */ +extern void __set_MSP(uint32_t topOfMainStack); + +/** + * @brief Return the Base Priority value + * + * @return BasePriority + * + * Return the content of the base priority register + */ +extern uint32_t __get_BASEPRI(void); + +/** + * @brief Set the Base Priority value + * + * @param basePri BasePriority + * + * Set the base priority register + */ +extern void __set_BASEPRI(uint32_t basePri); + +/** + * @brief Return the Priority Mask value + * + * @return PriMask + * + * Return state of the priority mask bit from the priority mask register + */ +extern uint32_t __get_PRIMASK(void); + +/** + * @brief Set the Priority Mask value + * + * @param priMask PriMask + * + * Set the priority mask bit in the priority mask register + */ +extern void __set_PRIMASK(uint32_t priMask); + +/** + * @brief Return the Fault Mask value + * + * @return FaultMask + * + * Return the content of the fault mask register + */ +extern uint32_t __get_FAULTMASK(void); + +/** + * @brief Set the Fault Mask value + * + * @param faultMask faultMask value + * + * Set the fault mask register + */ +extern void __set_FAULTMASK(uint32_t faultMask); + +/** + * @brief Return the Control Register value +* +* @return Control value + * + * Return the content of the control register + */ +extern uint32_t __get_CONTROL(void); + +/** + * @brief Set the Control Register value + * + * @param control Control value + * + * Set the control register + */ +extern void __set_CONTROL(uint32_t control); + +/** + * @brief Reverse byte order in integer value + * + * @param value value to reverse + * @return reversed value + * + * Reverse byte order in integer value + */ +extern uint32_t __REV(uint32_t value); + +/** + * @brief Reverse byte order in unsigned short value + * + * @param value value to reverse + * @return reversed value + * + * Reverse byte order in unsigned short value + */ +extern uint32_t __REV16(uint16_t value); + +/** + * @brief Reverse byte order in signed short value with sign extension to integer + * + * @param value value to reverse + * @return reversed value + * + * Reverse byte order in signed short value with sign extension to integer + */ +extern int32_t __REVSH(int16_t value); + +/** + * @brief Reverse bit order of value + * + * @param value value to reverse + * @return reversed value + * + * Reverse bit order of value + */ +extern uint32_t __RBIT(uint32_t value); + +/** + * @brief LDR Exclusive (8 bit) + * + * @param *addr address pointer + * @return value of (*address) + * + * Exclusive LDR command for 8 bit value + */ +extern uint8_t __LDREXB(uint8_t *addr); + +/** + * @brief LDR Exclusive (16 bit) + * + * @param *addr address pointer + * @return value of (*address) + * + * Exclusive LDR command for 16 bit values + */ +extern uint16_t __LDREXH(uint16_t *addr); + +/** + * @brief LDR Exclusive (32 bit) + * + * @param *addr address pointer + * @return value of (*address) + * + * Exclusive LDR command for 32 bit values + */ +extern uint32_t __LDREXW(uint32_t *addr); + +/** + * @brief STR Exclusive (8 bit) + * + * @param value value to store + * @param *addr address pointer + * @return successful / failed + * + * Exclusive STR command for 8 bit values + */ +extern uint32_t __STREXB(uint8_t value, uint8_t *addr); + +/** + * @brief STR Exclusive (16 bit) + * + * @param value value to store + * @param *addr address pointer + * @return successful / failed + * + * Exclusive STR command for 16 bit values + */ +extern uint32_t __STREXH(uint16_t value, uint16_t *addr); + +/** + * @brief STR Exclusive (32 bit) + * + * @param value value to store + * @param *addr address pointer + * @return successful / failed + * + * Exclusive STR command for 32 bit values + */ +extern uint32_t __STREXW(uint32_t value, uint32_t *addr); + + +#elif (defined (__TASKING__)) /*------------------ TASKING Compiler ---------------------*/ +/* TASKING carm specific functions */ + +/* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all instrinsics, + * Including the CMSIS ones. + */ + +#endif + + +/** @addtogroup CMSIS_CM3_Core_FunctionInterface CMSIS CM3 Core Function Interface + Core Function Interface containing: + - Core NVIC Functions + - Core SysTick Functions + - Core Reset Functions +*/ +/*@{*/ + +/* ########################## NVIC functions #################################### */ + +/** + * @brief Set the Priority Grouping in NVIC Interrupt Controller + * + * @param PriorityGroup is priority grouping field + * + * Set the priority grouping field using the required unlock sequence. + * The parameter priority_grouping is assigned to the field + * SCB->AIRCR [10:8] PRIGROUP field. Only values from 0..7 are used. + * In case of a conflict between priority grouping and available + * priority bits (__NVIC_PRIO_BITS) the smallest possible priority group is set. + */ +static __INLINE void NVIC_SetPriorityGrouping(uint32_t PriorityGroup) +{ + uint32_t reg_value; + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + + reg_value = SCB->AIRCR; /* read old register configuration */ + reg_value &= ~(SCB_AIRCR_VECTKEY_Msk | SCB_AIRCR_PRIGROUP_Msk); /* clear bits to change */ + reg_value = (reg_value | + (0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (PriorityGroupTmp << 8)); /* Insert write key and priorty group */ + SCB->AIRCR = reg_value; +} + +/** + * @brief Get the Priority Grouping from NVIC Interrupt Controller + * + * @return priority grouping field + * + * Get the priority grouping from NVIC Interrupt Controller. + * priority grouping is SCB->AIRCR [10:8] PRIGROUP field. + */ +static __INLINE uint32_t NVIC_GetPriorityGrouping(void) +{ + return ((SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) >> SCB_AIRCR_PRIGROUP_Pos); /* read priority grouping field */ +} + +/** + * @brief Enable Interrupt in NVIC Interrupt Controller + * + * @param IRQn The positive number of the external interrupt to enable + * + * Enable a device specific interupt in the NVIC interrupt controller. + * The interrupt number cannot be a negative value. + */ +static __INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ + NVIC->ISER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* enable interrupt */ +} + +/** + * @brief Disable the interrupt line for external interrupt specified + * + * @param IRQn The positive number of the external interrupt to disable + * + * Disable a device specific interupt in the NVIC interrupt controller. + * The interrupt number cannot be a negative value. + */ +static __INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* disable interrupt */ +} + +/** + * @brief Read the interrupt pending bit for a device specific interrupt source + * + * @param IRQn The number of the device specifc interrupt + * @return 1 = interrupt pending, 0 = interrupt not pending + * + * Read the pending register in NVIC and return 1 if its status is pending, + * otherwise it returns 0 + */ +static __INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t) ((NVIC->ISPR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if pending else 0 */ +} + +/** + * @brief Set the pending bit for an external interrupt + * + * @param IRQn The number of the interrupt for set pending + * + * Set the pending bit for the specified interrupt. + * The interrupt number cannot be a negative value. + */ +static __INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* set interrupt pending */ +} + +/** + * @brief Clear the pending bit for an external interrupt + * + * @param IRQn The number of the interrupt for clear pending + * + * Clear the pending bit for the specified interrupt. + * The interrupt number cannot be a negative value. + */ +static __INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[((uint32_t)(IRQn) >> 5)] = (1 << ((uint32_t)(IRQn) & 0x1F)); /* Clear pending interrupt */ +} + +/** + * @brief Read the active bit for an external interrupt + * + * @param IRQn The number of the interrupt for read active bit + * @return 1 = interrupt active, 0 = interrupt not active + * + * Read the active register in NVIC and returns 1 if its status is active, + * otherwise it returns 0. + */ +static __INLINE uint32_t NVIC_GetActive(IRQn_Type IRQn) +{ + return((uint32_t)((NVIC->IABR[(uint32_t)(IRQn) >> 5] & (1 << ((uint32_t)(IRQn) & 0x1F)))?1:0)); /* Return 1 if active else 0 */ +} + +/** + * @brief Set the priority for an interrupt + * + * @param IRQn The number of the interrupt for set priority + * @param priority The priority to set + * + * Set the priority for the specified interrupt. The interrupt + * number can be positive to specify an external (device specific) + * interrupt, or negative to specify an internal (core) interrupt. + * + * Note: The priority cannot be set for every core interrupt. + */ +static __INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if(IRQn < 0) { + SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for Cortex-M3 System Interrupts */ + else { + NVIC->IP[(uint32_t)(IRQn)] = ((priority << (8 - __NVIC_PRIO_BITS)) & 0xff); } /* set Priority for device specific Interrupts */ +} + +/** + * @brief Read the priority for an interrupt + * + * @param IRQn The number of the interrupt for get priority + * @return The priority for the interrupt + * + * Read the priority for the specified interrupt. The interrupt + * number can be positive to specify an external (device specific) + * interrupt, or negative to specify an internal (core) interrupt. + * + * The returned priority value is automatically aligned to the implemented + * priority bits of the microcontroller. + * + * Note: The priority cannot be set for every core interrupt. + */ +static __INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if(IRQn < 0) { + return((uint32_t)(SCB->SHP[((uint32_t)(IRQn) & 0xF)-4] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for Cortex-M3 system interrupts */ + else { + return((uint32_t)(NVIC->IP[(uint32_t)(IRQn)] >> (8 - __NVIC_PRIO_BITS))); } /* get priority for device specific interrupts */ +} + + +/** + * @brief Encode the priority for an interrupt + * + * @param PriorityGroup The used priority group + * @param PreemptPriority The preemptive priority value (starting from 0) + * @param SubPriority The sub priority value (starting from 0) + * @return The encoded priority for the interrupt + * + * Encode the priority for an interrupt with the given priority group, + * preemptive priority value and sub priority value. + * In case of a conflict between priority grouping and available + * priority bits (__NVIC_PRIO_BITS) the samllest possible priority group is set. + * + * The returned priority value can be used for NVIC_SetPriority(...) function + */ +static __INLINE uint32_t NVIC_EncodePriority (uint32_t PriorityGroup, uint32_t PreemptPriority, uint32_t SubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + return ( + ((PreemptPriority & ((1 << (PreemptPriorityBits)) - 1)) << SubPriorityBits) | + ((SubPriority & ((1 << (SubPriorityBits )) - 1))) + ); +} + + +/** + * @brief Decode the priority of an interrupt + * + * @param Priority The priority for the interrupt + * @param PriorityGroup The used priority group + * @param pPreemptPriority The preemptive priority value (starting from 0) + * @param pSubPriority The sub priority value (starting from 0) + * + * Decode an interrupt priority value with the given priority group to + * preemptive priority value and sub priority value. + * In case of a conflict between priority grouping and available + * priority bits (__NVIC_PRIO_BITS) the samllest possible priority group is set. + * + * The priority value can be retrieved with NVIC_GetPriority(...) function + */ +static __INLINE void NVIC_DecodePriority (uint32_t Priority, uint32_t PriorityGroup, uint32_t* pPreemptPriority, uint32_t* pSubPriority) +{ + uint32_t PriorityGroupTmp = (PriorityGroup & 0x07); /* only values 0..7 are used */ + uint32_t PreemptPriorityBits; + uint32_t SubPriorityBits; + + PreemptPriorityBits = ((7 - PriorityGroupTmp) > __NVIC_PRIO_BITS) ? __NVIC_PRIO_BITS : 7 - PriorityGroupTmp; + SubPriorityBits = ((PriorityGroupTmp + __NVIC_PRIO_BITS) < 7) ? 0 : PriorityGroupTmp - 7 + __NVIC_PRIO_BITS; + + *pPreemptPriority = (Priority >> SubPriorityBits) & ((1 << (PreemptPriorityBits)) - 1); + *pSubPriority = (Priority ) & ((1 << (SubPriorityBits )) - 1); +} + + + +/* ################################## SysTick function ############################################ */ + +#if (!defined (__Vendor_SysTickConfig)) || (__Vendor_SysTickConfig == 0) + +/** + * @brief Initialize and start the SysTick counter and its interrupt. + * + * @param ticks number of ticks between two interrupts + * @return 1 = failed, 0 = successful + * + * Initialise the system tick timer and its interrupt and start the + * system tick timer / counter in free running mode to generate + * periodical interrupts. + */ +static __INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if (ticks > SysTick_LOAD_RELOAD_Msk) return (1); /* Reload value impossible */ + + SysTick->LOAD = (ticks & SysTick_LOAD_RELOAD_Msk) - 1; /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1<<__NVIC_PRIO_BITS) - 1); /* set Priority for Cortex-M0 System Interrupts */ + SysTick->VAL = 0; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0); /* Function successful */ +} + +#endif + + + + +/* ################################## Reset function ############################################ */ + +/** + * @brief Initiate a system reset request. + * + * Initiate a system reset request to reset the MCU + */ +static __INLINE void NVIC_SystemReset(void) +{ + SCB->AIRCR = ((0x5FA << SCB_AIRCR_VECTKEY_Pos) | + (SCB->AIRCR & SCB_AIRCR_PRIGROUP_Msk) | + SCB_AIRCR_SYSRESETREQ_Msk); /* Keep priority group unchanged */ + __DSB(); /* Ensure completion of memory access */ + while(1); /* wait until reset */ +} + +/*@}*/ /* end of group CMSIS_CM3_Core_FunctionInterface */ + + + +/* ##################################### Debug In/Output function ########################################### */ + +/** @addtogroup CMSIS_CM3_CoreDebugInterface CMSIS CM3 Core Debug Interface + Core Debug Interface containing: + - Core Debug Receive / Transmit Functions + - Core Debug Defines + - Core Debug Variables +*/ +/*@{*/ + +extern volatile int ITM_RxBuffer; /*!< variable to receive characters */ +#define ITM_RXBUFFER_EMPTY 0x5AA55AA5 /*!< value identifying ITM_RxBuffer is ready for next character */ + + +/** + * @brief Outputs a character via the ITM channel 0 + * + * @param ch character to output + * @return character to output + * + * The function outputs a character via the ITM channel 0. + * The function returns when no debugger is connected that has booked the output. + * It is blocking when a debugger is connected, but the previous character send is not transmitted. + */ +static __INLINE uint32_t ITM_SendChar (uint32_t ch) +{ + if ((CoreDebug->DEMCR & CoreDebug_DEMCR_TRCENA_Msk) && /* Trace enabled */ + (ITM->TCR & ITM_TCR_ITMENA_Msk) && /* ITM enabled */ + (ITM->TER & (1ul << 0) ) ) /* ITM Port #0 enabled */ + { + while (ITM->PORT[0].u32 == 0); + ITM->PORT[0].u8 = (uint8_t) ch; + } + return (ch); +} + + +/** + * @brief Inputs a character via variable ITM_RxBuffer + * + * @return received character, -1 = no character received + * + * The function inputs a character via variable ITM_RxBuffer. + * The function returns when no debugger is connected that has booked the output. + * It is blocking when a debugger is connected, but the previous character send is not transmitted. + */ +static __INLINE int ITM_ReceiveChar (void) { + int ch = -1; /* no character available */ + + if (ITM_RxBuffer != ITM_RXBUFFER_EMPTY) { + ch = ITM_RxBuffer; + ITM_RxBuffer = ITM_RXBUFFER_EMPTY; /* ready for next character */ + } + + return (ch); +} + + +/** + * @brief Check if a character via variable ITM_RxBuffer is available + * + * @return 1 = character available, 0 = no character available + * + * The function checks variable ITM_RxBuffer whether a character is available or not. + * The function returns '1' if a character is available and '0' if no character is available. + */ +static __INLINE int ITM_CheckChar (void) { + + if (ITM_RxBuffer == ITM_RXBUFFER_EMPTY) { + return (0); /* no character available */ + } else { + return (1); /* character available */ + } +} + +/*@}*/ /* end of group CMSIS_CM3_core_DebugInterface */ + + +#ifdef __cplusplus +} +#endif + +/*@}*/ /* end of group CMSIS_CM3_core_definitions */ + +#endif /* __CM3_CORE_H__ */ + +/*lint -restore */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h new file mode 100644 index 0000000..f81e29f --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/core_cm3_psoc5.h @@ -0,0 +1,43 @@ +/******************************************************************************* +* File Name: core_cm3_psoc5.h +* Version 3.40 +* +* Description: +* Provides important type information for the PSoC5. This includes types +* necessary for core_cm3.h. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + + +#if !defined(__CORE_CM3_PSOC5_H__) +#define __CORE_CM3_PSOC5_H__ + +/** Interrupt Number Definition */ +typedef enum IRQn +{ +/****** Cortex-M3 Processor Exceptions Numbers ***************************************************/ + NonMaskableInt_IRQn = -14, /*!< 2 Non Maskable Interrupt */ + HardFault_IRQn = -13, /*!< 3 Cortex-M3 Hard Fault Interrupt */ + MemoryManagement_IRQn = -12, /*!< 4 Cortex-M3 Memory Management Interrupt */ + BusFault_IRQn = -11, /*!< 5 Cortex-M3 Bus Fault Interrupt */ + UsageFault_IRQn = -10, /*!< 6 Cortex-M3 Usage Fault Interrupt */ + SVCall_IRQn = -5, /*!< 11 Cortex-M3 SV Call Interrupt */ + DebugMonitor_IRQn = -4, /*!< 12 Cortex-M3 Debug Monitor Interrupt */ + PendSV_IRQn = -2, /*!< 14 Cortex-M3 Pend SV Interrupt */ + SysTick_IRQn = -1 /*!< 15 Cortex-M3 System Tick Interrupt */ +/****** PSoC5 Peripheral Interrupt Numbers *******************************************************/ + /* Not relevant. All peripheral interrupts are defined by the user */ +} IRQn_Type; + +#include + +#endif /* __CORE_CM3_PSOC5_H__ */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyPm.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyPm.c new file mode 100644 index 0000000..91a7604 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyPm.c @@ -0,0 +1,2459 @@ +/******************************************************************************* +* File Name: cyPm.c +* Version 3.40 +* +* Description: +* Provides an API for the power management. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cyPm.h" + + +/******************************************************************* +* Place your includes, defines and code here. Do not use merge +* region below unless any component datasheet suggest to do so. +*******************************************************************/ +/* `#START CY_PM_HEADER_INCLUDE` */ + +/* `#END` */ + + +static CY_PM_BACKUP_STRUCT cyPmBackup; +static CY_PM_CLOCK_BACKUP_STRUCT cyPmClockBackup; + +/* Convertion table between register's values and frequency in MHz */ +static const uint8 CYCODE cyPmImoFreqReg2Mhz[7u] = {12u, 6u, 24u, 3u, 48u, 62u, 74u}; + +/* Function Prototypes */ +static void CyPmHibSaveSet(void); +static void CyPmHibRestore(void) ; + +static void CyPmSlpSaveSet(void) ; +static void CyPmSlpRestore(void) ; + +static void CyPmHibSlpSaveSet(void) ; +static void CyPmHibSlpRestore(void) ; + +static void CyPmHviLviSaveDisable(void) ; +static void CyPmHviLviRestore(void) ; + +#if(CY_PSOC5A) + + /*************************************************************************** + * The PICU interupt event is not allowed to act as wakeup source for PSoC 5. + * To prevent accidental wakeup all the PICU interrupts are disabled before + * Sleep and Hibernate low power modes entry. In case of Sleep mode registers + * values must be restored on wakeup, but in case of Hibernate low power mode + * there is no sense in saving/restoring registers values as the only wakeup + * source for this mode is external reset (XRES). For more information refer + * to the PSoC 5 device TRM. + ***************************************************************************/ + + static void CyPmSavePicuInterrupts(void); + static void CyPmDisablePicuInterrupts(void) ; + static void CyPmRestorePicuInterrupts(void) ; + +#endif /* (CY_PSOC5A) */ + + +/******************************************************************************* +* Function Name: CyPmSaveClocks +******************************************************************************** +* +* Summary: +* This function is called in preparation for entering sleep or hibernate low +* power modes. Saves all state of the clocking system that does not persist +* during sleep/hibernate or that needs to be altered in preparation for +* sleep/hibernate. Shutdowns all the digital and analog clock dividers for the +* active power mode configuration. +* +* Switches the master clock over to the IMO and shuts down the PLL and MHz +* Crystal. The IMO frequency is set to either 12 MHz or 48 MHz to match the +* Design-Wide Resources System Editor "Enable Fast IMO During Startup" setting. +* The ILO and 32 KHz oscillators are not impacted. The current Flash wait state +* setting is saved and the Flash wait state setting is set for the current IMO +* speed. +* +* Note If the Master Clock source is routed through the DSI inputs, then it +* must be set manually to another source before using the +* CyPmSaveClocks()/CyPmRestoreClocks() functions. +* +* Parameters: +* None +* +* Return: +* None +* +* Side Effects: +* All peripheral clocks are going to be off after this API method call. +* +*******************************************************************************/ +void CyPmSaveClocks(void) +{ + /* Digital and analog clocks - save enable state and disable them all */ + cyPmClockBackup.enClkA = CY_PM_ACT_CFG1_REG & CY_PM_ACT_EN_CLK_A_MASK; + cyPmClockBackup.enClkD = CY_PM_ACT_CFG2_REG; + CY_PM_ACT_CFG1_REG &= ((uint8)(~CY_PM_ACT_EN_CLK_A_MASK)); + CY_PM_ACT_CFG2_REG &= ((uint8)(~CY_PM_ACT_EN_CLK_D_MASK)); + + /* Save current flash wait cycles and set the maximum value */ + cyPmClockBackup.flashWaitCycles = CY_PM_CACHE_CR_CYCLES_MASK & CY_PM_CACHE_CR_REG; + CyFlash_SetWaitCycles(CY_PM_MAX_FLASH_WAIT_CYCLES); + + /* IMO - save current IMO MHz OSC frequency and USB mode is on bit */ + cyPmClockBackup.imoFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + cyPmClockBackup.imoUsbClk = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_USB; + + /* IMO doubler - save enable state */ + if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_F2XON)) + { + /* IMO doubler enabled - save and disable */ + cyPmClockBackup.imo2x = CY_PM_ENABLED; + } + else + { + /* IMO doubler disabled */ + cyPmClockBackup.imo2x = CY_PM_DISABLED; + } + + /* IMO - set appropriate frequency for LPM */ + CyIMO_SetFreq(CY_PM_IMO_FREQ_LPM); + + /* IMO - save enable state and enable without wait to settle */ + if(0u != (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG)) + { + /* IMO - save enabled state */ + cyPmClockBackup.imoEnable = CY_PM_ENABLED; + } + else + { + /* IMO - save disabled state */ + cyPmClockBackup.imoEnable = CY_PM_DISABLED; + + /* IMO - enable */ + CyIMO_Start(CY_PM_IMO_NO_WAIT_TO_SETTLE); + } + + /* IMO - save the current IMOCLK source and set to IMO if not yet */ + if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_XCLKEN)) + { + /* DSI or XTAL CLK */ + cyPmClockBackup.imoClkSrc = + (0u == (CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO2X_SRC)) ? CY_IMO_SOURCE_DSI : CY_IMO_SOURCE_XTAL; + + /* IMO - set IMOCLK source to MHz OSC */ + CyIMO_SetSource(CY_IMO_SOURCE_IMO); + } + else + { + /* IMO */ + cyPmClockBackup.imoClkSrc = CY_IMO_SOURCE_IMO; + } + + /* Save clk_imo source */ + cyPmClockBackup.clkImoSrc = CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO_OUT_MASK; + + /* If IMOCLK2X or SPC OSC is source for clk_imo, set it to IMOCLK */ + if(CY_PM_CLKDIST_IMO_OUT_IMO != cyPmClockBackup.clkImoSrc) + { + /* Set IMOCLK to source for clk_imo */ + CY_PM_CLKDIST_CR_REG = (CY_PM_CLKDIST_CR_REG & ((uint8)(~CY_PM_CLKDIST_IMO_OUT_MASK))) | + CY_PM_CLKDIST_IMO_OUT_IMO; + } /* Need to change nothing if IMOCLK is source clk_imo */ + + /* IMO doubler - disable it (saved above) */ + if(0u != (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_F2XON)) + { + CyIMO_DisableDoubler(); + } + + /* Master clock - save divider and set it to divide-by-one (if no yet) */ + cyPmClockBackup.clkSyncDiv = CY_PM_CLKDIST_MSTR0_REG; + if(CY_PM_DIV_BY_ONE != cyPmClockBackup.clkSyncDiv) + { + CyMasterClk_SetDivider(CY_PM_DIV_BY_ONE); + } /* Need to change nothing if master clock divider is 1 */ + + /* Master clock - save current source */ + cyPmClockBackup.masterClkSrc = CY_PM_CLKDIST_MSTR1_REG & CY_PM_MASTER_CLK_SRC_MASK; + + /* Master clock source - set it to IMO if not yet. */ + if(CY_MASTER_SOURCE_IMO != cyPmClockBackup.masterClkSrc) + { + CyMasterClk_SetSource(CY_MASTER_SOURCE_IMO); + } /* Need to change nothing if master clock source is IMO */ + + /* Bus clock - save divider and set it, if needed, to divide-by-one */ + cyPmClockBackup.clkBusDiv = (uint16) ((uint16) CY_PM_CLK_BUS_MSB_DIV_REG << 8u) | CY_PM_CLK_BUS_LSB_DIV_REG; + if(CY_PM_BUS_CLK_DIV_BY_ONE != cyPmClockBackup.clkBusDiv) + { + CyBusClk_SetDivider(CY_PM_BUS_CLK_DIV_BY_ONE); + } /* Do nothing if saved and actual values are equal */ + + /* Set number of wait cycles for the flash according CPU frequency in MHz */ + CyFlash_SetWaitCycles((uint8)CY_PM_GET_CPU_FREQ_MHZ); + + /* PLL - check enable state, disable if needed */ + if(0u != (CY_PM_FASTCLK_PLL_CFG0_REG & CY_PM_PLL_CFG0_ENABLE)) + { + /* PLL is enabled - save state and disable */ + cyPmClockBackup.pllEnableState = CY_PM_ENABLED; + CyPLL_OUT_Stop(); + } + else + { + /* PLL is disabled - save state */ + cyPmClockBackup.pllEnableState = CY_PM_DISABLED; + } + + /* MHz ECO - check enable state and disable if needed */ + if(0u != (CY_PM_FASTCLK_XMHZ_CSR_REG & CY_PM_XMHZ_CSR_ENABLE)) + { + /* MHz ECO is enabled - save state and disable */ + cyPmClockBackup.xmhzEnableState = CY_PM_ENABLED; + CyXTAL_Stop(); + } + else + { + /* MHz ECO is disabled - save state */ + cyPmClockBackup.xmhzEnableState = CY_PM_DISABLED; + } + + + /*************************************************************************** + * Save enable state of delay between the system bus clock and each of the + * 4 individual analog clocks. This bit non-retention and it's value should + * be restored on wakeup. + ***************************************************************************/ + if(0u != (CY_PM_CLKDIST_DELAY_REG & CY_PM_CLKDIST_DELAY_EN)) + { + cyPmClockBackup.clkDistDelay = CY_PM_ENABLED; + } + else + { + cyPmClockBackup.clkDistDelay = CY_PM_DISABLED; + } +} + + +/******************************************************************************* +* Function Name: CyPmRestoreClocks +******************************************************************************** +* +* Summary: +* Restores any state that was preserved by the last call to CyPmSaveClocks(). +* The Flash wait state setting is also restored. +* +* Note If the Master Clock source is routed through the DSI inputs, then it +* must be set manually to another source before using the +* CyPmSaveClocks()/CyPmRestoreClocks() functions. +* +* PSoC 3 and PSoC 5LP: +* The merge region could be used to process state when the megahertz crystal is +* not ready after the hold-off timeout. +* +* PSoC 5: +* The 130 ms is given for the megahertz crystal to stabilize. It's readiness is +* not verified after the hold-off timeout. +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyPmRestoreClocks(void) +{ + #if (!CY_PSOC5A) + + cystatus status = CYRET_TIMEOUT; + uint16 i; + + #endif /* (!CY_PSOC5A) */ + + + /* Convertion table between CyIMO_SetFreq() parameters and register's value */ + const uint8 CYCODE cyPmImoFreqMhz2Reg[7u] = { + CY_IMO_FREQ_12MHZ, CY_IMO_FREQ_6MHZ, CY_IMO_FREQ_24MHZ, CY_IMO_FREQ_3MHZ, + CY_IMO_FREQ_48MHZ, 5u, 6u}; + + /* Restore enable state of delay between the system bus clock and ACLKs. */ + if(CY_PM_ENABLED == cyPmClockBackup.clkDistDelay) + { + /* Delay for both the bandgap and the delay line to settle out */ + CyDelayCycles((uint32)(CY_PM_CLK_DELAY_BANDGAP_SETTLE_US + CY_PM_CLK_DELAY_BIAS_SETTLE_US) * + CY_PM_GET_CPU_FREQ_MHZ); + + CY_PM_CLKDIST_DELAY_REG |= CY_PM_CLKDIST_DELAY_EN; + } + + /* MHz ECO restore state */ + if(CY_PM_ENABLED == cyPmClockBackup.xmhzEnableState) + { + /*********************************************************************** + * Enabling XMHZ XTAL. The actual CyXTAL_Start() with non zero wait + * period uses FTW for period measurement. This could cause a problem + * if CTW/FTW is used as a wake up time in the low power modes APIs. + * So, the XTAL wait procedure is implemented with a software delay. + ***********************************************************************/ + + /* Enable XMHZ XTAL with no wait */ + (void) CyXTAL_Start(CY_PM_XTAL_MHZ_NO_WAIT); + + #if(CY_PSOC5A) + + /* Make a 130 milliseconds delay */ + CyDelayCycles((uint32)CY_PM_WAIT_200_US * CY_PM_GET_CPU_FREQ_MHZ * CY_PM_MHZ_XTAL_WAIT_NUM_OF_200_US); + + #else + + /* Read XERR bit to clear it */ + (void) CY_PM_FASTCLK_XMHZ_CSR_REG; + + /* Wait */ + for(i = CY_PM_MHZ_XTAL_WAIT_NUM_OF_200_US; i > 0u; i--) + { + /* Make a 200 microseconds delay */ + CyDelayCycles((uint32)CY_PM_WAIT_200_US * CY_PM_GET_CPU_FREQ_MHZ); + + /* High output indicates oscillator failure */ + if(0u == (CY_PM_FASTCLK_XMHZ_CSR_REG & CY_PM_XMHZ_CSR_XERR)) + { + status = CYRET_SUCCESS; + break; + } + } + + if(CYRET_TIMEOUT == status) + { + /******************************************************************* + * Process the situation when megahertz crystal is not ready. + * Time to stabialize value is crystal specific. + *******************************************************************/ + + /* `#START_MHZ_ECO_TIMEOUT` */ + + /* `#END` */ + } + + #endif /* (CY_PSOC5A) */ + + } /* (CY_PM_ENABLED == cyPmClockBackup.xmhzEnableState) */ + + + /* Temprorary set the maximum flash wait cycles */ + CyFlash_SetWaitCycles(CY_PM_MAX_FLASH_WAIT_CYCLES); + + /* The XTAL and DSI clocks are ready to be source for Master clock. */ + if((CY_PM_MASTER_CLK_SRC_XTAL == cyPmClockBackup.masterClkSrc) || + (CY_PM_MASTER_CLK_SRC_DSI == cyPmClockBackup.masterClkSrc)) + { + /* Restore Master clock's divider */ + if(CY_PM_CLKDIST_MSTR0_REG != cyPmClockBackup.clkSyncDiv) + { + /* Restore Master clock divider */ + CyMasterClk_SetDivider(cyPmClockBackup.clkSyncDiv); + } + + /* Restore Master clock source */ + CyMasterClk_SetSource(cyPmClockBackup.masterClkSrc); + } + + /* IMO - restore IMO frequency */ + if((0u != (cyPmClockBackup.imoUsbClk & CY_PM_FASTCLK_IMO_CR_USB)) && + (CY_IMO_FREQ_24MHZ == cyPmImoFreqMhz2Reg[cyPmClockBackup.imoFreq])) + { + /* Restore IMO frequency (24 MHz) and trim it for USB */ + CyIMO_SetFreq(CY_IMO_FREQ_USB); + } + else + { + /* Restore IMO frequency */ + CyIMO_SetFreq(cyPmImoFreqMhz2Reg[cyPmClockBackup.imoFreq]); + + if(0u != (cyPmClockBackup.imoUsbClk & CY_PM_FASTCLK_IMO_CR_USB)) + { + CY_PM_FASTCLK_IMO_CR_REG |= CY_PM_FASTCLK_IMO_CR_USB; + } + else + { + CY_PM_FASTCLK_IMO_CR_REG &= ((uint8)(~CY_PM_FASTCLK_IMO_CR_USB)); + } + } + + /* IMO - restore enable state if needed */ + if((CY_PM_ENABLED == cyPmClockBackup.imoEnable) && + (0u == (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG))) + { + /* IMO - restore enabled state */ + CyIMO_Start(CY_PM_IMO_NO_WAIT_TO_SETTLE); + } + + /* IMO - restore disable state if needed */ + if((CY_PM_DISABLED == cyPmClockBackup.imoEnable) && + (0u != (CY_PM_ACT_CFG0_IMO & CY_PM_ACT_CFG0_REG))) + { + CyIMO_Stop(); + } + + /* IMO - restore IMOCLK source */ + CyIMO_SetSource(cyPmClockBackup.imoClkSrc); + + /* Restore IMO doubler enable state (turned off by CyPmSaveClocks()) */ + if(CY_PM_ENABLED == cyPmClockBackup.imo2x) + { + CyIMO_EnableDoubler(); + } + + /* IMO - restore clk_imo source, if needed */ + if(cyPmClockBackup.clkImoSrc != (CY_PM_CLKDIST_CR_REG & CY_PM_CLKDIST_IMO_OUT_MASK)) + { + CY_PM_CLKDIST_CR_REG = (CY_PM_CLKDIST_CR_REG & ((uint8)(~CY_PM_CLKDIST_IMO_OUT_MASK))) | + cyPmClockBackup.clkImoSrc; + } + + /* PLL restore state */ + if(CY_PM_ENABLED == cyPmClockBackup.pllEnableState) + { + /*********************************************************************** + * Enable PLL. The actual CyPLL_OUT_Start() without wait period uses FTW + * for period measurement. This could cause a problem if CTW/FTW is used + * as a wakeup time in the low power modes APIs. To omit this issue PLL + * wait procedure is implemented with a software delay. + ***********************************************************************/ + + /* Enable PLL */ + (void) CyPLL_OUT_Start(CY_PM_PLL_OUT_NO_WAIT); + + /* Make a 250 us delay */ + CyDelayCycles((uint32)CY_PM_WAIT_250_US * CY_PM_GET_CPU_FREQ_MHZ); + } /* (CY_PM_ENABLED == cyPmClockBackup.pllEnableState) */ + + + /* PLL and IMO is ready to be source for Master clock */ + if((CY_PM_MASTER_CLK_SRC_IMO == cyPmClockBackup.masterClkSrc) || + (CY_PM_MASTER_CLK_SRC_PLL == cyPmClockBackup.masterClkSrc)) + { + /* Restore Master clock divider */ + if(CY_PM_CLKDIST_MSTR0_REG != cyPmClockBackup.clkSyncDiv) + { + CyMasterClk_SetDivider(cyPmClockBackup.clkSyncDiv); + } + + /* Restore Master clock source */ + CyMasterClk_SetSource(cyPmClockBackup.masterClkSrc); + } + + /* Bus clock - restore divider, if needed */ + if(cyPmClockBackup.clkBusDiv != ((uint16)((uint16) CY_PM_CLK_BUS_MSB_DIV_REG << 8u) | CY_PM_CLK_BUS_LSB_DIV_REG)) + { + CyBusClk_SetDivider(cyPmClockBackup.clkBusDiv); + } + + /* Restore flash wait cycles */ + CY_PM_CACHE_CR_REG = ((CY_PM_CACHE_CR_REG & ((uint8)(~CY_PM_CACHE_CR_CYCLES_MASK))) | + cyPmClockBackup.flashWaitCycles); + + /* Digital and analog clocks - restore state */ + CY_PM_ACT_CFG1_REG = cyPmClockBackup.enClkA; + CY_PM_ACT_CFG2_REG = cyPmClockBackup.enClkD; +} + + +/******************************************************************************* +* Function Name: CyPmAltAct +******************************************************************************** +* +* Summary: +* Puts the part into the Alternate Active (Standby) state. The Alternate Active +* state can allow for any of the capabilities of the device to be active, but +* the operation of this function is dependent on the CPU being disabled during +* the Alternate Active state. The configuration code and the component APIs +* will configure the template for the Alternate Active state to be the same as +* the Active state with the exception that the CPU will be disabled during +* Alternate Active. +* +* Note Before calling this function, you must manually configure the power mode +* of the source clocks for the timer that is used as the wakeup timer. +* +* PSoC 3: +* Before switching to Alternate Active, if a wakeupTime other than NONE is +* specified, then the appropriate timer state is configured as specified with +* the interrupt for that timer disabled. The wakeup source will be the +* combination of the values specified in the wakeupSource and any timer +* specified in the wakeupTime argument. Once the wakeup condition is +* satisfied, then all saved state is restored and the function returns in the +* Active state. +* +* Note that if the wakeupTime is made with a different value, the period before +* the wakeup occurs can be significantly shorter than the specified time. If +* the next call is made with the same wakeupTime value, then the wakeup will +* occur the specified period after the previous wakeup occurred. +* +* If a wakeupTime other than NONE is specified, then upon exit the state of the +* specified timer will be left as specified by wakeupTime with the timer +* enabled and the interrupt disabled. If the CTW, FTW or One PPS is already +* configured for wakeup, for example with the SleepTimer or RTC components, +* then specify NONE for the wakeupTime and include the appropriate source for +* wakeupSource. +* +* PSoC 5: +* This function is used to both enter the Alternate Active mode and halt the +* processor. For PSoC 3 these two actions must be paired together. With +* PSoC 5 the processor can be halted independently with the __WFI() function +* from the CMSIS library that is included in Creator. This function should be +* used instead when the action required is just to halt the processor until an +* enabled interrupt occurs. +* +* Neither of the parameters to the CyPmAltAct() function are used. The +* parameters must be set to 0 (PM_ALT_ACT_TIME_NONE and PM_ALT_ACT_SRC_NONE). +* The wake up time configuration can be done by a separate component: the CTW +* wakeup interval should be configured with the Sleep Timer component and one +* second interval should be configured with the RTC component. +* +* Upon function execution the device will be switched from Active to Alternate +* Active mode and the CPU will be halted. When an enabled interrupt occurs the +* device will be switched to Active mode and the CPU will be started. Note that +* if a wakeup event occurs and the associated interrupt is not enabled, then +* the device will switch to Active mode with the CPU still halted. The CPU will +* remain halted until an enabled interrupt occurs. +* +* PSoC 5LP: +* This function is used to both enter the Alternate Active mode and halt the +* processor. For PSoC 3 these two actions must be paired together. With PSoC +* 5LP the processor can be halted independently with the __WFI() function from +* the CMSIS library that is included in Creator. This function should be used +* instead when the action required is just to halt the processor until an +* enabled interrupt occurs. +* +* The wakeupTime parameter is not used for this device. It must be set to zero +* (PM_ALT_ACT_TIME_NONE). The wake up time configuration can be done by a +* separate component: the CTW wakeup interval should be configured with the +* Sleep Timer component and one second interval should be configured with the +* RTC component. +* +* The wakeup behavior depends on wakeupSource parameter in the following +* manner: upon function execution the device will be switched from Active to +* Alternate Active mode and then the CPU will be halted. When an enabled wakeup +* event occurs the device will return to Active mode. Similarly when an +* enabled interrupt occurs the CPU will be started. These two actions will +* occur together provided that the event that occurs is an enabled wakeup +* source and also generates an interrupt. If just the wakeup event occurs then +* the device will be in Active mode, but the CPU will remain halted waiting for +* an interrupt. If an interrupt occurs from something other than a wakeup +* source, then the CPU will restart with the device in Alternate Active mode +* until a wakeup event occurs. +* +* For example, if CyPmAltAct(PM_ALT_ACT_TIME_NONE, PM_ALT_ACT_SRC_PICU) is +* called and PICU interrupt occurs, the CPU will be started and device will be +* switched into Active mode. And if CyPmAltAct(PM_ALT_ACT_TIME_NONE, +* PM_ALT_ACT_SRC_NONE) is called and PICU interrupt occurs, the CPU will be +* started while device remains in Alternate Active mode. +* +* Parameters: +* wakeupTime: Specifies a timer wakeup source and the frequency of that +* source. For PSoC 5 and PSoC 5LP this parameter is ignored. +* +* Define Time +* PM_ALT_ACT_TIME_NONE None +* PM_ALT_ACT_TIME_ONE_PPS One PPS: 1 second +* PM_ALT_ACT_TIME_CTW_2MS CTW: 2 ms +* PM_ALT_ACT_TIME_CTW_4MS CTW: 4 ms +* PM_ALT_ACT_TIME_CTW_8MS CTW: 8 ms +* PM_ALT_ACT_TIME_CTW_16MS CTW: 16 ms +* PM_ALT_ACT_TIME_CTW_32MS CTW: 32 ms +* PM_ALT_ACT_TIME_CTW_64MS CTW: 64 ms +* PM_ALT_ACT_TIME_CTW_128MS CTW: 128 ms +* PM_ALT_ACT_TIME_CTW_256MS CTW: 256 ms +* PM_ALT_ACT_TIME_CTW_512MS CTW: 512 ms +* PM_ALT_ACT_TIME_CTW_1024MS CTW: 1024 ms +* PM_ALT_ACT_TIME_CTW_2048MS CTW: 2048 ms +* PM_ALT_ACT_TIME_CTW_4096MS CTW: 4096 ms +* PM_ALT_ACT_TIME_FTW(1-256)* FTW: 10us to 2.56 ms +* +* *Note: PM_ALT_ACT_TIME_FTW() is a macro that takes an argument that +* specifies how many increments of 10 us to delay. + For PSoC 3 silicon the valid range of values is 1 to 256. +* +* wakeUpSource: Specifies a bitwise mask of wakeup sources. In addition, if +* a wakeupTime has been specified the associated timer will be +* included as a wakeup source. For PSoC 5 this parameter is +* ignored. +* +* Define Source +* PM_ALT_ACT_SRC_NONE None +* PM_ALT_ACT_SRC_COMPARATOR0 Comparator 0 +* PM_ALT_ACT_SRC_COMPARATOR1 Comparator 1 +* PM_ALT_ACT_SRC_COMPARATOR2 Comparator 2 +* PM_ALT_ACT_SRC_COMPARATOR3 Comparator 3 +* PM_ALT_ACT_SRC_INTERRUPT Interrupt +* PM_ALT_ACT_SRC_PICU PICU +* PM_ALT_ACT_SRC_I2C I2C +* PM_ALT_ACT_SRC_BOOSTCONVERTER Boost Converter +* PM_ALT_ACT_SRC_FTW Fast Timewheel* +* PM_ALT_ACT_SRC_VD High and Low Voltage Detection (HVI, LVI)* +* PM_ALT_ACT_SRC_CTW Central Timewheel** +* PM_ALT_ACT_SRC_ONE_PPS One PPS** +* PM_ALT_ACT_SRC_LCD LCD +* +* *Note : FTW and HVI/LVI wakeup signals are in the same mask bit. +* **Note: CTW and One PPS wakeup signals are in the same mask bit. +* +* When specifying a Comparator as the wakeupSource an instance specific define +* should be used that will track with the specific comparator that the instance +* is placed into. As an example, for a Comparator instance named MyComp the +* value to OR into the mask is: MyComp_ctComp__CMP_MASK. +* +* When CTW, FTW or One PPS is used as a wakeup source, the CyPmReadStatus() +* function must be called upon wakeup with corresponding parameter. Please +* refer to the CyPmReadStatus() API in the System Reference Guide for more +* information. +* +* Return: +* None +* +* Reentrant: +* No +* +* Side Effects: +* If a wakeupTime other than NONE is specified, then upon exit the state of the +* specified timer will be left as specified by wakeupTime with the timer +* enabled and the interrupt disabled. Also, the ILO 1 KHz (if CTW timer is +* used as wakeup time) or ILO 100 KHz (if FTW timer is used as wakeup time) +* will be left started. +* +*******************************************************************************/ +void CyPmAltAct(uint16 wakeupTime, uint16 wakeupSource) +{ + #if(CY_PSOC5) + + /* Arguments expected to be 0 */ + CYASSERT(PM_ALT_ACT_TIME_NONE == wakeupTime); + + #if(CY_PSOC5A) + + /* The wakeupSource argument expected to be 0 */ + CYASSERT(PM_ALT_ACT_SRC_NONE == wakeupSource); + + if(0u != wakeupSource) + { + /* To remove unreferenced local variable warning */ + } + + #endif /* (CY_PSOC5A) */ + + if(0u != wakeupTime) + { + /* To remove unreferenced local variable warning */ + } + + #endif /* (CY_PSOC5) */ + + + #if(CY_PSOC3) + + /* FTW - save current and set new configuration */ + if((wakeupTime >= PM_ALT_ACT_TIME_FTW(1u)) && (wakeupTime <= PM_ALT_ACT_TIME_FTW(256u))) + { + CyPmFtwSetInterval(PM_ALT_ACT_FTW_INTERVAL(wakeupTime)); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_ALT_ACT_SRC_FTW; + } + + /* CTW - save current and set new configuration */ + if((wakeupTime >= PM_ALT_ACT_TIME_CTW_2MS) && (wakeupTime <= PM_ALT_ACT_TIME_CTW_4096MS)) + { + /* Save current CTW configuration and set new one */ + CyPmCtwSetInterval((uint8)(wakeupTime - 1u)); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_ALT_ACT_SRC_CTW; + } + + /* 1PPS - save current and set new configuration */ + if(PM_ALT_ACT_TIME_ONE_PPS == wakeupTime) + { + /* Save current 1PPS configuration and set new one */ + CyPmOppsSet(); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_ALT_ACT_SRC_ONE_PPS; + } + + #endif /* (CY_PSOC3) */ + + + #if(CY_PSOC3 || CY_PSOC5LP) + + /* Save and set new wake up configuration */ + + /* Interrupt, PICU, I2C, Boost converter, CTW/1PPS */ + cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + CY_PM_WAKEUP_CFG0_REG = (uint8) (wakeupSource >> 4u); + + /* Comparators */ + cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + CY_PM_WAKEUP_CFG1_REG = (((uint8) wakeupSource) & CY_PM_WAKEUP_SRC_CMPS_MASK); + + /* LCD */ + cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + CY_PM_WAKEUP_CFG2_REG = ((uint8) ((wakeupSource >> 12u) & 0x01u)); + + #endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + + /* Switch to the Alternate Active mode */ + CY_PM_MODE_CSR_REG = ((CY_PM_MODE_CSR_REG & ((uint8)(~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_ALT_ACT); + + /* Recommended readback. */ + (void) CY_PM_MODE_CSR_REG; + + /* Two recommended NOPs to get into the mode. */ + CY_NOP; + CY_NOP; + + /* Execute WFI instruction (for ARM-based devices only) */ + CY_PM_WFI; + + /* Point of return from Alternate Active Mode */ + + #if(CY_PSOC3 || CY_PSOC5LP) + + /* Restore wake up configuration */ + CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; + CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; + + #endif /* (CY_PSOC3 || CY_PSOC5LP) */ +} + + +/******************************************************************************* +* Function Name: CyPmSleep +******************************************************************************** +* +* Summary: +* Puts the part into the Sleep state. +* +* Note Before calling this function, you must manually configure the power +* mode of the source clocks for the timer that is used as wakeup timer. +* +* Note Before calling this function, you must prepare clock tree configuration +* for the low power mode by calling CyPmSaveClocks(). And restore clock +* configuration after CyPmSleep() execution by calling CyPmRestoreClocks(). See +* Power Management section, Clock Configuration subsection of the System +* Reference Guide for more information. +* +* PSoC 3: +* Before switching to Sleep, if a wakeupTime other than NONE is specified, +* then the appropriate timer state is configured as specified with the +* interrupt for that timer disabled. The wakeup source will be the combination +* of the values specified in the wakeupSource and any timer specified in the +* wakeupTime argument. Once the wakeup condition is satisfied, then all saved +* state is restored and the function returns in the Active state. +* +* Note that if the wakeupTime is made with a different value, the period before +* the wakeup occurs can be significantly shorter than the specified time. If +* the next call is made with the same wakeupTime value, then the wakeup will +* occur the specified period after the previous wakeup occurred. +* +* If a wakeupTime other than NONE is specified, then upon exit the state of the +* specified timer will be left as specified by wakeupTime with the timer +* enabled and the interrupt disabled. If the CTW or One PPS is already +* configured for wakeup, for example with the SleepTimer or RTC components, +* then specify NONE for the wakeupTime and include the appropriate source for +* wakeupSource. +* +* PSoC 5: +* Neither parameter to this function is used for PSoC 5. The parameters must be +* set to 0 (PM_SLEEP_TIME_NONE and PM_SLEEP_SRC_NONE). The device will go +* into Sleep mode until it is woken by an interrupt from the Central Time Wheel +* (CTW). The CTW must already be configured to generate an interrupt. It is +* configured using the SleepTimer component. Only the CTW can be used to wake +* the device from sleep mode. The other wakeup sources, Once per second or +* Port Interrupt Controller (PICU), cannot be used reliably with PSoC 5. This +* function automatically disables these interrupt sources and then restores +* them after the devices is woken by the CTW. +* +* The duration of sleep needs to be controlled so that the device doesn't wake +* up too soon after going to sleep or remain asleep for too long. Reliable +* sleep times of between 1 ms and 128 ms can be supported. This requirement is +* satisfied with CTW settings of 4, 8, 16, 32, 64, 128 or 256 ms. To control +* the sleep time the CTW is reset automatically just before putting the device +* to sleep. The resulting wakeup time is half the duration programmed into the +* CTW with an uncertainty of 1 ms due to the arrival time of the first ILO +* clock edge. For example, the setting of 4 ms will result in a sleep time +* between 1 ms and 2 ms. +* +* PSoC 5LP: +* The wakeupTime parameter is not used and the only NONE can be specified. +* The wakeup time must be configured with the component, SleepTimer for CTW +* intervals and RTC for 1PPS interval. The component must be configured to +* generate an interrrupt. +* +* Parameters: +* wakeupTime: Specifies a timer wakeup source and the frequency of that +* source. For PSoC 5 and PSoC 5LP, this parameter is ignored. +* +* Define Time +* PM_SLEEP_TIME_NONE None +* PM_SLEEP_TIME_ONE_PPS One PPS: 1 second +* PM_SLEEP_TIME_CTW_2MS CTW: 2 ms +* PM_SLEEP_TIME_CTW_4MS CTW: 4 ms +* PM_SLEEP_TIME_CTW_8MS CTW: 8 ms +* PM_SLEEP_TIME_CTW_16MS CTW: 16 ms +* PM_SLEEP_TIME_CTW_32MS CTW: 32 ms +* PM_SLEEP_TIME_CTW_64MS CTW: 64 ms +* PM_SLEEP_TIME_CTW_128MS CTW: 128 ms +* PM_SLEEP_TIME_CTW_256MS CTW: 256 ms +* PM_SLEEP_TIME_CTW_512MS CTW: 512 ms +* PM_SLEEP_TIME_CTW_1024MS CTW: 1024 ms +* PM_SLEEP_TIME_CTW_2048MS CTW: 2048 ms +* PM_SLEEP_TIME_CTW_4096MS CTW: 4096 ms +* +* wakeUpSource: Specifies a bitwise mask of wakeup sources. In addition, if +* a wakeupTime has been specified the associated timer will be +* included as a wakeup source. For PSoC 5 this parameter is +* ignored. +* +* Define Source +* PM_SLEEP_SRC_NONE None +* PM_SLEEP_SRC_COMPARATOR0 Comparator 0 +* PM_SLEEP_SRC_COMPARATOR1 Comparator 1 +* PM_SLEEP_SRC_COMPARATOR2 Comparator 2 +* PM_SLEEP_SRC_COMPARATOR3 Comparator 3 +* PM_SLEEP_SRC_PICU PICU +* PM_SLEEP_SRC_I2C I2C +* PM_SLEEP_SRC_BOOSTCONVERTER Boost Converter +* PM_SLEEP_SRC_VD High and Low Voltage Detection (HVI, LVI) +* PM_SLEEP_SRC_CTW Central Timewheel* +* PM_SLEEP_SRC_ONE_PPS One PPS* +* PM_SLEEP_SRC_LCD LCD +* +* *Note: CTW and One PPS wakeup signals are in the same mask bit. +* For PSoC 5, these are in a different bit (value 1024). +* +* When specifying a Comparator as the wakeupSource an instance specific define +* should be used that will track with the specific comparator that the instance +* is placed into. As an example for a Comparator instance named MyComp the +* value to OR into the mask is: MyComp_ctComp__CMP_MASK. +* +* When CTW or One PPS is used as a wakeup source, the CyPmReadStatus() +* function must be called upon wakeup with corresponding parameter. Please +* refer to the CyPmReadStatus() API in the System Reference Guide for more +* information. +* +* Return: +* None +* +* Reentrant: +* No +* +* Side Effects and Restrictions: +* For PSoC 5 silicon the wakeup source is not selectable. In this case the +* wakeupSource argument is ignored and any of the available wakeup sources will +* wake the device. +* +* If a wakeupTime other than NONE is specified, then upon exit the state of the +* specified timer will be left as specified by wakeupTime with the timer +* enabled and the interrupt disabled. Also, the ILO 1 KHz (if CTW timer is +* used as wake up time) will be left started. +* +* The 1 kHz ILO clock is expected to be enabled for PSoC 3 and PSoC 5LP to +* measure Hibernate/Sleep regulator settling time after a reset. The holdoff +* delay is measured using rising edges of the 1 kHz ILO. +* +* For PSoC 3 silicon hardware buzz should be disabled before entering a sleep +* power mode. It is disabled by PSoC Creator during startup. +* If a Low Voltage Interrupt (LVI), High Voltage Interrupt (HVI) or Brown Out +* detect (power supply supervising capabilities) are required in a design +* during sleep, use the Central Time Wheel (CTW) to periodically wake the +* device, perform software buzz, and refresh the supervisory services. If LVI, +* HVI, or Brown Out is not required, then use of the CTW is not required. +* Refer to the device errata for more information. +* +*******************************************************************************/ +void CyPmSleep(uint8 wakeupTime, uint16 wakeupSource) +{ + uint8 interruptState; + + /* Save current global interrupt enable and disable it */ + interruptState = CyEnterCriticalSection(); + + + #if(CY_PSOC3 || CY_PSOC5LP) + + /*********************************************************************** + * The Hibernate/Sleep regulator has a settling time after a reset. + * During this time, the system ignores requests to enter Sleep and + * Hibernate modes. The holdoff delay is measured using rising edges of + * the 1 kHz ILO. + ***********************************************************************/ + if(0u == (CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_PWRUP_PULSE_Q)) + { + /* Disable hold off - no action on restore */ + CY_PM_PWRSYS_SLP_TR_REG &= CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK; + } + else + { + /* Abort, device is not ready for low power mode entry */ + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); + + return; + } + + #endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + + #if(CY_PSOC3) + + /* Silicon Revision ID is below TO6 */ + if(CYDEV_CHIP_REV_ACTUAL < 5u) + { + /* Hardware buzz expected to be disabled in Sleep mode */ + CYASSERT(0u == (CY_PM_PWRSYS_WAKE_TR2_REG & CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ)); + + /* LVI/HVI requires hardware buzz to be enabled */ + if(0u != (CY_PM_RESET_CR1_REG & (CY_PM_RESET_CR1_HVIA_EN | + CY_PM_RESET_CR1_LVIA_EN | CY_PM_RESET_CR1_LVID_EN))) + { + CYASSERT(0u != 0u); + } + } + + #endif /* (CY_PSOC3) */ + + + /******************************************************************************* + * For ARM-based devices, an interrupt is required for the CPU to wake up. The + * Power Management implementation assumes that wakeup time is configured with a + * separate component (component-based wakeup time configuration) for an + * interrupt to be issued on terminal count. For more information, refer to the + * Wakeup Time Configuration section of System Reference Guide. + *******************************************************************************/ + #if(CY_PSOC5) + + /* Arguments expected to be 0 */ + CYASSERT(PM_SLEEP_TIME_NONE == wakeupTime); + + #if(CY_PSOC5A) + + /* The wakeupSource argument expected to be 0 */ + CYASSERT(PM_SLEEP_SRC_NONE == wakeupSource); + + if(0u != wakeupSource) + { + /* To remove unreferenced local variable warning */ + } + + #endif /* (CY_PSOC5A) */ + + if(0u != wakeupTime) + { + /* To remove unreferenced local variable warning */ + } + + #endif /* (CY_PSOC5) */ + + + /* Prepare hardware for Sleep mode */ + CyPmSlpSaveSet(); + + + #if(CY_PSOC3) + + /* CTW - save current and set new configuration */ + if((wakeupTime >= PM_SLEEP_TIME_CTW_2MS) && (wakeupTime <= PM_SLEEP_TIME_CTW_4096MS)) + { + /* Save current and set new configuration of the CTW */ + CyPmCtwSetInterval((uint8)(wakeupTime - 1u)); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_SLEEP_SRC_CTW; + } + + /* 1PPS - save current and set new configuration */ + if(PM_SLEEP_TIME_ONE_PPS == wakeupTime) + { + /* Save current and set new configuration of the 1PPS */ + CyPmOppsSet(); + + /* Include associated timer to the wakeupSource */ + wakeupSource |= PM_SLEEP_SRC_ONE_PPS; + } + + #endif /* (CY_PSOC3) */ + + + #if(!CY_PSOC5A) + + /* Save and set new wake up configuration */ + + /* Interrupt, PICU, I2C, Boost converter, CTW/1PPS */ + cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + CY_PM_WAKEUP_CFG0_REG = (uint8) (wakeupSource >> 4u); + + /* Comparators */ + cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + CY_PM_WAKEUP_CFG1_REG = (((uint8) wakeupSource) & CY_PM_WAKEUP_SRC_CMPS_MASK); + + /* LCD */ + cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + CY_PM_WAKEUP_CFG2_REG = ((uint8) ((wakeupSource >> 12u) & 0x01u)); + + #endif /* (!CY_PSOC5A) */ + + + /******************************************************************* + * Do not use merge region below unless any component datasheet + * suggest to do so. + *******************************************************************/ + /* `#START CY_PM_JUST_BEFORE_SLEEP` */ + + /* `#END` */ + + + /* Last moment IMO frequency change */ + if(0u == (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK)) + { + /* IMO frequency is 12 MHz */ + cyPmBackup.imoActFreq12Mhz = CY_PM_ENABLED; + } + else + { + /* IMO frequency is not 12 MHz */ + cyPmBackup.imoActFreq12Mhz = CY_PM_DISABLED; + + /* Save IMO frequency */ + cyPmBackup.imoActFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + + /* Set IMO frequency to 12 MHz */ + CY_PM_FASTCLK_IMO_CR_REG &= ((uint8) (~CY_PM_FASTCLK_IMO_CR_FREQ_MASK)); + } + + /* Switch to the Sleep mode */ + CY_PM_MODE_CSR_REG = ((CY_PM_MODE_CSR_REG & ((uint8)(~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_SLEEP); + + /* Recommended readback. */ + (void) CY_PM_MODE_CSR_REG; + + /* Two recommended NOPs to get into the mode. */ + CY_NOP; + CY_NOP; + + /* Execute WFI instruction (for ARM-based devices only) */ + CY_PM_WFI; + + /* Point of return from Sleep Mode */ + + /* Restore last moment IMO frequency change */ + if(CY_PM_ENABLED != cyPmBackup.imoActFreq12Mhz) + { + CY_PM_FASTCLK_IMO_CR_REG = (CY_PM_FASTCLK_IMO_CR_REG & ((uint8)(~CY_PM_FASTCLK_IMO_CR_FREQ_MASK))) | + cyPmBackup.imoActFreq; + } + + + /******************************************************************* + * Do not use merge region below unless any component datasheet + * suggest to do so. + *******************************************************************/ + /* `#START CY_PM_JUST_AFTER_WAKEUP_FROM_SLEEP` */ + + /* `#END` */ + + + /* Restore hardware configuration */ + CyPmSlpRestore(); + + + #if(!CY_PSOC5A) + + /* Restore current wake up configuration */ + CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; + CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; + + #endif /* (!CY_PSOC5A) */ + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CyPmHibernate +******************************************************************************** +* +* Summary: +* Puts the part into the Hibernate state. +* +* PSoC 3 and PSoC 5LP: +* Before switching to Hibernate, the current status of the PICU wakeup source +* bit is saved and then set. This configures the device to wake up from the +* PICU. Make sure you have at least one pin configured to generate a PICU +* interrupt. For pin Px.y, the register "PICU_INTTYPE_PICUx_INTTYPEy" controls +* the PICU behavior. In the TRM, this register is "PICU[0..15]_INTTYPE[0..7]." +* In the Pins component datasheet, this register is referred to as the IRQ +* option. Once the wakeup occurs, the PICU wakeup source bit is restored and +* the PSoC returns to the Active state. +* +* PSoC 5: +* The only method supported for waking up from the Hibernate state is a +* hardware reset of the device. The PICU wakeup source cannot be used +* reliably, so the PICU interrupt sources are automatically disabled by this +* function before putting the device into the Hibernate state. +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +* Side Effects: +* Applications must wait 20 us before re-entering hibernate or sleep after +* waking up from hibernate. The 20 us allows the sleep regulator time to +* stabilize before the next hibernate / sleep event occurs. The 20 us +* requirement begins when the device wakes up. There is no hardware check that +* this requirement is met. The specified delay should be done on ISR entry. +* +* After wakeup PICU interrupt occurs, the Pin_ClearInterrupt() (where Pin is +* instance name of the Pins component) function must be called to clear the +* latched pin events to allow proper Hibernate mode entry andd to enable +* detection of future events. +* +* The 1 kHz ILO clock is expected to be enabled for PSoC 3 and PSoC 5LP to +* measure Hibernate/Sleep regulator settling time after a reset. The holdoff +* delay is measured using rising edges of the 1 kHz ILO. +* +*******************************************************************************/ +void CyPmHibernate(void) +{ + uint8 interruptState; + + /* Save current global interrupt enable and disable it */ + interruptState = CyEnterCriticalSection(); + + #if(CY_PSOC3 || CY_PSOC5LP) + + /*********************************************************************** + * The Hibernate/Sleep regulator has a settling time after a reset. + * During this time, the system ignores requests to enter Sleep and + * Hibernate modes. The holdoff delay is measured using rising edges of + * the 1 kHz ILO. + ***********************************************************************/ + if(0u == (CY_PM_MODE_CSR_REG & CY_PM_MODE_CSR_PWRUP_PULSE_Q)) + { + /* Disable hold off - no action on restore */ + CY_PM_PWRSYS_SLP_TR_REG &= CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK; + } + else + { + /* Abort, device is not ready for low power mode entry */ + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); + + return; + } + + #endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + /* Prepare device for proper Hibernate mode entry */ + CyPmHibSaveSet(); + + + #if(!CY_PSOC5A) + + /* Save and enable only wakeup on PICU */ + cyPmBackup.wakeupCfg0 = CY_PM_WAKEUP_CFG0_REG; + CY_PM_WAKEUP_CFG0_REG = CY_PM_WAKEUP_PICU; + + cyPmBackup.wakeupCfg1 = CY_PM_WAKEUP_CFG1_REG; + CY_PM_WAKEUP_CFG1_REG = 0x00u; + + cyPmBackup.wakeupCfg2 = CY_PM_WAKEUP_CFG2_REG; + CY_PM_WAKEUP_CFG2_REG = 0x00u; + + #endif /* (!CY_PSOC5A) */ + + + /* Last moment IMO frequency change */ + if(0u == (CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK)) + { + /* IMO frequency is 12 MHz */ + cyPmBackup.imoActFreq12Mhz = CY_PM_ENABLED; + } + else + { + /* IMO frequency is not 12 MHz */ + cyPmBackup.imoActFreq12Mhz = CY_PM_DISABLED; + + /* Save IMO frequency */ + cyPmBackup.imoActFreq = CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK; + + /* Set IMO frequency to 12 MHz */ + CY_PM_FASTCLK_IMO_CR_REG &= ((uint8) (~CY_PM_FASTCLK_IMO_CR_FREQ_MASK)); + } + + + /* Switch to Hibernate Mode */ + CY_PM_MODE_CSR_REG = (CY_PM_MODE_CSR_REG & ((uint8) (~CY_PM_MODE_CSR_MASK))) | CY_PM_MODE_CSR_HIBERNATE; + + /* Recommended readback. */ + (void) CY_PM_MODE_CSR_REG; + + /* Two recommended NOPs to get into the mode. */ + CY_NOP; + CY_NOP; + + /* Execute WFI instruction (for ARM-based devices only) */ + CY_PM_WFI; + + /* Point of return from Hibernate mode */ + + + /* Restore last moment IMO frequency change */ + if(CY_PM_ENABLED != cyPmBackup.imoActFreq12Mhz) + { + CY_PM_FASTCLK_IMO_CR_REG = (CY_PM_FASTCLK_IMO_CR_REG & ((uint8)(~CY_PM_FASTCLK_IMO_CR_FREQ_MASK))) | + cyPmBackup.imoActFreq; + } + + + /* Restore device for proper Hibernate mode exit*/ + CyPmHibRestore(); + + + #if(!CY_PSOC5A) + + /* Restore current wake up configuration */ + CY_PM_WAKEUP_CFG0_REG = cyPmBackup.wakeupCfg0; + CY_PM_WAKEUP_CFG1_REG = cyPmBackup.wakeupCfg1; + CY_PM_WAKEUP_CFG2_REG = cyPmBackup.wakeupCfg2; + + #endif /* (!CY_PSOC5A) */ + + /* Restore global interrupt enable state */ + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: CyPmReadStatus +******************************************************************************** +* +* Summary: +* Manages the Power Manager Interrupt Status Register. This register has the +* interrupt status for the one pulse per second, central timewheel and fast +* timewheel timers. This hardware register clears on read. To allow for only +* clearing the bits of interest and preserving the other bits, this function +* uses a shadow register that retains the state. This function reads the +* status register and ORs that value with the shadow register. That is the +* value that is returned. Then the bits in the mask that are set are cleared +* from this value and written back to the shadow register. +* +* Note You must call this function within 1 ms (1 clock cycle of the ILO) +* after a CTW event has occurred. +* +* Parameters: +* mask: Bits in the shadow register to clear. +* +* Define Source +* CY_PM_FTW_INT Fast Timewheel +* CY_PM_CTW_INT Central Timewheel +* CY_PM_ONEPPS_INT One Pulse Per Second +* +* Return: +* Status. Same bits values as the mask parameter. +* +*******************************************************************************/ +uint8 CyPmReadStatus(uint8 mask) +{ + static uint8 interruptStatus; + uint8 interruptState; + uint8 tmpStatus; + + /* Enter critical section */ + interruptState = CyEnterCriticalSection(); + + /* Save value of the register, copy it and clear desired bit */ + interruptStatus |= CY_PM_INT_SR_REG; + tmpStatus = interruptStatus; + interruptStatus &= ((uint8)(~mask)); + + /* Exit critical section */ + CyExitCriticalSection(interruptState); + + return(tmpStatus); +} + + +/******************************************************************************* +* Function Name: CyPmHibSaveSet +******************************************************************************** +* +* Summary: +* Prepare device for proper Hibernate low power mode entry: +* - Disables I2C backup regulator +* - Save state of I2C backup regulator (PSoC 5) +* - Saves ILO power down mode state and enable it (all but PSoC 5) +* - Saves state of 1 kHz and 100 kHz ILO and disable them (all but PSoC 5) +* - Disables sleep regulator and shorts vccd to vpwrsleep (all but PSoC 5) +* - Save LVI/HVI configuration and disable them - CyPmHviLviSaveDisable() +* - CyPmHibSlpSaveSet() function is called +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +*******************************************************************************/ +static void CyPmHibSaveSet(void) +{ + /* I2C backup reg must be off when the sleep regulator is unavailable */ + if(0u != (CY_PM_PWRSYS_CR1_REG & CY_PM_PWRSYS_CR1_I2CREG_BACKUP)) + { + /*********************************************************************** + * If I2C backup regulator is enabled, all the fixed-function registers + * store their values while device is in low power mode, otherwise their + * configuration is lost. The I2C API makes a decision to restore or not + * to restore I2C registers based on this. If this regulator will be + * disabled and then enabled, I2C API will suppose that I2C block + * registers preserved their values, while this is not true. So, the + * backup regulator is disabled. And its value is restored only for + * and PSoC 5 devices. The I2C sleep APIs is responsible for restoration. + ***********************************************************************/ + + #if(CY_PSOC5A) + + cyPmBackup.i2cRegBackup = CY_PM_ENABLED; + + #endif /* (CY_PSOC5A) */ + + /* Disable I2C backup register */ + CY_PM_PWRSYS_CR1_REG &= ((uint8)(~CY_PM_PWRSYS_CR1_I2CREG_BACKUP)); + } + else + { + #if(CY_PSOC5A) + + /* Save disabled state of the I2C backup regulator */ + cyPmBackup.i2cRegBackup = CY_PM_DISABLED; + + #endif /* (CY_PSOC5A) */ + } + + + #if(!CY_PSOC5A) + + /* Save current ILO power mode and ensure low power mode */ + cyPmBackup.iloPowerMode = CyILO_SetPowerMode(CY_PM_POWERDOWN_MODE); + + /* Save current 1kHz ILO enable state. Disabled automatically. */ + cyPmBackup.ilo1kEnable = (0u == (CY_PM_SLOWCLK_ILO_CR0_REG & CY_PM_ILO_CR0_EN_1K)) ? + CY_PM_DISABLED : CY_PM_ENABLED; + + /* Save current 100kHz ILO enable state. Disabled automatically. */ + cyPmBackup.ilo100kEnable = (0u == (CY_PM_SLOWCLK_ILO_CR0_REG & CY_PM_ILO_CR0_EN_100K)) ? + CY_PM_DISABLED : CY_PM_ENABLED; + + + /* Disable the sleep regulator and shorts vccd to vpwrsleep */ + if(0u == (CY_PM_PWRSYS_SLP_TR_REG & CY_PM_PWRSYS_SLP_TR_BYPASS)) + { + /* Save current bypass state */ + cyPmBackup.slpTrBypass = CY_PM_DISABLED; + CY_PM_PWRSYS_SLP_TR_REG |= CY_PM_PWRSYS_SLP_TR_BYPASS; + } + else + { + cyPmBackup.slpTrBypass = CY_PM_ENABLED; + } + + /* LPCOMPs are always enabled (even when BOTH ext_vccd=1 and ext_vcca=1)*/ + + #endif /* (!CY_PSOC5A) */ + + + /* Device is PSoC 5 and the revision is ES1 or earlier. */ + #if(CY_PSOC5A) + + /* Disable all the PICU interrupts */ + CyPmDisablePicuInterrupts(); + + #endif /* (CY_PSOC5A) */ + + + /*************************************************************************** + * LVI/HVI must be disabled in Hibernate + ***************************************************************************/ + + /* Save LVI/HVI configuration and disable them */ + CyPmHviLviSaveDisable(); + + + /* Make the same preparations for Hibernate and Sleep modes */ + CyPmHibSlpSaveSet(); + + + /*************************************************************************** + * Save and set power mode wakeup trim registers + ***************************************************************************/ + #if(CY_PSOC3 || CY_PSOC5LP) + + cyPmBackup.wakeupTrim0 = CY_PM_PWRSYS_WAKE_TR0_REG; + cyPmBackup.wakeupTrim1 = CY_PM_PWRSYS_WAKE_TR1_REG; + + CY_PM_PWRSYS_WAKE_TR0_REG = CY_PM_PWRSYS_WAKE_TR0; + CY_PM_PWRSYS_WAKE_TR1_REG = CY_PM_PWRSYS_WAKE_TR1; + + #endif /* (CY_PSOC3 || CY_PSOC5LP) */ + +} + + +/******************************************************************************* +* Function Name: CyPmHibRestore +******************************************************************************** +* +* Summary: +* Restore device for proper Hibernate mode exit: +* - Restore LVI/HVI configuration - call CyPmHviLviRestore() +* - CyPmHibSlpSaveRestore() function is called +* - Restores state of I2C backup regulator (PSoC 5) +* - Restores ILO power down mode state and enable it (all but PSoC 5) +* - Restores state of 1 kHz and 100 kHz ILO and disable them (all but PSoC 5) +* - Restores sleep regulator settings (all but PSoC 5) +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +static void CyPmHibRestore(void) +{ + /* Restore LVI/HVI configuration */ + CyPmHviLviRestore(); + + /* Restore the same configuration for Hibernate and Sleep modes */ + CyPmHibSlpRestore(); + + #if(CY_PSOC5A) + + /* Restore I2C backup regulator configuration */ + if(CY_PM_ENABLED == cyPmBackup.i2cRegBackup) + { + /* Enable I2C backup regulator state */ + CY_PM_PWRSYS_CR1_REG |= CY_PM_PWRSYS_CR1_I2CREG_BACKUP; + } + + #endif /* (CY_PSOC5A) */ + + + #if(!CY_PSOC5A) + + /* Restore 1kHz ILO enable state */ + if(CY_PM_ENABLED == cyPmBackup.ilo1kEnable) + { + /* Enable 1kHz ILO */ + CyILO_Start1K(); + } + + /* Restore 100kHz ILO enable state */ + if(CY_PM_ENABLED == cyPmBackup.ilo100kEnable) + { + /* Enable 100kHz ILO */ + CyILO_Start100K(); + } + + /* Restore ILO power mode */ + (void) CyILO_SetPowerMode(cyPmBackup.iloPowerMode); + + + if(CY_PM_DISABLED == cyPmBackup.slpTrBypass) + { + /* Enable the sleep regulator */ + CY_PM_PWRSYS_SLP_TR_REG &= ((uint8)(~CY_PM_PWRSYS_SLP_TR_BYPASS)); + } + + #endif /* (!CY_PSOC5A) */ + + + /*************************************************************************** + * Restore power mode wakeup trim registers + ***************************************************************************/ + #if(CY_PSOC3 || CY_PSOC5LP) + + CY_PM_PWRSYS_WAKE_TR0_REG = cyPmBackup.wakeupTrim0; + CY_PM_PWRSYS_WAKE_TR1_REG = cyPmBackup.wakeupTrim1; + + #endif /* (CY_PSOC3 || CY_PSOC5LP) */ +} + + +/******************************************************************************* +* Function Name: CyPmCtwSetInterval +******************************************************************************** +* +* Summary: +* Performs CTW configuration: +* - Disables CTW interrupt +* - Enables 1 kHz ILO +* - Sets new CTW interval +* +* Parameters: +* ctwInterval: the CTW interval to be set. +* +* Return: +* None +* +* Side Effects: +* Enables ILO 1 KHz clock and leaves it enabled. +* +*******************************************************************************/ +void CyPmCtwSetInterval(uint8 ctwInterval) +{ + /* Disable CTW interrupt enable */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_CTW_IE)); + + /* Enable 1kHz ILO (required for CTW operation) */ + CyILO_Start1K(); + + /* Interval could be set only while CTW is disabled */ + if(0u != (CY_PM_TW_CFG2_REG & CY_PM_CTW_EN)) + { + /* Set CTW interval if needed */ + if(CY_PM_TW_CFG1_REG != ctwInterval) + { + /* Disable the CTW, set new CTW interval and enable it again */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_CTW_EN)); + CY_PM_TW_CFG1_REG = ctwInterval; + CY_PM_TW_CFG2_REG |= CY_PM_CTW_EN; + } /* Required interval is already set */ + } + else + { + /* Set CTW interval if needed */ + if(CY_PM_TW_CFG1_REG != ctwInterval) + { + /* Set the new CTW interval. Could be changed if CTW is disabled */ + CY_PM_TW_CFG1_REG = ctwInterval; + } /* Required interval is already set */ + + /* Enable the CTW */ + CY_PM_TW_CFG2_REG |= CY_PM_CTW_EN; + } +} + + +/******************************************************************************* +* Function Name: CyPmOppsSet +******************************************************************************** +* +* Summary: +* Performs 1PPS configuration: +* - Starts 32 KHz XTAL +* - Disables 1PPS interupts +* - Enables 1PPS +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +void CyPmOppsSet(void) +{ + /* Enable 32kHz XTAL if needed */ + if(0u == (CY_PM_SLOWCLK_X32_CR_REG & CY_PM_X32_CR_X32EN)) + { + /* Enable 32kHz XTAL */ + CyXTAL_32KHZ_Start(); + } + + /* Disable 1PPS interrupt enable */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_1PPS_IE)); + + /* Enable 1PPS operation */ + CY_PM_TW_CFG2_REG |= CY_PM_1PPS_EN; +} + + +/******************************************************************************* +* Function Name: CyPmFtwSetInterval +******************************************************************************** +* +* Summary: +* Performs FTW configuration: +* - Disables FTW interrupt +* - Enables 100 kHz ILO +* - Sets new FTW interval. +* +* Parameters: +* ftwInterval - FTW counter interval. +* +* Return: +* None +* +* Side Effects: +* Enables ILO 100 KHz clock and leaves it enabled. +* +*******************************************************************************/ +void CyPmFtwSetInterval(uint8 ftwInterval) +{ + /* Disable FTW interrupt enable */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_FTW_IE)); + + /* Enable 100kHz ILO */ + CyILO_Start100K(); + + /* Iterval could be set only while FTW is disabled */ + if(0u != (CY_PM_TW_CFG2_REG & CY_PM_FTW_EN)) + { + /* Disable FTW, set new FTW interval if needed and enable it again */ + if(CY_PM_TW_CFG0_REG != ftwInterval) + { + /* Disable the CTW, set new CTW interval and enable it again */ + CY_PM_TW_CFG2_REG &= ((uint8)(~CY_PM_FTW_EN)); + CY_PM_TW_CFG0_REG = ftwInterval; + CY_PM_TW_CFG2_REG |= CY_PM_FTW_EN; + } /* Required interval is already set */ + } + else + { + /* Set new FTW counter interval if needed. FTW is disabled. */ + if(CY_PM_TW_CFG0_REG != ftwInterval) + { + /* Set the new CTW interval. Could be changed if CTW is disabled */ + CY_PM_TW_CFG0_REG = ftwInterval; + } /* Required interval is already set */ + + /* Enable the FTW */ + CY_PM_TW_CFG2_REG |= CY_PM_FTW_EN; + } +} + + +#if(CY_PSOC5A) + + /******************************************************************************* + * Function Name: CyPmSavePicuInterrupts + ******************************************************************************** + * + * Summary: + * Saves PICU interrupt type registers to the backup structure. + * + * Parameters: + * None + * + * Return: + * None + * + * Reentrant: + * No + * + *******************************************************************************/ + static void CyPmSavePicuInterrupts(void) + { + /* Save all the PICU interrupt type */ + (void) memcpy((void *) &cyPmBackup.picuIntType[0u], + (void *) CY_PM_PICU_0_6_INT_BASE, + CY_PM_PICU_0_6_INT_SIZE); + + (void) memcpy((void *) &cyPmBackup.picuIntType[CY_PM_PICU_0_6_INT_SIZE], + (void *) CY_PM_PICU_12_INT_BASE, + CY_PM_PICU_12_INT_SIZE); + + (void) memcpy((void *) &cyPmBackup.picuIntType[CY_PM_PICU_0_6_INT_SIZE + CY_PM_PICU_12_INT_SIZE], + (void *) CY_PM_PICU_15_INT_BASE, + CY_PM_PICU_15_INT_SIZE); + + } + + + /******************************************************************************* + * Function Name: CyPmDisablePicuInterrupts + ******************************************************************************** + * + * Summary: + * Disableds PICU interrupts. + * + * Parameters: + * None + * + * Return: + * None + * + *******************************************************************************/ + static void CyPmDisablePicuInterrupts(void) + { + /* Disable all the PICU interrupts */ + (void) memset((void *) CY_PM_PICU_0_6_INT_BASE, 0, CY_PM_PICU_0_6_INT_SIZE); + (void) memset((void *) CY_PM_PICU_12_INT_BASE, 0, CY_PM_PICU_12_INT_SIZE ); + (void) memset((void *) CY_PM_PICU_15_INT_BASE, 0, CY_PM_PICU_15_INT_SIZE ); + } + + + /******************************************************************************* + * Function Name: CyPmRestorePicuInterrupts + ******************************************************************************** + * + * Summary: + * Restores PICU interrupt type registers from the backup structure. + * + * Parameters: + * None + * + * Return: + * None + * + *******************************************************************************/ + static void CyPmRestorePicuInterrupts(void) + { + /* Save all the PICU interrupt type */ + (void) memcpy((void *) CY_PM_PICU_0_6_INT_BASE, + (void *) &cyPmBackup.picuIntType[0u], + CY_PM_PICU_0_6_INT_SIZE); + + (void) memcpy((void *) CY_PM_PICU_12_INT_BASE, + (void *) &cyPmBackup.picuIntType[CY_PM_PICU_0_6_INT_SIZE], + CY_PM_PICU_12_INT_SIZE); + + (void) memcpy((void *) CY_PM_PICU_15_INT_BASE, + (void *) &cyPmBackup.picuIntType[CY_PM_PICU_0_6_INT_SIZE + CY_PM_PICU_12_INT_SIZE], + CY_PM_PICU_15_INT_SIZE); + + } + +#endif /* (CY_PSOC5A) */ + + +/******************************************************************************* +* Function Name: CyPmSlpSaveSet +******************************************************************************** +* +* Summary: +* Prepare device for proper Sleep low power mode entry: +* - Prepare CTW for Sleep mode entry (PSoC 5) +* * Save timewheels configuration +* * Disable FTW and 1PPS (enable and interrupt) +* * Reset CTW +* * Save and disable PICU interrupts +* * Save and disable PRES-A and PRES-D +* - Save and disable LVI/HVI configuration (PSoC 5) +* - Save and set to max buzz interval (PSoC 5) +* - CyPmHibSlpSaveSet() function is called +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +*******************************************************************************/ +static void CyPmSlpSaveSet(void) +{ + #if(CY_PSOC5A) + + /* Preserve the Timewheel Configuration Register 2 */ + cyPmBackup.pmTwCfg2 = CY_PM_TW_CFG2_REG; + + /* Clear the enable and interrupt enables for the FTW and ONEPPS */ + CY_PM_TW_CFG2_REG &= ((uint8)(~(CY_PM_FTW_IE | CY_PM_FTW_EN | CY_PM_1PPS_EN | CY_PM_1PPS_IE))); + + /* Reset free-running CTW counter to 0 and held it there */ + CY_PM_WDT_CFG_REG |= CY_PM_WDT_CFG_CTW_RESET; + + /* Exit CTW counter reset state */ + CY_PM_WDT_CFG_REG &= ((uint8)(~CY_PM_WDT_CFG_CTW_RESET)); + + /* Save and disable PICU interrupts */ + CyPmSavePicuInterrupts(); + CyPmDisablePicuInterrupts(); + + /* Save and disable PRES-A and PRES-D */ + cyPmBackup.pres1 = CY_PM_RESET_CR1_REG & CY_PM_RESET_CR1_DIS_PRES1; + cyPmBackup.pres2 = CY_PM_RESET_CR3_REG & CY_PM_RESET_CR3_DIS_PRES2; + CY_PM_RESET_CR1_REG &= ((uint8)(~CY_PM_RESET_CR1_DIS_PRES1)); + CY_PM_RESET_CR3_REG &= ((uint8)(~CY_PM_RESET_CR3_DIS_PRES2)); + + #endif /* (CY_PSOC5A) */ + + + #if(CY_PSOC5A) + + /*************************************************************************** + * LVI/HVI must be disabled as it doesn't work during buzzing. + * + * Using hardware buzz in conjunction with other device wakeup sources + * can cause the device to lockup, halting further code execution. The + * hardware buzz provides power supply supervising capability in sleep. + * It is enabled by default and there is no way to disable it. So the buzz + * interval is set to maximum (512 ms). The CTW must be configured to wake up + * at a rate less than hardware buzz interval. + ***************************************************************************/ + + /* Save and disable LVI/HVI */ + CyPmHviLviSaveDisable(); + + /* Save buzz trim value */ + cyPmBackup.buzzSleepTrim = CY_PM_PWRSYS_BUZZ_TR_REG & ((uint8)(~CY_PM_PWRSYS_BUZZ_TR_MASK)); + + /* Set buzz interval to maximum */ + CY_PM_PWRSYS_BUZZ_TR_REG = CY_PM_PWRSYS_BUZZ_TR_512_TICKS | + (CY_PM_PWRSYS_BUZZ_TR_REG & CY_PM_PWRSYS_BUZZ_TR_MASK); + + #endif /* (CY_PSOC5A) */ + + + /* Apply configuration that are same for Sleep and Hibernate */ + CyPmHibSlpSaveSet(); +} + + +/******************************************************************************* +* Function Name: CyPmSlpRestore +******************************************************************************** +* +* Summary: +* Restore device for proper Sleep mode exit: +* - Restore timewheel configuration (PSoC 5) +* - Restore PRES-A and PRES-D (PSoC 5) +* - Restore PICU interrupts (PSoC 5) +* - Restore buzz sleep trim value (PSoC 5) +* - Call to CyPmHibSlpSaveRestore() +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +static void CyPmSlpRestore(void) +{ + #if(CY_PSOC5A) + + /* Restore the Timewheel Configuration Register 2 */ + CY_PM_TW_CFG2_REG = cyPmBackup.pmTwCfg2; + + /* Restore PICU interrupts */ + CyPmRestorePicuInterrupts(); + + /* Restore PRES-A and PRES-D (assumed they were disabled) */ + CY_PM_RESET_CR1_REG |= cyPmBackup.pres1; + CY_PM_RESET_CR3_REG |= cyPmBackup.pres2; + + #endif /* (CY_PSOC5A) */ + + + #if(CY_PSOC5A) + + /* Restore LVI/HVI configuration */ + CyPmHviLviRestore(); + + /* Restore buzz sleep trim value */ + CY_PM_PWRSYS_BUZZ_TR_REG = cyPmBackup.buzzSleepTrim | + (CY_PM_PWRSYS_BUZZ_TR_REG & CY_PM_PWRSYS_BUZZ_TR_MASK); + + #endif /* (CY_PSOC5A) */ + + + /* Restore configuration that are same for Sleep and Hibernate */ + CyPmHibSlpRestore(); +} + + +/******************************************************************************* +* Function Name: CyPmHibSlpSaveSet +******************************************************************************** +* +* Summary: +* This API is used for preparing device for Sleep and Hibernate low power +* modes entry: +* - Saves COMP, VIDAC, DSM and SAR routing connections (PSoC 5) +* - Saves SC/CT routing connections (PSoC 3/5/5LP) +* - Disables Serial Wire Viewer (SWV) (PSoC 3) +* - Save boost reference selection and set it to internal +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +*******************************************************************************/ +static void CyPmHibSlpSaveSet(void) +{ + #if(CY_PSOC5A) + + /* Save CMP routing registers */ + cyPmBackup.cmpData[0u] = CY_GET_REG8(CYREG_CMP0_SW0); + cyPmBackup.cmpData[1u] = CY_GET_REG8(CYREG_CMP0_SW2); + cyPmBackup.cmpData[2u] = CY_GET_REG8(CYREG_CMP0_SW3); + cyPmBackup.cmpData[3u] = CY_GET_REG8(CYREG_CMP0_SW4); + cyPmBackup.cmpData[4u] = CY_GET_REG8(CYREG_CMP0_SW6); + + cyPmBackup.cmpData[5u] = CY_GET_REG8(CYREG_CMP1_SW0); + cyPmBackup.cmpData[6u] = CY_GET_REG8(CYREG_CMP1_SW2); + cyPmBackup.cmpData[7u] = CY_GET_REG8(CYREG_CMP1_SW3); + cyPmBackup.cmpData[8u] = CY_GET_REG8(CYREG_CMP1_SW4); + cyPmBackup.cmpData[9u] = CY_GET_REG8(CYREG_CMP1_SW6); + + cyPmBackup.cmpData[10u] = CY_GET_REG8(CYREG_CMP2_SW0); + cyPmBackup.cmpData[11u] = CY_GET_REG8(CYREG_CMP2_SW2); + cyPmBackup.cmpData[12u] = CY_GET_REG8(CYREG_CMP2_SW3); + cyPmBackup.cmpData[13u] = CY_GET_REG8(CYREG_CMP2_SW4); + cyPmBackup.cmpData[14u] = CY_GET_REG8(CYREG_CMP2_SW6); + + cyPmBackup.cmpData[15u] = CY_GET_REG8(CYREG_CMP3_SW0); + cyPmBackup.cmpData[16u] = CY_GET_REG8(CYREG_CMP3_SW2); + cyPmBackup.cmpData[17u] = CY_GET_REG8(CYREG_CMP3_SW3); + cyPmBackup.cmpData[18u] = CY_GET_REG8(CYREG_CMP3_SW4); + cyPmBackup.cmpData[19u] = CY_GET_REG8(CYREG_CMP3_SW6); + + + /* Clear CMP routing registers */ + CY_SET_REG8(CYREG_CMP0_SW0 , 0u); + CY_SET_REG8(CYREG_CMP0_SW2 , 0u); + CY_SET_REG8(CYREG_CMP0_SW3 , 0u); + CY_SET_REG8(CYREG_CMP0_SW4 , 0u); + CY_SET_REG8(CYREG_CMP0_SW6 , 0u); + + CY_SET_REG8(CYREG_CMP1_SW0 , 0u); + CY_SET_REG8(CYREG_CMP1_SW2 , 0u); + CY_SET_REG8(CYREG_CMP1_SW3 , 0u); + CY_SET_REG8(CYREG_CMP1_SW4 , 0u); + CY_SET_REG8(CYREG_CMP1_SW6 , 0u); + + CY_SET_REG8(CYREG_CMP2_SW0 , 0u); + CY_SET_REG8(CYREG_CMP2_SW2 , 0u); + CY_SET_REG8(CYREG_CMP2_SW3 , 0u); + CY_SET_REG8(CYREG_CMP2_SW4 , 0u); + CY_SET_REG8(CYREG_CMP2_SW6 , 0u); + + CY_SET_REG8(CYREG_CMP3_SW0 , 0u); + CY_SET_REG8(CYREG_CMP3_SW2 , 0u); + CY_SET_REG8(CYREG_CMP3_SW3 , 0u); + CY_SET_REG8(CYREG_CMP3_SW4 , 0u); + CY_SET_REG8(CYREG_CMP3_SW6 , 0u); + + + /* Save DAC routing registers */ + cyPmBackup.dacData[0u] = CY_GET_REG8(CYREG_DAC0_SW0); + cyPmBackup.dacData[1u] = CY_GET_REG8(CYREG_DAC0_SW2); + cyPmBackup.dacData[2u] = CY_GET_REG8(CYREG_DAC0_SW3); + cyPmBackup.dacData[3u] = CY_GET_REG8(CYREG_DAC0_SW4); + + cyPmBackup.dacData[4u] = CY_GET_REG8(CYREG_DAC1_SW0); + cyPmBackup.dacData[5u] = CY_GET_REG8(CYREG_DAC1_SW2); + cyPmBackup.dacData[6u] = CY_GET_REG8(CYREG_DAC1_SW3); + cyPmBackup.dacData[7u] = CY_GET_REG8(CYREG_DAC1_SW4); + + cyPmBackup.dacData[8u] = CY_GET_REG8(CYREG_DAC2_SW0); + cyPmBackup.dacData[9u] = CY_GET_REG8(CYREG_DAC2_SW2); + cyPmBackup.dacData[10u] = CY_GET_REG8(CYREG_DAC2_SW3); + cyPmBackup.dacData[11u] = CY_GET_REG8(CYREG_DAC2_SW4); + + cyPmBackup.dacData[12u] = CY_GET_REG8(CYREG_DAC3_SW0); + cyPmBackup.dacData[13u] = CY_GET_REG8(CYREG_DAC3_SW2); + cyPmBackup.dacData[14u] = CY_GET_REG8(CYREG_DAC3_SW3); + cyPmBackup.dacData[15u] = CY_GET_REG8(CYREG_DAC3_SW4); + + /* Clear DAC routing registers */ + CY_SET_REG8(CYREG_DAC0_SW0 , 0u); + CY_SET_REG8(CYREG_DAC0_SW2 , 0u); + CY_SET_REG8(CYREG_DAC0_SW3 , 0u); + CY_SET_REG8(CYREG_DAC0_SW4 , 0u); + + CY_SET_REG8(CYREG_DAC1_SW0 , 0u); + CY_SET_REG8(CYREG_DAC1_SW2 , 0u); + CY_SET_REG8(CYREG_DAC1_SW3 , 0u); + CY_SET_REG8(CYREG_DAC1_SW4 , 0u); + + CY_SET_REG8(CYREG_DAC2_SW0 , 0u); + CY_SET_REG8(CYREG_DAC2_SW2 , 0u); + CY_SET_REG8(CYREG_DAC2_SW3 , 0u); + CY_SET_REG8(CYREG_DAC2_SW4 , 0u); + + CY_SET_REG8(CYREG_DAC3_SW0 , 0u); + CY_SET_REG8(CYREG_DAC3_SW2 , 0u); + CY_SET_REG8(CYREG_DAC3_SW3 , 0u); + CY_SET_REG8(CYREG_DAC3_SW4 , 0u); + + + /* Save DSM routing registers */ + cyPmBackup.dsmData[0u] = CY_GET_REG8(CYREG_DSM0_SW0); + cyPmBackup.dsmData[1u] = CY_GET_REG8(CYREG_DSM0_SW2); + cyPmBackup.dsmData[2u] = CY_GET_REG8(CYREG_DSM0_SW3); + cyPmBackup.dsmData[3u] = CY_GET_REG8(CYREG_DSM0_SW4); + cyPmBackup.dsmData[4u] = CY_GET_REG8(CYREG_DSM0_SW6); + + /* Clear DSM routing registers */ + CY_SET_REG8(CYREG_DSM0_SW0 , 0u); + CY_SET_REG8(CYREG_DSM0_SW2 , 0u); + CY_SET_REG8(CYREG_DSM0_SW3 , 0u); + CY_SET_REG8(CYREG_DSM0_SW4 , 0u); + CY_SET_REG8(CYREG_DSM0_SW6 , 0u); + + + /* Save SAR routing registers */ + cyPmBackup.sarData[0u] = CY_GET_REG8(CYREG_SAR0_SW0); + cyPmBackup.sarData[1u] = CY_GET_REG8(CYREG_SAR0_SW2); + cyPmBackup.sarData[2u] = CY_GET_REG8(CYREG_SAR0_SW3); + cyPmBackup.sarData[3u] = CY_GET_REG8(CYREG_SAR0_SW4); + cyPmBackup.sarData[4u] = CY_GET_REG8(CYREG_SAR0_SW6); + + cyPmBackup.sarData[5u] = CY_GET_REG8(CYREG_SAR1_SW0); + cyPmBackup.sarData[6u] = CY_GET_REG8(CYREG_SAR1_SW2); + cyPmBackup.sarData[7u] = CY_GET_REG8(CYREG_SAR1_SW3); + cyPmBackup.sarData[8u] = CY_GET_REG8(CYREG_SAR1_SW4); + cyPmBackup.sarData[9u] = CY_GET_REG8(CYREG_SAR1_SW6); + + + /* Clear SAR routing registers */ + CY_SET_REG8(CYREG_SAR0_SW0 , 0u); + CY_SET_REG8(CYREG_SAR0_SW2 , 0u); + CY_SET_REG8(CYREG_SAR0_SW3 , 0u); + CY_SET_REG8(CYREG_SAR0_SW4 , 0u); + CY_SET_REG8(CYREG_SAR0_SW6 , 0u); + + CY_SET_REG8(CYREG_SAR1_SW0 , 0u); + CY_SET_REG8(CYREG_SAR1_SW2 , 0u); + CY_SET_REG8(CYREG_SAR1_SW3 , 0u); + CY_SET_REG8(CYREG_SAR1_SW4 , 0u); + CY_SET_REG8(CYREG_SAR1_SW6 , 0u); + + #endif /* (CY_PSOC5A) */ + + + #if(CY_PSOC3 || CY_PSOC5A || CY_PSOC5LP) + + /* Save SC/CT routing registers */ + cyPmBackup.scctData[0u] = CY_GET_REG8(CYREG_SC0_SW0 ); + cyPmBackup.scctData[1u] = CY_GET_REG8(CYREG_SC0_SW2 ); + cyPmBackup.scctData[2u] = CY_GET_REG8(CYREG_SC0_SW3 ); + cyPmBackup.scctData[3u] = CY_GET_REG8(CYREG_SC0_SW4 ); + cyPmBackup.scctData[4u] = CY_GET_REG8(CYREG_SC0_SW6 ); + cyPmBackup.scctData[5u] = CY_GET_REG8(CYREG_SC0_SW8 ); + cyPmBackup.scctData[6u] = CY_GET_REG8(CYREG_SC0_SW10); + + cyPmBackup.scctData[7u] = CY_GET_REG8(CYREG_SC1_SW0 ); + cyPmBackup.scctData[8u] = CY_GET_REG8(CYREG_SC1_SW2 ); + cyPmBackup.scctData[9u] = CY_GET_REG8(CYREG_SC1_SW3 ); + cyPmBackup.scctData[10u] = CY_GET_REG8(CYREG_SC1_SW4 ); + cyPmBackup.scctData[11u] = CY_GET_REG8(CYREG_SC1_SW6 ); + cyPmBackup.scctData[12u] = CY_GET_REG8(CYREG_SC1_SW8 ); + cyPmBackup.scctData[13u] = CY_GET_REG8(CYREG_SC1_SW10); + + cyPmBackup.scctData[14u] = CY_GET_REG8(CYREG_SC2_SW0 ); + cyPmBackup.scctData[15u] = CY_GET_REG8(CYREG_SC2_SW2 ); + cyPmBackup.scctData[16u] = CY_GET_REG8(CYREG_SC2_SW3 ); + cyPmBackup.scctData[17u] = CY_GET_REG8(CYREG_SC2_SW4 ); + cyPmBackup.scctData[18u] = CY_GET_REG8(CYREG_SC2_SW6 ); + cyPmBackup.scctData[19u] = CY_GET_REG8(CYREG_SC2_SW8 ); + cyPmBackup.scctData[20u] = CY_GET_REG8(CYREG_SC2_SW10); + + cyPmBackup.scctData[21u] = CY_GET_REG8(CYREG_SC3_SW0 ); + cyPmBackup.scctData[22u] = CY_GET_REG8(CYREG_SC3_SW2 ); + cyPmBackup.scctData[23u] = CY_GET_REG8(CYREG_SC3_SW3 ); + cyPmBackup.scctData[24u] = CY_GET_REG8(CYREG_SC3_SW4 ); + cyPmBackup.scctData[25u] = CY_GET_REG8(CYREG_SC3_SW6 ); + cyPmBackup.scctData[26u] = CY_GET_REG8(CYREG_SC3_SW8 ); + cyPmBackup.scctData[27u] = CY_GET_REG8(CYREG_SC3_SW10); + + CY_SET_REG8(CYREG_SC0_SW0 , 0u); + CY_SET_REG8(CYREG_SC0_SW2 , 0u); + CY_SET_REG8(CYREG_SC0_SW3 , 0u); + CY_SET_REG8(CYREG_SC0_SW4 , 0u); + CY_SET_REG8(CYREG_SC0_SW6 , 0u); + CY_SET_REG8(CYREG_SC0_SW8 , 0u); + CY_SET_REG8(CYREG_SC0_SW10, 0u); + + CY_SET_REG8(CYREG_SC1_SW0 , 0u); + CY_SET_REG8(CYREG_SC1_SW2 , 0u); + CY_SET_REG8(CYREG_SC1_SW3 , 0u); + CY_SET_REG8(CYREG_SC1_SW4 , 0u); + CY_SET_REG8(CYREG_SC1_SW6 , 0u); + CY_SET_REG8(CYREG_SC1_SW8 , 0u); + CY_SET_REG8(CYREG_SC1_SW10, 0u); + + CY_SET_REG8(CYREG_SC2_SW0 , 0u); + CY_SET_REG8(CYREG_SC2_SW2 , 0u); + CY_SET_REG8(CYREG_SC2_SW3 , 0u); + CY_SET_REG8(CYREG_SC2_SW4 , 0u); + CY_SET_REG8(CYREG_SC2_SW6 , 0u); + CY_SET_REG8(CYREG_SC2_SW8 , 0u); + CY_SET_REG8(CYREG_SC2_SW10, 0u); + + CY_SET_REG8(CYREG_SC3_SW0 , 0u); + CY_SET_REG8(CYREG_SC3_SW2 , 0u); + CY_SET_REG8(CYREG_SC3_SW3 , 0u); + CY_SET_REG8(CYREG_SC3_SW4 , 0u); + CY_SET_REG8(CYREG_SC3_SW6 , 0u); + CY_SET_REG8(CYREG_SC3_SW8 , 0u); + CY_SET_REG8(CYREG_SC3_SW10, 0u); + + #endif /* (CY_PSOC3 || CY_PSOC5A || CY_PSOC5LP) */ + + + #if(CY_PSOC3) + + /* Serial Wire Viewer (SWV) workaround */ + + /* Disable SWV before entering low power mode */ + if(0u != (CY_PM_MLOGIC_DBG_REG & CY_PM_MLOGIC_DBG_SWV_CLK_EN)) + { + /* Save SWV clock enabled state */ + cyPmBackup.swvClkEnabled = CY_PM_ENABLED; + + /* Save current ports drive mode settings */ + cyPmBackup.prt1Dm = CY_PM_PRT1_PC3_REG & ((uint8)(~CY_PM_PRT1_PC3_DM_MASK)); + + /* Set drive mode to strong output */ + CY_PM_PRT1_PC3_REG = (CY_PM_PRT1_PC3_REG & CY_PM_PRT1_PC3_DM_MASK) | + CY_PM_PRT1_PC3_DM_STRONG; + + /* Disable SWV clocks */ + CY_PM_MLOGIC_DBG_REG &= ((uint8)(~CY_PM_MLOGIC_DBG_SWV_CLK_EN)); + } + else + { + /* Save SWV clock disabled state */ + cyPmBackup.swvClkEnabled = CY_PM_DISABLED; + } + + #endif /* (CY_PSOC3) */ + + + /*************************************************************************** + * Save boost reference and set it to boost's internal by clearing the bit. + * External (chip bandgap) reference is not available in Sleep and Hibernate. + ***************************************************************************/ + if(0u != (CY_PM_BOOST_CR2_REG & CY_PM_BOOST_CR2_EREFSEL_EXT)) + { + cyPmBackup.boostRefExt = CY_PM_ENABLED; + CY_PM_BOOST_CR2_REG &= ((uint8)(~CY_PM_BOOST_CR2_EREFSEL_EXT)); + } + else + { + cyPmBackup.boostRefExt = CY_PM_DISABLED; + } +} + + +/******************************************************************************* +* Function Name: CyPmHibSlpRestore +******************************************************************************** +* +* Summary: +* This API is used for restoring device configurations after wakeup from Sleep +* and Hibernate low power modes: +* - Restores COMP, VIDAC, DSM and SAR routing connections (PSoC 5) +* - Restores SC/CT routing connections (PSoC 3/5/5LP) +* - Restores enable state of Serial Wire Viewer (SWV) (PSoC 3) +* - Restores initial buzz rate (PSoC 5) +* - Restore boost reference selection +* +* Parameters: +* None +* +* Return: +* None +* +*******************************************************************************/ +static void CyPmHibSlpRestore(void) +{ + #if(CY_PSOC5A) + + /* Restore COMP routing registers */ + CY_SET_REG8(CYREG_CMP0_SW0 , cyPmBackup.cmpData[0u] ); + CY_SET_REG8(CYREG_CMP0_SW2 , cyPmBackup.cmpData[1u] ); + CY_SET_REG8(CYREG_CMP0_SW3 , cyPmBackup.cmpData[2u] ); + CY_SET_REG8(CYREG_CMP0_SW4 , cyPmBackup.cmpData[3u] ); + CY_SET_REG8(CYREG_CMP0_SW6 , cyPmBackup.cmpData[4u] ); + + CY_SET_REG8(CYREG_CMP1_SW0 , cyPmBackup.cmpData[5u] ); + CY_SET_REG8(CYREG_CMP1_SW2 , cyPmBackup.cmpData[6u] ); + CY_SET_REG8(CYREG_CMP1_SW3 , cyPmBackup.cmpData[7u] ); + CY_SET_REG8(CYREG_CMP1_SW4 , cyPmBackup.cmpData[8u] ); + CY_SET_REG8(CYREG_CMP1_SW6 , cyPmBackup.cmpData[9u] ); + + CY_SET_REG8(CYREG_CMP2_SW0 , cyPmBackup.cmpData[10u]); + CY_SET_REG8(CYREG_CMP2_SW2 , cyPmBackup.cmpData[11u]); + CY_SET_REG8(CYREG_CMP2_SW3 , cyPmBackup.cmpData[12u]); + CY_SET_REG8(CYREG_CMP2_SW4 , cyPmBackup.cmpData[13u]); + CY_SET_REG8(CYREG_CMP2_SW6 , cyPmBackup.cmpData[14u]); + + CY_SET_REG8(CYREG_CMP3_SW0 , cyPmBackup.cmpData[15u]); + CY_SET_REG8(CYREG_CMP3_SW2 , cyPmBackup.cmpData[16u]); + CY_SET_REG8(CYREG_CMP3_SW3 , cyPmBackup.cmpData[17u]); + CY_SET_REG8(CYREG_CMP3_SW4 , cyPmBackup.cmpData[18u]); + CY_SET_REG8(CYREG_CMP3_SW6 , cyPmBackup.cmpData[19u]); + + /* Restore DAC routing registers */ + CY_SET_REG8(CYREG_DAC0_SW0 , cyPmBackup.dacData[0u] ); + CY_SET_REG8(CYREG_DAC0_SW2 , cyPmBackup.dacData[1u] ); + CY_SET_REG8(CYREG_DAC0_SW3 , cyPmBackup.dacData[2u] ); + CY_SET_REG8(CYREG_DAC0_SW4 , cyPmBackup.dacData[3u] ); + + CY_SET_REG8(CYREG_DAC1_SW0 , cyPmBackup.dacData[4u] ); + CY_SET_REG8(CYREG_DAC1_SW2 , cyPmBackup.dacData[5u] ); + CY_SET_REG8(CYREG_DAC1_SW3 , cyPmBackup.dacData[6u] ); + CY_SET_REG8(CYREG_DAC1_SW4 , cyPmBackup.dacData[7u] ); + + CY_SET_REG8(CYREG_DAC2_SW0 , cyPmBackup.dacData[8u] ); + CY_SET_REG8(CYREG_DAC2_SW2 , cyPmBackup.dacData[9u] ); + CY_SET_REG8(CYREG_DAC2_SW3 , cyPmBackup.dacData[10u]); + CY_SET_REG8(CYREG_DAC2_SW4 , cyPmBackup.dacData[11u]); + + CY_SET_REG8(CYREG_DAC3_SW0 , cyPmBackup.dacData[12u]); + CY_SET_REG8(CYREG_DAC3_SW2 , cyPmBackup.dacData[13u]); + CY_SET_REG8(CYREG_DAC3_SW3 , cyPmBackup.dacData[14u]); + CY_SET_REG8(CYREG_DAC3_SW4 , cyPmBackup.dacData[15u]); + + + /* Restore DSM routing registers */ + CY_SET_REG8(CYREG_DSM0_SW0 , cyPmBackup.dsmData[0u]); + CY_SET_REG8(CYREG_DSM0_SW2 , cyPmBackup.dsmData[1u]); + CY_SET_REG8(CYREG_DSM0_SW3 , cyPmBackup.dsmData[2u]); + CY_SET_REG8(CYREG_DSM0_SW4 , cyPmBackup.dsmData[3u]); + CY_SET_REG8(CYREG_DSM0_SW6 , cyPmBackup.dsmData[4u]); + + + /* Restore SAR routing registers */ + CY_SET_REG8(CYREG_SAR0_SW0 , cyPmBackup.sarData[0u]); + CY_SET_REG8(CYREG_SAR0_SW2 , cyPmBackup.sarData[1u]); + CY_SET_REG8(CYREG_SAR0_SW3 , cyPmBackup.sarData[2u]); + CY_SET_REG8(CYREG_SAR0_SW4 , cyPmBackup.sarData[3u]); + CY_SET_REG8(CYREG_SAR0_SW6 , cyPmBackup.sarData[4u]); + + CY_SET_REG8(CYREG_SAR1_SW0 , cyPmBackup.sarData[5u]); + CY_SET_REG8(CYREG_SAR1_SW2 , cyPmBackup.sarData[6u]); + CY_SET_REG8(CYREG_SAR1_SW3 , cyPmBackup.sarData[7u]); + CY_SET_REG8(CYREG_SAR1_SW4 , cyPmBackup.sarData[8u]); + CY_SET_REG8(CYREG_SAR1_SW6 , cyPmBackup.sarData[9u]); + + #endif /* (CY_PSOC5A) */ + + #if(CY_PSOC3 || CY_PSOC5A || CY_PSOC5LP) + + /* Restore SC/CT routing registers */ + CY_SET_REG8(CYREG_SC0_SW0 , cyPmBackup.scctData[0u] ); + CY_SET_REG8(CYREG_SC0_SW2 , cyPmBackup.scctData[1u] ); + CY_SET_REG8(CYREG_SC0_SW3 , cyPmBackup.scctData[2u] ); + CY_SET_REG8(CYREG_SC0_SW4 , cyPmBackup.scctData[3u] ); + CY_SET_REG8(CYREG_SC0_SW6 , cyPmBackup.scctData[4u] ); + CY_SET_REG8(CYREG_SC0_SW8 , cyPmBackup.scctData[5u] ); + CY_SET_REG8(CYREG_SC0_SW10, cyPmBackup.scctData[6u] ); + + CY_SET_REG8(CYREG_SC1_SW0 , cyPmBackup.scctData[7u] ); + CY_SET_REG8(CYREG_SC1_SW2 , cyPmBackup.scctData[8u] ); + CY_SET_REG8(CYREG_SC1_SW3 , cyPmBackup.scctData[9u] ); + CY_SET_REG8(CYREG_SC1_SW4 , cyPmBackup.scctData[10u]); + CY_SET_REG8(CYREG_SC1_SW6 , cyPmBackup.scctData[11u]); + CY_SET_REG8(CYREG_SC1_SW8 , cyPmBackup.scctData[12u]); + CY_SET_REG8(CYREG_SC1_SW10, cyPmBackup.scctData[13u]); + + CY_SET_REG8(CYREG_SC2_SW0 , cyPmBackup.scctData[14u]); + CY_SET_REG8(CYREG_SC2_SW2 , cyPmBackup.scctData[15u]); + CY_SET_REG8(CYREG_SC2_SW3 , cyPmBackup.scctData[16u]); + CY_SET_REG8(CYREG_SC2_SW4 , cyPmBackup.scctData[17u]); + CY_SET_REG8(CYREG_SC2_SW6 , cyPmBackup.scctData[18u]); + CY_SET_REG8(CYREG_SC2_SW8 , cyPmBackup.scctData[19u]); + CY_SET_REG8(CYREG_SC2_SW10, cyPmBackup.scctData[20u]); + + CY_SET_REG8(CYREG_SC3_SW0 , cyPmBackup.scctData[21u]); + CY_SET_REG8(CYREG_SC3_SW2 , cyPmBackup.scctData[22u]); + CY_SET_REG8(CYREG_SC3_SW3 , cyPmBackup.scctData[23u]); + CY_SET_REG8(CYREG_SC3_SW4 , cyPmBackup.scctData[24u]); + CY_SET_REG8(CYREG_SC3_SW6 , cyPmBackup.scctData[25u]); + CY_SET_REG8(CYREG_SC3_SW8 , cyPmBackup.scctData[26u]); + CY_SET_REG8(CYREG_SC3_SW10, cyPmBackup.scctData[27u]); + + #endif /* (CY_PSOC3 || CY_PSOC5A || CY_PSOC5LP) */ + + + #if(CY_PSOC3) + + /* Serial Wire Viewer (SWV) workaround */ + if(CY_PM_ENABLED == cyPmBackup.swvClkEnabled) + { + /* Restore ports drive mode */ + CY_PM_PRT1_PC3_REG = (CY_PM_PRT1_PC3_REG & CY_PM_PRT1_PC3_DM_MASK) | + cyPmBackup.prt1Dm; + + /* Enable SWV clocks */ + CY_PM_MLOGIC_DBG_REG |= CY_PM_MLOGIC_DBG_SWV_CLK_EN; + } + + #endif /* (CY_PSOC3) */ + + + #if(CY_PSOC5A) + + /* Restore buzz sleep trim value */ + CY_PM_PWRSYS_BUZZ_TR_REG = cyPmBackup.buzzSleepTrim | \ + (CY_PM_PWRSYS_BUZZ_TR_REG & CY_PM_PWRSYS_BUZZ_TR_MASK); + + #endif /* (CY_PSOC5A) */ + + + /* Restore boost reference */ + if(CY_PM_ENABLED == cyPmBackup.boostRefExt) + { + CY_PM_BOOST_CR2_REG |= CY_PM_BOOST_CR2_EREFSEL_EXT; + } +} + + +/******************************************************************************* +* Function Name: CyPmHviLviSaveDisable +******************************************************************************** +* +* Summary: +* Saves analog and digital LVI and HVI configuration and disables them. +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +*******************************************************************************/ +static void CyPmHviLviSaveDisable(void) +{ + if(0u != (CY_VD_LVI_HVI_CONTROL_REG & CY_VD_LVID_EN)) + { + cyPmBackup.lvidEn = CY_PM_ENABLED; + cyPmBackup.lvidTrip = CY_VD_LVI_TRIP_REG & CY_VD_LVI_TRIP_LVID_MASK; + + /* Save state of reset device at a specified Vddd threshold */ + #if(CY_PSOC5A) + + /* Not applicable PSoC 5 */ + cyPmBackup.lvidRst = CY_PM_DISABLED; + + #else + + cyPmBackup.lvidRst = (0u == (CY_VD_PRES_CONTROL_REG & CY_VD_PRESD_EN)) ? \ + CY_PM_DISABLED : CY_PM_ENABLED; + + #endif /* (CY_PSOC5A) */ + + CyVdLvDigitDisable(); + } + else + { + cyPmBackup.lvidEn = CY_PM_DISABLED; + } + + if(0u != (CY_VD_LVI_HVI_CONTROL_REG & CY_VD_LVIA_EN)) + { + cyPmBackup.lviaEn = CY_PM_ENABLED; + cyPmBackup.lviaTrip = CY_VD_LVI_TRIP_REG >> 4u; + + /* Save state of reset device at a specified Vdda threshold */ + #if(CY_PSOC5A) + + /* Not applicable PSoC 5 */ + cyPmBackup.lviaRst = CY_PM_DISABLED; + + #else + + cyPmBackup.lviaRst = (0u == (CY_VD_PRES_CONTROL_REG & CY_VD_PRESA_EN)) ? \ + CY_PM_DISABLED : CY_PM_ENABLED; + + #endif /* (CY_PSOC5A) */ + + CyVdLvAnalogDisable(); + } + else + { + cyPmBackup.lviaEn = CY_PM_DISABLED; + } + + if(0u != (CY_VD_LVI_HVI_CONTROL_REG & CY_VD_HVIA_EN)) + { + cyPmBackup.hviaEn = CY_PM_ENABLED; + CyVdHvAnalogDisable(); + } + else + { + cyPmBackup.hviaEn = CY_PM_DISABLED; + } +} + + +/******************************************************************************* +* Function Name: CyPmHviLviRestore +******************************************************************************** +* +* Summary: +* Restores analog and digital LVI and HVI configuration. +* +* Parameters: +* None +* +* Return: +* None +* +* Reentrant: +* No +* +*******************************************************************************/ +static void CyPmHviLviRestore(void) +{ + /* Restore LVI/HVI configuration */ + if(CY_PM_ENABLED == cyPmBackup.lvidEn) + { + CyVdLvDigitEnable(cyPmBackup.lvidRst, cyPmBackup.lvidTrip); + } + + if(CY_PM_ENABLED == cyPmBackup.lviaEn) + { + CyVdLvAnalogEnable(cyPmBackup.lviaRst, cyPmBackup.lviaTrip); + } + + if(CY_PM_ENABLED == cyPmBackup.hviaEn) + { + CyVdHvAnalogEnable(); + } +} + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyPm.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyPm.h new file mode 100644 index 0000000..76bfd69 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyPm.h @@ -0,0 +1,779 @@ +/******************************************************************************* +* File Name: cyPm.h +* Version 3.40 +* +* Description: +* Provides the function definitions for the power management API. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYPM_H) +#define CY_BOOT_CYPM_H + +#include "cytypes.h" /* Register access API */ +#include "cydevice_trm.h" /* Registers addresses */ +#include "cyfitter.h" /* Comparators placement */ +#include "CyLib.h" /* Clock API */ +#include "CyFlash.h" /* Flash API - CyFlash_SetWaitCycles() */ + + +/*************************************** +* Function Prototypes +***************************************/ +void CyPmSaveClocks(void) ; +void CyPmRestoreClocks(void) ; +void CyPmAltAct(uint16 wakeupTime, uint16 wakeupSource) ; +void CyPmSleep(uint8 wakeupTime, uint16 wakeupSource) ; +void CyPmHibernate(void) ; + +uint8 CyPmReadStatus(uint8 mask) ; + +/* Internal APIs and are not meant to be called directly by the user */ +void CyPmCtwSetInterval(uint8 ctwInterval) ; +void CyPmFtwSetInterval(uint8 ftwInterval) ; +void CyPmOppsSet(void) ; + + +/*************************************** +* API Constants +***************************************/ + +#define PM_SLEEP_SRC_NONE (0x0000u) +#define PM_SLEEP_TIME_NONE (0x00u) +#define PM_ALT_ACT_SRC_NONE (0x0000u) +#define PM_ALT_ACT_TIME_NONE (0x0000u) + +#if(CY_PSOC3) + + /* Wake up time for the Sleep mode */ + #define PM_SLEEP_TIME_ONE_PPS (0x01u) + #define PM_SLEEP_TIME_CTW_2MS (0x02u) + #define PM_SLEEP_TIME_CTW_4MS (0x03u) + #define PM_SLEEP_TIME_CTW_8MS (0x04u) + #define PM_SLEEP_TIME_CTW_16MS (0x05u) + #define PM_SLEEP_TIME_CTW_32MS (0x06u) + #define PM_SLEEP_TIME_CTW_64MS (0x07u) + #define PM_SLEEP_TIME_CTW_128MS (0x08u) + #define PM_SLEEP_TIME_CTW_256MS (0x09u) + #define PM_SLEEP_TIME_CTW_512MS (0x0Au) + #define PM_SLEEP_TIME_CTW_1024MS (0x0Bu) + #define PM_SLEEP_TIME_CTW_2048MS (0x0Cu) + #define PM_SLEEP_TIME_CTW_4096MS (0x0Du) + + /* Difference between parameter's value and register's one */ + #define CY_PM_FTW_INTERVAL_SHIFT (0x000Eu) + + /* Wake up time for the Alternate Active mode */ + #define PM_ALT_ACT_TIME_ONE_PPS (0x0001u) + #define PM_ALT_ACT_TIME_CTW_2MS (0x0002u) + #define PM_ALT_ACT_TIME_CTW_4MS (0x0003u) + #define PM_ALT_ACT_TIME_CTW_8MS (0x0004u) + #define PM_ALT_ACT_TIME_CTW_16MS (0x0005u) + #define PM_ALT_ACT_TIME_CTW_32MS (0x0006u) + #define PM_ALT_ACT_TIME_CTW_64MS (0x0007u) + #define PM_ALT_ACT_TIME_CTW_128MS (0x0008u) + #define PM_ALT_ACT_TIME_CTW_256MS (0x0009u) + #define PM_ALT_ACT_TIME_CTW_512MS (0x000Au) + #define PM_ALT_ACT_TIME_CTW_1024MS (0x000Bu) + #define PM_ALT_ACT_TIME_CTW_2048MS (0x000Cu) + #define PM_ALT_ACT_TIME_CTW_4096MS (0x000Du) + #define PM_ALT_ACT_TIME_FTW(x) ((x) + CY_PM_FTW_INTERVAL_SHIFT) + +#endif /* (CY_PSOC3) */ + + +#if(CY_PSOC3 || CY_PSOC5LP) + + /* Wake up sources for the Sleep mode */ + #define PM_SLEEP_SRC_COMPARATOR0 (0x0001u) + #define PM_SLEEP_SRC_COMPARATOR1 (0x0002u) + #define PM_SLEEP_SRC_COMPARATOR2 (0x0004u) + #define PM_SLEEP_SRC_COMPARATOR3 (0x0008u) + #define PM_SLEEP_SRC_PICU (0x0040u) + #define PM_SLEEP_SRC_I2C (0x0080u) + #define PM_SLEEP_SRC_BOOSTCONVERTER (0x0200u) + #define PM_SLEEP_SRC_VD (0x0400u) + #define PM_SLEEP_SRC_CTW (0x0800u) + #define PM_SLEEP_SRC_ONE_PPS (0x0800u) + #define PM_SLEEP_SRC_LCD (0x1000u) + + /* Wake up sources for the Alternate Active mode */ + #define PM_ALT_ACT_SRC_COMPARATOR0 (0x0001u) + #define PM_ALT_ACT_SRC_COMPARATOR1 (0x0002u) + #define PM_ALT_ACT_SRC_COMPARATOR2 (0x0004u) + #define PM_ALT_ACT_SRC_COMPARATOR3 (0x0008u) + #define PM_ALT_ACT_SRC_INTERRUPT (0x0010u) + #define PM_ALT_ACT_SRC_PICU (0x0040u) + #define PM_ALT_ACT_SRC_I2C (0x0080u) + #define PM_ALT_ACT_SRC_BOOSTCONVERTER (0x0200u) + #define PM_ALT_ACT_SRC_FTW (0x0400u) + #define PM_ALT_ACT_SRC_VD (0x0400u) + #define PM_ALT_ACT_SRC_CTW (0x0800u) + #define PM_ALT_ACT_SRC_ONE_PPS (0x0800u) + #define PM_ALT_ACT_SRC_LCD (0x1000u) + +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + +#define CY_PM_WAKEUP_PICU (0x04u) +#define CY_PM_IMO_NO_WAIT_TO_SETTLE (0x00u) +#define CY_PM_POWERDOWN_MODE (0x01u) +#define CY_PM_HIGHPOWER_MODE (0x00u) /* Deprecated */ +#define CY_PM_ENABLED (0x01u) +#define CY_PM_DISABLED (0x00u) + +/* No wait for PLL to stabilize, used in CyPLL_OUT_Start() */ +#define CY_PM_PLL_OUT_NO_WAIT (0u) + +/* No wait for MHZ XTAL to stabilize, used in CyXTAL_Start() */ +#define CY_PM_XTAL_MHZ_NO_WAIT (0u) + +#define CY_PM_WAIT_200_US (200u) +#define CY_PM_WAIT_250_US (250u) +#define CY_PM_WAIT_20_US (20u) + +#define CY_PM_FREQ_3MHZ (3u) +#define CY_PM_FREQ_12MHZ (12u) +#define CY_PM_FREQ_48MHZ (48u) + + +#if(CY_PSOC5A) + #define CY_PM_MHZ_XTAL_WAIT_NUM_OF_200_US (650u) +#else + #define CY_PM_MHZ_XTAL_WAIT_NUM_OF_200_US (5u) +#endif /* (CY_PSOC5A) */ + + +/* Delay line bandgap current settling time starting from a wakeup event */ +#define CY_PM_CLK_DELAY_BANDGAP_SETTLE_US (50u) + +/* Delay line internal bias settling */ +#define CY_PM_CLK_DELAY_BIAS_SETTLE_US (25u) + + +/* Max flash wait cycles for each device */ +#if(CY_PSOC3) + #define CY_PM_MAX_FLASH_WAIT_CYCLES (45u) +#endif /* (CY_PSOC3) */ + +#if(CY_PSOC5A) + #define CY_PM_MAX_FLASH_WAIT_CYCLES (55u) +#endif /* (CY_PSOC5A) */ + +#if(CY_PSOC5LP) + #define CY_PM_MAX_FLASH_WAIT_CYCLES (55u) +#endif /* (CY_PSOC5LP) */ + + +/******************************************************************************* +* This marco is used to obtain the CPU frequency in MHz. It should be only used +* when the clock distribution system is prepared for the low power mode entry. +* This macro is silicon dependent as PSoC 5 devices have no CPU clock divider +* and PSoC 3 devices have different placement of the CPU clock divider register +* bitfield. +*******************************************************************************/ +#if(CY_PSOC3) + #define CY_PM_GET_CPU_FREQ_MHZ ((uint32)(cyPmImoFreqReg2Mhz[CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK]) / \ + ((uint8)(((CY_PM_CLKDIST_MSTR1_REG & CY_PM_CLKDIST_CPU_DIV_MASK) >> 4u) + 1u))) +#endif /* (CY_PSOC3) */ + +#if(CY_PSOC5) + + /* The CPU clock is directly derived from bus clock */ + #define CY_PM_GET_CPU_FREQ_MHZ (cyPmImoFreqReg2Mhz[CY_PM_FASTCLK_IMO_CR_REG & CY_PM_FASTCLK_IMO_CR_FREQ_MASK]) + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* The low power mode entry is different for PSoC 3 and PSoC 5 devices. The low +* power modes in PSoC 5 devices are invoked by Wait-For-Interrupt (WFI) +* instruction. The ARM compilers has __wfi() instristic that inserts a WFI +* instruction into the instruction stream generated by the compiler. The GCC +* compiler has to execute assembly language instruction. +*******************************************************************************/ +#if(CY_PSOC5) + + #if defined(__ARMCC_VERSION) /* Instristic for Keil compilers */ + #define CY_PM_WFI __wfi() + #else /* ASM for GCC */ + #define CY_PM_WFI asm volatile ("WFI \n") + #endif /* (__ARMCC_VERSION) */ + +#else + + #define CY_PM_WFI CY_NOP + +#endif /* (CY_PSOC5) */ + + +/******************************************************************************* +* Macro for the wakeupTime argument of the CyPmAltAct() function. The FTW should +* be programmed manually for non PSoC 3 devices. +*******************************************************************************/ +#if(CY_PSOC3) + + #define PM_ALT_ACT_FTW_INTERVAL(x) ((uint8)((x) - CY_PM_FTW_INTERVAL_SHIFT)) + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* This macro defines the IMO frequency that will be set by CyPmSaveClocks() +* function based on Enable Fast IMO during Startup option from the DWR file. +* The CyPmSleep()/CyPmHibernate() will set IMO 12 MHz just before entering +* low power mode and restore IMO back to the value set by CyPmSaveClocks() +* immediately on wakeup. +*******************************************************************************/ + +/* Enable Fast IMO during Startup - enabled */ +#if(1u == CYDEV_CONFIGURATION_IMOENABLED) + + /* IMO will be configured to 48 MHz */ + #define CY_PM_IMO_FREQ_LPM (CY_IMO_FREQ_48MHZ) + +#else + + /* IMO will be configured to 12 MHz */ + #define CY_PM_IMO_FREQ_LPM (CY_IMO_FREQ_12MHZ) + +#endif /* (1u == CYDEV_CONFIGURATION_IMOENABLED) */ + + +typedef struct cyPmClockBackupStruct +{ + /* CyPmSaveClocks()/CyPmRestoreClocks() */ + uint8 enClkA; /* Analog clocks enable */ + uint8 enClkD; /* Digital clocks enable */ + uint8 masterClkSrc; /* The Master clock source */ + uint8 imoFreq; /* IMO frequency (reg's value) */ + uint8 imoUsbClk; /* IMO USB CLK (reg's value) */ + uint8 flashWaitCycles; /* Flash wait cycles */ + uint8 imoEnable; /* IMO enable in Active mode */ + uint8 imoClkSrc; /* The IMO output */ + uint8 clkImoSrc; + uint8 imo2x; /* IMO doubler enable state */ + uint8 clkSyncDiv; /* Master clk divider */ + uint16 clkBusDiv; /* The clk_bus divider */ + uint8 pllEnableState; /* PLL enable state */ + uint8 xmhzEnableState; /* XM HZ enable state */ + uint8 clkDistDelay; /* Delay for clk_bus and ACLKs */ + +} CY_PM_CLOCK_BACKUP_STRUCT; + + +typedef struct cyPmBackupStruct +{ + #if(!CY_PSOC5A) + + uint8 iloPowerMode; /* ILO power mode */ + uint8 ilo1kEnable; /* ILO 1K enable state */ + uint8 ilo100kEnable; /* ILO 100K enable state */ + + uint8 slpTrBypass; /* Sleep Trim Bypass */ + + #endif /* (!CY_PSOC5A) */ + + + #if(CY_PSOC5A) + + /* State of the I2C regulator backup */ + uint8 i2cRegBackup; + + #endif /* (CY_PSOC5A) */ + + + #if(CY_PSOC5A) + uint8 buzzSleepTrim; + #endif /* (CY_PSOC5A) */ + + + #if(CY_PSOC3) + + uint8 swvClkEnabled; /* SWV clock enable state */ + uint8 prt1Dm; /* Ports drive mode configuration */ + + #endif /* (CY_PSOC3) */ + + #if(CY_PSOC3 || CY_PSOC5LP) + + uint8 wakeupCfg0; /* Wake up configuration 0 */ + uint8 wakeupCfg1; /* Wake up configuration 1 */ + uint8 wakeupCfg2; /* Wake up configuration 2 */ + + #endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + + #if(CY_PSOC3 || CY_PSOC5LP) + + uint8 wakeupTrim0; + uint8 wakeupTrim1; + + #endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + + #if(CY_PSOC3 || CY_PSOC5A || CY_PSOC5LP) + + uint8 scctData[28u]; /* SC/CT routing registers */ + + #endif /* (CY_PSOC3 || CY_PSOC5A || CY_PSOC5LP) */ + + #if(CY_PSOC5A) + + uint8 cmpData[20u]; + uint8 dacData[16u]; + uint8 dsmData[5u]; + uint8 sarData[10u]; + + uint8 pmTwCfg2; + uint8 picuIntType[72u]; + + uint8 pres1; + uint8 pres2; + + #endif /* (CY_PSOC5A) */ + + + /* CyPmHviLviSaveDisable()/CyPmHviLviRestore() */ + uint8 lvidEn; + uint8 lvidTrip; + uint8 lviaEn; + uint8 lviaTrip; + uint8 hviaEn; + uint8 lvidRst; + uint8 lviaRst; + + uint8 imoActFreq; /* Last moment IMO change */ + uint8 imoActFreq12Mhz; /* 12 MHz or not */ + + uint8 boostRefExt; /* Boost reference selection */ + +} CY_PM_BACKUP_STRUCT; + + +/*************************************** +* Registers +***************************************/ + +/* Power Mode Wakeup Trim Register 1 */ +#define CY_PM_PWRSYS_WAKE_TR1_REG (* (reg8 *) CYREG_PWRSYS_WAKE_TR1 ) +#define CY_PM_PWRSYS_WAKE_TR1_PTR ( (reg8 *) CYREG_PWRSYS_WAKE_TR1 ) + +/* Master clock Divider Value Register */ +#define CY_PM_CLKDIST_MSTR0_REG (* (reg8 *) CYREG_CLKDIST_MSTR0 ) +#define CY_PM_CLKDIST_MSTR0_PTR ( (reg8 *) CYREG_CLKDIST_MSTR0 ) + +/* Master Clock Configuration Register/CPU Divider Value */ +#define CY_PM_CLKDIST_MSTR1_REG (* (reg8 *) CYREG_CLKDIST_MSTR1 ) +#define CY_PM_CLKDIST_MSTR1_PTR ( (reg8 *) CYREG_CLKDIST_MSTR1 ) + +/* Clock distribution configuration Register */ +#define CY_PM_CLKDIST_CR_REG (* (reg8 *) CYREG_CLKDIST_CR ) +#define CY_PM_CLKDIST_CR_PTR ( (reg8 *) CYREG_CLKDIST_CR ) + +/* CLK_BUS LSB Divider Value Register */ +#define CY_PM_CLK_BUS_LSB_DIV_REG (* (reg8 *) CYREG_CLKDIST_BCFG0 ) +#define CY_PM_CLK_BUS_LSB_DIV_PTR ( (reg8 *) CYREG_CLKDIST_BCFG0 ) + +/* CLK_BUS MSB Divider Value Register */ +#define CY_PM_CLK_BUS_MSB_DIV_REG (* (reg8 *) CYREG_CLKDIST_BCFG1 ) +#define CY_PM_CLK_BUS_MSB_DIV_PTR ( (reg8 *) CYREG_CLKDIST_BCFG1 ) + +/* CLK_BUS Configuration Register */ +#define CLK_BUS_CFG_REG (* (reg8 *) CYREG_CLKDIST_BCFG2 ) +#define CLK_BUS_CFG_PTR ( (reg8 *) CYREG_CLKDIST_BCFG2 ) + +/* Power Mode Control/Status Register */ +#define CY_PM_MODE_CSR_REG (* (reg8 *) CYREG_PM_MODE_CSR ) +#define CY_PM_MODE_CSR_PTR ( (reg8 *) CYREG_PM_MODE_CSR ) + +/* Power System Control Register 1 */ +#define CY_PM_PWRSYS_CR1_REG (* (reg8 *) CYREG_PWRSYS_CR1 ) +#define CY_PM_PWRSYS_CR1_PTR ( (reg8 *) CYREG_PWRSYS_CR1 ) + +/* Power System Control Register 0 */ +#define CY_PM_PWRSYS_CR0_REG (* (reg8 *) CYREG_PWRSYS_CR0 ) +#define CY_PM_PWRSYS_CR0_PTR ( (reg8 *) CYREG_PWRSYS_CR0 ) + +/* Internal Low-speed Oscillator Control Register 0 */ +#define CY_PM_SLOWCLK_ILO_CR0_REG (* (reg8 *) CYREG_SLOWCLK_ILO_CR0 ) +#define CY_PM_SLOWCLK_ILO_CR0_PTR ( (reg8 *) CYREG_SLOWCLK_ILO_CR0 ) + +/* External 32kHz Crystal Oscillator Control Register */ +#define CY_PM_SLOWCLK_X32_CR_REG (* (reg8 *) CYREG_SLOWCLK_X32_CR ) +#define CY_PM_SLOWCLK_X32_CR_PTR ( (reg8 *) CYREG_SLOWCLK_X32_CR ) + +#if(CY_PSOC3) + + /* MLOGIC Debug Register */ + #define CY_PM_MLOGIC_DBG_REG (* (reg8 *) CYREG_MLOGIC_DEBUG ) + #define CY_PM_MLOGIC_DBG_PTR ( (reg8 *) CYREG_MLOGIC_DEBUG ) + + /* Port Pin Configuration Register */ + #define CY_PM_PRT1_PC3_REG (* (reg8 *) CYREG_PRT1_PC3 ) + #define CY_PM_PRT1_PC3_PTR ( (reg8 *) CYREG_PRT1_PC3 ) + +#endif /* (CY_PSOC3) */ + + +#if(!CY_PSOC5A) + + /* Sleep Regulator Trim Register */ + #define CY_PM_PWRSYS_SLP_TR_REG (* (reg8 *) CYREG_PWRSYS_SLP_TR ) + #define CY_PM_PWRSYS_SLP_TR_PTR ( (reg8 *) CYREG_PWRSYS_SLP_TR ) + +#endif /* (CY_PSOC3) */ + +/* Reset System Control Register */ +#define CY_PM_RESET_CR1_REG (* (reg8 *) CYREG_RESET_CR1 ) +#define CY_PM_RESET_CR1_PTR ( (reg8 *) CYREG_RESET_CR1 ) + +#if(CY_PSOC5A) + + /* LVD/POR Test Mode Control Register */ + #define CY_PM_RESET_CR3_REG (* (reg8 *) CYREG_RESET_CR3 ) + #define CY_PM_RESET_CR3_PTR ( (reg8 *) CYREG_RESET_CR3 ) + +#endif /* (CY_PSOC5A) */ + +/* Power Mode Wakeup Trim Register 0 */ +#define CY_PM_PWRSYS_WAKE_TR0_REG (* (reg8 *) CYREG_PWRSYS_WAKE_TR0 ) +#define CY_PM_PWRSYS_WAKE_TR0_PTR ( (reg8 *) CYREG_PWRSYS_WAKE_TR0 ) + +#if(CY_PSOC3) + + /* Power Mode Wakeup Trim Register 2 */ + #define CY_PM_PWRSYS_WAKE_TR2_REG (* (reg8 *) CYREG_PWRSYS_WAKE_TR2 ) + #define CY_PM_PWRSYS_WAKE_TR2_PTR ( (reg8 *) CYREG_PWRSYS_WAKE_TR2 ) + +#endif /* (CY_PSOC3) */ + +#if(CY_PSOC5A) + + /* Power Mode Buzz Trim Register */ + #define CY_PM_PWRSYS_BUZZ_TR_REG (* (reg8 *) CYREG_PWRSYS_BUZZ_TR ) + #define CY_PM_PWRSYS_BUZZ_TR_PTR ( (reg8 *) CYREG_PWRSYS_BUZZ_TR ) + +#endif /* (CY_PSOC5A) */ + +/* Power Manager Interrupt Status Register */ +#define CY_PM_INT_SR_REG (* (reg8 *) CYREG_PM_INT_SR ) +#define CY_PM_INT_SR_PTR ( (reg8 *) CYREG_PM_INT_SR ) + +/* Active Power Mode Configuration Register 0 */ +#define CY_PM_ACT_CFG0_REG (* (reg8 *) CYREG_PM_ACT_CFG0 ) +#define CY_PM_ACT_CFG0_PTR ( (reg8 *) CYREG_PM_ACT_CFG0 ) + +/* Active Power Mode Configuration Register 1 */ +#define CY_PM_ACT_CFG1_REG (* (reg8 *) CYREG_PM_ACT_CFG1 ) +#define CY_PM_ACT_CFG1_PTR ( (reg8 *) CYREG_PM_ACT_CFG1 ) + +/* Active Power Mode Configuration Register 2 */ +#define CY_PM_ACT_CFG2_REG (* (reg8 *) CYREG_PM_ACT_CFG2 ) +#define CY_PM_ACT_CFG2_PTR ( (reg8 *) CYREG_PM_ACT_CFG2 ) + +/* Boost Control 1 */ +#define CY_PM_BOOST_CR1_REG (* (reg8 *) CYREG_BOOST_CR1 ) +#define CY_PM_BOOST_CR1_PTR ( (reg8 *) CYREG_BOOST_CR1 ) + +/* Timewheel Configuration Register 0 */ +#define CY_PM_TW_CFG0_REG (* (reg8 *) CYREG_PM_TW_CFG0 ) +#define CY_PM_TW_CFG0_PTR ( (reg8 *) CYREG_PM_TW_CFG0 ) + +/* Timewheel Configuration Register 1 */ +#define CY_PM_TW_CFG1_REG (* (reg8 *) CYREG_PM_TW_CFG1 ) +#define CY_PM_TW_CFG1_PTR ( (reg8 *) CYREG_PM_TW_CFG1 ) + +/* Timewheel Configuration Register 2 */ +#define CY_PM_TW_CFG2_REG (* (reg8 *) CYREG_PM_TW_CFG2 ) +#define CY_PM_TW_CFG2_PTR ( (reg8 *) CYREG_PM_TW_CFG2 ) + +/* PLL Status Register */ +#define CY_PM_FASTCLK_PLL_SR_REG (*(reg8 *) CYREG_FASTCLK_PLL_SR ) +#define CY_PM_FASTCLK_PLL_SR_PTR ( (reg8 *) CYREG_FASTCLK_PLL_SR ) + +/* Internal Main Oscillator Control Register */ +#define CY_PM_FASTCLK_IMO_CR_REG (* (reg8 *) CYREG_FASTCLK_IMO_CR ) +#define CY_PM_FASTCLK_IMO_CR_PTR ( (reg8 *) CYREG_FASTCLK_IMO_CR ) + +/* PLL Configuration Register */ +#define CY_PM_FASTCLK_PLL_CFG0_REG (* (reg8 *) CYREG_FASTCLK_PLL_CFG0 ) +#define CY_PM_FASTCLK_PLL_CFG0_PTR ( (reg8 *) CYREG_FASTCLK_PLL_CFG0 ) + +/* External 4-33 MHz Crystal Oscillator Status and Control Register */ +#define CY_PM_FASTCLK_XMHZ_CSR_REG (* (reg8 *) CYREG_FASTCLK_XMHZ_CSR ) +#define CY_PM_FASTCLK_XMHZ_CSR_PTR ( (reg8 *) CYREG_FASTCLK_XMHZ_CSR ) + +/* Delay block Configuration Register */ +#define CY_PM_CLKDIST_DELAY_REG (* (reg8 *) CYREG_CLKDIST_DLY1 ) +#define CY_PM_CLKDIST_DELAY_PTR ( (reg8 *) CYREG_CLKDIST_DLY1 ) + + +#if(CY_PSOC3) + + /* Cache Control Register */ + #define CY_PM_CACHE_CR_REG (* (reg8 *) CYREG_CACHE_CR ) + #define CY_PM_CACHE_CR_PTR ( (reg8 *) CYREG_CACHE_CR ) + +#else /* Device is PSoC 5 */ + + /* Cache Control Register */ + #define CY_PM_CACHE_CR_REG (* (reg8 *) CYREG_CACHE_CC_CTL ) + #define CY_PM_CACHE_CR_PTR ( (reg8 *) CYREG_CACHE_CC_CTL ) + +#endif /* (CY_PSOC3) */ + + +#if(CY_PSOC3 || CY_PSOC5LP) + + /* Power Mode Wakeup Mask Configuration Register 0 */ + #define CY_PM_WAKEUP_CFG0_REG (* (reg8 *) CYREG_PM_WAKEUP_CFG0 ) + #define CY_PM_WAKEUP_CFG0_PTR ( (reg8 *) CYREG_PM_WAKEUP_CFG0 ) + + /* Power Mode Wakeup Mask Configuration Register 1 */ + #define CY_PM_WAKEUP_CFG1_REG (* (reg8 *) CYREG_PM_WAKEUP_CFG1 ) + #define CY_PM_WAKEUP_CFG1_PTR ( (reg8 *) CYREG_PM_WAKEUP_CFG1 ) + + /* Power Mode Wakeup Mask Configuration Register 2 */ + #define CY_PM_WAKEUP_CFG2_REG (* (reg8 *) CYREG_PM_WAKEUP_CFG2 ) + #define CY_PM_WAKEUP_CFG2_PTR ( (reg8 *) CYREG_PM_WAKEUP_CFG2 ) + +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + +#if(CY_PSOC5A) + + /* Watchdog Timer Configuration Register */ + #define CY_PM_WDT_CFG_REG (* (reg8 *) CYREG_PM_WDT_CFG ) + #define CY_PM_WDT_CFG_PTR ( (reg8 *) CYREG_PM_WDT_CFG ) + +#endif /* (CY_PSOC5A) */ + + +/* Boost Control 2 */ +#define CY_PM_BOOST_CR2_REG (* (reg8 *) CYREG_BOOST_CR2 ) +#define CY_PM_BOOST_CR2_PTR ( (reg8 *) CYREG_BOOST_CR2 ) + + +/*************************************** +* Register Constants +***************************************/ + +/* Internal Main Oscillator Control Register */ + +#define CY_PM_FASTCLK_IMO_CR_FREQ_MASK (0x07u) /* IMO frequency mask */ +#define CY_PM_FASTCLK_IMO_CR_FREQ_12MHZ (0x00u) /* IMO frequency 12 MHz */ +#define CY_PM_FASTCLK_IMO_CR_F2XON (0x10u) /* IMO doubler enable */ +#define CY_PM_FASTCLK_IMO_CR_USB (0x40u) /* IMO is in USB mode */ + +#define CY_PM_MASTER_CLK_SRC_IMO (0u) +#define CY_PM_MASTER_CLK_SRC_PLL (1u) +#define CY_PM_MASTER_CLK_SRC_XTAL (2u) +#define CY_PM_MASTER_CLK_SRC_DSI (3u) +#define CY_PM_MASTER_CLK_SRC_MASK (3u) + +#define CY_PM_PLL_CFG0_ENABLE (0x01u) /* PLL enable */ +#define CY_PM_PLL_STATUS_LOCK (0x01u) /* PLL Lock Status */ +#define CY_PM_XMHZ_CSR_ENABLE (0x01u) /* Enable X MHz OSC */ +#define CY_PM_XMHZ_CSR_XERR (0x80u) /* High indicates failure */ +#define CY_PM_BOOST_ENABLE (0x08u) /* Boost enable */ +#define CY_PM_ILO_CR0_EN_1K (0x02u) /* Enable 1kHz ILO */ +#define CY_PM_ILO_CR0_EN_100K (0x04u) /* Enable 100kHz ILO */ +#define CY_PM_ILO_CR0_PD_MODE (0x10u) /* Power down mode for ILO*/ +#define CY_PM_X32_CR_X32EN (0x01u) /* Enable 32kHz OSC */ + +#define CY_PM_CTW_IE (0x08u) /* CTW interrupt enable */ +#define CY_PM_CTW_EN (0x04u) /* CTW enable */ +#define CY_PM_FTW_IE (0x02u) /* FTW interrupt enable */ +#define CY_PM_FTW_EN (0x01u) /* FTW enable */ +#define CY_PM_1PPS_EN (0x10u) /* 1PPS enable */ +#define CY_PM_1PPS_IE (0x20u) /* 1PPS interrupt enable */ + + +#define CY_PM_ACT_EN_CLK_A_MASK (0x0Fu) +#define CY_PM_ACT_EN_CLK_D_MASK (0xFFu) + +#define CY_PM_DIV_BY_ONE (0x00u) + +/* Internal Main Oscillator Control Register */ +#define CY_PM_FASTCLK_IMO_CR_XCLKEN (0x20u) + +/* Clock distribution configuration Register */ +#define CY_PM_CLKDIST_IMO_OUT_MASK (0x30u) +#define CY_PM_CLKDIST_IMO_OUT_IMO (0x00u) +#define CY_PM_CLKDIST_IMO2X_SRC (0x40u) + +/* Waiting for the hibernate/sleep regulator to stabilize */ +#define CY_PM_MODE_CSR_PWRUP_PULSE_Q (0x08u) + +#define CY_PM_MODE_CSR_ACTIVE (0x00u) /* Active power mode */ +#define CY_PM_MODE_CSR_ALT_ACT (0x01u) /* Alternate Active power */ +#define CY_PM_MODE_CSR_SLEEP (0x03u) /* Sleep power mode */ +#define CY_PM_MODE_CSR_HIBERNATE (0x04u) /* Hibernate power mode */ +#define CY_PM_MODE_CSR_MASK (0x07u) + +/* I2C regulator backup enable */ +#define CY_PM_PWRSYS_CR1_I2CREG_BACKUP (0x04u) + +/* When set, prepares the system to disable the LDO-A */ +#define CY_PM_PWRSYS_CR1_LDOA_ISO (0x01u) + +/* When set, disables the analog LDO regulator */ +#define CY_PM_PWRSYS_CR1_LDOA_DIS (0x02u) + +#define CY_PM_PWRSYS_WAKE_TR2_VCCD_CLK_DET (0x04u) + +#define CY_PM_FTW_INT (0x01u) /* FTW event has occured */ +#define CY_PM_CTW_INT (0x02u) /* CTW event has occured */ +#define CY_PM_ONEPPS_INT (0x04u) /* 1PPS event has occured */ + +/* Active Power Mode Configuration Register 0 */ +#define CY_PM_ACT_CFG0_IMO (0x10u) /* IMO enable in Active */ + +/* Cache Control Register (same mask for all device revisions) */ +#define CY_PM_CACHE_CR_CYCLES_MASK (0xC0u) + +/* Bus Clock divider to divide-by-one */ +#define CY_PM_BUS_CLK_DIV_BY_ONE (0x00u) + +/* HVI/LVI feature on the external analog and digital supply mask */ +#define CY_PM_RESET_CR1_HVI_LVI_EN_MASK (0x07u) + +/* The high-voltage-interrupt feature on the external analog supply */ +#define CY_PM_RESET_CR1_HVIA_EN (0x04u) + +/* The low-voltage-interrupt feature on the external analog supply */ +#define CY_PM_RESET_CR1_LVIA_EN (0x02u) + +/* The low-voltage-interrupt feature on the external digital supply */ +#define CY_PM_RESET_CR1_LVID_EN (0x01u) + +#if(CY_PSOC5A) + + /* Partly disables PRES-A and PRES-D circuits */ + #define CY_PM_RESET_CR1_DIS_PRES1 (0x10u) + + /* Partly disables PRES-A and PRES-D circuits */ + #define CY_PM_RESET_CR3_DIS_PRES2 (0x08u) + +#endif /* (CY_PSOC5A) */ + +/* Allows the system to program delays on clk_sync_d */ +#define CY_PM_CLKDIST_DELAY_EN (0x04u) + + +#if(CY_PSOC3 || CY_PSOC5LP) + + #define CY_PM_WAKEUP_SRC_CMPS_MASK (0x000Fu) + + /* Holdoff mask sleep trim */ + #define CY_PM_PWRSYS_SLP_TR_HIBSLP_HOLDOFF_MASK (0x1Fu) + +#endif /* (CY_PSOC3 || CY_PSOC5LP) */ + + +#if(CY_PSOC3) + + /* CPU clock divider mask */ + #define CY_PM_CLKDIST_CPU_DIV_MASK (0xF0u) + + /* Serial Wire View (SWV) clock enable */ + #define CY_PM_MLOGIC_DBG_SWV_CLK_EN (0x04u) + + /* Port drive mode */ + #define CY_PM_PRT1_PC3_DM_MASK (0xf1u) + + /* Mode 6, stong pull-up, strong pull-down */ + #define CY_PM_PRT1_PC3_DM_STRONG (0x0Cu) + + /* When set, enables buzz wakeups */ + #define CY_PM_PWRSYS_WAKE_TR2_EN_BUZZ (0x01u) + +#endif /* (CY_PSOC3) */ + + +#if(!CY_PSOC5A) + + /* Disable the sleep regulator and shorts vccd to vpwrsleep */ + #define CY_PM_PWRSYS_SLP_TR_BYPASS (0x10u) + +#endif /* (!CY_PSOC5A) */ + + +#if(CY_PSOC5A) + + #define CY_PM_PWRSYS_BUZZ_TR_512_TICKS (0x08u) + #define CY_PM_PWRSYS_BUZZ_TR_MASK (0xF0u) + +#endif /* (CY_PSOC5A) */ + + +#if(CY_PSOC5A) + + /* Watchdog Timer Configuration Register */ + #define CY_PM_WDT_CFG_CTW_RESET (0x80u) + + /*************************************************************************** + * The PICU interrupt type registers are divided into three sections where + * the registers addresses are consecutive. + ***************************************************************************/ + #define CY_PM_PICU_0_6_INT_BASE (CYDEV_PICU_INTTYPE_PICU0_BASE ) + #define CY_PM_PICU_12_INT_BASE (CYDEV_PICU_INTTYPE_PICU12_BASE) + #define CY_PM_PICU_15_INT_BASE (CYDEV_PICU_INTTYPE_PICU15_BASE) + + #define CY_PM_PICU_0_6_INT_SIZE (CYDEV_PICU_INTTYPE_PICU0_SIZE + CYDEV_PICU_INTTYPE_PICU1_SIZE + \ + CYDEV_PICU_INTTYPE_PICU2_SIZE + CYDEV_PICU_INTTYPE_PICU3_SIZE + \ + CYDEV_PICU_INTTYPE_PICU4_SIZE + CYDEV_PICU_INTTYPE_PICU5_SIZE + \ + CYDEV_PICU_INTTYPE_PICU6_SIZE) + #define CY_PM_PICU_12_INT_SIZE (CYDEV_PICU_INTTYPE_PICU12_SIZE) + #define CY_PM_PICU_15_INT_SIZE (CYDEV_PICU_INTTYPE_PICU15_SIZE) + +#endif /* (CY_PSOC5A) */ + +/* Boost Control 2: Select external precision reference */ +#define CY_PM_BOOST_CR2_EREFSEL_EXT (0x08u) + + + +#if(CY_PSOC3) + + #define CY_PM_PWRSYS_WAKE_TR0 (0xFFu) + #define CY_PM_PWRSYS_WAKE_TR1 (0x90u) + +#endif /* (CY_PSOC3) */ + + +#if(CY_PSOC5LP) + + #define CY_PM_PWRSYS_WAKE_TR0 (0xFFu) + #define CY_PM_PWRSYS_WAKE_TR1 (0xB0u) + +#endif /* (CY_PSOC5LP) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.30 +*******************************************************************************/ +#if(CY_PSOC3) + + /* Was removed as redundant */ + #define CY_PM_FTW_INTERVAL_MASK (0xFFu) + +#endif /* (CY_PSOC3) */ + +/* Was removed as redundant */ +#define CY_PM_CTW_INTERVAL_MASK (0x0Fu) + +#endif /* (CY_BOOT_CYPM_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice.h new file mode 100644 index 0000000..dd8b331 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice.h @@ -0,0 +1,5359 @@ +/******************************************************************************* +* FILENAME: cydevice.h +* OBSOLETE: Do not use this file. Use the _trm version instead. +* PSoC Creator 2.2 Component Pack 6 +* +* DESCRIPTION: +* This file provides all of the address values for the entire PSoC device. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#if !defined(CYDEVICE_H) +#define CYDEVICE_H +#define CYDEV_FLASH_BASE 0x00000000u +#define CYDEV_FLASH_SIZE 0x00040000u +#define CYDEV_FLASH_DATA_MBASE 0x00000000u +#define CYDEV_FLASH_DATA_MSIZE 0x00040000u +#define CYDEV_SRAM_BASE 0x1fff8000u +#define CYDEV_SRAM_SIZE 0x00010000u +#define CYDEV_SRAM_CODE64K_MBASE 0x1fff8000u +#define CYDEV_SRAM_CODE64K_MSIZE 0x00004000u +#define CYDEV_SRAM_CODE32K_MBASE 0x1fffc000u +#define CYDEV_SRAM_CODE32K_MSIZE 0x00002000u +#define CYDEV_SRAM_CODE16K_MBASE 0x1fffe000u +#define CYDEV_SRAM_CODE16K_MSIZE 0x00001000u +#define CYDEV_SRAM_CODE_MBASE 0x1fff8000u +#define CYDEV_SRAM_CODE_MSIZE 0x00008000u +#define CYDEV_SRAM_DATA_MBASE 0x20000000u +#define CYDEV_SRAM_DATA_MSIZE 0x00008000u +#define CYDEV_SRAM_DATA16K_MBASE 0x20001000u +#define CYDEV_SRAM_DATA16K_MSIZE 0x00001000u +#define CYDEV_SRAM_DATA32K_MBASE 0x20002000u +#define CYDEV_SRAM_DATA32K_MSIZE 0x00002000u +#define CYDEV_SRAM_DATA64K_MBASE 0x20004000u +#define CYDEV_SRAM_DATA64K_MSIZE 0x00004000u +#define CYDEV_DMA_BASE 0x20008000u +#define CYDEV_DMA_SIZE 0x00008000u +#define CYDEV_DMA_SRAM64K_MBASE 0x20008000u +#define CYDEV_DMA_SRAM64K_MSIZE 0x00004000u +#define CYDEV_DMA_SRAM32K_MBASE 0x2000c000u +#define CYDEV_DMA_SRAM32K_MSIZE 0x00002000u +#define CYDEV_DMA_SRAM16K_MBASE 0x2000e000u +#define CYDEV_DMA_SRAM16K_MSIZE 0x00001000u +#define CYDEV_DMA_SRAM_MBASE 0x2000f000u +#define CYDEV_DMA_SRAM_MSIZE 0x00001000u +#define CYDEV_CLKDIST_BASE 0x40004000u +#define CYDEV_CLKDIST_SIZE 0x00000110u +#define CYDEV_CLKDIST_CR 0x40004000u +#define CYDEV_CLKDIST_LD 0x40004001u +#define CYDEV_CLKDIST_WRK0 0x40004002u +#define CYDEV_CLKDIST_WRK1 0x40004003u +#define CYDEV_CLKDIST_MSTR0 0x40004004u +#define CYDEV_CLKDIST_MSTR1 0x40004005u +#define CYDEV_CLKDIST_BCFG0 0x40004006u +#define CYDEV_CLKDIST_BCFG1 0x40004007u +#define CYDEV_CLKDIST_BCFG2 0x40004008u +#define CYDEV_CLKDIST_UCFG 0x40004009u +#define CYDEV_CLKDIST_DLY0 0x4000400au +#define CYDEV_CLKDIST_DLY1 0x4000400bu +#define CYDEV_CLKDIST_DMASK 0x40004010u +#define CYDEV_CLKDIST_AMASK 0x40004014u +#define CYDEV_CLKDIST_DCFG0_BASE 0x40004080u +#define CYDEV_CLKDIST_DCFG0_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG0_CFG0 0x40004080u +#define CYDEV_CLKDIST_DCFG0_CFG1 0x40004081u +#define CYDEV_CLKDIST_DCFG0_CFG2 0x40004082u +#define CYDEV_CLKDIST_DCFG1_BASE 0x40004084u +#define CYDEV_CLKDIST_DCFG1_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG1_CFG0 0x40004084u +#define CYDEV_CLKDIST_DCFG1_CFG1 0x40004085u +#define CYDEV_CLKDIST_DCFG1_CFG2 0x40004086u +#define CYDEV_CLKDIST_DCFG2_BASE 0x40004088u +#define CYDEV_CLKDIST_DCFG2_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG2_CFG0 0x40004088u +#define CYDEV_CLKDIST_DCFG2_CFG1 0x40004089u +#define CYDEV_CLKDIST_DCFG2_CFG2 0x4000408au +#define CYDEV_CLKDIST_DCFG3_BASE 0x4000408cu +#define CYDEV_CLKDIST_DCFG3_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG3_CFG0 0x4000408cu +#define CYDEV_CLKDIST_DCFG3_CFG1 0x4000408du +#define CYDEV_CLKDIST_DCFG3_CFG2 0x4000408eu +#define CYDEV_CLKDIST_DCFG4_BASE 0x40004090u +#define CYDEV_CLKDIST_DCFG4_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG4_CFG0 0x40004090u +#define CYDEV_CLKDIST_DCFG4_CFG1 0x40004091u +#define CYDEV_CLKDIST_DCFG4_CFG2 0x40004092u +#define CYDEV_CLKDIST_DCFG5_BASE 0x40004094u +#define CYDEV_CLKDIST_DCFG5_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG5_CFG0 0x40004094u +#define CYDEV_CLKDIST_DCFG5_CFG1 0x40004095u +#define CYDEV_CLKDIST_DCFG5_CFG2 0x40004096u +#define CYDEV_CLKDIST_DCFG6_BASE 0x40004098u +#define CYDEV_CLKDIST_DCFG6_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG6_CFG0 0x40004098u +#define CYDEV_CLKDIST_DCFG6_CFG1 0x40004099u +#define CYDEV_CLKDIST_DCFG6_CFG2 0x4000409au +#define CYDEV_CLKDIST_DCFG7_BASE 0x4000409cu +#define CYDEV_CLKDIST_DCFG7_SIZE 0x00000003u +#define CYDEV_CLKDIST_DCFG7_CFG0 0x4000409cu +#define CYDEV_CLKDIST_DCFG7_CFG1 0x4000409du +#define CYDEV_CLKDIST_DCFG7_CFG2 0x4000409eu +#define CYDEV_CLKDIST_ACFG0_BASE 0x40004100u +#define CYDEV_CLKDIST_ACFG0_SIZE 0x00000004u +#define CYDEV_CLKDIST_ACFG0_CFG0 0x40004100u +#define CYDEV_CLKDIST_ACFG0_CFG1 0x40004101u +#define CYDEV_CLKDIST_ACFG0_CFG2 0x40004102u +#define CYDEV_CLKDIST_ACFG0_CFG3 0x40004103u +#define CYDEV_CLKDIST_ACFG1_BASE 0x40004104u +#define CYDEV_CLKDIST_ACFG1_SIZE 0x00000004u +#define CYDEV_CLKDIST_ACFG1_CFG0 0x40004104u +#define CYDEV_CLKDIST_ACFG1_CFG1 0x40004105u +#define CYDEV_CLKDIST_ACFG1_CFG2 0x40004106u +#define CYDEV_CLKDIST_ACFG1_CFG3 0x40004107u +#define CYDEV_CLKDIST_ACFG2_BASE 0x40004108u +#define CYDEV_CLKDIST_ACFG2_SIZE 0x00000004u +#define CYDEV_CLKDIST_ACFG2_CFG0 0x40004108u +#define CYDEV_CLKDIST_ACFG2_CFG1 0x40004109u +#define CYDEV_CLKDIST_ACFG2_CFG2 0x4000410au +#define CYDEV_CLKDIST_ACFG2_CFG3 0x4000410bu +#define CYDEV_CLKDIST_ACFG3_BASE 0x4000410cu +#define CYDEV_CLKDIST_ACFG3_SIZE 0x00000004u +#define CYDEV_CLKDIST_ACFG3_CFG0 0x4000410cu +#define CYDEV_CLKDIST_ACFG3_CFG1 0x4000410du +#define CYDEV_CLKDIST_ACFG3_CFG2 0x4000410eu +#define CYDEV_CLKDIST_ACFG3_CFG3 0x4000410fu +#define CYDEV_FASTCLK_BASE 0x40004200u +#define CYDEV_FASTCLK_SIZE 0x00000026u +#define CYDEV_FASTCLK_IMO_BASE 0x40004200u +#define CYDEV_FASTCLK_IMO_SIZE 0x00000001u +#define CYDEV_FASTCLK_IMO_CR 0x40004200u +#define CYDEV_FASTCLK_XMHZ_BASE 0x40004210u +#define CYDEV_FASTCLK_XMHZ_SIZE 0x00000004u +#define CYDEV_FASTCLK_XMHZ_CSR 0x40004210u +#define CYDEV_FASTCLK_XMHZ_CFG0 0x40004212u +#define CYDEV_FASTCLK_XMHZ_CFG1 0x40004213u +#define CYDEV_FASTCLK_PLL_BASE 0x40004220u +#define CYDEV_FASTCLK_PLL_SIZE 0x00000006u +#define CYDEV_FASTCLK_PLL_CFG0 0x40004220u +#define CYDEV_FASTCLK_PLL_CFG1 0x40004221u +#define CYDEV_FASTCLK_PLL_P 0x40004222u +#define CYDEV_FASTCLK_PLL_Q 0x40004223u +#define CYDEV_FASTCLK_PLL_SR 0x40004225u +#define CYDEV_SLOWCLK_BASE 0x40004300u +#define CYDEV_SLOWCLK_SIZE 0x0000000bu +#define CYDEV_SLOWCLK_ILO_BASE 0x40004300u +#define CYDEV_SLOWCLK_ILO_SIZE 0x00000002u +#define CYDEV_SLOWCLK_ILO_CR0 0x40004300u +#define CYDEV_SLOWCLK_ILO_CR1 0x40004301u +#define CYDEV_SLOWCLK_X32_BASE 0x40004308u +#define CYDEV_SLOWCLK_X32_SIZE 0x00000003u +#define CYDEV_SLOWCLK_X32_CR 0x40004308u +#define CYDEV_SLOWCLK_X32_CFG 0x40004309u +#define CYDEV_SLOWCLK_X32_TST 0x4000430au +#define CYDEV_BOOST_BASE 0x40004320u +#define CYDEV_BOOST_SIZE 0x00000007u +#define CYDEV_BOOST_CR0 0x40004320u +#define CYDEV_BOOST_CR1 0x40004321u +#define CYDEV_BOOST_CR2 0x40004322u +#define CYDEV_BOOST_CR3 0x40004323u +#define CYDEV_BOOST_SR 0x40004324u +#define CYDEV_BOOST_CR4 0x40004325u +#define CYDEV_BOOST_SR2 0x40004326u +#define CYDEV_PWRSYS_BASE 0x40004330u +#define CYDEV_PWRSYS_SIZE 0x00000002u +#define CYDEV_PWRSYS_CR0 0x40004330u +#define CYDEV_PWRSYS_CR1 0x40004331u +#define CYDEV_PM_BASE 0x40004380u +#define CYDEV_PM_SIZE 0x00000057u +#define CYDEV_PM_TW_CFG0 0x40004380u +#define CYDEV_PM_TW_CFG1 0x40004381u +#define CYDEV_PM_TW_CFG2 0x40004382u +#define CYDEV_PM_WDT_CFG 0x40004383u +#define CYDEV_PM_WDT_CR 0x40004384u +#define CYDEV_PM_INT_SR 0x40004390u +#define CYDEV_PM_MODE_CFG0 0x40004391u +#define CYDEV_PM_MODE_CFG1 0x40004392u +#define CYDEV_PM_MODE_CSR 0x40004393u +#define CYDEV_PM_USB_CR0 0x40004394u +#define CYDEV_PM_WAKEUP_CFG0 0x40004398u +#define CYDEV_PM_WAKEUP_CFG1 0x40004399u +#define CYDEV_PM_WAKEUP_CFG2 0x4000439au +#define CYDEV_PM_ACT_BASE 0x400043a0u +#define CYDEV_PM_ACT_SIZE 0x0000000eu +#define CYDEV_PM_ACT_CFG0 0x400043a0u +#define CYDEV_PM_ACT_CFG1 0x400043a1u +#define CYDEV_PM_ACT_CFG2 0x400043a2u +#define CYDEV_PM_ACT_CFG3 0x400043a3u +#define CYDEV_PM_ACT_CFG4 0x400043a4u +#define CYDEV_PM_ACT_CFG5 0x400043a5u +#define CYDEV_PM_ACT_CFG6 0x400043a6u +#define CYDEV_PM_ACT_CFG7 0x400043a7u +#define CYDEV_PM_ACT_CFG8 0x400043a8u +#define CYDEV_PM_ACT_CFG9 0x400043a9u +#define CYDEV_PM_ACT_CFG10 0x400043aau +#define CYDEV_PM_ACT_CFG11 0x400043abu +#define CYDEV_PM_ACT_CFG12 0x400043acu +#define CYDEV_PM_ACT_CFG13 0x400043adu +#define CYDEV_PM_STBY_BASE 0x400043b0u +#define CYDEV_PM_STBY_SIZE 0x0000000eu +#define CYDEV_PM_STBY_CFG0 0x400043b0u +#define CYDEV_PM_STBY_CFG1 0x400043b1u +#define CYDEV_PM_STBY_CFG2 0x400043b2u +#define CYDEV_PM_STBY_CFG3 0x400043b3u +#define CYDEV_PM_STBY_CFG4 0x400043b4u +#define CYDEV_PM_STBY_CFG5 0x400043b5u +#define CYDEV_PM_STBY_CFG6 0x400043b6u +#define CYDEV_PM_STBY_CFG7 0x400043b7u +#define CYDEV_PM_STBY_CFG8 0x400043b8u +#define CYDEV_PM_STBY_CFG9 0x400043b9u +#define CYDEV_PM_STBY_CFG10 0x400043bau +#define CYDEV_PM_STBY_CFG11 0x400043bbu +#define CYDEV_PM_STBY_CFG12 0x400043bcu +#define CYDEV_PM_STBY_CFG13 0x400043bdu +#define CYDEV_PM_AVAIL_BASE 0x400043c0u +#define CYDEV_PM_AVAIL_SIZE 0x00000017u +#define CYDEV_PM_AVAIL_CR0 0x400043c0u +#define CYDEV_PM_AVAIL_CR1 0x400043c1u +#define CYDEV_PM_AVAIL_CR2 0x400043c2u +#define CYDEV_PM_AVAIL_CR3 0x400043c3u +#define CYDEV_PM_AVAIL_CR4 0x400043c4u +#define CYDEV_PM_AVAIL_CR5 0x400043c5u +#define CYDEV_PM_AVAIL_CR6 0x400043c6u +#define CYDEV_PM_AVAIL_SR0 0x400043d0u +#define CYDEV_PM_AVAIL_SR1 0x400043d1u +#define CYDEV_PM_AVAIL_SR2 0x400043d2u +#define CYDEV_PM_AVAIL_SR3 0x400043d3u +#define CYDEV_PM_AVAIL_SR4 0x400043d4u +#define CYDEV_PM_AVAIL_SR5 0x400043d5u +#define CYDEV_PM_AVAIL_SR6 0x400043d6u +#define CYDEV_PICU_BASE 0x40004500u +#define CYDEV_PICU_SIZE 0x000000b0u +#define CYDEV_PICU_INTTYPE_BASE 0x40004500u +#define CYDEV_PICU_INTTYPE_SIZE 0x00000080u +#define CYDEV_PICU_INTTYPE_PICU0_BASE 0x40004500u +#define CYDEV_PICU_INTTYPE_PICU0_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE0 0x40004500u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE1 0x40004501u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE2 0x40004502u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE3 0x40004503u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE4 0x40004504u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE5 0x40004505u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE6 0x40004506u +#define CYDEV_PICU_INTTYPE_PICU0_INTTYPE7 0x40004507u +#define CYDEV_PICU_INTTYPE_PICU1_BASE 0x40004508u +#define CYDEV_PICU_INTTYPE_PICU1_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE0 0x40004508u +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE1 0x40004509u +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE2 0x4000450au +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE3 0x4000450bu +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE4 0x4000450cu +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE5 0x4000450du +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE6 0x4000450eu +#define CYDEV_PICU_INTTYPE_PICU1_INTTYPE7 0x4000450fu +#define CYDEV_PICU_INTTYPE_PICU2_BASE 0x40004510u +#define CYDEV_PICU_INTTYPE_PICU2_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE0 0x40004510u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE1 0x40004511u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE2 0x40004512u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE3 0x40004513u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE4 0x40004514u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE5 0x40004515u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE6 0x40004516u +#define CYDEV_PICU_INTTYPE_PICU2_INTTYPE7 0x40004517u +#define CYDEV_PICU_INTTYPE_PICU3_BASE 0x40004518u +#define CYDEV_PICU_INTTYPE_PICU3_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE0 0x40004518u +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE1 0x40004519u +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE2 0x4000451au +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE3 0x4000451bu +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE4 0x4000451cu +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE5 0x4000451du +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE6 0x4000451eu +#define CYDEV_PICU_INTTYPE_PICU3_INTTYPE7 0x4000451fu +#define CYDEV_PICU_INTTYPE_PICU4_BASE 0x40004520u +#define CYDEV_PICU_INTTYPE_PICU4_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE0 0x40004520u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE1 0x40004521u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE2 0x40004522u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE3 0x40004523u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE4 0x40004524u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE5 0x40004525u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE6 0x40004526u +#define CYDEV_PICU_INTTYPE_PICU4_INTTYPE7 0x40004527u +#define CYDEV_PICU_INTTYPE_PICU5_BASE 0x40004528u +#define CYDEV_PICU_INTTYPE_PICU5_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE0 0x40004528u +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE1 0x40004529u +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE2 0x4000452au +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE3 0x4000452bu +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE4 0x4000452cu +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE5 0x4000452du +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE6 0x4000452eu +#define CYDEV_PICU_INTTYPE_PICU5_INTTYPE7 0x4000452fu +#define CYDEV_PICU_INTTYPE_PICU6_BASE 0x40004530u +#define CYDEV_PICU_INTTYPE_PICU6_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE0 0x40004530u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE1 0x40004531u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE2 0x40004532u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE3 0x40004533u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE4 0x40004534u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE5 0x40004535u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE6 0x40004536u +#define CYDEV_PICU_INTTYPE_PICU6_INTTYPE7 0x40004537u +#define CYDEV_PICU_INTTYPE_PICU12_BASE 0x40004560u +#define CYDEV_PICU_INTTYPE_PICU12_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE0 0x40004560u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE1 0x40004561u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE2 0x40004562u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE3 0x40004563u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE4 0x40004564u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE5 0x40004565u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE6 0x40004566u +#define CYDEV_PICU_INTTYPE_PICU12_INTTYPE7 0x40004567u +#define CYDEV_PICU_INTTYPE_PICU15_BASE 0x40004578u +#define CYDEV_PICU_INTTYPE_PICU15_SIZE 0x00000008u +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE0 0x40004578u +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE1 0x40004579u +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE2 0x4000457au +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE3 0x4000457bu +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE4 0x4000457cu +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE5 0x4000457du +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE6 0x4000457eu +#define CYDEV_PICU_INTTYPE_PICU15_INTTYPE7 0x4000457fu +#define CYDEV_PICU_STAT_BASE 0x40004580u +#define CYDEV_PICU_STAT_SIZE 0x00000010u +#define CYDEV_PICU_STAT_PICU0_BASE 0x40004580u +#define CYDEV_PICU_STAT_PICU0_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU0_INTSTAT 0x40004580u +#define CYDEV_PICU_STAT_PICU1_BASE 0x40004581u +#define CYDEV_PICU_STAT_PICU1_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU1_INTSTAT 0x40004581u +#define CYDEV_PICU_STAT_PICU2_BASE 0x40004582u +#define CYDEV_PICU_STAT_PICU2_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU2_INTSTAT 0x40004582u +#define CYDEV_PICU_STAT_PICU3_BASE 0x40004583u +#define CYDEV_PICU_STAT_PICU3_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU3_INTSTAT 0x40004583u +#define CYDEV_PICU_STAT_PICU4_BASE 0x40004584u +#define CYDEV_PICU_STAT_PICU4_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU4_INTSTAT 0x40004584u +#define CYDEV_PICU_STAT_PICU5_BASE 0x40004585u +#define CYDEV_PICU_STAT_PICU5_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU5_INTSTAT 0x40004585u +#define CYDEV_PICU_STAT_PICU6_BASE 0x40004586u +#define CYDEV_PICU_STAT_PICU6_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU6_INTSTAT 0x40004586u +#define CYDEV_PICU_STAT_PICU12_BASE 0x4000458cu +#define CYDEV_PICU_STAT_PICU12_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU12_INTSTAT 0x4000458cu +#define CYDEV_PICU_STAT_PICU15_BASE 0x4000458fu +#define CYDEV_PICU_STAT_PICU15_SIZE 0x00000001u +#define CYDEV_PICU_STAT_PICU15_INTSTAT 0x4000458fu +#define CYDEV_PICU_SNAP_BASE 0x40004590u +#define CYDEV_PICU_SNAP_SIZE 0x00000010u +#define CYDEV_PICU_SNAP_PICU0_BASE 0x40004590u +#define CYDEV_PICU_SNAP_PICU0_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU0_SNAP 0x40004590u +#define CYDEV_PICU_SNAP_PICU1_BASE 0x40004591u +#define CYDEV_PICU_SNAP_PICU1_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU1_SNAP 0x40004591u +#define CYDEV_PICU_SNAP_PICU2_BASE 0x40004592u +#define CYDEV_PICU_SNAP_PICU2_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU2_SNAP 0x40004592u +#define CYDEV_PICU_SNAP_PICU3_BASE 0x40004593u +#define CYDEV_PICU_SNAP_PICU3_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU3_SNAP 0x40004593u +#define CYDEV_PICU_SNAP_PICU4_BASE 0x40004594u +#define CYDEV_PICU_SNAP_PICU4_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU4_SNAP 0x40004594u +#define CYDEV_PICU_SNAP_PICU5_BASE 0x40004595u +#define CYDEV_PICU_SNAP_PICU5_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU5_SNAP 0x40004595u +#define CYDEV_PICU_SNAP_PICU6_BASE 0x40004596u +#define CYDEV_PICU_SNAP_PICU6_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU6_SNAP 0x40004596u +#define CYDEV_PICU_SNAP_PICU12_BASE 0x4000459cu +#define CYDEV_PICU_SNAP_PICU12_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU12_SNAP 0x4000459cu +#define CYDEV_PICU_SNAP_PICU_15_BASE 0x4000459fu +#define CYDEV_PICU_SNAP_PICU_15_SIZE 0x00000001u +#define CYDEV_PICU_SNAP_PICU_15_SNAP_15 0x4000459fu +#define CYDEV_PICU_DISABLE_COR_BASE 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_SIZE 0x00000010u +#define CYDEV_PICU_DISABLE_COR_PICU0_BASE 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_PICU0_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_PICU1_BASE 0x400045a1u +#define CYDEV_PICU_DISABLE_COR_PICU1_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR 0x400045a1u +#define CYDEV_PICU_DISABLE_COR_PICU2_BASE 0x400045a2u +#define CYDEV_PICU_DISABLE_COR_PICU2_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR 0x400045a2u +#define CYDEV_PICU_DISABLE_COR_PICU3_BASE 0x400045a3u +#define CYDEV_PICU_DISABLE_COR_PICU3_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR 0x400045a3u +#define CYDEV_PICU_DISABLE_COR_PICU4_BASE 0x400045a4u +#define CYDEV_PICU_DISABLE_COR_PICU4_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR 0x400045a4u +#define CYDEV_PICU_DISABLE_COR_PICU5_BASE 0x400045a5u +#define CYDEV_PICU_DISABLE_COR_PICU5_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR 0x400045a5u +#define CYDEV_PICU_DISABLE_COR_PICU6_BASE 0x400045a6u +#define CYDEV_PICU_DISABLE_COR_PICU6_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR 0x400045a6u +#define CYDEV_PICU_DISABLE_COR_PICU12_BASE 0x400045acu +#define CYDEV_PICU_DISABLE_COR_PICU12_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR 0x400045acu +#define CYDEV_PICU_DISABLE_COR_PICU15_BASE 0x400045afu +#define CYDEV_PICU_DISABLE_COR_PICU15_SIZE 0x00000001u +#define CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR 0x400045afu +#define CYDEV_MFGCFG_BASE 0x40004600u +#define CYDEV_MFGCFG_SIZE 0x000000edu +#define CYDEV_MFGCFG_ANAIF_BASE 0x40004600u +#define CYDEV_MFGCFG_ANAIF_SIZE 0x00000038u +#define CYDEV_MFGCFG_ANAIF_DAC0_BASE 0x40004608u +#define CYDEV_MFGCFG_ANAIF_DAC0_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_DAC0_TR 0x40004608u +#define CYDEV_MFGCFG_ANAIF_DAC1_BASE 0x40004609u +#define CYDEV_MFGCFG_ANAIF_DAC1_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_DAC1_TR 0x40004609u +#define CYDEV_MFGCFG_ANAIF_DAC2_BASE 0x4000460au +#define CYDEV_MFGCFG_ANAIF_DAC2_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_DAC2_TR 0x4000460au +#define CYDEV_MFGCFG_ANAIF_DAC3_BASE 0x4000460bu +#define CYDEV_MFGCFG_ANAIF_DAC3_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_DAC3_TR 0x4000460bu +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE 0x40004610u +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0 0x40004610u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE 0x40004611u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0 0x40004611u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE 0x40004612u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0 0x40004612u +#define CYDEV_MFGCFG_ANAIF_SAR0_BASE 0x40004614u +#define CYDEV_MFGCFG_ANAIF_SAR0_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_SAR0_TR0 0x40004614u +#define CYDEV_MFGCFG_ANAIF_SAR1_BASE 0x40004616u +#define CYDEV_MFGCFG_ANAIF_SAR1_SIZE 0x00000001u +#define CYDEV_MFGCFG_ANAIF_SAR1_TR0 0x40004616u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_BASE 0x40004620u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_TR0 0x40004620u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_TR1 0x40004621u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_BASE 0x40004622u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_TR0 0x40004622u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_TR1 0x40004623u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_BASE 0x40004624u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_TR0 0x40004624u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_TR1 0x40004625u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_BASE 0x40004626u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_TR0 0x40004626u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_TR1 0x40004627u +#define CYDEV_MFGCFG_ANAIF_CMP0_BASE 0x40004630u +#define CYDEV_MFGCFG_ANAIF_CMP0_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_CMP0_TR0 0x40004630u +#define CYDEV_MFGCFG_ANAIF_CMP0_TR1 0x40004631u +#define CYDEV_MFGCFG_ANAIF_CMP1_BASE 0x40004632u +#define CYDEV_MFGCFG_ANAIF_CMP1_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_CMP1_TR0 0x40004632u +#define CYDEV_MFGCFG_ANAIF_CMP1_TR1 0x40004633u +#define CYDEV_MFGCFG_ANAIF_CMP2_BASE 0x40004634u +#define CYDEV_MFGCFG_ANAIF_CMP2_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_CMP2_TR0 0x40004634u +#define CYDEV_MFGCFG_ANAIF_CMP2_TR1 0x40004635u +#define CYDEV_MFGCFG_ANAIF_CMP3_BASE 0x40004636u +#define CYDEV_MFGCFG_ANAIF_CMP3_SIZE 0x00000002u +#define CYDEV_MFGCFG_ANAIF_CMP3_TR0 0x40004636u +#define CYDEV_MFGCFG_ANAIF_CMP3_TR1 0x40004637u +#define CYDEV_MFGCFG_PWRSYS_BASE 0x40004680u +#define CYDEV_MFGCFG_PWRSYS_SIZE 0x0000000bu +#define CYDEV_MFGCFG_PWRSYS_HIB_TR0 0x40004680u +#define CYDEV_MFGCFG_PWRSYS_HIB_TR1 0x40004681u +#define CYDEV_MFGCFG_PWRSYS_I2C_TR 0x40004682u +#define CYDEV_MFGCFG_PWRSYS_SLP_TR 0x40004683u +#define CYDEV_MFGCFG_PWRSYS_BUZZ_TR 0x40004684u +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR0 0x40004685u +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR1 0x40004686u +#define CYDEV_MFGCFG_PWRSYS_BREF_TR 0x40004687u +#define CYDEV_MFGCFG_PWRSYS_BG_TR 0x40004688u +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR2 0x40004689u +#define CYDEV_MFGCFG_PWRSYS_WAKE_TR3 0x4000468au +#define CYDEV_MFGCFG_ILO_BASE 0x40004690u +#define CYDEV_MFGCFG_ILO_SIZE 0x00000002u +#define CYDEV_MFGCFG_ILO_TR0 0x40004690u +#define CYDEV_MFGCFG_ILO_TR1 0x40004691u +#define CYDEV_MFGCFG_X32_BASE 0x40004698u +#define CYDEV_MFGCFG_X32_SIZE 0x00000001u +#define CYDEV_MFGCFG_X32_TR 0x40004698u +#define CYDEV_MFGCFG_IMO_BASE 0x400046a0u +#define CYDEV_MFGCFG_IMO_SIZE 0x00000005u +#define CYDEV_MFGCFG_IMO_TR0 0x400046a0u +#define CYDEV_MFGCFG_IMO_TR1 0x400046a1u +#define CYDEV_MFGCFG_IMO_GAIN 0x400046a2u +#define CYDEV_MFGCFG_IMO_C36M 0x400046a3u +#define CYDEV_MFGCFG_IMO_TR2 0x400046a4u +#define CYDEV_MFGCFG_XMHZ_BASE 0x400046a8u +#define CYDEV_MFGCFG_XMHZ_SIZE 0x00000001u +#define CYDEV_MFGCFG_XMHZ_TR 0x400046a8u +#define CYDEV_MFGCFG_DLY 0x400046c0u +#define CYDEV_MFGCFG_MLOGIC_BASE 0x400046e0u +#define CYDEV_MFGCFG_MLOGIC_SIZE 0x0000000du +#define CYDEV_MFGCFG_MLOGIC_DMPSTR 0x400046e2u +#define CYDEV_MFGCFG_MLOGIC_SEG_BASE 0x400046e4u +#define CYDEV_MFGCFG_MLOGIC_SEG_SIZE 0x00000002u +#define CYDEV_MFGCFG_MLOGIC_SEG_CR 0x400046e4u +#define CYDEV_MFGCFG_MLOGIC_SEG_CFG0 0x400046e5u +#define CYDEV_MFGCFG_MLOGIC_DEBUG 0x400046e8u +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE 0x400046eau +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE 0x00000001u +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR 0x400046eau +#define CYDEV_MFGCFG_MLOGIC_REV_ID 0x400046ecu +#define CYDEV_RESET_BASE 0x400046f0u +#define CYDEV_RESET_SIZE 0x0000000fu +#define CYDEV_RESET_IPOR_CR0 0x400046f0u +#define CYDEV_RESET_IPOR_CR1 0x400046f1u +#define CYDEV_RESET_IPOR_CR2 0x400046f2u +#define CYDEV_RESET_IPOR_CR3 0x400046f3u +#define CYDEV_RESET_CR0 0x400046f4u +#define CYDEV_RESET_CR1 0x400046f5u +#define CYDEV_RESET_CR2 0x400046f6u +#define CYDEV_RESET_CR3 0x400046f7u +#define CYDEV_RESET_CR4 0x400046f8u +#define CYDEV_RESET_CR5 0x400046f9u +#define CYDEV_RESET_SR0 0x400046fau +#define CYDEV_RESET_SR1 0x400046fbu +#define CYDEV_RESET_SR2 0x400046fcu +#define CYDEV_RESET_SR3 0x400046fdu +#define CYDEV_RESET_TR 0x400046feu +#define CYDEV_SPC_BASE 0x40004700u +#define CYDEV_SPC_SIZE 0x00000100u +#define CYDEV_SPC_FM_EE_CR 0x40004700u +#define CYDEV_SPC_FM_EE_WAKE_CNT 0x40004701u +#define CYDEV_SPC_EE_SCR 0x40004702u +#define CYDEV_SPC_EE_ERR 0x40004703u +#define CYDEV_SPC_CPU_DATA 0x40004720u +#define CYDEV_SPC_DMA_DATA 0x40004721u +#define CYDEV_SPC_SR 0x40004722u +#define CYDEV_SPC_CR 0x40004723u +#define CYDEV_SPC_DMM_MAP_BASE 0x40004780u +#define CYDEV_SPC_DMM_MAP_SIZE 0x00000080u +#define CYDEV_SPC_DMM_MAP_SRAM_MBASE 0x40004780u +#define CYDEV_SPC_DMM_MAP_SRAM_MSIZE 0x00000080u +#define CYDEV_CACHE_BASE 0x40004800u +#define CYDEV_CACHE_SIZE 0x0000009cu +#define CYDEV_CACHE_CC_CTL 0x40004800u +#define CYDEV_CACHE_ECC_CORR 0x40004880u +#define CYDEV_CACHE_ECC_ERR 0x40004888u +#define CYDEV_CACHE_FLASH_ERR 0x40004890u +#define CYDEV_CACHE_HITMISS 0x40004898u +#define CYDEV_I2C_BASE 0x40004900u +#define CYDEV_I2C_SIZE 0x000000e1u +#define CYDEV_I2C_XCFG 0x400049c8u +#define CYDEV_I2C_ADR 0x400049cau +#define CYDEV_I2C_CFG 0x400049d6u +#define CYDEV_I2C_CSR 0x400049d7u +#define CYDEV_I2C_D 0x400049d8u +#define CYDEV_I2C_MCSR 0x400049d9u +#define CYDEV_I2C_CLK_DIV1 0x400049dbu +#define CYDEV_I2C_CLK_DIV2 0x400049dcu +#define CYDEV_I2C_TMOUT_CSR 0x400049ddu +#define CYDEV_I2C_TMOUT_SR 0x400049deu +#define CYDEV_I2C_TMOUT_CFG0 0x400049dfu +#define CYDEV_I2C_TMOUT_CFG1 0x400049e0u +#define CYDEV_DEC_BASE 0x40004e00u +#define CYDEV_DEC_SIZE 0x00000015u +#define CYDEV_DEC_CR 0x40004e00u +#define CYDEV_DEC_SR 0x40004e01u +#define CYDEV_DEC_SHIFT1 0x40004e02u +#define CYDEV_DEC_SHIFT2 0x40004e03u +#define CYDEV_DEC_DR2 0x40004e04u +#define CYDEV_DEC_DR2H 0x40004e05u +#define CYDEV_DEC_DR1 0x40004e06u +#define CYDEV_DEC_OCOR 0x40004e08u +#define CYDEV_DEC_OCORM 0x40004e09u +#define CYDEV_DEC_OCORH 0x40004e0au +#define CYDEV_DEC_GCOR 0x40004e0cu +#define CYDEV_DEC_GCORH 0x40004e0du +#define CYDEV_DEC_GVAL 0x40004e0eu +#define CYDEV_DEC_OUTSAMP 0x40004e10u +#define CYDEV_DEC_OUTSAMPM 0x40004e11u +#define CYDEV_DEC_OUTSAMPH 0x40004e12u +#define CYDEV_DEC_OUTSAMPS 0x40004e13u +#define CYDEV_DEC_COHER 0x40004e14u +#define CYDEV_TMR0_BASE 0x40004f00u +#define CYDEV_TMR0_SIZE 0x0000000cu +#define CYDEV_TMR0_CFG0 0x40004f00u +#define CYDEV_TMR0_CFG1 0x40004f01u +#define CYDEV_TMR0_CFG2 0x40004f02u +#define CYDEV_TMR0_SR0 0x40004f03u +#define CYDEV_TMR0_PER0 0x40004f04u +#define CYDEV_TMR0_PER1 0x40004f05u +#define CYDEV_TMR0_CNT_CMP0 0x40004f06u +#define CYDEV_TMR0_CNT_CMP1 0x40004f07u +#define CYDEV_TMR0_CAP0 0x40004f08u +#define CYDEV_TMR0_CAP1 0x40004f09u +#define CYDEV_TMR0_RT0 0x40004f0au +#define CYDEV_TMR0_RT1 0x40004f0bu +#define CYDEV_TMR1_BASE 0x40004f0cu +#define CYDEV_TMR1_SIZE 0x0000000cu +#define CYDEV_TMR1_CFG0 0x40004f0cu +#define CYDEV_TMR1_CFG1 0x40004f0du +#define CYDEV_TMR1_CFG2 0x40004f0eu +#define CYDEV_TMR1_SR0 0x40004f0fu +#define CYDEV_TMR1_PER0 0x40004f10u +#define CYDEV_TMR1_PER1 0x40004f11u +#define CYDEV_TMR1_CNT_CMP0 0x40004f12u +#define CYDEV_TMR1_CNT_CMP1 0x40004f13u +#define CYDEV_TMR1_CAP0 0x40004f14u +#define CYDEV_TMR1_CAP1 0x40004f15u +#define CYDEV_TMR1_RT0 0x40004f16u +#define CYDEV_TMR1_RT1 0x40004f17u +#define CYDEV_TMR2_BASE 0x40004f18u +#define CYDEV_TMR2_SIZE 0x0000000cu +#define CYDEV_TMR2_CFG0 0x40004f18u +#define CYDEV_TMR2_CFG1 0x40004f19u +#define CYDEV_TMR2_CFG2 0x40004f1au +#define CYDEV_TMR2_SR0 0x40004f1bu +#define CYDEV_TMR2_PER0 0x40004f1cu +#define CYDEV_TMR2_PER1 0x40004f1du +#define CYDEV_TMR2_CNT_CMP0 0x40004f1eu +#define CYDEV_TMR2_CNT_CMP1 0x40004f1fu +#define CYDEV_TMR2_CAP0 0x40004f20u +#define CYDEV_TMR2_CAP1 0x40004f21u +#define CYDEV_TMR2_RT0 0x40004f22u +#define CYDEV_TMR2_RT1 0x40004f23u +#define CYDEV_TMR3_BASE 0x40004f24u +#define CYDEV_TMR3_SIZE 0x0000000cu +#define CYDEV_TMR3_CFG0 0x40004f24u +#define CYDEV_TMR3_CFG1 0x40004f25u +#define CYDEV_TMR3_CFG2 0x40004f26u +#define CYDEV_TMR3_SR0 0x40004f27u +#define CYDEV_TMR3_PER0 0x40004f28u +#define CYDEV_TMR3_PER1 0x40004f29u +#define CYDEV_TMR3_CNT_CMP0 0x40004f2au +#define CYDEV_TMR3_CNT_CMP1 0x40004f2bu +#define CYDEV_TMR3_CAP0 0x40004f2cu +#define CYDEV_TMR3_CAP1 0x40004f2du +#define CYDEV_TMR3_RT0 0x40004f2eu +#define CYDEV_TMR3_RT1 0x40004f2fu +#define CYDEV_IO_BASE 0x40005000u +#define CYDEV_IO_SIZE 0x00000200u +#define CYDEV_IO_PC_BASE 0x40005000u +#define CYDEV_IO_PC_SIZE 0x00000080u +#define CYDEV_IO_PC_PRT0_BASE 0x40005000u +#define CYDEV_IO_PC_PRT0_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT0_PC0 0x40005000u +#define CYDEV_IO_PC_PRT0_PC1 0x40005001u +#define CYDEV_IO_PC_PRT0_PC2 0x40005002u +#define CYDEV_IO_PC_PRT0_PC3 0x40005003u +#define CYDEV_IO_PC_PRT0_PC4 0x40005004u +#define CYDEV_IO_PC_PRT0_PC5 0x40005005u +#define CYDEV_IO_PC_PRT0_PC6 0x40005006u +#define CYDEV_IO_PC_PRT0_PC7 0x40005007u +#define CYDEV_IO_PC_PRT1_BASE 0x40005008u +#define CYDEV_IO_PC_PRT1_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT1_PC0 0x40005008u +#define CYDEV_IO_PC_PRT1_PC1 0x40005009u +#define CYDEV_IO_PC_PRT1_PC2 0x4000500au +#define CYDEV_IO_PC_PRT1_PC3 0x4000500bu +#define CYDEV_IO_PC_PRT1_PC4 0x4000500cu +#define CYDEV_IO_PC_PRT1_PC5 0x4000500du +#define CYDEV_IO_PC_PRT1_PC6 0x4000500eu +#define CYDEV_IO_PC_PRT1_PC7 0x4000500fu +#define CYDEV_IO_PC_PRT2_BASE 0x40005010u +#define CYDEV_IO_PC_PRT2_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT2_PC0 0x40005010u +#define CYDEV_IO_PC_PRT2_PC1 0x40005011u +#define CYDEV_IO_PC_PRT2_PC2 0x40005012u +#define CYDEV_IO_PC_PRT2_PC3 0x40005013u +#define CYDEV_IO_PC_PRT2_PC4 0x40005014u +#define CYDEV_IO_PC_PRT2_PC5 0x40005015u +#define CYDEV_IO_PC_PRT2_PC6 0x40005016u +#define CYDEV_IO_PC_PRT2_PC7 0x40005017u +#define CYDEV_IO_PC_PRT3_BASE 0x40005018u +#define CYDEV_IO_PC_PRT3_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT3_PC0 0x40005018u +#define CYDEV_IO_PC_PRT3_PC1 0x40005019u +#define CYDEV_IO_PC_PRT3_PC2 0x4000501au +#define CYDEV_IO_PC_PRT3_PC3 0x4000501bu +#define CYDEV_IO_PC_PRT3_PC4 0x4000501cu +#define CYDEV_IO_PC_PRT3_PC5 0x4000501du +#define CYDEV_IO_PC_PRT3_PC6 0x4000501eu +#define CYDEV_IO_PC_PRT3_PC7 0x4000501fu +#define CYDEV_IO_PC_PRT4_BASE 0x40005020u +#define CYDEV_IO_PC_PRT4_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT4_PC0 0x40005020u +#define CYDEV_IO_PC_PRT4_PC1 0x40005021u +#define CYDEV_IO_PC_PRT4_PC2 0x40005022u +#define CYDEV_IO_PC_PRT4_PC3 0x40005023u +#define CYDEV_IO_PC_PRT4_PC4 0x40005024u +#define CYDEV_IO_PC_PRT4_PC5 0x40005025u +#define CYDEV_IO_PC_PRT4_PC6 0x40005026u +#define CYDEV_IO_PC_PRT4_PC7 0x40005027u +#define CYDEV_IO_PC_PRT5_BASE 0x40005028u +#define CYDEV_IO_PC_PRT5_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT5_PC0 0x40005028u +#define CYDEV_IO_PC_PRT5_PC1 0x40005029u +#define CYDEV_IO_PC_PRT5_PC2 0x4000502au +#define CYDEV_IO_PC_PRT5_PC3 0x4000502bu +#define CYDEV_IO_PC_PRT5_PC4 0x4000502cu +#define CYDEV_IO_PC_PRT5_PC5 0x4000502du +#define CYDEV_IO_PC_PRT5_PC6 0x4000502eu +#define CYDEV_IO_PC_PRT5_PC7 0x4000502fu +#define CYDEV_IO_PC_PRT6_BASE 0x40005030u +#define CYDEV_IO_PC_PRT6_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT6_PC0 0x40005030u +#define CYDEV_IO_PC_PRT6_PC1 0x40005031u +#define CYDEV_IO_PC_PRT6_PC2 0x40005032u +#define CYDEV_IO_PC_PRT6_PC3 0x40005033u +#define CYDEV_IO_PC_PRT6_PC4 0x40005034u +#define CYDEV_IO_PC_PRT6_PC5 0x40005035u +#define CYDEV_IO_PC_PRT6_PC6 0x40005036u +#define CYDEV_IO_PC_PRT6_PC7 0x40005037u +#define CYDEV_IO_PC_PRT12_BASE 0x40005060u +#define CYDEV_IO_PC_PRT12_SIZE 0x00000008u +#define CYDEV_IO_PC_PRT12_PC0 0x40005060u +#define CYDEV_IO_PC_PRT12_PC1 0x40005061u +#define CYDEV_IO_PC_PRT12_PC2 0x40005062u +#define CYDEV_IO_PC_PRT12_PC3 0x40005063u +#define CYDEV_IO_PC_PRT12_PC4 0x40005064u +#define CYDEV_IO_PC_PRT12_PC5 0x40005065u +#define CYDEV_IO_PC_PRT12_PC6 0x40005066u +#define CYDEV_IO_PC_PRT12_PC7 0x40005067u +#define CYDEV_IO_PC_PRT15_BASE 0x40005078u +#define CYDEV_IO_PC_PRT15_SIZE 0x00000006u +#define CYDEV_IO_PC_PRT15_PC0 0x40005078u +#define CYDEV_IO_PC_PRT15_PC1 0x40005079u +#define CYDEV_IO_PC_PRT15_PC2 0x4000507au +#define CYDEV_IO_PC_PRT15_PC3 0x4000507bu +#define CYDEV_IO_PC_PRT15_PC4 0x4000507cu +#define CYDEV_IO_PC_PRT15_PC5 0x4000507du +#define CYDEV_IO_PC_PRT15_7_6_BASE 0x4000507eu +#define CYDEV_IO_PC_PRT15_7_6_SIZE 0x00000002u +#define CYDEV_IO_PC_PRT15_7_6_PC0 0x4000507eu +#define CYDEV_IO_PC_PRT15_7_6_PC1 0x4000507fu +#define CYDEV_IO_DR_BASE 0x40005080u +#define CYDEV_IO_DR_SIZE 0x00000010u +#define CYDEV_IO_DR_PRT0_BASE 0x40005080u +#define CYDEV_IO_DR_PRT0_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT0_DR_ALIAS 0x40005080u +#define CYDEV_IO_DR_PRT1_BASE 0x40005081u +#define CYDEV_IO_DR_PRT1_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT1_DR_ALIAS 0x40005081u +#define CYDEV_IO_DR_PRT2_BASE 0x40005082u +#define CYDEV_IO_DR_PRT2_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT2_DR_ALIAS 0x40005082u +#define CYDEV_IO_DR_PRT3_BASE 0x40005083u +#define CYDEV_IO_DR_PRT3_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT3_DR_ALIAS 0x40005083u +#define CYDEV_IO_DR_PRT4_BASE 0x40005084u +#define CYDEV_IO_DR_PRT4_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT4_DR_ALIAS 0x40005084u +#define CYDEV_IO_DR_PRT5_BASE 0x40005085u +#define CYDEV_IO_DR_PRT5_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT5_DR_ALIAS 0x40005085u +#define CYDEV_IO_DR_PRT6_BASE 0x40005086u +#define CYDEV_IO_DR_PRT6_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT6_DR_ALIAS 0x40005086u +#define CYDEV_IO_DR_PRT12_BASE 0x4000508cu +#define CYDEV_IO_DR_PRT12_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT12_DR_ALIAS 0x4000508cu +#define CYDEV_IO_DR_PRT15_BASE 0x4000508fu +#define CYDEV_IO_DR_PRT15_SIZE 0x00000001u +#define CYDEV_IO_DR_PRT15_DR_15_ALIAS 0x4000508fu +#define CYDEV_IO_PS_BASE 0x40005090u +#define CYDEV_IO_PS_SIZE 0x00000010u +#define CYDEV_IO_PS_PRT0_BASE 0x40005090u +#define CYDEV_IO_PS_PRT0_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT0_PS_ALIAS 0x40005090u +#define CYDEV_IO_PS_PRT1_BASE 0x40005091u +#define CYDEV_IO_PS_PRT1_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT1_PS_ALIAS 0x40005091u +#define CYDEV_IO_PS_PRT2_BASE 0x40005092u +#define CYDEV_IO_PS_PRT2_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT2_PS_ALIAS 0x40005092u +#define CYDEV_IO_PS_PRT3_BASE 0x40005093u +#define CYDEV_IO_PS_PRT3_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT3_PS_ALIAS 0x40005093u +#define CYDEV_IO_PS_PRT4_BASE 0x40005094u +#define CYDEV_IO_PS_PRT4_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT4_PS_ALIAS 0x40005094u +#define CYDEV_IO_PS_PRT5_BASE 0x40005095u +#define CYDEV_IO_PS_PRT5_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT5_PS_ALIAS 0x40005095u +#define CYDEV_IO_PS_PRT6_BASE 0x40005096u +#define CYDEV_IO_PS_PRT6_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT6_PS_ALIAS 0x40005096u +#define CYDEV_IO_PS_PRT12_BASE 0x4000509cu +#define CYDEV_IO_PS_PRT12_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT12_PS_ALIAS 0x4000509cu +#define CYDEV_IO_PS_PRT15_BASE 0x4000509fu +#define CYDEV_IO_PS_PRT15_SIZE 0x00000001u +#define CYDEV_IO_PS_PRT15_PS15_ALIAS 0x4000509fu +#define CYDEV_IO_PRT_BASE 0x40005100u +#define CYDEV_IO_PRT_SIZE 0x00000100u +#define CYDEV_IO_PRT_PRT0_BASE 0x40005100u +#define CYDEV_IO_PRT_PRT0_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT0_DR 0x40005100u +#define CYDEV_IO_PRT_PRT0_PS 0x40005101u +#define CYDEV_IO_PRT_PRT0_DM0 0x40005102u +#define CYDEV_IO_PRT_PRT0_DM1 0x40005103u +#define CYDEV_IO_PRT_PRT0_DM2 0x40005104u +#define CYDEV_IO_PRT_PRT0_SLW 0x40005105u +#define CYDEV_IO_PRT_PRT0_BYP 0x40005106u +#define CYDEV_IO_PRT_PRT0_BIE 0x40005107u +#define CYDEV_IO_PRT_PRT0_INP_DIS 0x40005108u +#define CYDEV_IO_PRT_PRT0_CTL 0x40005109u +#define CYDEV_IO_PRT_PRT0_PRT 0x4000510au +#define CYDEV_IO_PRT_PRT0_BIT_MASK 0x4000510bu +#define CYDEV_IO_PRT_PRT0_AMUX 0x4000510cu +#define CYDEV_IO_PRT_PRT0_AG 0x4000510du +#define CYDEV_IO_PRT_PRT0_LCD_COM_SEG 0x4000510eu +#define CYDEV_IO_PRT_PRT0_LCD_EN 0x4000510fu +#define CYDEV_IO_PRT_PRT1_BASE 0x40005110u +#define CYDEV_IO_PRT_PRT1_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT1_DR 0x40005110u +#define CYDEV_IO_PRT_PRT1_PS 0x40005111u +#define CYDEV_IO_PRT_PRT1_DM0 0x40005112u +#define CYDEV_IO_PRT_PRT1_DM1 0x40005113u +#define CYDEV_IO_PRT_PRT1_DM2 0x40005114u +#define CYDEV_IO_PRT_PRT1_SLW 0x40005115u +#define CYDEV_IO_PRT_PRT1_BYP 0x40005116u +#define CYDEV_IO_PRT_PRT1_BIE 0x40005117u +#define CYDEV_IO_PRT_PRT1_INP_DIS 0x40005118u +#define CYDEV_IO_PRT_PRT1_CTL 0x40005119u +#define CYDEV_IO_PRT_PRT1_PRT 0x4000511au +#define CYDEV_IO_PRT_PRT1_BIT_MASK 0x4000511bu +#define CYDEV_IO_PRT_PRT1_AMUX 0x4000511cu +#define CYDEV_IO_PRT_PRT1_AG 0x4000511du +#define CYDEV_IO_PRT_PRT1_LCD_COM_SEG 0x4000511eu +#define CYDEV_IO_PRT_PRT1_LCD_EN 0x4000511fu +#define CYDEV_IO_PRT_PRT2_BASE 0x40005120u +#define CYDEV_IO_PRT_PRT2_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT2_DR 0x40005120u +#define CYDEV_IO_PRT_PRT2_PS 0x40005121u +#define CYDEV_IO_PRT_PRT2_DM0 0x40005122u +#define CYDEV_IO_PRT_PRT2_DM1 0x40005123u +#define CYDEV_IO_PRT_PRT2_DM2 0x40005124u +#define CYDEV_IO_PRT_PRT2_SLW 0x40005125u +#define CYDEV_IO_PRT_PRT2_BYP 0x40005126u +#define CYDEV_IO_PRT_PRT2_BIE 0x40005127u +#define CYDEV_IO_PRT_PRT2_INP_DIS 0x40005128u +#define CYDEV_IO_PRT_PRT2_CTL 0x40005129u +#define CYDEV_IO_PRT_PRT2_PRT 0x4000512au +#define CYDEV_IO_PRT_PRT2_BIT_MASK 0x4000512bu +#define CYDEV_IO_PRT_PRT2_AMUX 0x4000512cu +#define CYDEV_IO_PRT_PRT2_AG 0x4000512du +#define CYDEV_IO_PRT_PRT2_LCD_COM_SEG 0x4000512eu +#define CYDEV_IO_PRT_PRT2_LCD_EN 0x4000512fu +#define CYDEV_IO_PRT_PRT3_BASE 0x40005130u +#define CYDEV_IO_PRT_PRT3_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT3_DR 0x40005130u +#define CYDEV_IO_PRT_PRT3_PS 0x40005131u +#define CYDEV_IO_PRT_PRT3_DM0 0x40005132u +#define CYDEV_IO_PRT_PRT3_DM1 0x40005133u +#define CYDEV_IO_PRT_PRT3_DM2 0x40005134u +#define CYDEV_IO_PRT_PRT3_SLW 0x40005135u +#define CYDEV_IO_PRT_PRT3_BYP 0x40005136u +#define CYDEV_IO_PRT_PRT3_BIE 0x40005137u +#define CYDEV_IO_PRT_PRT3_INP_DIS 0x40005138u +#define CYDEV_IO_PRT_PRT3_CTL 0x40005139u +#define CYDEV_IO_PRT_PRT3_PRT 0x4000513au +#define CYDEV_IO_PRT_PRT3_BIT_MASK 0x4000513bu +#define CYDEV_IO_PRT_PRT3_AMUX 0x4000513cu +#define CYDEV_IO_PRT_PRT3_AG 0x4000513du +#define CYDEV_IO_PRT_PRT3_LCD_COM_SEG 0x4000513eu +#define CYDEV_IO_PRT_PRT3_LCD_EN 0x4000513fu +#define CYDEV_IO_PRT_PRT4_BASE 0x40005140u +#define CYDEV_IO_PRT_PRT4_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT4_DR 0x40005140u +#define CYDEV_IO_PRT_PRT4_PS 0x40005141u +#define CYDEV_IO_PRT_PRT4_DM0 0x40005142u +#define CYDEV_IO_PRT_PRT4_DM1 0x40005143u +#define CYDEV_IO_PRT_PRT4_DM2 0x40005144u +#define CYDEV_IO_PRT_PRT4_SLW 0x40005145u +#define CYDEV_IO_PRT_PRT4_BYP 0x40005146u +#define CYDEV_IO_PRT_PRT4_BIE 0x40005147u +#define CYDEV_IO_PRT_PRT4_INP_DIS 0x40005148u +#define CYDEV_IO_PRT_PRT4_CTL 0x40005149u +#define CYDEV_IO_PRT_PRT4_PRT 0x4000514au +#define CYDEV_IO_PRT_PRT4_BIT_MASK 0x4000514bu +#define CYDEV_IO_PRT_PRT4_AMUX 0x4000514cu +#define CYDEV_IO_PRT_PRT4_AG 0x4000514du +#define CYDEV_IO_PRT_PRT4_LCD_COM_SEG 0x4000514eu +#define CYDEV_IO_PRT_PRT4_LCD_EN 0x4000514fu +#define CYDEV_IO_PRT_PRT5_BASE 0x40005150u +#define CYDEV_IO_PRT_PRT5_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT5_DR 0x40005150u +#define CYDEV_IO_PRT_PRT5_PS 0x40005151u +#define CYDEV_IO_PRT_PRT5_DM0 0x40005152u +#define CYDEV_IO_PRT_PRT5_DM1 0x40005153u +#define CYDEV_IO_PRT_PRT5_DM2 0x40005154u +#define CYDEV_IO_PRT_PRT5_SLW 0x40005155u +#define CYDEV_IO_PRT_PRT5_BYP 0x40005156u +#define CYDEV_IO_PRT_PRT5_BIE 0x40005157u +#define CYDEV_IO_PRT_PRT5_INP_DIS 0x40005158u +#define CYDEV_IO_PRT_PRT5_CTL 0x40005159u +#define CYDEV_IO_PRT_PRT5_PRT 0x4000515au +#define CYDEV_IO_PRT_PRT5_BIT_MASK 0x4000515bu +#define CYDEV_IO_PRT_PRT5_AMUX 0x4000515cu +#define CYDEV_IO_PRT_PRT5_AG 0x4000515du +#define CYDEV_IO_PRT_PRT5_LCD_COM_SEG 0x4000515eu +#define CYDEV_IO_PRT_PRT5_LCD_EN 0x4000515fu +#define CYDEV_IO_PRT_PRT6_BASE 0x40005160u +#define CYDEV_IO_PRT_PRT6_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT6_DR 0x40005160u +#define CYDEV_IO_PRT_PRT6_PS 0x40005161u +#define CYDEV_IO_PRT_PRT6_DM0 0x40005162u +#define CYDEV_IO_PRT_PRT6_DM1 0x40005163u +#define CYDEV_IO_PRT_PRT6_DM2 0x40005164u +#define CYDEV_IO_PRT_PRT6_SLW 0x40005165u +#define CYDEV_IO_PRT_PRT6_BYP 0x40005166u +#define CYDEV_IO_PRT_PRT6_BIE 0x40005167u +#define CYDEV_IO_PRT_PRT6_INP_DIS 0x40005168u +#define CYDEV_IO_PRT_PRT6_CTL 0x40005169u +#define CYDEV_IO_PRT_PRT6_PRT 0x4000516au +#define CYDEV_IO_PRT_PRT6_BIT_MASK 0x4000516bu +#define CYDEV_IO_PRT_PRT6_AMUX 0x4000516cu +#define CYDEV_IO_PRT_PRT6_AG 0x4000516du +#define CYDEV_IO_PRT_PRT6_LCD_COM_SEG 0x4000516eu +#define CYDEV_IO_PRT_PRT6_LCD_EN 0x4000516fu +#define CYDEV_IO_PRT_PRT12_BASE 0x400051c0u +#define CYDEV_IO_PRT_PRT12_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT12_DR 0x400051c0u +#define CYDEV_IO_PRT_PRT12_PS 0x400051c1u +#define CYDEV_IO_PRT_PRT12_DM0 0x400051c2u +#define CYDEV_IO_PRT_PRT12_DM1 0x400051c3u +#define CYDEV_IO_PRT_PRT12_DM2 0x400051c4u +#define CYDEV_IO_PRT_PRT12_SLW 0x400051c5u +#define CYDEV_IO_PRT_PRT12_BYP 0x400051c6u +#define CYDEV_IO_PRT_PRT12_BIE 0x400051c7u +#define CYDEV_IO_PRT_PRT12_INP_DIS 0x400051c8u +#define CYDEV_IO_PRT_PRT12_SIO_HYST_EN 0x400051c9u +#define CYDEV_IO_PRT_PRT12_PRT 0x400051cau +#define CYDEV_IO_PRT_PRT12_BIT_MASK 0x400051cbu +#define CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ 0x400051ccu +#define CYDEV_IO_PRT_PRT12_AG 0x400051cdu +#define CYDEV_IO_PRT_PRT12_SIO_CFG 0x400051ceu +#define CYDEV_IO_PRT_PRT12_SIO_DIFF 0x400051cfu +#define CYDEV_IO_PRT_PRT15_BASE 0x400051f0u +#define CYDEV_IO_PRT_PRT15_SIZE 0x00000010u +#define CYDEV_IO_PRT_PRT15_DR 0x400051f0u +#define CYDEV_IO_PRT_PRT15_PS 0x400051f1u +#define CYDEV_IO_PRT_PRT15_DM0 0x400051f2u +#define CYDEV_IO_PRT_PRT15_DM1 0x400051f3u +#define CYDEV_IO_PRT_PRT15_DM2 0x400051f4u +#define CYDEV_IO_PRT_PRT15_SLW 0x400051f5u +#define CYDEV_IO_PRT_PRT15_BYP 0x400051f6u +#define CYDEV_IO_PRT_PRT15_BIE 0x400051f7u +#define CYDEV_IO_PRT_PRT15_INP_DIS 0x400051f8u +#define CYDEV_IO_PRT_PRT15_CTL 0x400051f9u +#define CYDEV_IO_PRT_PRT15_PRT 0x400051fau +#define CYDEV_IO_PRT_PRT15_BIT_MASK 0x400051fbu +#define CYDEV_IO_PRT_PRT15_AMUX 0x400051fcu +#define CYDEV_IO_PRT_PRT15_AG 0x400051fdu +#define CYDEV_IO_PRT_PRT15_LCD_COM_SEG 0x400051feu +#define CYDEV_IO_PRT_PRT15_LCD_EN 0x400051ffu +#define CYDEV_PRTDSI_BASE 0x40005200u +#define CYDEV_PRTDSI_SIZE 0x0000007fu +#define CYDEV_PRTDSI_PRT0_BASE 0x40005200u +#define CYDEV_PRTDSI_PRT0_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT0_OUT_SEL0 0x40005200u +#define CYDEV_PRTDSI_PRT0_OUT_SEL1 0x40005201u +#define CYDEV_PRTDSI_PRT0_OE_SEL0 0x40005202u +#define CYDEV_PRTDSI_PRT0_OE_SEL1 0x40005203u +#define CYDEV_PRTDSI_PRT0_DBL_SYNC_IN 0x40005204u +#define CYDEV_PRTDSI_PRT0_SYNC_OUT 0x40005205u +#define CYDEV_PRTDSI_PRT0_CAPS_SEL 0x40005206u +#define CYDEV_PRTDSI_PRT1_BASE 0x40005208u +#define CYDEV_PRTDSI_PRT1_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT1_OUT_SEL0 0x40005208u +#define CYDEV_PRTDSI_PRT1_OUT_SEL1 0x40005209u +#define CYDEV_PRTDSI_PRT1_OE_SEL0 0x4000520au +#define CYDEV_PRTDSI_PRT1_OE_SEL1 0x4000520bu +#define CYDEV_PRTDSI_PRT1_DBL_SYNC_IN 0x4000520cu +#define CYDEV_PRTDSI_PRT1_SYNC_OUT 0x4000520du +#define CYDEV_PRTDSI_PRT1_CAPS_SEL 0x4000520eu +#define CYDEV_PRTDSI_PRT2_BASE 0x40005210u +#define CYDEV_PRTDSI_PRT2_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT2_OUT_SEL0 0x40005210u +#define CYDEV_PRTDSI_PRT2_OUT_SEL1 0x40005211u +#define CYDEV_PRTDSI_PRT2_OE_SEL0 0x40005212u +#define CYDEV_PRTDSI_PRT2_OE_SEL1 0x40005213u +#define CYDEV_PRTDSI_PRT2_DBL_SYNC_IN 0x40005214u +#define CYDEV_PRTDSI_PRT2_SYNC_OUT 0x40005215u +#define CYDEV_PRTDSI_PRT2_CAPS_SEL 0x40005216u +#define CYDEV_PRTDSI_PRT3_BASE 0x40005218u +#define CYDEV_PRTDSI_PRT3_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT3_OUT_SEL0 0x40005218u +#define CYDEV_PRTDSI_PRT3_OUT_SEL1 0x40005219u +#define CYDEV_PRTDSI_PRT3_OE_SEL0 0x4000521au +#define CYDEV_PRTDSI_PRT3_OE_SEL1 0x4000521bu +#define CYDEV_PRTDSI_PRT3_DBL_SYNC_IN 0x4000521cu +#define CYDEV_PRTDSI_PRT3_SYNC_OUT 0x4000521du +#define CYDEV_PRTDSI_PRT3_CAPS_SEL 0x4000521eu +#define CYDEV_PRTDSI_PRT4_BASE 0x40005220u +#define CYDEV_PRTDSI_PRT4_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT4_OUT_SEL0 0x40005220u +#define CYDEV_PRTDSI_PRT4_OUT_SEL1 0x40005221u +#define CYDEV_PRTDSI_PRT4_OE_SEL0 0x40005222u +#define CYDEV_PRTDSI_PRT4_OE_SEL1 0x40005223u +#define CYDEV_PRTDSI_PRT4_DBL_SYNC_IN 0x40005224u +#define CYDEV_PRTDSI_PRT4_SYNC_OUT 0x40005225u +#define CYDEV_PRTDSI_PRT4_CAPS_SEL 0x40005226u +#define CYDEV_PRTDSI_PRT5_BASE 0x40005228u +#define CYDEV_PRTDSI_PRT5_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT5_OUT_SEL0 0x40005228u +#define CYDEV_PRTDSI_PRT5_OUT_SEL1 0x40005229u +#define CYDEV_PRTDSI_PRT5_OE_SEL0 0x4000522au +#define CYDEV_PRTDSI_PRT5_OE_SEL1 0x4000522bu +#define CYDEV_PRTDSI_PRT5_DBL_SYNC_IN 0x4000522cu +#define CYDEV_PRTDSI_PRT5_SYNC_OUT 0x4000522du +#define CYDEV_PRTDSI_PRT5_CAPS_SEL 0x4000522eu +#define CYDEV_PRTDSI_PRT6_BASE 0x40005230u +#define CYDEV_PRTDSI_PRT6_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT6_OUT_SEL0 0x40005230u +#define CYDEV_PRTDSI_PRT6_OUT_SEL1 0x40005231u +#define CYDEV_PRTDSI_PRT6_OE_SEL0 0x40005232u +#define CYDEV_PRTDSI_PRT6_OE_SEL1 0x40005233u +#define CYDEV_PRTDSI_PRT6_DBL_SYNC_IN 0x40005234u +#define CYDEV_PRTDSI_PRT6_SYNC_OUT 0x40005235u +#define CYDEV_PRTDSI_PRT6_CAPS_SEL 0x40005236u +#define CYDEV_PRTDSI_PRT12_BASE 0x40005260u +#define CYDEV_PRTDSI_PRT12_SIZE 0x00000006u +#define CYDEV_PRTDSI_PRT12_OUT_SEL0 0x40005260u +#define CYDEV_PRTDSI_PRT12_OUT_SEL1 0x40005261u +#define CYDEV_PRTDSI_PRT12_OE_SEL0 0x40005262u +#define CYDEV_PRTDSI_PRT12_OE_SEL1 0x40005263u +#define CYDEV_PRTDSI_PRT12_DBL_SYNC_IN 0x40005264u +#define CYDEV_PRTDSI_PRT12_SYNC_OUT 0x40005265u +#define CYDEV_PRTDSI_PRT15_BASE 0x40005278u +#define CYDEV_PRTDSI_PRT15_SIZE 0x00000007u +#define CYDEV_PRTDSI_PRT15_OUT_SEL0 0x40005278u +#define CYDEV_PRTDSI_PRT15_OUT_SEL1 0x40005279u +#define CYDEV_PRTDSI_PRT15_OE_SEL0 0x4000527au +#define CYDEV_PRTDSI_PRT15_OE_SEL1 0x4000527bu +#define CYDEV_PRTDSI_PRT15_DBL_SYNC_IN 0x4000527cu +#define CYDEV_PRTDSI_PRT15_SYNC_OUT 0x4000527du +#define CYDEV_PRTDSI_PRT15_CAPS_SEL 0x4000527eu +#define CYDEV_EMIF_BASE 0x40005400u +#define CYDEV_EMIF_SIZE 0x00000007u +#define CYDEV_EMIF_NO_UDB 0x40005400u +#define CYDEV_EMIF_RP_WAIT_STATES 0x40005401u +#define CYDEV_EMIF_MEM_DWN 0x40005402u +#define CYDEV_EMIF_MEMCLK_DIV 0x40005403u +#define CYDEV_EMIF_CLOCK_EN 0x40005404u +#define CYDEV_EMIF_EM_TYPE 0x40005405u +#define CYDEV_EMIF_WP_WAIT_STATES 0x40005406u +#define CYDEV_ANAIF_BASE 0x40005800u +#define CYDEV_ANAIF_SIZE 0x000003a9u +#define CYDEV_ANAIF_CFG_BASE 0x40005800u +#define CYDEV_ANAIF_CFG_SIZE 0x0000010fu +#define CYDEV_ANAIF_CFG_SC0_BASE 0x40005800u +#define CYDEV_ANAIF_CFG_SC0_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_SC0_CR0 0x40005800u +#define CYDEV_ANAIF_CFG_SC0_CR1 0x40005801u +#define CYDEV_ANAIF_CFG_SC0_CR2 0x40005802u +#define CYDEV_ANAIF_CFG_SC1_BASE 0x40005804u +#define CYDEV_ANAIF_CFG_SC1_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_SC1_CR0 0x40005804u +#define CYDEV_ANAIF_CFG_SC1_CR1 0x40005805u +#define CYDEV_ANAIF_CFG_SC1_CR2 0x40005806u +#define CYDEV_ANAIF_CFG_SC2_BASE 0x40005808u +#define CYDEV_ANAIF_CFG_SC2_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_SC2_CR0 0x40005808u +#define CYDEV_ANAIF_CFG_SC2_CR1 0x40005809u +#define CYDEV_ANAIF_CFG_SC2_CR2 0x4000580au +#define CYDEV_ANAIF_CFG_SC3_BASE 0x4000580cu +#define CYDEV_ANAIF_CFG_SC3_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_SC3_CR0 0x4000580cu +#define CYDEV_ANAIF_CFG_SC3_CR1 0x4000580du +#define CYDEV_ANAIF_CFG_SC3_CR2 0x4000580eu +#define CYDEV_ANAIF_CFG_DAC0_BASE 0x40005820u +#define CYDEV_ANAIF_CFG_DAC0_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_DAC0_CR0 0x40005820u +#define CYDEV_ANAIF_CFG_DAC0_CR1 0x40005821u +#define CYDEV_ANAIF_CFG_DAC0_TST 0x40005822u +#define CYDEV_ANAIF_CFG_DAC1_BASE 0x40005824u +#define CYDEV_ANAIF_CFG_DAC1_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_DAC1_CR0 0x40005824u +#define CYDEV_ANAIF_CFG_DAC1_CR1 0x40005825u +#define CYDEV_ANAIF_CFG_DAC1_TST 0x40005826u +#define CYDEV_ANAIF_CFG_DAC2_BASE 0x40005828u +#define CYDEV_ANAIF_CFG_DAC2_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_DAC2_CR0 0x40005828u +#define CYDEV_ANAIF_CFG_DAC2_CR1 0x40005829u +#define CYDEV_ANAIF_CFG_DAC2_TST 0x4000582au +#define CYDEV_ANAIF_CFG_DAC3_BASE 0x4000582cu +#define CYDEV_ANAIF_CFG_DAC3_SIZE 0x00000003u +#define CYDEV_ANAIF_CFG_DAC3_CR0 0x4000582cu +#define CYDEV_ANAIF_CFG_DAC3_CR1 0x4000582du +#define CYDEV_ANAIF_CFG_DAC3_TST 0x4000582eu +#define CYDEV_ANAIF_CFG_CMP0_BASE 0x40005840u +#define CYDEV_ANAIF_CFG_CMP0_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_CMP0_CR 0x40005840u +#define CYDEV_ANAIF_CFG_CMP1_BASE 0x40005841u +#define CYDEV_ANAIF_CFG_CMP1_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_CMP1_CR 0x40005841u +#define CYDEV_ANAIF_CFG_CMP2_BASE 0x40005842u +#define CYDEV_ANAIF_CFG_CMP2_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_CMP2_CR 0x40005842u +#define CYDEV_ANAIF_CFG_CMP3_BASE 0x40005843u +#define CYDEV_ANAIF_CFG_CMP3_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_CMP3_CR 0x40005843u +#define CYDEV_ANAIF_CFG_LUT0_BASE 0x40005848u +#define CYDEV_ANAIF_CFG_LUT0_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LUT0_CR 0x40005848u +#define CYDEV_ANAIF_CFG_LUT0_MX 0x40005849u +#define CYDEV_ANAIF_CFG_LUT1_BASE 0x4000584au +#define CYDEV_ANAIF_CFG_LUT1_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LUT1_CR 0x4000584au +#define CYDEV_ANAIF_CFG_LUT1_MX 0x4000584bu +#define CYDEV_ANAIF_CFG_LUT2_BASE 0x4000584cu +#define CYDEV_ANAIF_CFG_LUT2_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LUT2_CR 0x4000584cu +#define CYDEV_ANAIF_CFG_LUT2_MX 0x4000584du +#define CYDEV_ANAIF_CFG_LUT3_BASE 0x4000584eu +#define CYDEV_ANAIF_CFG_LUT3_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LUT3_CR 0x4000584eu +#define CYDEV_ANAIF_CFG_LUT3_MX 0x4000584fu +#define CYDEV_ANAIF_CFG_OPAMP0_BASE 0x40005858u +#define CYDEV_ANAIF_CFG_OPAMP0_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_OPAMP0_CR 0x40005858u +#define CYDEV_ANAIF_CFG_OPAMP0_RSVD 0x40005859u +#define CYDEV_ANAIF_CFG_OPAMP1_BASE 0x4000585au +#define CYDEV_ANAIF_CFG_OPAMP1_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_OPAMP1_CR 0x4000585au +#define CYDEV_ANAIF_CFG_OPAMP1_RSVD 0x4000585bu +#define CYDEV_ANAIF_CFG_OPAMP2_BASE 0x4000585cu +#define CYDEV_ANAIF_CFG_OPAMP2_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_OPAMP2_CR 0x4000585cu +#define CYDEV_ANAIF_CFG_OPAMP2_RSVD 0x4000585du +#define CYDEV_ANAIF_CFG_OPAMP3_BASE 0x4000585eu +#define CYDEV_ANAIF_CFG_OPAMP3_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_OPAMP3_CR 0x4000585eu +#define CYDEV_ANAIF_CFG_OPAMP3_RSVD 0x4000585fu +#define CYDEV_ANAIF_CFG_LCDDAC_BASE 0x40005868u +#define CYDEV_ANAIF_CFG_LCDDAC_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LCDDAC_CR0 0x40005868u +#define CYDEV_ANAIF_CFG_LCDDAC_CR1 0x40005869u +#define CYDEV_ANAIF_CFG_LCDDRV_BASE 0x4000586au +#define CYDEV_ANAIF_CFG_LCDDRV_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_LCDDRV_CR 0x4000586au +#define CYDEV_ANAIF_CFG_LCDTMR_BASE 0x4000586bu +#define CYDEV_ANAIF_CFG_LCDTMR_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_LCDTMR_CFG 0x4000586bu +#define CYDEV_ANAIF_CFG_BG_BASE 0x4000586cu +#define CYDEV_ANAIF_CFG_BG_SIZE 0x00000004u +#define CYDEV_ANAIF_CFG_BG_CR0 0x4000586cu +#define CYDEV_ANAIF_CFG_BG_RSVD 0x4000586du +#define CYDEV_ANAIF_CFG_BG_DFT0 0x4000586eu +#define CYDEV_ANAIF_CFG_BG_DFT1 0x4000586fu +#define CYDEV_ANAIF_CFG_CAPSL_BASE 0x40005870u +#define CYDEV_ANAIF_CFG_CAPSL_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_CAPSL_CFG0 0x40005870u +#define CYDEV_ANAIF_CFG_CAPSL_CFG1 0x40005871u +#define CYDEV_ANAIF_CFG_CAPSR_BASE 0x40005872u +#define CYDEV_ANAIF_CFG_CAPSR_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_CAPSR_CFG0 0x40005872u +#define CYDEV_ANAIF_CFG_CAPSR_CFG1 0x40005873u +#define CYDEV_ANAIF_CFG_PUMP_BASE 0x40005876u +#define CYDEV_ANAIF_CFG_PUMP_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_PUMP_CR0 0x40005876u +#define CYDEV_ANAIF_CFG_PUMP_CR1 0x40005877u +#define CYDEV_ANAIF_CFG_LPF0_BASE 0x40005878u +#define CYDEV_ANAIF_CFG_LPF0_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LPF0_CR0 0x40005878u +#define CYDEV_ANAIF_CFG_LPF0_RSVD 0x40005879u +#define CYDEV_ANAIF_CFG_LPF1_BASE 0x4000587au +#define CYDEV_ANAIF_CFG_LPF1_SIZE 0x00000002u +#define CYDEV_ANAIF_CFG_LPF1_CR0 0x4000587au +#define CYDEV_ANAIF_CFG_LPF1_RSVD 0x4000587bu +#define CYDEV_ANAIF_CFG_MISC_BASE 0x4000587cu +#define CYDEV_ANAIF_CFG_MISC_SIZE 0x00000001u +#define CYDEV_ANAIF_CFG_MISC_CR0 0x4000587cu +#define CYDEV_ANAIF_CFG_DSM0_BASE 0x40005880u +#define CYDEV_ANAIF_CFG_DSM0_SIZE 0x00000020u +#define CYDEV_ANAIF_CFG_DSM0_CR0 0x40005880u +#define CYDEV_ANAIF_CFG_DSM0_CR1 0x40005881u +#define CYDEV_ANAIF_CFG_DSM0_CR2 0x40005882u +#define CYDEV_ANAIF_CFG_DSM0_CR3 0x40005883u +#define CYDEV_ANAIF_CFG_DSM0_CR4 0x40005884u +#define CYDEV_ANAIF_CFG_DSM0_CR5 0x40005885u +#define CYDEV_ANAIF_CFG_DSM0_CR6 0x40005886u +#define CYDEV_ANAIF_CFG_DSM0_CR7 0x40005887u +#define CYDEV_ANAIF_CFG_DSM0_CR8 0x40005888u +#define CYDEV_ANAIF_CFG_DSM0_CR9 0x40005889u +#define CYDEV_ANAIF_CFG_DSM0_CR10 0x4000588au +#define CYDEV_ANAIF_CFG_DSM0_CR11 0x4000588bu +#define CYDEV_ANAIF_CFG_DSM0_CR12 0x4000588cu +#define CYDEV_ANAIF_CFG_DSM0_CR13 0x4000588du +#define CYDEV_ANAIF_CFG_DSM0_CR14 0x4000588eu +#define CYDEV_ANAIF_CFG_DSM0_CR15 0x4000588fu +#define CYDEV_ANAIF_CFG_DSM0_CR16 0x40005890u +#define CYDEV_ANAIF_CFG_DSM0_CR17 0x40005891u +#define CYDEV_ANAIF_CFG_DSM0_REF0 0x40005892u +#define CYDEV_ANAIF_CFG_DSM0_REF1 0x40005893u +#define CYDEV_ANAIF_CFG_DSM0_REF2 0x40005894u +#define CYDEV_ANAIF_CFG_DSM0_REF3 0x40005895u +#define CYDEV_ANAIF_CFG_DSM0_DEM0 0x40005896u +#define CYDEV_ANAIF_CFG_DSM0_DEM1 0x40005897u +#define CYDEV_ANAIF_CFG_DSM0_TST0 0x40005898u +#define CYDEV_ANAIF_CFG_DSM0_TST1 0x40005899u +#define CYDEV_ANAIF_CFG_DSM0_BUF0 0x4000589au +#define CYDEV_ANAIF_CFG_DSM0_BUF1 0x4000589bu +#define CYDEV_ANAIF_CFG_DSM0_BUF2 0x4000589cu +#define CYDEV_ANAIF_CFG_DSM0_BUF3 0x4000589du +#define CYDEV_ANAIF_CFG_DSM0_MISC 0x4000589eu +#define CYDEV_ANAIF_CFG_DSM0_RSVD1 0x4000589fu +#define CYDEV_ANAIF_CFG_SAR0_BASE 0x40005900u +#define CYDEV_ANAIF_CFG_SAR0_SIZE 0x00000007u +#define CYDEV_ANAIF_CFG_SAR0_CSR0 0x40005900u +#define CYDEV_ANAIF_CFG_SAR0_CSR1 0x40005901u +#define CYDEV_ANAIF_CFG_SAR0_CSR2 0x40005902u +#define CYDEV_ANAIF_CFG_SAR0_CSR3 0x40005903u +#define CYDEV_ANAIF_CFG_SAR0_CSR4 0x40005904u +#define CYDEV_ANAIF_CFG_SAR0_CSR5 0x40005905u +#define CYDEV_ANAIF_CFG_SAR0_CSR6 0x40005906u +#define CYDEV_ANAIF_CFG_SAR1_BASE 0x40005908u +#define CYDEV_ANAIF_CFG_SAR1_SIZE 0x00000007u +#define CYDEV_ANAIF_CFG_SAR1_CSR0 0x40005908u +#define CYDEV_ANAIF_CFG_SAR1_CSR1 0x40005909u +#define CYDEV_ANAIF_CFG_SAR1_CSR2 0x4000590au +#define CYDEV_ANAIF_CFG_SAR1_CSR3 0x4000590bu +#define CYDEV_ANAIF_CFG_SAR1_CSR4 0x4000590cu +#define CYDEV_ANAIF_CFG_SAR1_CSR5 0x4000590du +#define CYDEV_ANAIF_CFG_SAR1_CSR6 0x4000590eu +#define CYDEV_ANAIF_RT_BASE 0x40005a00u +#define CYDEV_ANAIF_RT_SIZE 0x00000162u +#define CYDEV_ANAIF_RT_SC0_BASE 0x40005a00u +#define CYDEV_ANAIF_RT_SC0_SIZE 0x0000000du +#define CYDEV_ANAIF_RT_SC0_SW0 0x40005a00u +#define CYDEV_ANAIF_RT_SC0_SW2 0x40005a02u +#define CYDEV_ANAIF_RT_SC0_SW3 0x40005a03u +#define CYDEV_ANAIF_RT_SC0_SW4 0x40005a04u +#define CYDEV_ANAIF_RT_SC0_SW6 0x40005a06u +#define CYDEV_ANAIF_RT_SC0_SW7 0x40005a07u +#define CYDEV_ANAIF_RT_SC0_SW8 0x40005a08u +#define CYDEV_ANAIF_RT_SC0_SW10 0x40005a0au +#define CYDEV_ANAIF_RT_SC0_CLK 0x40005a0bu +#define CYDEV_ANAIF_RT_SC0_BST 0x40005a0cu +#define CYDEV_ANAIF_RT_SC1_BASE 0x40005a10u +#define CYDEV_ANAIF_RT_SC1_SIZE 0x0000000du +#define CYDEV_ANAIF_RT_SC1_SW0 0x40005a10u +#define CYDEV_ANAIF_RT_SC1_SW2 0x40005a12u +#define CYDEV_ANAIF_RT_SC1_SW3 0x40005a13u +#define CYDEV_ANAIF_RT_SC1_SW4 0x40005a14u +#define CYDEV_ANAIF_RT_SC1_SW6 0x40005a16u +#define CYDEV_ANAIF_RT_SC1_SW7 0x40005a17u +#define CYDEV_ANAIF_RT_SC1_SW8 0x40005a18u +#define CYDEV_ANAIF_RT_SC1_SW10 0x40005a1au +#define CYDEV_ANAIF_RT_SC1_CLK 0x40005a1bu +#define CYDEV_ANAIF_RT_SC1_BST 0x40005a1cu +#define CYDEV_ANAIF_RT_SC2_BASE 0x40005a20u +#define CYDEV_ANAIF_RT_SC2_SIZE 0x0000000du +#define CYDEV_ANAIF_RT_SC2_SW0 0x40005a20u +#define CYDEV_ANAIF_RT_SC2_SW2 0x40005a22u +#define CYDEV_ANAIF_RT_SC2_SW3 0x40005a23u +#define CYDEV_ANAIF_RT_SC2_SW4 0x40005a24u +#define CYDEV_ANAIF_RT_SC2_SW6 0x40005a26u +#define CYDEV_ANAIF_RT_SC2_SW7 0x40005a27u +#define CYDEV_ANAIF_RT_SC2_SW8 0x40005a28u +#define CYDEV_ANAIF_RT_SC2_SW10 0x40005a2au +#define CYDEV_ANAIF_RT_SC2_CLK 0x40005a2bu +#define CYDEV_ANAIF_RT_SC2_BST 0x40005a2cu +#define CYDEV_ANAIF_RT_SC3_BASE 0x40005a30u +#define CYDEV_ANAIF_RT_SC3_SIZE 0x0000000du +#define CYDEV_ANAIF_RT_SC3_SW0 0x40005a30u +#define CYDEV_ANAIF_RT_SC3_SW2 0x40005a32u +#define CYDEV_ANAIF_RT_SC3_SW3 0x40005a33u +#define CYDEV_ANAIF_RT_SC3_SW4 0x40005a34u +#define CYDEV_ANAIF_RT_SC3_SW6 0x40005a36u +#define CYDEV_ANAIF_RT_SC3_SW7 0x40005a37u +#define CYDEV_ANAIF_RT_SC3_SW8 0x40005a38u +#define CYDEV_ANAIF_RT_SC3_SW10 0x40005a3au +#define CYDEV_ANAIF_RT_SC3_CLK 0x40005a3bu +#define CYDEV_ANAIF_RT_SC3_BST 0x40005a3cu +#define CYDEV_ANAIF_RT_DAC0_BASE 0x40005a80u +#define CYDEV_ANAIF_RT_DAC0_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DAC0_SW0 0x40005a80u +#define CYDEV_ANAIF_RT_DAC0_SW2 0x40005a82u +#define CYDEV_ANAIF_RT_DAC0_SW3 0x40005a83u +#define CYDEV_ANAIF_RT_DAC0_SW4 0x40005a84u +#define CYDEV_ANAIF_RT_DAC0_STROBE 0x40005a87u +#define CYDEV_ANAIF_RT_DAC1_BASE 0x40005a88u +#define CYDEV_ANAIF_RT_DAC1_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DAC1_SW0 0x40005a88u +#define CYDEV_ANAIF_RT_DAC1_SW2 0x40005a8au +#define CYDEV_ANAIF_RT_DAC1_SW3 0x40005a8bu +#define CYDEV_ANAIF_RT_DAC1_SW4 0x40005a8cu +#define CYDEV_ANAIF_RT_DAC1_STROBE 0x40005a8fu +#define CYDEV_ANAIF_RT_DAC2_BASE 0x40005a90u +#define CYDEV_ANAIF_RT_DAC2_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DAC2_SW0 0x40005a90u +#define CYDEV_ANAIF_RT_DAC2_SW2 0x40005a92u +#define CYDEV_ANAIF_RT_DAC2_SW3 0x40005a93u +#define CYDEV_ANAIF_RT_DAC2_SW4 0x40005a94u +#define CYDEV_ANAIF_RT_DAC2_STROBE 0x40005a97u +#define CYDEV_ANAIF_RT_DAC3_BASE 0x40005a98u +#define CYDEV_ANAIF_RT_DAC3_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DAC3_SW0 0x40005a98u +#define CYDEV_ANAIF_RT_DAC3_SW2 0x40005a9au +#define CYDEV_ANAIF_RT_DAC3_SW3 0x40005a9bu +#define CYDEV_ANAIF_RT_DAC3_SW4 0x40005a9cu +#define CYDEV_ANAIF_RT_DAC3_STROBE 0x40005a9fu +#define CYDEV_ANAIF_RT_CMP0_BASE 0x40005ac0u +#define CYDEV_ANAIF_RT_CMP0_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_CMP0_SW0 0x40005ac0u +#define CYDEV_ANAIF_RT_CMP0_SW2 0x40005ac2u +#define CYDEV_ANAIF_RT_CMP0_SW3 0x40005ac3u +#define CYDEV_ANAIF_RT_CMP0_SW4 0x40005ac4u +#define CYDEV_ANAIF_RT_CMP0_SW6 0x40005ac6u +#define CYDEV_ANAIF_RT_CMP0_CLK 0x40005ac7u +#define CYDEV_ANAIF_RT_CMP1_BASE 0x40005ac8u +#define CYDEV_ANAIF_RT_CMP1_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_CMP1_SW0 0x40005ac8u +#define CYDEV_ANAIF_RT_CMP1_SW2 0x40005acau +#define CYDEV_ANAIF_RT_CMP1_SW3 0x40005acbu +#define CYDEV_ANAIF_RT_CMP1_SW4 0x40005accu +#define CYDEV_ANAIF_RT_CMP1_SW6 0x40005aceu +#define CYDEV_ANAIF_RT_CMP1_CLK 0x40005acfu +#define CYDEV_ANAIF_RT_CMP2_BASE 0x40005ad0u +#define CYDEV_ANAIF_RT_CMP2_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_CMP2_SW0 0x40005ad0u +#define CYDEV_ANAIF_RT_CMP2_SW2 0x40005ad2u +#define CYDEV_ANAIF_RT_CMP2_SW3 0x40005ad3u +#define CYDEV_ANAIF_RT_CMP2_SW4 0x40005ad4u +#define CYDEV_ANAIF_RT_CMP2_SW6 0x40005ad6u +#define CYDEV_ANAIF_RT_CMP2_CLK 0x40005ad7u +#define CYDEV_ANAIF_RT_CMP3_BASE 0x40005ad8u +#define CYDEV_ANAIF_RT_CMP3_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_CMP3_SW0 0x40005ad8u +#define CYDEV_ANAIF_RT_CMP3_SW2 0x40005adau +#define CYDEV_ANAIF_RT_CMP3_SW3 0x40005adbu +#define CYDEV_ANAIF_RT_CMP3_SW4 0x40005adcu +#define CYDEV_ANAIF_RT_CMP3_SW6 0x40005adeu +#define CYDEV_ANAIF_RT_CMP3_CLK 0x40005adfu +#define CYDEV_ANAIF_RT_DSM0_BASE 0x40005b00u +#define CYDEV_ANAIF_RT_DSM0_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_DSM0_SW0 0x40005b00u +#define CYDEV_ANAIF_RT_DSM0_SW2 0x40005b02u +#define CYDEV_ANAIF_RT_DSM0_SW3 0x40005b03u +#define CYDEV_ANAIF_RT_DSM0_SW4 0x40005b04u +#define CYDEV_ANAIF_RT_DSM0_SW6 0x40005b06u +#define CYDEV_ANAIF_RT_DSM0_CLK 0x40005b07u +#define CYDEV_ANAIF_RT_SAR0_BASE 0x40005b20u +#define CYDEV_ANAIF_RT_SAR0_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_SAR0_SW0 0x40005b20u +#define CYDEV_ANAIF_RT_SAR0_SW2 0x40005b22u +#define CYDEV_ANAIF_RT_SAR0_SW3 0x40005b23u +#define CYDEV_ANAIF_RT_SAR0_SW4 0x40005b24u +#define CYDEV_ANAIF_RT_SAR0_SW6 0x40005b26u +#define CYDEV_ANAIF_RT_SAR0_CLK 0x40005b27u +#define CYDEV_ANAIF_RT_SAR1_BASE 0x40005b28u +#define CYDEV_ANAIF_RT_SAR1_SIZE 0x00000008u +#define CYDEV_ANAIF_RT_SAR1_SW0 0x40005b28u +#define CYDEV_ANAIF_RT_SAR1_SW2 0x40005b2au +#define CYDEV_ANAIF_RT_SAR1_SW3 0x40005b2bu +#define CYDEV_ANAIF_RT_SAR1_SW4 0x40005b2cu +#define CYDEV_ANAIF_RT_SAR1_SW6 0x40005b2eu +#define CYDEV_ANAIF_RT_SAR1_CLK 0x40005b2fu +#define CYDEV_ANAIF_RT_OPAMP0_BASE 0x40005b40u +#define CYDEV_ANAIF_RT_OPAMP0_SIZE 0x00000002u +#define CYDEV_ANAIF_RT_OPAMP0_MX 0x40005b40u +#define CYDEV_ANAIF_RT_OPAMP0_SW 0x40005b41u +#define CYDEV_ANAIF_RT_OPAMP1_BASE 0x40005b42u +#define CYDEV_ANAIF_RT_OPAMP1_SIZE 0x00000002u +#define CYDEV_ANAIF_RT_OPAMP1_MX 0x40005b42u +#define CYDEV_ANAIF_RT_OPAMP1_SW 0x40005b43u +#define CYDEV_ANAIF_RT_OPAMP2_BASE 0x40005b44u +#define CYDEV_ANAIF_RT_OPAMP2_SIZE 0x00000002u +#define CYDEV_ANAIF_RT_OPAMP2_MX 0x40005b44u +#define CYDEV_ANAIF_RT_OPAMP2_SW 0x40005b45u +#define CYDEV_ANAIF_RT_OPAMP3_BASE 0x40005b46u +#define CYDEV_ANAIF_RT_OPAMP3_SIZE 0x00000002u +#define CYDEV_ANAIF_RT_OPAMP3_MX 0x40005b46u +#define CYDEV_ANAIF_RT_OPAMP3_SW 0x40005b47u +#define CYDEV_ANAIF_RT_LCDDAC_BASE 0x40005b50u +#define CYDEV_ANAIF_RT_LCDDAC_SIZE 0x00000005u +#define CYDEV_ANAIF_RT_LCDDAC_SW0 0x40005b50u +#define CYDEV_ANAIF_RT_LCDDAC_SW1 0x40005b51u +#define CYDEV_ANAIF_RT_LCDDAC_SW2 0x40005b52u +#define CYDEV_ANAIF_RT_LCDDAC_SW3 0x40005b53u +#define CYDEV_ANAIF_RT_LCDDAC_SW4 0x40005b54u +#define CYDEV_ANAIF_RT_SC_BASE 0x40005b56u +#define CYDEV_ANAIF_RT_SC_SIZE 0x00000001u +#define CYDEV_ANAIF_RT_SC_MISC 0x40005b56u +#define CYDEV_ANAIF_RT_BUS_BASE 0x40005b58u +#define CYDEV_ANAIF_RT_BUS_SIZE 0x00000004u +#define CYDEV_ANAIF_RT_BUS_SW0 0x40005b58u +#define CYDEV_ANAIF_RT_BUS_SW2 0x40005b5au +#define CYDEV_ANAIF_RT_BUS_SW3 0x40005b5bu +#define CYDEV_ANAIF_RT_DFT_BASE 0x40005b5cu +#define CYDEV_ANAIF_RT_DFT_SIZE 0x00000006u +#define CYDEV_ANAIF_RT_DFT_CR0 0x40005b5cu +#define CYDEV_ANAIF_RT_DFT_CR1 0x40005b5du +#define CYDEV_ANAIF_RT_DFT_CR2 0x40005b5eu +#define CYDEV_ANAIF_RT_DFT_CR3 0x40005b5fu +#define CYDEV_ANAIF_RT_DFT_CR4 0x40005b60u +#define CYDEV_ANAIF_RT_DFT_CR5 0x40005b61u +#define CYDEV_ANAIF_WRK_BASE 0x40005b80u +#define CYDEV_ANAIF_WRK_SIZE 0x00000029u +#define CYDEV_ANAIF_WRK_DAC0_BASE 0x40005b80u +#define CYDEV_ANAIF_WRK_DAC0_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_DAC0_D 0x40005b80u +#define CYDEV_ANAIF_WRK_DAC1_BASE 0x40005b81u +#define CYDEV_ANAIF_WRK_DAC1_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_DAC1_D 0x40005b81u +#define CYDEV_ANAIF_WRK_DAC2_BASE 0x40005b82u +#define CYDEV_ANAIF_WRK_DAC2_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_DAC2_D 0x40005b82u +#define CYDEV_ANAIF_WRK_DAC3_BASE 0x40005b83u +#define CYDEV_ANAIF_WRK_DAC3_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_DAC3_D 0x40005b83u +#define CYDEV_ANAIF_WRK_DSM0_BASE 0x40005b88u +#define CYDEV_ANAIF_WRK_DSM0_SIZE 0x00000002u +#define CYDEV_ANAIF_WRK_DSM0_OUT0 0x40005b88u +#define CYDEV_ANAIF_WRK_DSM0_OUT1 0x40005b89u +#define CYDEV_ANAIF_WRK_LUT_BASE 0x40005b90u +#define CYDEV_ANAIF_WRK_LUT_SIZE 0x00000005u +#define CYDEV_ANAIF_WRK_LUT_SR 0x40005b90u +#define CYDEV_ANAIF_WRK_LUT_WRK1 0x40005b91u +#define CYDEV_ANAIF_WRK_LUT_MSK 0x40005b92u +#define CYDEV_ANAIF_WRK_LUT_CLK 0x40005b93u +#define CYDEV_ANAIF_WRK_LUT_CPTR 0x40005b94u +#define CYDEV_ANAIF_WRK_CMP_BASE 0x40005b96u +#define CYDEV_ANAIF_WRK_CMP_SIZE 0x00000002u +#define CYDEV_ANAIF_WRK_CMP_WRK 0x40005b96u +#define CYDEV_ANAIF_WRK_CMP_TST 0x40005b97u +#define CYDEV_ANAIF_WRK_SC_BASE 0x40005b98u +#define CYDEV_ANAIF_WRK_SC_SIZE 0x00000005u +#define CYDEV_ANAIF_WRK_SC_SR 0x40005b98u +#define CYDEV_ANAIF_WRK_SC_WRK1 0x40005b99u +#define CYDEV_ANAIF_WRK_SC_MSK 0x40005b9au +#define CYDEV_ANAIF_WRK_SC_CMPINV 0x40005b9bu +#define CYDEV_ANAIF_WRK_SC_CPTR 0x40005b9cu +#define CYDEV_ANAIF_WRK_SAR0_BASE 0x40005ba0u +#define CYDEV_ANAIF_WRK_SAR0_SIZE 0x00000002u +#define CYDEV_ANAIF_WRK_SAR0_WRK0 0x40005ba0u +#define CYDEV_ANAIF_WRK_SAR0_WRK1 0x40005ba1u +#define CYDEV_ANAIF_WRK_SAR1_BASE 0x40005ba2u +#define CYDEV_ANAIF_WRK_SAR1_SIZE 0x00000002u +#define CYDEV_ANAIF_WRK_SAR1_WRK0 0x40005ba2u +#define CYDEV_ANAIF_WRK_SAR1_WRK1 0x40005ba3u +#define CYDEV_ANAIF_WRK_SARS_BASE 0x40005ba8u +#define CYDEV_ANAIF_WRK_SARS_SIZE 0x00000001u +#define CYDEV_ANAIF_WRK_SARS_SOF 0x40005ba8u +#define CYDEV_USB_BASE 0x40006000u +#define CYDEV_USB_SIZE 0x00000300u +#define CYDEV_USB_EP0_DR0 0x40006000u +#define CYDEV_USB_EP0_DR1 0x40006001u +#define CYDEV_USB_EP0_DR2 0x40006002u +#define CYDEV_USB_EP0_DR3 0x40006003u +#define CYDEV_USB_EP0_DR4 0x40006004u +#define CYDEV_USB_EP0_DR5 0x40006005u +#define CYDEV_USB_EP0_DR6 0x40006006u +#define CYDEV_USB_EP0_DR7 0x40006007u +#define CYDEV_USB_CR0 0x40006008u +#define CYDEV_USB_CR1 0x40006009u +#define CYDEV_USB_SIE_EP_INT_EN 0x4000600au +#define CYDEV_USB_SIE_EP_INT_SR 0x4000600bu +#define CYDEV_USB_SIE_EP1_BASE 0x4000600cu +#define CYDEV_USB_SIE_EP1_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP1_CNT0 0x4000600cu +#define CYDEV_USB_SIE_EP1_CNT1 0x4000600du +#define CYDEV_USB_SIE_EP1_CR0 0x4000600eu +#define CYDEV_USB_USBIO_CR0 0x40006010u +#define CYDEV_USB_USBIO_CR1 0x40006012u +#define CYDEV_USB_DYN_RECONFIG 0x40006014u +#define CYDEV_USB_SOF0 0x40006018u +#define CYDEV_USB_SOF1 0x40006019u +#define CYDEV_USB_SIE_EP2_BASE 0x4000601cu +#define CYDEV_USB_SIE_EP2_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP2_CNT0 0x4000601cu +#define CYDEV_USB_SIE_EP2_CNT1 0x4000601du +#define CYDEV_USB_SIE_EP2_CR0 0x4000601eu +#define CYDEV_USB_EP0_CR 0x40006028u +#define CYDEV_USB_EP0_CNT 0x40006029u +#define CYDEV_USB_SIE_EP3_BASE 0x4000602cu +#define CYDEV_USB_SIE_EP3_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP3_CNT0 0x4000602cu +#define CYDEV_USB_SIE_EP3_CNT1 0x4000602du +#define CYDEV_USB_SIE_EP3_CR0 0x4000602eu +#define CYDEV_USB_SIE_EP4_BASE 0x4000603cu +#define CYDEV_USB_SIE_EP4_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP4_CNT0 0x4000603cu +#define CYDEV_USB_SIE_EP4_CNT1 0x4000603du +#define CYDEV_USB_SIE_EP4_CR0 0x4000603eu +#define CYDEV_USB_SIE_EP5_BASE 0x4000604cu +#define CYDEV_USB_SIE_EP5_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP5_CNT0 0x4000604cu +#define CYDEV_USB_SIE_EP5_CNT1 0x4000604du +#define CYDEV_USB_SIE_EP5_CR0 0x4000604eu +#define CYDEV_USB_SIE_EP6_BASE 0x4000605cu +#define CYDEV_USB_SIE_EP6_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP6_CNT0 0x4000605cu +#define CYDEV_USB_SIE_EP6_CNT1 0x4000605du +#define CYDEV_USB_SIE_EP6_CR0 0x4000605eu +#define CYDEV_USB_SIE_EP7_BASE 0x4000606cu +#define CYDEV_USB_SIE_EP7_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP7_CNT0 0x4000606cu +#define CYDEV_USB_SIE_EP7_CNT1 0x4000606du +#define CYDEV_USB_SIE_EP7_CR0 0x4000606eu +#define CYDEV_USB_SIE_EP8_BASE 0x4000607cu +#define CYDEV_USB_SIE_EP8_SIZE 0x00000003u +#define CYDEV_USB_SIE_EP8_CNT0 0x4000607cu +#define CYDEV_USB_SIE_EP8_CNT1 0x4000607du +#define CYDEV_USB_SIE_EP8_CR0 0x4000607eu +#define CYDEV_USB_ARB_EP1_BASE 0x40006080u +#define CYDEV_USB_ARB_EP1_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP1_CFG 0x40006080u +#define CYDEV_USB_ARB_EP1_INT_EN 0x40006081u +#define CYDEV_USB_ARB_EP1_SR 0x40006082u +#define CYDEV_USB_ARB_RW1_BASE 0x40006084u +#define CYDEV_USB_ARB_RW1_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW1_WA 0x40006084u +#define CYDEV_USB_ARB_RW1_WA_MSB 0x40006085u +#define CYDEV_USB_ARB_RW1_RA 0x40006086u +#define CYDEV_USB_ARB_RW1_RA_MSB 0x40006087u +#define CYDEV_USB_ARB_RW1_DR 0x40006088u +#define CYDEV_USB_BUF_SIZE 0x4000608cu +#define CYDEV_USB_EP_ACTIVE 0x4000608eu +#define CYDEV_USB_EP_TYPE 0x4000608fu +#define CYDEV_USB_ARB_EP2_BASE 0x40006090u +#define CYDEV_USB_ARB_EP2_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP2_CFG 0x40006090u +#define CYDEV_USB_ARB_EP2_INT_EN 0x40006091u +#define CYDEV_USB_ARB_EP2_SR 0x40006092u +#define CYDEV_USB_ARB_RW2_BASE 0x40006094u +#define CYDEV_USB_ARB_RW2_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW2_WA 0x40006094u +#define CYDEV_USB_ARB_RW2_WA_MSB 0x40006095u +#define CYDEV_USB_ARB_RW2_RA 0x40006096u +#define CYDEV_USB_ARB_RW2_RA_MSB 0x40006097u +#define CYDEV_USB_ARB_RW2_DR 0x40006098u +#define CYDEV_USB_ARB_CFG 0x4000609cu +#define CYDEV_USB_USB_CLK_EN 0x4000609du +#define CYDEV_USB_ARB_INT_EN 0x4000609eu +#define CYDEV_USB_ARB_INT_SR 0x4000609fu +#define CYDEV_USB_ARB_EP3_BASE 0x400060a0u +#define CYDEV_USB_ARB_EP3_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP3_CFG 0x400060a0u +#define CYDEV_USB_ARB_EP3_INT_EN 0x400060a1u +#define CYDEV_USB_ARB_EP3_SR 0x400060a2u +#define CYDEV_USB_ARB_RW3_BASE 0x400060a4u +#define CYDEV_USB_ARB_RW3_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW3_WA 0x400060a4u +#define CYDEV_USB_ARB_RW3_WA_MSB 0x400060a5u +#define CYDEV_USB_ARB_RW3_RA 0x400060a6u +#define CYDEV_USB_ARB_RW3_RA_MSB 0x400060a7u +#define CYDEV_USB_ARB_RW3_DR 0x400060a8u +#define CYDEV_USB_CWA 0x400060acu +#define CYDEV_USB_CWA_MSB 0x400060adu +#define CYDEV_USB_ARB_EP4_BASE 0x400060b0u +#define CYDEV_USB_ARB_EP4_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP4_CFG 0x400060b0u +#define CYDEV_USB_ARB_EP4_INT_EN 0x400060b1u +#define CYDEV_USB_ARB_EP4_SR 0x400060b2u +#define CYDEV_USB_ARB_RW4_BASE 0x400060b4u +#define CYDEV_USB_ARB_RW4_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW4_WA 0x400060b4u +#define CYDEV_USB_ARB_RW4_WA_MSB 0x400060b5u +#define CYDEV_USB_ARB_RW4_RA 0x400060b6u +#define CYDEV_USB_ARB_RW4_RA_MSB 0x400060b7u +#define CYDEV_USB_ARB_RW4_DR 0x400060b8u +#define CYDEV_USB_DMA_THRES 0x400060bcu +#define CYDEV_USB_DMA_THRES_MSB 0x400060bdu +#define CYDEV_USB_ARB_EP5_BASE 0x400060c0u +#define CYDEV_USB_ARB_EP5_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP5_CFG 0x400060c0u +#define CYDEV_USB_ARB_EP5_INT_EN 0x400060c1u +#define CYDEV_USB_ARB_EP5_SR 0x400060c2u +#define CYDEV_USB_ARB_RW5_BASE 0x400060c4u +#define CYDEV_USB_ARB_RW5_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW5_WA 0x400060c4u +#define CYDEV_USB_ARB_RW5_WA_MSB 0x400060c5u +#define CYDEV_USB_ARB_RW5_RA 0x400060c6u +#define CYDEV_USB_ARB_RW5_RA_MSB 0x400060c7u +#define CYDEV_USB_ARB_RW5_DR 0x400060c8u +#define CYDEV_USB_BUS_RST_CNT 0x400060ccu +#define CYDEV_USB_ARB_EP6_BASE 0x400060d0u +#define CYDEV_USB_ARB_EP6_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP6_CFG 0x400060d0u +#define CYDEV_USB_ARB_EP6_INT_EN 0x400060d1u +#define CYDEV_USB_ARB_EP6_SR 0x400060d2u +#define CYDEV_USB_ARB_RW6_BASE 0x400060d4u +#define CYDEV_USB_ARB_RW6_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW6_WA 0x400060d4u +#define CYDEV_USB_ARB_RW6_WA_MSB 0x400060d5u +#define CYDEV_USB_ARB_RW6_RA 0x400060d6u +#define CYDEV_USB_ARB_RW6_RA_MSB 0x400060d7u +#define CYDEV_USB_ARB_RW6_DR 0x400060d8u +#define CYDEV_USB_ARB_EP7_BASE 0x400060e0u +#define CYDEV_USB_ARB_EP7_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP7_CFG 0x400060e0u +#define CYDEV_USB_ARB_EP7_INT_EN 0x400060e1u +#define CYDEV_USB_ARB_EP7_SR 0x400060e2u +#define CYDEV_USB_ARB_RW7_BASE 0x400060e4u +#define CYDEV_USB_ARB_RW7_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW7_WA 0x400060e4u +#define CYDEV_USB_ARB_RW7_WA_MSB 0x400060e5u +#define CYDEV_USB_ARB_RW7_RA 0x400060e6u +#define CYDEV_USB_ARB_RW7_RA_MSB 0x400060e7u +#define CYDEV_USB_ARB_RW7_DR 0x400060e8u +#define CYDEV_USB_ARB_EP8_BASE 0x400060f0u +#define CYDEV_USB_ARB_EP8_SIZE 0x00000003u +#define CYDEV_USB_ARB_EP8_CFG 0x400060f0u +#define CYDEV_USB_ARB_EP8_INT_EN 0x400060f1u +#define CYDEV_USB_ARB_EP8_SR 0x400060f2u +#define CYDEV_USB_ARB_RW8_BASE 0x400060f4u +#define CYDEV_USB_ARB_RW8_SIZE 0x00000005u +#define CYDEV_USB_ARB_RW8_WA 0x400060f4u +#define CYDEV_USB_ARB_RW8_WA_MSB 0x400060f5u +#define CYDEV_USB_ARB_RW8_RA 0x400060f6u +#define CYDEV_USB_ARB_RW8_RA_MSB 0x400060f7u +#define CYDEV_USB_ARB_RW8_DR 0x400060f8u +#define CYDEV_USB_MEM_BASE 0x40006100u +#define CYDEV_USB_MEM_SIZE 0x00000200u +#define CYDEV_USB_MEM_DATA_MBASE 0x40006100u +#define CYDEV_USB_MEM_DATA_MSIZE 0x00000200u +#define CYDEV_UWRK_BASE 0x40006400u +#define CYDEV_UWRK_SIZE 0x00000b60u +#define CYDEV_UWRK_UWRK8_BASE 0x40006400u +#define CYDEV_UWRK_UWRK8_SIZE 0x000003b0u +#define CYDEV_UWRK_UWRK8_B0_BASE 0x40006400u +#define CYDEV_UWRK_UWRK8_B0_SIZE 0x000000b0u +#define CYDEV_UWRK_UWRK8_B0_UDB00_A0 0x40006400u +#define CYDEV_UWRK_UWRK8_B0_UDB01_A0 0x40006401u +#define CYDEV_UWRK_UWRK8_B0_UDB02_A0 0x40006402u +#define CYDEV_UWRK_UWRK8_B0_UDB03_A0 0x40006403u +#define CYDEV_UWRK_UWRK8_B0_UDB04_A0 0x40006404u +#define CYDEV_UWRK_UWRK8_B0_UDB05_A0 0x40006405u +#define CYDEV_UWRK_UWRK8_B0_UDB06_A0 0x40006406u +#define CYDEV_UWRK_UWRK8_B0_UDB07_A0 0x40006407u +#define CYDEV_UWRK_UWRK8_B0_UDB08_A0 0x40006408u +#define CYDEV_UWRK_UWRK8_B0_UDB09_A0 0x40006409u +#define CYDEV_UWRK_UWRK8_B0_UDB10_A0 0x4000640au +#define CYDEV_UWRK_UWRK8_B0_UDB11_A0 0x4000640bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_A0 0x4000640cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_A0 0x4000640du +#define CYDEV_UWRK_UWRK8_B0_UDB14_A0 0x4000640eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_A0 0x4000640fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_A1 0x40006410u +#define CYDEV_UWRK_UWRK8_B0_UDB01_A1 0x40006411u +#define CYDEV_UWRK_UWRK8_B0_UDB02_A1 0x40006412u +#define CYDEV_UWRK_UWRK8_B0_UDB03_A1 0x40006413u +#define CYDEV_UWRK_UWRK8_B0_UDB04_A1 0x40006414u +#define CYDEV_UWRK_UWRK8_B0_UDB05_A1 0x40006415u +#define CYDEV_UWRK_UWRK8_B0_UDB06_A1 0x40006416u +#define CYDEV_UWRK_UWRK8_B0_UDB07_A1 0x40006417u +#define CYDEV_UWRK_UWRK8_B0_UDB08_A1 0x40006418u +#define CYDEV_UWRK_UWRK8_B0_UDB09_A1 0x40006419u +#define CYDEV_UWRK_UWRK8_B0_UDB10_A1 0x4000641au +#define CYDEV_UWRK_UWRK8_B0_UDB11_A1 0x4000641bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_A1 0x4000641cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_A1 0x4000641du +#define CYDEV_UWRK_UWRK8_B0_UDB14_A1 0x4000641eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_A1 0x4000641fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_D0 0x40006420u +#define CYDEV_UWRK_UWRK8_B0_UDB01_D0 0x40006421u +#define CYDEV_UWRK_UWRK8_B0_UDB02_D0 0x40006422u +#define CYDEV_UWRK_UWRK8_B0_UDB03_D0 0x40006423u +#define CYDEV_UWRK_UWRK8_B0_UDB04_D0 0x40006424u +#define CYDEV_UWRK_UWRK8_B0_UDB05_D0 0x40006425u +#define CYDEV_UWRK_UWRK8_B0_UDB06_D0 0x40006426u +#define CYDEV_UWRK_UWRK8_B0_UDB07_D0 0x40006427u +#define CYDEV_UWRK_UWRK8_B0_UDB08_D0 0x40006428u +#define CYDEV_UWRK_UWRK8_B0_UDB09_D0 0x40006429u +#define CYDEV_UWRK_UWRK8_B0_UDB10_D0 0x4000642au +#define CYDEV_UWRK_UWRK8_B0_UDB11_D0 0x4000642bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_D0 0x4000642cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_D0 0x4000642du +#define CYDEV_UWRK_UWRK8_B0_UDB14_D0 0x4000642eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_D0 0x4000642fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_D1 0x40006430u +#define CYDEV_UWRK_UWRK8_B0_UDB01_D1 0x40006431u +#define CYDEV_UWRK_UWRK8_B0_UDB02_D1 0x40006432u +#define CYDEV_UWRK_UWRK8_B0_UDB03_D1 0x40006433u +#define CYDEV_UWRK_UWRK8_B0_UDB04_D1 0x40006434u +#define CYDEV_UWRK_UWRK8_B0_UDB05_D1 0x40006435u +#define CYDEV_UWRK_UWRK8_B0_UDB06_D1 0x40006436u +#define CYDEV_UWRK_UWRK8_B0_UDB07_D1 0x40006437u +#define CYDEV_UWRK_UWRK8_B0_UDB08_D1 0x40006438u +#define CYDEV_UWRK_UWRK8_B0_UDB09_D1 0x40006439u +#define CYDEV_UWRK_UWRK8_B0_UDB10_D1 0x4000643au +#define CYDEV_UWRK_UWRK8_B0_UDB11_D1 0x4000643bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_D1 0x4000643cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_D1 0x4000643du +#define CYDEV_UWRK_UWRK8_B0_UDB14_D1 0x4000643eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_D1 0x4000643fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_F0 0x40006440u +#define CYDEV_UWRK_UWRK8_B0_UDB01_F0 0x40006441u +#define CYDEV_UWRK_UWRK8_B0_UDB02_F0 0x40006442u +#define CYDEV_UWRK_UWRK8_B0_UDB03_F0 0x40006443u +#define CYDEV_UWRK_UWRK8_B0_UDB04_F0 0x40006444u +#define CYDEV_UWRK_UWRK8_B0_UDB05_F0 0x40006445u +#define CYDEV_UWRK_UWRK8_B0_UDB06_F0 0x40006446u +#define CYDEV_UWRK_UWRK8_B0_UDB07_F0 0x40006447u +#define CYDEV_UWRK_UWRK8_B0_UDB08_F0 0x40006448u +#define CYDEV_UWRK_UWRK8_B0_UDB09_F0 0x40006449u +#define CYDEV_UWRK_UWRK8_B0_UDB10_F0 0x4000644au +#define CYDEV_UWRK_UWRK8_B0_UDB11_F0 0x4000644bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_F0 0x4000644cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_F0 0x4000644du +#define CYDEV_UWRK_UWRK8_B0_UDB14_F0 0x4000644eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_F0 0x4000644fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_F1 0x40006450u +#define CYDEV_UWRK_UWRK8_B0_UDB01_F1 0x40006451u +#define CYDEV_UWRK_UWRK8_B0_UDB02_F1 0x40006452u +#define CYDEV_UWRK_UWRK8_B0_UDB03_F1 0x40006453u +#define CYDEV_UWRK_UWRK8_B0_UDB04_F1 0x40006454u +#define CYDEV_UWRK_UWRK8_B0_UDB05_F1 0x40006455u +#define CYDEV_UWRK_UWRK8_B0_UDB06_F1 0x40006456u +#define CYDEV_UWRK_UWRK8_B0_UDB07_F1 0x40006457u +#define CYDEV_UWRK_UWRK8_B0_UDB08_F1 0x40006458u +#define CYDEV_UWRK_UWRK8_B0_UDB09_F1 0x40006459u +#define CYDEV_UWRK_UWRK8_B0_UDB10_F1 0x4000645au +#define CYDEV_UWRK_UWRK8_B0_UDB11_F1 0x4000645bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_F1 0x4000645cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_F1 0x4000645du +#define CYDEV_UWRK_UWRK8_B0_UDB14_F1 0x4000645eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_F1 0x4000645fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_ST 0x40006460u +#define CYDEV_UWRK_UWRK8_B0_UDB01_ST 0x40006461u +#define CYDEV_UWRK_UWRK8_B0_UDB02_ST 0x40006462u +#define CYDEV_UWRK_UWRK8_B0_UDB03_ST 0x40006463u +#define CYDEV_UWRK_UWRK8_B0_UDB04_ST 0x40006464u +#define CYDEV_UWRK_UWRK8_B0_UDB05_ST 0x40006465u +#define CYDEV_UWRK_UWRK8_B0_UDB06_ST 0x40006466u +#define CYDEV_UWRK_UWRK8_B0_UDB07_ST 0x40006467u +#define CYDEV_UWRK_UWRK8_B0_UDB08_ST 0x40006468u +#define CYDEV_UWRK_UWRK8_B0_UDB09_ST 0x40006469u +#define CYDEV_UWRK_UWRK8_B0_UDB10_ST 0x4000646au +#define CYDEV_UWRK_UWRK8_B0_UDB11_ST 0x4000646bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_ST 0x4000646cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_ST 0x4000646du +#define CYDEV_UWRK_UWRK8_B0_UDB14_ST 0x4000646eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_ST 0x4000646fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_CTL 0x40006470u +#define CYDEV_UWRK_UWRK8_B0_UDB01_CTL 0x40006471u +#define CYDEV_UWRK_UWRK8_B0_UDB02_CTL 0x40006472u +#define CYDEV_UWRK_UWRK8_B0_UDB03_CTL 0x40006473u +#define CYDEV_UWRK_UWRK8_B0_UDB04_CTL 0x40006474u +#define CYDEV_UWRK_UWRK8_B0_UDB05_CTL 0x40006475u +#define CYDEV_UWRK_UWRK8_B0_UDB06_CTL 0x40006476u +#define CYDEV_UWRK_UWRK8_B0_UDB07_CTL 0x40006477u +#define CYDEV_UWRK_UWRK8_B0_UDB08_CTL 0x40006478u +#define CYDEV_UWRK_UWRK8_B0_UDB09_CTL 0x40006479u +#define CYDEV_UWRK_UWRK8_B0_UDB10_CTL 0x4000647au +#define CYDEV_UWRK_UWRK8_B0_UDB11_CTL 0x4000647bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_CTL 0x4000647cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_CTL 0x4000647du +#define CYDEV_UWRK_UWRK8_B0_UDB14_CTL 0x4000647eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_CTL 0x4000647fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_MSK 0x40006480u +#define CYDEV_UWRK_UWRK8_B0_UDB01_MSK 0x40006481u +#define CYDEV_UWRK_UWRK8_B0_UDB02_MSK 0x40006482u +#define CYDEV_UWRK_UWRK8_B0_UDB03_MSK 0x40006483u +#define CYDEV_UWRK_UWRK8_B0_UDB04_MSK 0x40006484u +#define CYDEV_UWRK_UWRK8_B0_UDB05_MSK 0x40006485u +#define CYDEV_UWRK_UWRK8_B0_UDB06_MSK 0x40006486u +#define CYDEV_UWRK_UWRK8_B0_UDB07_MSK 0x40006487u +#define CYDEV_UWRK_UWRK8_B0_UDB08_MSK 0x40006488u +#define CYDEV_UWRK_UWRK8_B0_UDB09_MSK 0x40006489u +#define CYDEV_UWRK_UWRK8_B0_UDB10_MSK 0x4000648au +#define CYDEV_UWRK_UWRK8_B0_UDB11_MSK 0x4000648bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_MSK 0x4000648cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_MSK 0x4000648du +#define CYDEV_UWRK_UWRK8_B0_UDB14_MSK 0x4000648eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_MSK 0x4000648fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_ACTL 0x40006490u +#define CYDEV_UWRK_UWRK8_B0_UDB01_ACTL 0x40006491u +#define CYDEV_UWRK_UWRK8_B0_UDB02_ACTL 0x40006492u +#define CYDEV_UWRK_UWRK8_B0_UDB03_ACTL 0x40006493u +#define CYDEV_UWRK_UWRK8_B0_UDB04_ACTL 0x40006494u +#define CYDEV_UWRK_UWRK8_B0_UDB05_ACTL 0x40006495u +#define CYDEV_UWRK_UWRK8_B0_UDB06_ACTL 0x40006496u +#define CYDEV_UWRK_UWRK8_B0_UDB07_ACTL 0x40006497u +#define CYDEV_UWRK_UWRK8_B0_UDB08_ACTL 0x40006498u +#define CYDEV_UWRK_UWRK8_B0_UDB09_ACTL 0x40006499u +#define CYDEV_UWRK_UWRK8_B0_UDB10_ACTL 0x4000649au +#define CYDEV_UWRK_UWRK8_B0_UDB11_ACTL 0x4000649bu +#define CYDEV_UWRK_UWRK8_B0_UDB12_ACTL 0x4000649cu +#define CYDEV_UWRK_UWRK8_B0_UDB13_ACTL 0x4000649du +#define CYDEV_UWRK_UWRK8_B0_UDB14_ACTL 0x4000649eu +#define CYDEV_UWRK_UWRK8_B0_UDB15_ACTL 0x4000649fu +#define CYDEV_UWRK_UWRK8_B0_UDB00_MC 0x400064a0u +#define CYDEV_UWRK_UWRK8_B0_UDB01_MC 0x400064a1u +#define CYDEV_UWRK_UWRK8_B0_UDB02_MC 0x400064a2u +#define CYDEV_UWRK_UWRK8_B0_UDB03_MC 0x400064a3u +#define CYDEV_UWRK_UWRK8_B0_UDB04_MC 0x400064a4u +#define CYDEV_UWRK_UWRK8_B0_UDB05_MC 0x400064a5u +#define CYDEV_UWRK_UWRK8_B0_UDB06_MC 0x400064a6u +#define CYDEV_UWRK_UWRK8_B0_UDB07_MC 0x400064a7u +#define CYDEV_UWRK_UWRK8_B0_UDB08_MC 0x400064a8u +#define CYDEV_UWRK_UWRK8_B0_UDB09_MC 0x400064a9u +#define CYDEV_UWRK_UWRK8_B0_UDB10_MC 0x400064aau +#define CYDEV_UWRK_UWRK8_B0_UDB11_MC 0x400064abu +#define CYDEV_UWRK_UWRK8_B0_UDB12_MC 0x400064acu +#define CYDEV_UWRK_UWRK8_B0_UDB13_MC 0x400064adu +#define CYDEV_UWRK_UWRK8_B0_UDB14_MC 0x400064aeu +#define CYDEV_UWRK_UWRK8_B0_UDB15_MC 0x400064afu +#define CYDEV_UWRK_UWRK8_B1_BASE 0x40006500u +#define CYDEV_UWRK_UWRK8_B1_SIZE 0x000000b0u +#define CYDEV_UWRK_UWRK8_B1_UDB04_A0 0x40006504u +#define CYDEV_UWRK_UWRK8_B1_UDB05_A0 0x40006505u +#define CYDEV_UWRK_UWRK8_B1_UDB06_A0 0x40006506u +#define CYDEV_UWRK_UWRK8_B1_UDB07_A0 0x40006507u +#define CYDEV_UWRK_UWRK8_B1_UDB08_A0 0x40006508u +#define CYDEV_UWRK_UWRK8_B1_UDB09_A0 0x40006509u +#define CYDEV_UWRK_UWRK8_B1_UDB10_A0 0x4000650au +#define CYDEV_UWRK_UWRK8_B1_UDB11_A0 0x4000650bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_A1 0x40006514u +#define CYDEV_UWRK_UWRK8_B1_UDB05_A1 0x40006515u +#define CYDEV_UWRK_UWRK8_B1_UDB06_A1 0x40006516u +#define CYDEV_UWRK_UWRK8_B1_UDB07_A1 0x40006517u +#define CYDEV_UWRK_UWRK8_B1_UDB08_A1 0x40006518u +#define CYDEV_UWRK_UWRK8_B1_UDB09_A1 0x40006519u +#define CYDEV_UWRK_UWRK8_B1_UDB10_A1 0x4000651au +#define CYDEV_UWRK_UWRK8_B1_UDB11_A1 0x4000651bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_D0 0x40006524u +#define CYDEV_UWRK_UWRK8_B1_UDB05_D0 0x40006525u +#define CYDEV_UWRK_UWRK8_B1_UDB06_D0 0x40006526u +#define CYDEV_UWRK_UWRK8_B1_UDB07_D0 0x40006527u +#define CYDEV_UWRK_UWRK8_B1_UDB08_D0 0x40006528u +#define CYDEV_UWRK_UWRK8_B1_UDB09_D0 0x40006529u +#define CYDEV_UWRK_UWRK8_B1_UDB10_D0 0x4000652au +#define CYDEV_UWRK_UWRK8_B1_UDB11_D0 0x4000652bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_D1 0x40006534u +#define CYDEV_UWRK_UWRK8_B1_UDB05_D1 0x40006535u +#define CYDEV_UWRK_UWRK8_B1_UDB06_D1 0x40006536u +#define CYDEV_UWRK_UWRK8_B1_UDB07_D1 0x40006537u +#define CYDEV_UWRK_UWRK8_B1_UDB08_D1 0x40006538u +#define CYDEV_UWRK_UWRK8_B1_UDB09_D1 0x40006539u +#define CYDEV_UWRK_UWRK8_B1_UDB10_D1 0x4000653au +#define CYDEV_UWRK_UWRK8_B1_UDB11_D1 0x4000653bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_F0 0x40006544u +#define CYDEV_UWRK_UWRK8_B1_UDB05_F0 0x40006545u +#define CYDEV_UWRK_UWRK8_B1_UDB06_F0 0x40006546u +#define CYDEV_UWRK_UWRK8_B1_UDB07_F0 0x40006547u +#define CYDEV_UWRK_UWRK8_B1_UDB08_F0 0x40006548u +#define CYDEV_UWRK_UWRK8_B1_UDB09_F0 0x40006549u +#define CYDEV_UWRK_UWRK8_B1_UDB10_F0 0x4000654au +#define CYDEV_UWRK_UWRK8_B1_UDB11_F0 0x4000654bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_F1 0x40006554u +#define CYDEV_UWRK_UWRK8_B1_UDB05_F1 0x40006555u +#define CYDEV_UWRK_UWRK8_B1_UDB06_F1 0x40006556u +#define CYDEV_UWRK_UWRK8_B1_UDB07_F1 0x40006557u +#define CYDEV_UWRK_UWRK8_B1_UDB08_F1 0x40006558u +#define CYDEV_UWRK_UWRK8_B1_UDB09_F1 0x40006559u +#define CYDEV_UWRK_UWRK8_B1_UDB10_F1 0x4000655au +#define CYDEV_UWRK_UWRK8_B1_UDB11_F1 0x4000655bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_ST 0x40006564u +#define CYDEV_UWRK_UWRK8_B1_UDB05_ST 0x40006565u +#define CYDEV_UWRK_UWRK8_B1_UDB06_ST 0x40006566u +#define CYDEV_UWRK_UWRK8_B1_UDB07_ST 0x40006567u +#define CYDEV_UWRK_UWRK8_B1_UDB08_ST 0x40006568u +#define CYDEV_UWRK_UWRK8_B1_UDB09_ST 0x40006569u +#define CYDEV_UWRK_UWRK8_B1_UDB10_ST 0x4000656au +#define CYDEV_UWRK_UWRK8_B1_UDB11_ST 0x4000656bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_CTL 0x40006574u +#define CYDEV_UWRK_UWRK8_B1_UDB05_CTL 0x40006575u +#define CYDEV_UWRK_UWRK8_B1_UDB06_CTL 0x40006576u +#define CYDEV_UWRK_UWRK8_B1_UDB07_CTL 0x40006577u +#define CYDEV_UWRK_UWRK8_B1_UDB08_CTL 0x40006578u +#define CYDEV_UWRK_UWRK8_B1_UDB09_CTL 0x40006579u +#define CYDEV_UWRK_UWRK8_B1_UDB10_CTL 0x4000657au +#define CYDEV_UWRK_UWRK8_B1_UDB11_CTL 0x4000657bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_MSK 0x40006584u +#define CYDEV_UWRK_UWRK8_B1_UDB05_MSK 0x40006585u +#define CYDEV_UWRK_UWRK8_B1_UDB06_MSK 0x40006586u +#define CYDEV_UWRK_UWRK8_B1_UDB07_MSK 0x40006587u +#define CYDEV_UWRK_UWRK8_B1_UDB08_MSK 0x40006588u +#define CYDEV_UWRK_UWRK8_B1_UDB09_MSK 0x40006589u +#define CYDEV_UWRK_UWRK8_B1_UDB10_MSK 0x4000658au +#define CYDEV_UWRK_UWRK8_B1_UDB11_MSK 0x4000658bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_ACTL 0x40006594u +#define CYDEV_UWRK_UWRK8_B1_UDB05_ACTL 0x40006595u +#define CYDEV_UWRK_UWRK8_B1_UDB06_ACTL 0x40006596u +#define CYDEV_UWRK_UWRK8_B1_UDB07_ACTL 0x40006597u +#define CYDEV_UWRK_UWRK8_B1_UDB08_ACTL 0x40006598u +#define CYDEV_UWRK_UWRK8_B1_UDB09_ACTL 0x40006599u +#define CYDEV_UWRK_UWRK8_B1_UDB10_ACTL 0x4000659au +#define CYDEV_UWRK_UWRK8_B1_UDB11_ACTL 0x4000659bu +#define CYDEV_UWRK_UWRK8_B1_UDB04_MC 0x400065a4u +#define CYDEV_UWRK_UWRK8_B1_UDB05_MC 0x400065a5u +#define CYDEV_UWRK_UWRK8_B1_UDB06_MC 0x400065a6u +#define CYDEV_UWRK_UWRK8_B1_UDB07_MC 0x400065a7u +#define CYDEV_UWRK_UWRK8_B1_UDB08_MC 0x400065a8u +#define CYDEV_UWRK_UWRK8_B1_UDB09_MC 0x400065a9u +#define CYDEV_UWRK_UWRK8_B1_UDB10_MC 0x400065aau +#define CYDEV_UWRK_UWRK8_B1_UDB11_MC 0x400065abu +#define CYDEV_UWRK_UWRK16_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_SIZE 0x00000760u +#define CYDEV_UWRK_UWRK16_CAT_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_SIZE 0x00000760u +#define CYDEV_UWRK_UWRK16_CAT_B0_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_B0_SIZE 0x00000160u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1 0x40006802u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1 0x40006804u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1 0x40006806u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1 0x40006808u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1 0x4000680au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1 0x4000680cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1 0x4000680eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1 0x40006810u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1 0x40006812u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1 0x40006814u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1 0x40006816u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1 0x40006818u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1 0x4000681au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1 0x4000681cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1 0x4000681eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1 0x40006840u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1 0x40006842u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1 0x40006844u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1 0x40006846u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1 0x40006848u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1 0x4000684au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1 0x4000684cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1 0x4000684eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1 0x40006850u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1 0x40006852u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1 0x40006854u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1 0x40006856u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1 0x40006858u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1 0x4000685au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1 0x4000685cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1 0x4000685eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1 0x40006880u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1 0x40006882u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1 0x40006884u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1 0x40006886u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1 0x40006888u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1 0x4000688au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1 0x4000688cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1 0x4000688eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1 0x40006890u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1 0x40006892u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1 0x40006894u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1 0x40006896u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1 0x40006898u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1 0x4000689au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1 0x4000689cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1 0x4000689eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL 0x400068c0u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL 0x400068c2u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL 0x400068c4u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL 0x400068c6u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL 0x400068c8u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL 0x400068cau +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL 0x400068ccu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL 0x400068ceu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL 0x400068d0u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL 0x400068d2u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL 0x400068d4u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL 0x400068d6u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL 0x400068d8u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL 0x400068dau +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL 0x400068dcu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL 0x400068deu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL 0x40006900u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL 0x40006902u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL 0x40006904u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL 0x40006906u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL 0x40006908u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL 0x4000690au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL 0x4000690cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL 0x4000690eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL 0x40006910u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL 0x40006912u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL 0x40006914u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL 0x40006916u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL 0x40006918u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL 0x4000691au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL 0x4000691cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL 0x4000691eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00 0x40006940u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00 0x40006942u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00 0x40006944u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00 0x40006946u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00 0x40006948u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00 0x4000694au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00 0x4000694cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00 0x4000694eu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00 0x40006950u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00 0x40006952u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00 0x40006954u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00 0x40006956u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00 0x40006958u +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00 0x4000695au +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00 0x4000695cu +#define CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00 0x4000695eu +#define CYDEV_UWRK_UWRK16_CAT_B1_BASE 0x40006a00u +#define CYDEV_UWRK_UWRK16_CAT_B1_SIZE 0x00000160u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1 0x40006a08u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1 0x40006a0au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1 0x40006a0cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1 0x40006a0eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1 0x40006a10u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1 0x40006a12u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1 0x40006a14u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1 0x40006a16u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1 0x40006a48u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1 0x40006a4au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1 0x40006a4cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1 0x40006a4eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1 0x40006a50u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1 0x40006a52u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1 0x40006a54u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1 0x40006a56u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1 0x40006a88u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1 0x40006a8au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1 0x40006a8cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1 0x40006a8eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1 0x40006a90u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1 0x40006a92u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1 0x40006a94u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1 0x40006a96u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL 0x40006ac8u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL 0x40006acau +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL 0x40006accu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL 0x40006aceu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL 0x40006ad0u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL 0x40006ad2u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL 0x40006ad4u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL 0x40006ad6u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL 0x40006b08u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL 0x40006b0au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL 0x40006b0cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL 0x40006b0eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL 0x40006b10u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL 0x40006b12u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL 0x40006b14u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL 0x40006b16u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00 0x40006b48u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00 0x40006b4au +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00 0x40006b4cu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00 0x40006b4eu +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00 0x40006b50u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00 0x40006b52u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00 0x40006b54u +#define CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00 0x40006b56u +#define CYDEV_UWRK_UWRK16_DEF_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_SIZE 0x0000075eu +#define CYDEV_UWRK_UWRK16_DEF_B0_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_B0_SIZE 0x0000015eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0 0x40006802u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0 0x40006804u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0 0x40006806u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0 0x40006808u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0 0x4000680au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0 0x4000680cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0 0x4000680eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0 0x40006810u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0 0x40006812u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0 0x40006814u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0 0x40006816u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0 0x40006818u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0 0x4000681au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0 0x4000681cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1 0x40006820u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1 0x40006822u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1 0x40006824u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1 0x40006826u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1 0x40006828u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1 0x4000682au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1 0x4000682cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1 0x4000682eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1 0x40006830u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1 0x40006832u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1 0x40006834u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1 0x40006836u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1 0x40006838u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1 0x4000683au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1 0x4000683cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0 0x40006840u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0 0x40006842u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0 0x40006844u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0 0x40006846u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0 0x40006848u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0 0x4000684au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0 0x4000684cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0 0x4000684eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0 0x40006850u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0 0x40006852u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0 0x40006854u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0 0x40006856u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0 0x40006858u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0 0x4000685au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0 0x4000685cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1 0x40006860u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1 0x40006862u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1 0x40006864u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1 0x40006866u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1 0x40006868u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1 0x4000686au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1 0x4000686cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1 0x4000686eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1 0x40006870u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1 0x40006872u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1 0x40006874u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1 0x40006876u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1 0x40006878u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1 0x4000687au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1 0x4000687cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0 0x40006880u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0 0x40006882u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0 0x40006884u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0 0x40006886u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0 0x40006888u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0 0x4000688au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0 0x4000688cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0 0x4000688eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0 0x40006890u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0 0x40006892u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0 0x40006894u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0 0x40006896u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0 0x40006898u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0 0x4000689au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0 0x4000689cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1 0x400068a0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1 0x400068a2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1 0x400068a4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1 0x400068a6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1 0x400068a8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1 0x400068aau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1 0x400068acu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1 0x400068aeu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1 0x400068b0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1 0x400068b2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1 0x400068b4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1 0x400068b6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1 0x400068b8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1 0x400068bau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1 0x400068bcu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST 0x400068c0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST 0x400068c2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST 0x400068c4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST 0x400068c6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST 0x400068c8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST 0x400068cau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST 0x400068ccu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST 0x400068ceu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST 0x400068d0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST 0x400068d2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST 0x400068d4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST 0x400068d6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST 0x400068d8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST 0x400068dau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST 0x400068dcu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL 0x400068e0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL 0x400068e2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL 0x400068e4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL 0x400068e6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL 0x400068e8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL 0x400068eau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL 0x400068ecu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL 0x400068eeu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL 0x400068f0u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL 0x400068f2u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL 0x400068f4u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL 0x400068f6u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL 0x400068f8u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL 0x400068fau +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL 0x400068fcu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK 0x40006900u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK 0x40006902u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK 0x40006904u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK 0x40006906u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK 0x40006908u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK 0x4000690au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK 0x4000690cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK 0x4000690eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK 0x40006910u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK 0x40006912u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK 0x40006914u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK 0x40006916u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK 0x40006918u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK 0x4000691au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK 0x4000691cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL 0x40006920u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL 0x40006922u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL 0x40006924u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL 0x40006926u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL 0x40006928u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL 0x4000692au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL 0x4000692cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL 0x4000692eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL 0x40006930u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL 0x40006932u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL 0x40006934u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL 0x40006936u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL 0x40006938u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL 0x4000693au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL 0x4000693cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC 0x40006940u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC 0x40006942u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC 0x40006944u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC 0x40006946u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC 0x40006948u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC 0x4000694au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC 0x4000694cu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC 0x4000694eu +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC 0x40006950u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC 0x40006952u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC 0x40006954u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC 0x40006956u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC 0x40006958u +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC 0x4000695au +#define CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC 0x4000695cu +#define CYDEV_UWRK_UWRK16_DEF_B1_BASE 0x40006a00u +#define CYDEV_UWRK_UWRK16_DEF_B1_SIZE 0x0000015eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0 0x40006a08u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0 0x40006a0au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0 0x40006a0cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0 0x40006a0eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0 0x40006a10u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0 0x40006a12u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0 0x40006a14u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0 0x40006a16u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1 0x40006a28u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1 0x40006a2au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1 0x40006a2cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1 0x40006a2eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1 0x40006a30u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1 0x40006a32u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1 0x40006a34u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1 0x40006a36u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0 0x40006a48u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0 0x40006a4au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0 0x40006a4cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0 0x40006a4eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0 0x40006a50u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0 0x40006a52u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0 0x40006a54u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0 0x40006a56u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1 0x40006a68u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1 0x40006a6au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1 0x40006a6cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1 0x40006a6eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1 0x40006a70u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1 0x40006a72u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1 0x40006a74u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1 0x40006a76u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0 0x40006a88u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0 0x40006a8au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0 0x40006a8cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0 0x40006a8eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0 0x40006a90u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0 0x40006a92u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0 0x40006a94u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0 0x40006a96u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1 0x40006aa8u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1 0x40006aaau +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1 0x40006aacu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1 0x40006aaeu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1 0x40006ab0u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1 0x40006ab2u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1 0x40006ab4u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1 0x40006ab6u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST 0x40006ac8u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST 0x40006acau +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST 0x40006accu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST 0x40006aceu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST 0x40006ad0u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST 0x40006ad2u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST 0x40006ad4u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST 0x40006ad6u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL 0x40006ae8u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL 0x40006aeau +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL 0x40006aecu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL 0x40006aeeu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL 0x40006af0u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL 0x40006af2u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL 0x40006af4u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL 0x40006af6u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK 0x40006b08u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK 0x40006b0au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK 0x40006b0cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK 0x40006b0eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK 0x40006b10u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK 0x40006b12u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK 0x40006b14u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK 0x40006b16u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL 0x40006b28u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL 0x40006b2au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL 0x40006b2cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL 0x40006b2eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL 0x40006b30u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL 0x40006b32u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL 0x40006b34u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL 0x40006b36u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC 0x40006b48u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC 0x40006b4au +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC 0x40006b4cu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC 0x40006b4eu +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC 0x40006b50u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC 0x40006b52u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC 0x40006b54u +#define CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC 0x40006b56u +#define CYDEV_PHUB_BASE 0x40007000u +#define CYDEV_PHUB_SIZE 0x00000c00u +#define CYDEV_PHUB_CFG 0x40007000u +#define CYDEV_PHUB_ERR 0x40007004u +#define CYDEV_PHUB_ERR_ADR 0x40007008u +#define CYDEV_PHUB_CH0_BASE 0x40007010u +#define CYDEV_PHUB_CH0_SIZE 0x0000000cu +#define CYDEV_PHUB_CH0_BASIC_CFG 0x40007010u +#define CYDEV_PHUB_CH0_ACTION 0x40007014u +#define CYDEV_PHUB_CH0_BASIC_STATUS 0x40007018u +#define CYDEV_PHUB_CH1_BASE 0x40007020u +#define CYDEV_PHUB_CH1_SIZE 0x0000000cu +#define CYDEV_PHUB_CH1_BASIC_CFG 0x40007020u +#define CYDEV_PHUB_CH1_ACTION 0x40007024u +#define CYDEV_PHUB_CH1_BASIC_STATUS 0x40007028u +#define CYDEV_PHUB_CH2_BASE 0x40007030u +#define CYDEV_PHUB_CH2_SIZE 0x0000000cu +#define CYDEV_PHUB_CH2_BASIC_CFG 0x40007030u +#define CYDEV_PHUB_CH2_ACTION 0x40007034u +#define CYDEV_PHUB_CH2_BASIC_STATUS 0x40007038u +#define CYDEV_PHUB_CH3_BASE 0x40007040u +#define CYDEV_PHUB_CH3_SIZE 0x0000000cu +#define CYDEV_PHUB_CH3_BASIC_CFG 0x40007040u +#define CYDEV_PHUB_CH3_ACTION 0x40007044u +#define CYDEV_PHUB_CH3_BASIC_STATUS 0x40007048u +#define CYDEV_PHUB_CH4_BASE 0x40007050u +#define CYDEV_PHUB_CH4_SIZE 0x0000000cu +#define CYDEV_PHUB_CH4_BASIC_CFG 0x40007050u +#define CYDEV_PHUB_CH4_ACTION 0x40007054u +#define CYDEV_PHUB_CH4_BASIC_STATUS 0x40007058u +#define CYDEV_PHUB_CH5_BASE 0x40007060u +#define CYDEV_PHUB_CH5_SIZE 0x0000000cu +#define CYDEV_PHUB_CH5_BASIC_CFG 0x40007060u +#define CYDEV_PHUB_CH5_ACTION 0x40007064u +#define CYDEV_PHUB_CH5_BASIC_STATUS 0x40007068u +#define CYDEV_PHUB_CH6_BASE 0x40007070u +#define CYDEV_PHUB_CH6_SIZE 0x0000000cu +#define CYDEV_PHUB_CH6_BASIC_CFG 0x40007070u +#define CYDEV_PHUB_CH6_ACTION 0x40007074u +#define CYDEV_PHUB_CH6_BASIC_STATUS 0x40007078u +#define CYDEV_PHUB_CH7_BASE 0x40007080u +#define CYDEV_PHUB_CH7_SIZE 0x0000000cu +#define CYDEV_PHUB_CH7_BASIC_CFG 0x40007080u +#define CYDEV_PHUB_CH7_ACTION 0x40007084u +#define CYDEV_PHUB_CH7_BASIC_STATUS 0x40007088u +#define CYDEV_PHUB_CH8_BASE 0x40007090u +#define CYDEV_PHUB_CH8_SIZE 0x0000000cu +#define CYDEV_PHUB_CH8_BASIC_CFG 0x40007090u +#define CYDEV_PHUB_CH8_ACTION 0x40007094u +#define CYDEV_PHUB_CH8_BASIC_STATUS 0x40007098u +#define CYDEV_PHUB_CH9_BASE 0x400070a0u +#define CYDEV_PHUB_CH9_SIZE 0x0000000cu +#define CYDEV_PHUB_CH9_BASIC_CFG 0x400070a0u +#define CYDEV_PHUB_CH9_ACTION 0x400070a4u +#define CYDEV_PHUB_CH9_BASIC_STATUS 0x400070a8u +#define CYDEV_PHUB_CH10_BASE 0x400070b0u +#define CYDEV_PHUB_CH10_SIZE 0x0000000cu +#define CYDEV_PHUB_CH10_BASIC_CFG 0x400070b0u +#define CYDEV_PHUB_CH10_ACTION 0x400070b4u +#define CYDEV_PHUB_CH10_BASIC_STATUS 0x400070b8u +#define CYDEV_PHUB_CH11_BASE 0x400070c0u +#define CYDEV_PHUB_CH11_SIZE 0x0000000cu +#define CYDEV_PHUB_CH11_BASIC_CFG 0x400070c0u +#define CYDEV_PHUB_CH11_ACTION 0x400070c4u +#define CYDEV_PHUB_CH11_BASIC_STATUS 0x400070c8u +#define CYDEV_PHUB_CH12_BASE 0x400070d0u +#define CYDEV_PHUB_CH12_SIZE 0x0000000cu +#define CYDEV_PHUB_CH12_BASIC_CFG 0x400070d0u +#define CYDEV_PHUB_CH12_ACTION 0x400070d4u +#define CYDEV_PHUB_CH12_BASIC_STATUS 0x400070d8u +#define CYDEV_PHUB_CH13_BASE 0x400070e0u +#define CYDEV_PHUB_CH13_SIZE 0x0000000cu +#define CYDEV_PHUB_CH13_BASIC_CFG 0x400070e0u +#define CYDEV_PHUB_CH13_ACTION 0x400070e4u +#define CYDEV_PHUB_CH13_BASIC_STATUS 0x400070e8u +#define CYDEV_PHUB_CH14_BASE 0x400070f0u +#define CYDEV_PHUB_CH14_SIZE 0x0000000cu +#define CYDEV_PHUB_CH14_BASIC_CFG 0x400070f0u +#define CYDEV_PHUB_CH14_ACTION 0x400070f4u +#define CYDEV_PHUB_CH14_BASIC_STATUS 0x400070f8u +#define CYDEV_PHUB_CH15_BASE 0x40007100u +#define CYDEV_PHUB_CH15_SIZE 0x0000000cu +#define CYDEV_PHUB_CH15_BASIC_CFG 0x40007100u +#define CYDEV_PHUB_CH15_ACTION 0x40007104u +#define CYDEV_PHUB_CH15_BASIC_STATUS 0x40007108u +#define CYDEV_PHUB_CH16_BASE 0x40007110u +#define CYDEV_PHUB_CH16_SIZE 0x0000000cu +#define CYDEV_PHUB_CH16_BASIC_CFG 0x40007110u +#define CYDEV_PHUB_CH16_ACTION 0x40007114u +#define CYDEV_PHUB_CH16_BASIC_STATUS 0x40007118u +#define CYDEV_PHUB_CH17_BASE 0x40007120u +#define CYDEV_PHUB_CH17_SIZE 0x0000000cu +#define CYDEV_PHUB_CH17_BASIC_CFG 0x40007120u +#define CYDEV_PHUB_CH17_ACTION 0x40007124u +#define CYDEV_PHUB_CH17_BASIC_STATUS 0x40007128u +#define CYDEV_PHUB_CH18_BASE 0x40007130u +#define CYDEV_PHUB_CH18_SIZE 0x0000000cu +#define CYDEV_PHUB_CH18_BASIC_CFG 0x40007130u +#define CYDEV_PHUB_CH18_ACTION 0x40007134u +#define CYDEV_PHUB_CH18_BASIC_STATUS 0x40007138u +#define CYDEV_PHUB_CH19_BASE 0x40007140u +#define CYDEV_PHUB_CH19_SIZE 0x0000000cu +#define CYDEV_PHUB_CH19_BASIC_CFG 0x40007140u +#define CYDEV_PHUB_CH19_ACTION 0x40007144u +#define CYDEV_PHUB_CH19_BASIC_STATUS 0x40007148u +#define CYDEV_PHUB_CH20_BASE 0x40007150u +#define CYDEV_PHUB_CH20_SIZE 0x0000000cu +#define CYDEV_PHUB_CH20_BASIC_CFG 0x40007150u +#define CYDEV_PHUB_CH20_ACTION 0x40007154u +#define CYDEV_PHUB_CH20_BASIC_STATUS 0x40007158u +#define CYDEV_PHUB_CH21_BASE 0x40007160u +#define CYDEV_PHUB_CH21_SIZE 0x0000000cu +#define CYDEV_PHUB_CH21_BASIC_CFG 0x40007160u +#define CYDEV_PHUB_CH21_ACTION 0x40007164u +#define CYDEV_PHUB_CH21_BASIC_STATUS 0x40007168u +#define CYDEV_PHUB_CH22_BASE 0x40007170u +#define CYDEV_PHUB_CH22_SIZE 0x0000000cu +#define CYDEV_PHUB_CH22_BASIC_CFG 0x40007170u +#define CYDEV_PHUB_CH22_ACTION 0x40007174u +#define CYDEV_PHUB_CH22_BASIC_STATUS 0x40007178u +#define CYDEV_PHUB_CH23_BASE 0x40007180u +#define CYDEV_PHUB_CH23_SIZE 0x0000000cu +#define CYDEV_PHUB_CH23_BASIC_CFG 0x40007180u +#define CYDEV_PHUB_CH23_ACTION 0x40007184u +#define CYDEV_PHUB_CH23_BASIC_STATUS 0x40007188u +#define CYDEV_PHUB_CFGMEM0_BASE 0x40007600u +#define CYDEV_PHUB_CFGMEM0_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM0_CFG0 0x40007600u +#define CYDEV_PHUB_CFGMEM0_CFG1 0x40007604u +#define CYDEV_PHUB_CFGMEM1_BASE 0x40007608u +#define CYDEV_PHUB_CFGMEM1_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM1_CFG0 0x40007608u +#define CYDEV_PHUB_CFGMEM1_CFG1 0x4000760cu +#define CYDEV_PHUB_CFGMEM2_BASE 0x40007610u +#define CYDEV_PHUB_CFGMEM2_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM2_CFG0 0x40007610u +#define CYDEV_PHUB_CFGMEM2_CFG1 0x40007614u +#define CYDEV_PHUB_CFGMEM3_BASE 0x40007618u +#define CYDEV_PHUB_CFGMEM3_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM3_CFG0 0x40007618u +#define CYDEV_PHUB_CFGMEM3_CFG1 0x4000761cu +#define CYDEV_PHUB_CFGMEM4_BASE 0x40007620u +#define CYDEV_PHUB_CFGMEM4_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM4_CFG0 0x40007620u +#define CYDEV_PHUB_CFGMEM4_CFG1 0x40007624u +#define CYDEV_PHUB_CFGMEM5_BASE 0x40007628u +#define CYDEV_PHUB_CFGMEM5_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM5_CFG0 0x40007628u +#define CYDEV_PHUB_CFGMEM5_CFG1 0x4000762cu +#define CYDEV_PHUB_CFGMEM6_BASE 0x40007630u +#define CYDEV_PHUB_CFGMEM6_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM6_CFG0 0x40007630u +#define CYDEV_PHUB_CFGMEM6_CFG1 0x40007634u +#define CYDEV_PHUB_CFGMEM7_BASE 0x40007638u +#define CYDEV_PHUB_CFGMEM7_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM7_CFG0 0x40007638u +#define CYDEV_PHUB_CFGMEM7_CFG1 0x4000763cu +#define CYDEV_PHUB_CFGMEM8_BASE 0x40007640u +#define CYDEV_PHUB_CFGMEM8_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM8_CFG0 0x40007640u +#define CYDEV_PHUB_CFGMEM8_CFG1 0x40007644u +#define CYDEV_PHUB_CFGMEM9_BASE 0x40007648u +#define CYDEV_PHUB_CFGMEM9_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM9_CFG0 0x40007648u +#define CYDEV_PHUB_CFGMEM9_CFG1 0x4000764cu +#define CYDEV_PHUB_CFGMEM10_BASE 0x40007650u +#define CYDEV_PHUB_CFGMEM10_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM10_CFG0 0x40007650u +#define CYDEV_PHUB_CFGMEM10_CFG1 0x40007654u +#define CYDEV_PHUB_CFGMEM11_BASE 0x40007658u +#define CYDEV_PHUB_CFGMEM11_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM11_CFG0 0x40007658u +#define CYDEV_PHUB_CFGMEM11_CFG1 0x4000765cu +#define CYDEV_PHUB_CFGMEM12_BASE 0x40007660u +#define CYDEV_PHUB_CFGMEM12_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM12_CFG0 0x40007660u +#define CYDEV_PHUB_CFGMEM12_CFG1 0x40007664u +#define CYDEV_PHUB_CFGMEM13_BASE 0x40007668u +#define CYDEV_PHUB_CFGMEM13_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM13_CFG0 0x40007668u +#define CYDEV_PHUB_CFGMEM13_CFG1 0x4000766cu +#define CYDEV_PHUB_CFGMEM14_BASE 0x40007670u +#define CYDEV_PHUB_CFGMEM14_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM14_CFG0 0x40007670u +#define CYDEV_PHUB_CFGMEM14_CFG1 0x40007674u +#define CYDEV_PHUB_CFGMEM15_BASE 0x40007678u +#define CYDEV_PHUB_CFGMEM15_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM15_CFG0 0x40007678u +#define CYDEV_PHUB_CFGMEM15_CFG1 0x4000767cu +#define CYDEV_PHUB_CFGMEM16_BASE 0x40007680u +#define CYDEV_PHUB_CFGMEM16_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM16_CFG0 0x40007680u +#define CYDEV_PHUB_CFGMEM16_CFG1 0x40007684u +#define CYDEV_PHUB_CFGMEM17_BASE 0x40007688u +#define CYDEV_PHUB_CFGMEM17_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM17_CFG0 0x40007688u +#define CYDEV_PHUB_CFGMEM17_CFG1 0x4000768cu +#define CYDEV_PHUB_CFGMEM18_BASE 0x40007690u +#define CYDEV_PHUB_CFGMEM18_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM18_CFG0 0x40007690u +#define CYDEV_PHUB_CFGMEM18_CFG1 0x40007694u +#define CYDEV_PHUB_CFGMEM19_BASE 0x40007698u +#define CYDEV_PHUB_CFGMEM19_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM19_CFG0 0x40007698u +#define CYDEV_PHUB_CFGMEM19_CFG1 0x4000769cu +#define CYDEV_PHUB_CFGMEM20_BASE 0x400076a0u +#define CYDEV_PHUB_CFGMEM20_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM20_CFG0 0x400076a0u +#define CYDEV_PHUB_CFGMEM20_CFG1 0x400076a4u +#define CYDEV_PHUB_CFGMEM21_BASE 0x400076a8u +#define CYDEV_PHUB_CFGMEM21_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM21_CFG0 0x400076a8u +#define CYDEV_PHUB_CFGMEM21_CFG1 0x400076acu +#define CYDEV_PHUB_CFGMEM22_BASE 0x400076b0u +#define CYDEV_PHUB_CFGMEM22_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM22_CFG0 0x400076b0u +#define CYDEV_PHUB_CFGMEM22_CFG1 0x400076b4u +#define CYDEV_PHUB_CFGMEM23_BASE 0x400076b8u +#define CYDEV_PHUB_CFGMEM23_SIZE 0x00000008u +#define CYDEV_PHUB_CFGMEM23_CFG0 0x400076b8u +#define CYDEV_PHUB_CFGMEM23_CFG1 0x400076bcu +#define CYDEV_PHUB_TDMEM0_BASE 0x40007800u +#define CYDEV_PHUB_TDMEM0_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM0_ORIG_TD0 0x40007800u +#define CYDEV_PHUB_TDMEM0_ORIG_TD1 0x40007804u +#define CYDEV_PHUB_TDMEM1_BASE 0x40007808u +#define CYDEV_PHUB_TDMEM1_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM1_ORIG_TD0 0x40007808u +#define CYDEV_PHUB_TDMEM1_ORIG_TD1 0x4000780cu +#define CYDEV_PHUB_TDMEM2_BASE 0x40007810u +#define CYDEV_PHUB_TDMEM2_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM2_ORIG_TD0 0x40007810u +#define CYDEV_PHUB_TDMEM2_ORIG_TD1 0x40007814u +#define CYDEV_PHUB_TDMEM3_BASE 0x40007818u +#define CYDEV_PHUB_TDMEM3_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM3_ORIG_TD0 0x40007818u +#define CYDEV_PHUB_TDMEM3_ORIG_TD1 0x4000781cu +#define CYDEV_PHUB_TDMEM4_BASE 0x40007820u +#define CYDEV_PHUB_TDMEM4_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM4_ORIG_TD0 0x40007820u +#define CYDEV_PHUB_TDMEM4_ORIG_TD1 0x40007824u +#define CYDEV_PHUB_TDMEM5_BASE 0x40007828u +#define CYDEV_PHUB_TDMEM5_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM5_ORIG_TD0 0x40007828u +#define CYDEV_PHUB_TDMEM5_ORIG_TD1 0x4000782cu +#define CYDEV_PHUB_TDMEM6_BASE 0x40007830u +#define CYDEV_PHUB_TDMEM6_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM6_ORIG_TD0 0x40007830u +#define CYDEV_PHUB_TDMEM6_ORIG_TD1 0x40007834u +#define CYDEV_PHUB_TDMEM7_BASE 0x40007838u +#define CYDEV_PHUB_TDMEM7_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM7_ORIG_TD0 0x40007838u +#define CYDEV_PHUB_TDMEM7_ORIG_TD1 0x4000783cu +#define CYDEV_PHUB_TDMEM8_BASE 0x40007840u +#define CYDEV_PHUB_TDMEM8_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM8_ORIG_TD0 0x40007840u +#define CYDEV_PHUB_TDMEM8_ORIG_TD1 0x40007844u +#define CYDEV_PHUB_TDMEM9_BASE 0x40007848u +#define CYDEV_PHUB_TDMEM9_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM9_ORIG_TD0 0x40007848u +#define CYDEV_PHUB_TDMEM9_ORIG_TD1 0x4000784cu +#define CYDEV_PHUB_TDMEM10_BASE 0x40007850u +#define CYDEV_PHUB_TDMEM10_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM10_ORIG_TD0 0x40007850u +#define CYDEV_PHUB_TDMEM10_ORIG_TD1 0x40007854u +#define CYDEV_PHUB_TDMEM11_BASE 0x40007858u +#define CYDEV_PHUB_TDMEM11_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM11_ORIG_TD0 0x40007858u +#define CYDEV_PHUB_TDMEM11_ORIG_TD1 0x4000785cu +#define CYDEV_PHUB_TDMEM12_BASE 0x40007860u +#define CYDEV_PHUB_TDMEM12_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM12_ORIG_TD0 0x40007860u +#define CYDEV_PHUB_TDMEM12_ORIG_TD1 0x40007864u +#define CYDEV_PHUB_TDMEM13_BASE 0x40007868u +#define CYDEV_PHUB_TDMEM13_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM13_ORIG_TD0 0x40007868u +#define CYDEV_PHUB_TDMEM13_ORIG_TD1 0x4000786cu +#define CYDEV_PHUB_TDMEM14_BASE 0x40007870u +#define CYDEV_PHUB_TDMEM14_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM14_ORIG_TD0 0x40007870u +#define CYDEV_PHUB_TDMEM14_ORIG_TD1 0x40007874u +#define CYDEV_PHUB_TDMEM15_BASE 0x40007878u +#define CYDEV_PHUB_TDMEM15_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM15_ORIG_TD0 0x40007878u +#define CYDEV_PHUB_TDMEM15_ORIG_TD1 0x4000787cu +#define CYDEV_PHUB_TDMEM16_BASE 0x40007880u +#define CYDEV_PHUB_TDMEM16_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM16_ORIG_TD0 0x40007880u +#define CYDEV_PHUB_TDMEM16_ORIG_TD1 0x40007884u +#define CYDEV_PHUB_TDMEM17_BASE 0x40007888u +#define CYDEV_PHUB_TDMEM17_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM17_ORIG_TD0 0x40007888u +#define CYDEV_PHUB_TDMEM17_ORIG_TD1 0x4000788cu +#define CYDEV_PHUB_TDMEM18_BASE 0x40007890u +#define CYDEV_PHUB_TDMEM18_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM18_ORIG_TD0 0x40007890u +#define CYDEV_PHUB_TDMEM18_ORIG_TD1 0x40007894u +#define CYDEV_PHUB_TDMEM19_BASE 0x40007898u +#define CYDEV_PHUB_TDMEM19_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM19_ORIG_TD0 0x40007898u +#define CYDEV_PHUB_TDMEM19_ORIG_TD1 0x4000789cu +#define CYDEV_PHUB_TDMEM20_BASE 0x400078a0u +#define CYDEV_PHUB_TDMEM20_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM20_ORIG_TD0 0x400078a0u +#define CYDEV_PHUB_TDMEM20_ORIG_TD1 0x400078a4u +#define CYDEV_PHUB_TDMEM21_BASE 0x400078a8u +#define CYDEV_PHUB_TDMEM21_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM21_ORIG_TD0 0x400078a8u +#define CYDEV_PHUB_TDMEM21_ORIG_TD1 0x400078acu +#define CYDEV_PHUB_TDMEM22_BASE 0x400078b0u +#define CYDEV_PHUB_TDMEM22_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM22_ORIG_TD0 0x400078b0u +#define CYDEV_PHUB_TDMEM22_ORIG_TD1 0x400078b4u +#define CYDEV_PHUB_TDMEM23_BASE 0x400078b8u +#define CYDEV_PHUB_TDMEM23_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM23_ORIG_TD0 0x400078b8u +#define CYDEV_PHUB_TDMEM23_ORIG_TD1 0x400078bcu +#define CYDEV_PHUB_TDMEM24_BASE 0x400078c0u +#define CYDEV_PHUB_TDMEM24_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM24_ORIG_TD0 0x400078c0u +#define CYDEV_PHUB_TDMEM24_ORIG_TD1 0x400078c4u +#define CYDEV_PHUB_TDMEM25_BASE 0x400078c8u +#define CYDEV_PHUB_TDMEM25_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM25_ORIG_TD0 0x400078c8u +#define CYDEV_PHUB_TDMEM25_ORIG_TD1 0x400078ccu +#define CYDEV_PHUB_TDMEM26_BASE 0x400078d0u +#define CYDEV_PHUB_TDMEM26_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM26_ORIG_TD0 0x400078d0u +#define CYDEV_PHUB_TDMEM26_ORIG_TD1 0x400078d4u +#define CYDEV_PHUB_TDMEM27_BASE 0x400078d8u +#define CYDEV_PHUB_TDMEM27_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM27_ORIG_TD0 0x400078d8u +#define CYDEV_PHUB_TDMEM27_ORIG_TD1 0x400078dcu +#define CYDEV_PHUB_TDMEM28_BASE 0x400078e0u +#define CYDEV_PHUB_TDMEM28_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM28_ORIG_TD0 0x400078e0u +#define CYDEV_PHUB_TDMEM28_ORIG_TD1 0x400078e4u +#define CYDEV_PHUB_TDMEM29_BASE 0x400078e8u +#define CYDEV_PHUB_TDMEM29_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM29_ORIG_TD0 0x400078e8u +#define CYDEV_PHUB_TDMEM29_ORIG_TD1 0x400078ecu +#define CYDEV_PHUB_TDMEM30_BASE 0x400078f0u +#define CYDEV_PHUB_TDMEM30_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM30_ORIG_TD0 0x400078f0u +#define CYDEV_PHUB_TDMEM30_ORIG_TD1 0x400078f4u +#define CYDEV_PHUB_TDMEM31_BASE 0x400078f8u +#define CYDEV_PHUB_TDMEM31_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM31_ORIG_TD0 0x400078f8u +#define CYDEV_PHUB_TDMEM31_ORIG_TD1 0x400078fcu +#define CYDEV_PHUB_TDMEM32_BASE 0x40007900u +#define CYDEV_PHUB_TDMEM32_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM32_ORIG_TD0 0x40007900u +#define CYDEV_PHUB_TDMEM32_ORIG_TD1 0x40007904u +#define CYDEV_PHUB_TDMEM33_BASE 0x40007908u +#define CYDEV_PHUB_TDMEM33_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM33_ORIG_TD0 0x40007908u +#define CYDEV_PHUB_TDMEM33_ORIG_TD1 0x4000790cu +#define CYDEV_PHUB_TDMEM34_BASE 0x40007910u +#define CYDEV_PHUB_TDMEM34_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM34_ORIG_TD0 0x40007910u +#define CYDEV_PHUB_TDMEM34_ORIG_TD1 0x40007914u +#define CYDEV_PHUB_TDMEM35_BASE 0x40007918u +#define CYDEV_PHUB_TDMEM35_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM35_ORIG_TD0 0x40007918u +#define CYDEV_PHUB_TDMEM35_ORIG_TD1 0x4000791cu +#define CYDEV_PHUB_TDMEM36_BASE 0x40007920u +#define CYDEV_PHUB_TDMEM36_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM36_ORIG_TD0 0x40007920u +#define CYDEV_PHUB_TDMEM36_ORIG_TD1 0x40007924u +#define CYDEV_PHUB_TDMEM37_BASE 0x40007928u +#define CYDEV_PHUB_TDMEM37_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM37_ORIG_TD0 0x40007928u +#define CYDEV_PHUB_TDMEM37_ORIG_TD1 0x4000792cu +#define CYDEV_PHUB_TDMEM38_BASE 0x40007930u +#define CYDEV_PHUB_TDMEM38_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM38_ORIG_TD0 0x40007930u +#define CYDEV_PHUB_TDMEM38_ORIG_TD1 0x40007934u +#define CYDEV_PHUB_TDMEM39_BASE 0x40007938u +#define CYDEV_PHUB_TDMEM39_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM39_ORIG_TD0 0x40007938u +#define CYDEV_PHUB_TDMEM39_ORIG_TD1 0x4000793cu +#define CYDEV_PHUB_TDMEM40_BASE 0x40007940u +#define CYDEV_PHUB_TDMEM40_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM40_ORIG_TD0 0x40007940u +#define CYDEV_PHUB_TDMEM40_ORIG_TD1 0x40007944u +#define CYDEV_PHUB_TDMEM41_BASE 0x40007948u +#define CYDEV_PHUB_TDMEM41_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM41_ORIG_TD0 0x40007948u +#define CYDEV_PHUB_TDMEM41_ORIG_TD1 0x4000794cu +#define CYDEV_PHUB_TDMEM42_BASE 0x40007950u +#define CYDEV_PHUB_TDMEM42_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM42_ORIG_TD0 0x40007950u +#define CYDEV_PHUB_TDMEM42_ORIG_TD1 0x40007954u +#define CYDEV_PHUB_TDMEM43_BASE 0x40007958u +#define CYDEV_PHUB_TDMEM43_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM43_ORIG_TD0 0x40007958u +#define CYDEV_PHUB_TDMEM43_ORIG_TD1 0x4000795cu +#define CYDEV_PHUB_TDMEM44_BASE 0x40007960u +#define CYDEV_PHUB_TDMEM44_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM44_ORIG_TD0 0x40007960u +#define CYDEV_PHUB_TDMEM44_ORIG_TD1 0x40007964u +#define CYDEV_PHUB_TDMEM45_BASE 0x40007968u +#define CYDEV_PHUB_TDMEM45_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM45_ORIG_TD0 0x40007968u +#define CYDEV_PHUB_TDMEM45_ORIG_TD1 0x4000796cu +#define CYDEV_PHUB_TDMEM46_BASE 0x40007970u +#define CYDEV_PHUB_TDMEM46_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM46_ORIG_TD0 0x40007970u +#define CYDEV_PHUB_TDMEM46_ORIG_TD1 0x40007974u +#define CYDEV_PHUB_TDMEM47_BASE 0x40007978u +#define CYDEV_PHUB_TDMEM47_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM47_ORIG_TD0 0x40007978u +#define CYDEV_PHUB_TDMEM47_ORIG_TD1 0x4000797cu +#define CYDEV_PHUB_TDMEM48_BASE 0x40007980u +#define CYDEV_PHUB_TDMEM48_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM48_ORIG_TD0 0x40007980u +#define CYDEV_PHUB_TDMEM48_ORIG_TD1 0x40007984u +#define CYDEV_PHUB_TDMEM49_BASE 0x40007988u +#define CYDEV_PHUB_TDMEM49_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM49_ORIG_TD0 0x40007988u +#define CYDEV_PHUB_TDMEM49_ORIG_TD1 0x4000798cu +#define CYDEV_PHUB_TDMEM50_BASE 0x40007990u +#define CYDEV_PHUB_TDMEM50_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM50_ORIG_TD0 0x40007990u +#define CYDEV_PHUB_TDMEM50_ORIG_TD1 0x40007994u +#define CYDEV_PHUB_TDMEM51_BASE 0x40007998u +#define CYDEV_PHUB_TDMEM51_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM51_ORIG_TD0 0x40007998u +#define CYDEV_PHUB_TDMEM51_ORIG_TD1 0x4000799cu +#define CYDEV_PHUB_TDMEM52_BASE 0x400079a0u +#define CYDEV_PHUB_TDMEM52_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM52_ORIG_TD0 0x400079a0u +#define CYDEV_PHUB_TDMEM52_ORIG_TD1 0x400079a4u +#define CYDEV_PHUB_TDMEM53_BASE 0x400079a8u +#define CYDEV_PHUB_TDMEM53_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM53_ORIG_TD0 0x400079a8u +#define CYDEV_PHUB_TDMEM53_ORIG_TD1 0x400079acu +#define CYDEV_PHUB_TDMEM54_BASE 0x400079b0u +#define CYDEV_PHUB_TDMEM54_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM54_ORIG_TD0 0x400079b0u +#define CYDEV_PHUB_TDMEM54_ORIG_TD1 0x400079b4u +#define CYDEV_PHUB_TDMEM55_BASE 0x400079b8u +#define CYDEV_PHUB_TDMEM55_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM55_ORIG_TD0 0x400079b8u +#define CYDEV_PHUB_TDMEM55_ORIG_TD1 0x400079bcu +#define CYDEV_PHUB_TDMEM56_BASE 0x400079c0u +#define CYDEV_PHUB_TDMEM56_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM56_ORIG_TD0 0x400079c0u +#define CYDEV_PHUB_TDMEM56_ORIG_TD1 0x400079c4u +#define CYDEV_PHUB_TDMEM57_BASE 0x400079c8u +#define CYDEV_PHUB_TDMEM57_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM57_ORIG_TD0 0x400079c8u +#define CYDEV_PHUB_TDMEM57_ORIG_TD1 0x400079ccu +#define CYDEV_PHUB_TDMEM58_BASE 0x400079d0u +#define CYDEV_PHUB_TDMEM58_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM58_ORIG_TD0 0x400079d0u +#define CYDEV_PHUB_TDMEM58_ORIG_TD1 0x400079d4u +#define CYDEV_PHUB_TDMEM59_BASE 0x400079d8u +#define CYDEV_PHUB_TDMEM59_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM59_ORIG_TD0 0x400079d8u +#define CYDEV_PHUB_TDMEM59_ORIG_TD1 0x400079dcu +#define CYDEV_PHUB_TDMEM60_BASE 0x400079e0u +#define CYDEV_PHUB_TDMEM60_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM60_ORIG_TD0 0x400079e0u +#define CYDEV_PHUB_TDMEM60_ORIG_TD1 0x400079e4u +#define CYDEV_PHUB_TDMEM61_BASE 0x400079e8u +#define CYDEV_PHUB_TDMEM61_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM61_ORIG_TD0 0x400079e8u +#define CYDEV_PHUB_TDMEM61_ORIG_TD1 0x400079ecu +#define CYDEV_PHUB_TDMEM62_BASE 0x400079f0u +#define CYDEV_PHUB_TDMEM62_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM62_ORIG_TD0 0x400079f0u +#define CYDEV_PHUB_TDMEM62_ORIG_TD1 0x400079f4u +#define CYDEV_PHUB_TDMEM63_BASE 0x400079f8u +#define CYDEV_PHUB_TDMEM63_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM63_ORIG_TD0 0x400079f8u +#define CYDEV_PHUB_TDMEM63_ORIG_TD1 0x400079fcu +#define CYDEV_PHUB_TDMEM64_BASE 0x40007a00u +#define CYDEV_PHUB_TDMEM64_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM64_ORIG_TD0 0x40007a00u +#define CYDEV_PHUB_TDMEM64_ORIG_TD1 0x40007a04u +#define CYDEV_PHUB_TDMEM65_BASE 0x40007a08u +#define CYDEV_PHUB_TDMEM65_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM65_ORIG_TD0 0x40007a08u +#define CYDEV_PHUB_TDMEM65_ORIG_TD1 0x40007a0cu +#define CYDEV_PHUB_TDMEM66_BASE 0x40007a10u +#define CYDEV_PHUB_TDMEM66_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM66_ORIG_TD0 0x40007a10u +#define CYDEV_PHUB_TDMEM66_ORIG_TD1 0x40007a14u +#define CYDEV_PHUB_TDMEM67_BASE 0x40007a18u +#define CYDEV_PHUB_TDMEM67_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM67_ORIG_TD0 0x40007a18u +#define CYDEV_PHUB_TDMEM67_ORIG_TD1 0x40007a1cu +#define CYDEV_PHUB_TDMEM68_BASE 0x40007a20u +#define CYDEV_PHUB_TDMEM68_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM68_ORIG_TD0 0x40007a20u +#define CYDEV_PHUB_TDMEM68_ORIG_TD1 0x40007a24u +#define CYDEV_PHUB_TDMEM69_BASE 0x40007a28u +#define CYDEV_PHUB_TDMEM69_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM69_ORIG_TD0 0x40007a28u +#define CYDEV_PHUB_TDMEM69_ORIG_TD1 0x40007a2cu +#define CYDEV_PHUB_TDMEM70_BASE 0x40007a30u +#define CYDEV_PHUB_TDMEM70_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM70_ORIG_TD0 0x40007a30u +#define CYDEV_PHUB_TDMEM70_ORIG_TD1 0x40007a34u +#define CYDEV_PHUB_TDMEM71_BASE 0x40007a38u +#define CYDEV_PHUB_TDMEM71_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM71_ORIG_TD0 0x40007a38u +#define CYDEV_PHUB_TDMEM71_ORIG_TD1 0x40007a3cu +#define CYDEV_PHUB_TDMEM72_BASE 0x40007a40u +#define CYDEV_PHUB_TDMEM72_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM72_ORIG_TD0 0x40007a40u +#define CYDEV_PHUB_TDMEM72_ORIG_TD1 0x40007a44u +#define CYDEV_PHUB_TDMEM73_BASE 0x40007a48u +#define CYDEV_PHUB_TDMEM73_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM73_ORIG_TD0 0x40007a48u +#define CYDEV_PHUB_TDMEM73_ORIG_TD1 0x40007a4cu +#define CYDEV_PHUB_TDMEM74_BASE 0x40007a50u +#define CYDEV_PHUB_TDMEM74_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM74_ORIG_TD0 0x40007a50u +#define CYDEV_PHUB_TDMEM74_ORIG_TD1 0x40007a54u +#define CYDEV_PHUB_TDMEM75_BASE 0x40007a58u +#define CYDEV_PHUB_TDMEM75_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM75_ORIG_TD0 0x40007a58u +#define CYDEV_PHUB_TDMEM75_ORIG_TD1 0x40007a5cu +#define CYDEV_PHUB_TDMEM76_BASE 0x40007a60u +#define CYDEV_PHUB_TDMEM76_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM76_ORIG_TD0 0x40007a60u +#define CYDEV_PHUB_TDMEM76_ORIG_TD1 0x40007a64u +#define CYDEV_PHUB_TDMEM77_BASE 0x40007a68u +#define CYDEV_PHUB_TDMEM77_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM77_ORIG_TD0 0x40007a68u +#define CYDEV_PHUB_TDMEM77_ORIG_TD1 0x40007a6cu +#define CYDEV_PHUB_TDMEM78_BASE 0x40007a70u +#define CYDEV_PHUB_TDMEM78_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM78_ORIG_TD0 0x40007a70u +#define CYDEV_PHUB_TDMEM78_ORIG_TD1 0x40007a74u +#define CYDEV_PHUB_TDMEM79_BASE 0x40007a78u +#define CYDEV_PHUB_TDMEM79_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM79_ORIG_TD0 0x40007a78u +#define CYDEV_PHUB_TDMEM79_ORIG_TD1 0x40007a7cu +#define CYDEV_PHUB_TDMEM80_BASE 0x40007a80u +#define CYDEV_PHUB_TDMEM80_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM80_ORIG_TD0 0x40007a80u +#define CYDEV_PHUB_TDMEM80_ORIG_TD1 0x40007a84u +#define CYDEV_PHUB_TDMEM81_BASE 0x40007a88u +#define CYDEV_PHUB_TDMEM81_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM81_ORIG_TD0 0x40007a88u +#define CYDEV_PHUB_TDMEM81_ORIG_TD1 0x40007a8cu +#define CYDEV_PHUB_TDMEM82_BASE 0x40007a90u +#define CYDEV_PHUB_TDMEM82_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM82_ORIG_TD0 0x40007a90u +#define CYDEV_PHUB_TDMEM82_ORIG_TD1 0x40007a94u +#define CYDEV_PHUB_TDMEM83_BASE 0x40007a98u +#define CYDEV_PHUB_TDMEM83_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM83_ORIG_TD0 0x40007a98u +#define CYDEV_PHUB_TDMEM83_ORIG_TD1 0x40007a9cu +#define CYDEV_PHUB_TDMEM84_BASE 0x40007aa0u +#define CYDEV_PHUB_TDMEM84_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM84_ORIG_TD0 0x40007aa0u +#define CYDEV_PHUB_TDMEM84_ORIG_TD1 0x40007aa4u +#define CYDEV_PHUB_TDMEM85_BASE 0x40007aa8u +#define CYDEV_PHUB_TDMEM85_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM85_ORIG_TD0 0x40007aa8u +#define CYDEV_PHUB_TDMEM85_ORIG_TD1 0x40007aacu +#define CYDEV_PHUB_TDMEM86_BASE 0x40007ab0u +#define CYDEV_PHUB_TDMEM86_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM86_ORIG_TD0 0x40007ab0u +#define CYDEV_PHUB_TDMEM86_ORIG_TD1 0x40007ab4u +#define CYDEV_PHUB_TDMEM87_BASE 0x40007ab8u +#define CYDEV_PHUB_TDMEM87_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM87_ORIG_TD0 0x40007ab8u +#define CYDEV_PHUB_TDMEM87_ORIG_TD1 0x40007abcu +#define CYDEV_PHUB_TDMEM88_BASE 0x40007ac0u +#define CYDEV_PHUB_TDMEM88_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM88_ORIG_TD0 0x40007ac0u +#define CYDEV_PHUB_TDMEM88_ORIG_TD1 0x40007ac4u +#define CYDEV_PHUB_TDMEM89_BASE 0x40007ac8u +#define CYDEV_PHUB_TDMEM89_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM89_ORIG_TD0 0x40007ac8u +#define CYDEV_PHUB_TDMEM89_ORIG_TD1 0x40007accu +#define CYDEV_PHUB_TDMEM90_BASE 0x40007ad0u +#define CYDEV_PHUB_TDMEM90_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM90_ORIG_TD0 0x40007ad0u +#define CYDEV_PHUB_TDMEM90_ORIG_TD1 0x40007ad4u +#define CYDEV_PHUB_TDMEM91_BASE 0x40007ad8u +#define CYDEV_PHUB_TDMEM91_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM91_ORIG_TD0 0x40007ad8u +#define CYDEV_PHUB_TDMEM91_ORIG_TD1 0x40007adcu +#define CYDEV_PHUB_TDMEM92_BASE 0x40007ae0u +#define CYDEV_PHUB_TDMEM92_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM92_ORIG_TD0 0x40007ae0u +#define CYDEV_PHUB_TDMEM92_ORIG_TD1 0x40007ae4u +#define CYDEV_PHUB_TDMEM93_BASE 0x40007ae8u +#define CYDEV_PHUB_TDMEM93_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM93_ORIG_TD0 0x40007ae8u +#define CYDEV_PHUB_TDMEM93_ORIG_TD1 0x40007aecu +#define CYDEV_PHUB_TDMEM94_BASE 0x40007af0u +#define CYDEV_PHUB_TDMEM94_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM94_ORIG_TD0 0x40007af0u +#define CYDEV_PHUB_TDMEM94_ORIG_TD1 0x40007af4u +#define CYDEV_PHUB_TDMEM95_BASE 0x40007af8u +#define CYDEV_PHUB_TDMEM95_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM95_ORIG_TD0 0x40007af8u +#define CYDEV_PHUB_TDMEM95_ORIG_TD1 0x40007afcu +#define CYDEV_PHUB_TDMEM96_BASE 0x40007b00u +#define CYDEV_PHUB_TDMEM96_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM96_ORIG_TD0 0x40007b00u +#define CYDEV_PHUB_TDMEM96_ORIG_TD1 0x40007b04u +#define CYDEV_PHUB_TDMEM97_BASE 0x40007b08u +#define CYDEV_PHUB_TDMEM97_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM97_ORIG_TD0 0x40007b08u +#define CYDEV_PHUB_TDMEM97_ORIG_TD1 0x40007b0cu +#define CYDEV_PHUB_TDMEM98_BASE 0x40007b10u +#define CYDEV_PHUB_TDMEM98_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM98_ORIG_TD0 0x40007b10u +#define CYDEV_PHUB_TDMEM98_ORIG_TD1 0x40007b14u +#define CYDEV_PHUB_TDMEM99_BASE 0x40007b18u +#define CYDEV_PHUB_TDMEM99_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM99_ORIG_TD0 0x40007b18u +#define CYDEV_PHUB_TDMEM99_ORIG_TD1 0x40007b1cu +#define CYDEV_PHUB_TDMEM100_BASE 0x40007b20u +#define CYDEV_PHUB_TDMEM100_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM100_ORIG_TD0 0x40007b20u +#define CYDEV_PHUB_TDMEM100_ORIG_TD1 0x40007b24u +#define CYDEV_PHUB_TDMEM101_BASE 0x40007b28u +#define CYDEV_PHUB_TDMEM101_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM101_ORIG_TD0 0x40007b28u +#define CYDEV_PHUB_TDMEM101_ORIG_TD1 0x40007b2cu +#define CYDEV_PHUB_TDMEM102_BASE 0x40007b30u +#define CYDEV_PHUB_TDMEM102_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM102_ORIG_TD0 0x40007b30u +#define CYDEV_PHUB_TDMEM102_ORIG_TD1 0x40007b34u +#define CYDEV_PHUB_TDMEM103_BASE 0x40007b38u +#define CYDEV_PHUB_TDMEM103_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM103_ORIG_TD0 0x40007b38u +#define CYDEV_PHUB_TDMEM103_ORIG_TD1 0x40007b3cu +#define CYDEV_PHUB_TDMEM104_BASE 0x40007b40u +#define CYDEV_PHUB_TDMEM104_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM104_ORIG_TD0 0x40007b40u +#define CYDEV_PHUB_TDMEM104_ORIG_TD1 0x40007b44u +#define CYDEV_PHUB_TDMEM105_BASE 0x40007b48u +#define CYDEV_PHUB_TDMEM105_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM105_ORIG_TD0 0x40007b48u +#define CYDEV_PHUB_TDMEM105_ORIG_TD1 0x40007b4cu +#define CYDEV_PHUB_TDMEM106_BASE 0x40007b50u +#define CYDEV_PHUB_TDMEM106_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM106_ORIG_TD0 0x40007b50u +#define CYDEV_PHUB_TDMEM106_ORIG_TD1 0x40007b54u +#define CYDEV_PHUB_TDMEM107_BASE 0x40007b58u +#define CYDEV_PHUB_TDMEM107_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM107_ORIG_TD0 0x40007b58u +#define CYDEV_PHUB_TDMEM107_ORIG_TD1 0x40007b5cu +#define CYDEV_PHUB_TDMEM108_BASE 0x40007b60u +#define CYDEV_PHUB_TDMEM108_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM108_ORIG_TD0 0x40007b60u +#define CYDEV_PHUB_TDMEM108_ORIG_TD1 0x40007b64u +#define CYDEV_PHUB_TDMEM109_BASE 0x40007b68u +#define CYDEV_PHUB_TDMEM109_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM109_ORIG_TD0 0x40007b68u +#define CYDEV_PHUB_TDMEM109_ORIG_TD1 0x40007b6cu +#define CYDEV_PHUB_TDMEM110_BASE 0x40007b70u +#define CYDEV_PHUB_TDMEM110_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM110_ORIG_TD0 0x40007b70u +#define CYDEV_PHUB_TDMEM110_ORIG_TD1 0x40007b74u +#define CYDEV_PHUB_TDMEM111_BASE 0x40007b78u +#define CYDEV_PHUB_TDMEM111_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM111_ORIG_TD0 0x40007b78u +#define CYDEV_PHUB_TDMEM111_ORIG_TD1 0x40007b7cu +#define CYDEV_PHUB_TDMEM112_BASE 0x40007b80u +#define CYDEV_PHUB_TDMEM112_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM112_ORIG_TD0 0x40007b80u +#define CYDEV_PHUB_TDMEM112_ORIG_TD1 0x40007b84u +#define CYDEV_PHUB_TDMEM113_BASE 0x40007b88u +#define CYDEV_PHUB_TDMEM113_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM113_ORIG_TD0 0x40007b88u +#define CYDEV_PHUB_TDMEM113_ORIG_TD1 0x40007b8cu +#define CYDEV_PHUB_TDMEM114_BASE 0x40007b90u +#define CYDEV_PHUB_TDMEM114_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM114_ORIG_TD0 0x40007b90u +#define CYDEV_PHUB_TDMEM114_ORIG_TD1 0x40007b94u +#define CYDEV_PHUB_TDMEM115_BASE 0x40007b98u +#define CYDEV_PHUB_TDMEM115_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM115_ORIG_TD0 0x40007b98u +#define CYDEV_PHUB_TDMEM115_ORIG_TD1 0x40007b9cu +#define CYDEV_PHUB_TDMEM116_BASE 0x40007ba0u +#define CYDEV_PHUB_TDMEM116_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM116_ORIG_TD0 0x40007ba0u +#define CYDEV_PHUB_TDMEM116_ORIG_TD1 0x40007ba4u +#define CYDEV_PHUB_TDMEM117_BASE 0x40007ba8u +#define CYDEV_PHUB_TDMEM117_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM117_ORIG_TD0 0x40007ba8u +#define CYDEV_PHUB_TDMEM117_ORIG_TD1 0x40007bacu +#define CYDEV_PHUB_TDMEM118_BASE 0x40007bb0u +#define CYDEV_PHUB_TDMEM118_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM118_ORIG_TD0 0x40007bb0u +#define CYDEV_PHUB_TDMEM118_ORIG_TD1 0x40007bb4u +#define CYDEV_PHUB_TDMEM119_BASE 0x40007bb8u +#define CYDEV_PHUB_TDMEM119_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM119_ORIG_TD0 0x40007bb8u +#define CYDEV_PHUB_TDMEM119_ORIG_TD1 0x40007bbcu +#define CYDEV_PHUB_TDMEM120_BASE 0x40007bc0u +#define CYDEV_PHUB_TDMEM120_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM120_ORIG_TD0 0x40007bc0u +#define CYDEV_PHUB_TDMEM120_ORIG_TD1 0x40007bc4u +#define CYDEV_PHUB_TDMEM121_BASE 0x40007bc8u +#define CYDEV_PHUB_TDMEM121_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM121_ORIG_TD0 0x40007bc8u +#define CYDEV_PHUB_TDMEM121_ORIG_TD1 0x40007bccu +#define CYDEV_PHUB_TDMEM122_BASE 0x40007bd0u +#define CYDEV_PHUB_TDMEM122_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM122_ORIG_TD0 0x40007bd0u +#define CYDEV_PHUB_TDMEM122_ORIG_TD1 0x40007bd4u +#define CYDEV_PHUB_TDMEM123_BASE 0x40007bd8u +#define CYDEV_PHUB_TDMEM123_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM123_ORIG_TD0 0x40007bd8u +#define CYDEV_PHUB_TDMEM123_ORIG_TD1 0x40007bdcu +#define CYDEV_PHUB_TDMEM124_BASE 0x40007be0u +#define CYDEV_PHUB_TDMEM124_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM124_ORIG_TD0 0x40007be0u +#define CYDEV_PHUB_TDMEM124_ORIG_TD1 0x40007be4u +#define CYDEV_PHUB_TDMEM125_BASE 0x40007be8u +#define CYDEV_PHUB_TDMEM125_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM125_ORIG_TD0 0x40007be8u +#define CYDEV_PHUB_TDMEM125_ORIG_TD1 0x40007becu +#define CYDEV_PHUB_TDMEM126_BASE 0x40007bf0u +#define CYDEV_PHUB_TDMEM126_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM126_ORIG_TD0 0x40007bf0u +#define CYDEV_PHUB_TDMEM126_ORIG_TD1 0x40007bf4u +#define CYDEV_PHUB_TDMEM127_BASE 0x40007bf8u +#define CYDEV_PHUB_TDMEM127_SIZE 0x00000008u +#define CYDEV_PHUB_TDMEM127_ORIG_TD0 0x40007bf8u +#define CYDEV_PHUB_TDMEM127_ORIG_TD1 0x40007bfcu +#define CYDEV_EE_BASE 0x40008000u +#define CYDEV_EE_SIZE 0x00000800u +#define CYDEV_EE_DATA_MBASE 0x40008000u +#define CYDEV_EE_DATA_MSIZE 0x00000800u +#define CYDEV_CAN0_BASE 0x4000a000u +#define CYDEV_CAN0_SIZE 0x000002a0u +#define CYDEV_CAN0_CSR_BASE 0x4000a000u +#define CYDEV_CAN0_CSR_SIZE 0x00000018u +#define CYDEV_CAN0_CSR_INT_SR 0x4000a000u +#define CYDEV_CAN0_CSR_INT_EN 0x4000a004u +#define CYDEV_CAN0_CSR_BUF_SR 0x4000a008u +#define CYDEV_CAN0_CSR_ERR_SR 0x4000a00cu +#define CYDEV_CAN0_CSR_CMD 0x4000a010u +#define CYDEV_CAN0_CSR_CFG 0x4000a014u +#define CYDEV_CAN0_TX0_BASE 0x4000a020u +#define CYDEV_CAN0_TX0_SIZE 0x00000010u +#define CYDEV_CAN0_TX0_CMD 0x4000a020u +#define CYDEV_CAN0_TX0_ID 0x4000a024u +#define CYDEV_CAN0_TX0_DH 0x4000a028u +#define CYDEV_CAN0_TX0_DL 0x4000a02cu +#define CYDEV_CAN0_TX1_BASE 0x4000a030u +#define CYDEV_CAN0_TX1_SIZE 0x00000010u +#define CYDEV_CAN0_TX1_CMD 0x4000a030u +#define CYDEV_CAN0_TX1_ID 0x4000a034u +#define CYDEV_CAN0_TX1_DH 0x4000a038u +#define CYDEV_CAN0_TX1_DL 0x4000a03cu +#define CYDEV_CAN0_TX2_BASE 0x4000a040u +#define CYDEV_CAN0_TX2_SIZE 0x00000010u +#define CYDEV_CAN0_TX2_CMD 0x4000a040u +#define CYDEV_CAN0_TX2_ID 0x4000a044u +#define CYDEV_CAN0_TX2_DH 0x4000a048u +#define CYDEV_CAN0_TX2_DL 0x4000a04cu +#define CYDEV_CAN0_TX3_BASE 0x4000a050u +#define CYDEV_CAN0_TX3_SIZE 0x00000010u +#define CYDEV_CAN0_TX3_CMD 0x4000a050u +#define CYDEV_CAN0_TX3_ID 0x4000a054u +#define CYDEV_CAN0_TX3_DH 0x4000a058u +#define CYDEV_CAN0_TX3_DL 0x4000a05cu +#define CYDEV_CAN0_TX4_BASE 0x4000a060u +#define CYDEV_CAN0_TX4_SIZE 0x00000010u +#define CYDEV_CAN0_TX4_CMD 0x4000a060u +#define CYDEV_CAN0_TX4_ID 0x4000a064u +#define CYDEV_CAN0_TX4_DH 0x4000a068u +#define CYDEV_CAN0_TX4_DL 0x4000a06cu +#define CYDEV_CAN0_TX5_BASE 0x4000a070u +#define CYDEV_CAN0_TX5_SIZE 0x00000010u +#define CYDEV_CAN0_TX5_CMD 0x4000a070u +#define CYDEV_CAN0_TX5_ID 0x4000a074u +#define CYDEV_CAN0_TX5_DH 0x4000a078u +#define CYDEV_CAN0_TX5_DL 0x4000a07cu +#define CYDEV_CAN0_TX6_BASE 0x4000a080u +#define CYDEV_CAN0_TX6_SIZE 0x00000010u +#define CYDEV_CAN0_TX6_CMD 0x4000a080u +#define CYDEV_CAN0_TX6_ID 0x4000a084u +#define CYDEV_CAN0_TX6_DH 0x4000a088u +#define CYDEV_CAN0_TX6_DL 0x4000a08cu +#define CYDEV_CAN0_TX7_BASE 0x4000a090u +#define CYDEV_CAN0_TX7_SIZE 0x00000010u +#define CYDEV_CAN0_TX7_CMD 0x4000a090u +#define CYDEV_CAN0_TX7_ID 0x4000a094u +#define CYDEV_CAN0_TX7_DH 0x4000a098u +#define CYDEV_CAN0_TX7_DL 0x4000a09cu +#define CYDEV_CAN0_RX0_BASE 0x4000a0a0u +#define CYDEV_CAN0_RX0_SIZE 0x00000020u +#define CYDEV_CAN0_RX0_CMD 0x4000a0a0u +#define CYDEV_CAN0_RX0_ID 0x4000a0a4u +#define CYDEV_CAN0_RX0_DH 0x4000a0a8u +#define CYDEV_CAN0_RX0_DL 0x4000a0acu +#define CYDEV_CAN0_RX0_AMR 0x4000a0b0u +#define CYDEV_CAN0_RX0_ACR 0x4000a0b4u +#define CYDEV_CAN0_RX0_AMRD 0x4000a0b8u +#define CYDEV_CAN0_RX0_ACRD 0x4000a0bcu +#define CYDEV_CAN0_RX1_BASE 0x4000a0c0u +#define CYDEV_CAN0_RX1_SIZE 0x00000020u +#define CYDEV_CAN0_RX1_CMD 0x4000a0c0u +#define CYDEV_CAN0_RX1_ID 0x4000a0c4u +#define CYDEV_CAN0_RX1_DH 0x4000a0c8u +#define CYDEV_CAN0_RX1_DL 0x4000a0ccu +#define CYDEV_CAN0_RX1_AMR 0x4000a0d0u +#define CYDEV_CAN0_RX1_ACR 0x4000a0d4u +#define CYDEV_CAN0_RX1_AMRD 0x4000a0d8u +#define CYDEV_CAN0_RX1_ACRD 0x4000a0dcu +#define CYDEV_CAN0_RX2_BASE 0x4000a0e0u +#define CYDEV_CAN0_RX2_SIZE 0x00000020u +#define CYDEV_CAN0_RX2_CMD 0x4000a0e0u +#define CYDEV_CAN0_RX2_ID 0x4000a0e4u +#define CYDEV_CAN0_RX2_DH 0x4000a0e8u +#define CYDEV_CAN0_RX2_DL 0x4000a0ecu +#define CYDEV_CAN0_RX2_AMR 0x4000a0f0u +#define CYDEV_CAN0_RX2_ACR 0x4000a0f4u +#define CYDEV_CAN0_RX2_AMRD 0x4000a0f8u +#define CYDEV_CAN0_RX2_ACRD 0x4000a0fcu +#define CYDEV_CAN0_RX3_BASE 0x4000a100u +#define CYDEV_CAN0_RX3_SIZE 0x00000020u +#define CYDEV_CAN0_RX3_CMD 0x4000a100u +#define CYDEV_CAN0_RX3_ID 0x4000a104u +#define CYDEV_CAN0_RX3_DH 0x4000a108u +#define CYDEV_CAN0_RX3_DL 0x4000a10cu +#define CYDEV_CAN0_RX3_AMR 0x4000a110u +#define CYDEV_CAN0_RX3_ACR 0x4000a114u +#define CYDEV_CAN0_RX3_AMRD 0x4000a118u +#define CYDEV_CAN0_RX3_ACRD 0x4000a11cu +#define CYDEV_CAN0_RX4_BASE 0x4000a120u +#define CYDEV_CAN0_RX4_SIZE 0x00000020u +#define CYDEV_CAN0_RX4_CMD 0x4000a120u +#define CYDEV_CAN0_RX4_ID 0x4000a124u +#define CYDEV_CAN0_RX4_DH 0x4000a128u +#define CYDEV_CAN0_RX4_DL 0x4000a12cu +#define CYDEV_CAN0_RX4_AMR 0x4000a130u +#define CYDEV_CAN0_RX4_ACR 0x4000a134u +#define CYDEV_CAN0_RX4_AMRD 0x4000a138u +#define CYDEV_CAN0_RX4_ACRD 0x4000a13cu +#define CYDEV_CAN0_RX5_BASE 0x4000a140u +#define CYDEV_CAN0_RX5_SIZE 0x00000020u +#define CYDEV_CAN0_RX5_CMD 0x4000a140u +#define CYDEV_CAN0_RX5_ID 0x4000a144u +#define CYDEV_CAN0_RX5_DH 0x4000a148u +#define CYDEV_CAN0_RX5_DL 0x4000a14cu +#define CYDEV_CAN0_RX5_AMR 0x4000a150u +#define CYDEV_CAN0_RX5_ACR 0x4000a154u +#define CYDEV_CAN0_RX5_AMRD 0x4000a158u +#define CYDEV_CAN0_RX5_ACRD 0x4000a15cu +#define CYDEV_CAN0_RX6_BASE 0x4000a160u +#define CYDEV_CAN0_RX6_SIZE 0x00000020u +#define CYDEV_CAN0_RX6_CMD 0x4000a160u +#define CYDEV_CAN0_RX6_ID 0x4000a164u +#define CYDEV_CAN0_RX6_DH 0x4000a168u +#define CYDEV_CAN0_RX6_DL 0x4000a16cu +#define CYDEV_CAN0_RX6_AMR 0x4000a170u +#define CYDEV_CAN0_RX6_ACR 0x4000a174u +#define CYDEV_CAN0_RX6_AMRD 0x4000a178u +#define CYDEV_CAN0_RX6_ACRD 0x4000a17cu +#define CYDEV_CAN0_RX7_BASE 0x4000a180u +#define CYDEV_CAN0_RX7_SIZE 0x00000020u +#define CYDEV_CAN0_RX7_CMD 0x4000a180u +#define CYDEV_CAN0_RX7_ID 0x4000a184u +#define CYDEV_CAN0_RX7_DH 0x4000a188u +#define CYDEV_CAN0_RX7_DL 0x4000a18cu +#define CYDEV_CAN0_RX7_AMR 0x4000a190u +#define CYDEV_CAN0_RX7_ACR 0x4000a194u +#define CYDEV_CAN0_RX7_AMRD 0x4000a198u +#define CYDEV_CAN0_RX7_ACRD 0x4000a19cu +#define CYDEV_CAN0_RX8_BASE 0x4000a1a0u +#define CYDEV_CAN0_RX8_SIZE 0x00000020u +#define CYDEV_CAN0_RX8_CMD 0x4000a1a0u +#define CYDEV_CAN0_RX8_ID 0x4000a1a4u +#define CYDEV_CAN0_RX8_DH 0x4000a1a8u +#define CYDEV_CAN0_RX8_DL 0x4000a1acu +#define CYDEV_CAN0_RX8_AMR 0x4000a1b0u +#define CYDEV_CAN0_RX8_ACR 0x4000a1b4u +#define CYDEV_CAN0_RX8_AMRD 0x4000a1b8u +#define CYDEV_CAN0_RX8_ACRD 0x4000a1bcu +#define CYDEV_CAN0_RX9_BASE 0x4000a1c0u +#define CYDEV_CAN0_RX9_SIZE 0x00000020u +#define CYDEV_CAN0_RX9_CMD 0x4000a1c0u +#define CYDEV_CAN0_RX9_ID 0x4000a1c4u +#define CYDEV_CAN0_RX9_DH 0x4000a1c8u +#define CYDEV_CAN0_RX9_DL 0x4000a1ccu +#define CYDEV_CAN0_RX9_AMR 0x4000a1d0u +#define CYDEV_CAN0_RX9_ACR 0x4000a1d4u +#define CYDEV_CAN0_RX9_AMRD 0x4000a1d8u +#define CYDEV_CAN0_RX9_ACRD 0x4000a1dcu +#define CYDEV_CAN0_RX10_BASE 0x4000a1e0u +#define CYDEV_CAN0_RX10_SIZE 0x00000020u +#define CYDEV_CAN0_RX10_CMD 0x4000a1e0u +#define CYDEV_CAN0_RX10_ID 0x4000a1e4u +#define CYDEV_CAN0_RX10_DH 0x4000a1e8u +#define CYDEV_CAN0_RX10_DL 0x4000a1ecu +#define CYDEV_CAN0_RX10_AMR 0x4000a1f0u +#define CYDEV_CAN0_RX10_ACR 0x4000a1f4u +#define CYDEV_CAN0_RX10_AMRD 0x4000a1f8u +#define CYDEV_CAN0_RX10_ACRD 0x4000a1fcu +#define CYDEV_CAN0_RX11_BASE 0x4000a200u +#define CYDEV_CAN0_RX11_SIZE 0x00000020u +#define CYDEV_CAN0_RX11_CMD 0x4000a200u +#define CYDEV_CAN0_RX11_ID 0x4000a204u +#define CYDEV_CAN0_RX11_DH 0x4000a208u +#define CYDEV_CAN0_RX11_DL 0x4000a20cu +#define CYDEV_CAN0_RX11_AMR 0x4000a210u +#define CYDEV_CAN0_RX11_ACR 0x4000a214u +#define CYDEV_CAN0_RX11_AMRD 0x4000a218u +#define CYDEV_CAN0_RX11_ACRD 0x4000a21cu +#define CYDEV_CAN0_RX12_BASE 0x4000a220u +#define CYDEV_CAN0_RX12_SIZE 0x00000020u +#define CYDEV_CAN0_RX12_CMD 0x4000a220u +#define CYDEV_CAN0_RX12_ID 0x4000a224u +#define CYDEV_CAN0_RX12_DH 0x4000a228u +#define CYDEV_CAN0_RX12_DL 0x4000a22cu +#define CYDEV_CAN0_RX12_AMR 0x4000a230u +#define CYDEV_CAN0_RX12_ACR 0x4000a234u +#define CYDEV_CAN0_RX12_AMRD 0x4000a238u +#define CYDEV_CAN0_RX12_ACRD 0x4000a23cu +#define CYDEV_CAN0_RX13_BASE 0x4000a240u +#define CYDEV_CAN0_RX13_SIZE 0x00000020u +#define CYDEV_CAN0_RX13_CMD 0x4000a240u +#define CYDEV_CAN0_RX13_ID 0x4000a244u +#define CYDEV_CAN0_RX13_DH 0x4000a248u +#define CYDEV_CAN0_RX13_DL 0x4000a24cu +#define CYDEV_CAN0_RX13_AMR 0x4000a250u +#define CYDEV_CAN0_RX13_ACR 0x4000a254u +#define CYDEV_CAN0_RX13_AMRD 0x4000a258u +#define CYDEV_CAN0_RX13_ACRD 0x4000a25cu +#define CYDEV_CAN0_RX14_BASE 0x4000a260u +#define CYDEV_CAN0_RX14_SIZE 0x00000020u +#define CYDEV_CAN0_RX14_CMD 0x4000a260u +#define CYDEV_CAN0_RX14_ID 0x4000a264u +#define CYDEV_CAN0_RX14_DH 0x4000a268u +#define CYDEV_CAN0_RX14_DL 0x4000a26cu +#define CYDEV_CAN0_RX14_AMR 0x4000a270u +#define CYDEV_CAN0_RX14_ACR 0x4000a274u +#define CYDEV_CAN0_RX14_AMRD 0x4000a278u +#define CYDEV_CAN0_RX14_ACRD 0x4000a27cu +#define CYDEV_CAN0_RX15_BASE 0x4000a280u +#define CYDEV_CAN0_RX15_SIZE 0x00000020u +#define CYDEV_CAN0_RX15_CMD 0x4000a280u +#define CYDEV_CAN0_RX15_ID 0x4000a284u +#define CYDEV_CAN0_RX15_DH 0x4000a288u +#define CYDEV_CAN0_RX15_DL 0x4000a28cu +#define CYDEV_CAN0_RX15_AMR 0x4000a290u +#define CYDEV_CAN0_RX15_ACR 0x4000a294u +#define CYDEV_CAN0_RX15_AMRD 0x4000a298u +#define CYDEV_CAN0_RX15_ACRD 0x4000a29cu +#define CYDEV_DFB0_BASE 0x4000c000u +#define CYDEV_DFB0_SIZE 0x000007b5u +#define CYDEV_DFB0_DPA_SRAM_BASE 0x4000c000u +#define CYDEV_DFB0_DPA_SRAM_SIZE 0x00000200u +#define CYDEV_DFB0_DPA_SRAM_DATA_MBASE 0x4000c000u +#define CYDEV_DFB0_DPA_SRAM_DATA_MSIZE 0x00000200u +#define CYDEV_DFB0_DPB_SRAM_BASE 0x4000c200u +#define CYDEV_DFB0_DPB_SRAM_SIZE 0x00000200u +#define CYDEV_DFB0_DPB_SRAM_DATA_MBASE 0x4000c200u +#define CYDEV_DFB0_DPB_SRAM_DATA_MSIZE 0x00000200u +#define CYDEV_DFB0_CSA_SRAM_BASE 0x4000c400u +#define CYDEV_DFB0_CSA_SRAM_SIZE 0x00000100u +#define CYDEV_DFB0_CSA_SRAM_DATA_MBASE 0x4000c400u +#define CYDEV_DFB0_CSA_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_CSB_SRAM_BASE 0x4000c500u +#define CYDEV_DFB0_CSB_SRAM_SIZE 0x00000100u +#define CYDEV_DFB0_CSB_SRAM_DATA_MBASE 0x4000c500u +#define CYDEV_DFB0_CSB_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_FSM_SRAM_BASE 0x4000c600u +#define CYDEV_DFB0_FSM_SRAM_SIZE 0x00000100u +#define CYDEV_DFB0_FSM_SRAM_DATA_MBASE 0x4000c600u +#define CYDEV_DFB0_FSM_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_ACU_SRAM_BASE 0x4000c700u +#define CYDEV_DFB0_ACU_SRAM_SIZE 0x00000040u +#define CYDEV_DFB0_ACU_SRAM_DATA_MBASE 0x4000c700u +#define CYDEV_DFB0_ACU_SRAM_DATA_MSIZE 0x00000040u +#define CYDEV_DFB0_CR 0x4000c780u +#define CYDEV_DFB0_SR 0x4000c784u +#define CYDEV_DFB0_RAM_EN 0x4000c788u +#define CYDEV_DFB0_RAM_DIR 0x4000c78cu +#define CYDEV_DFB0_SEMA 0x4000c790u +#define CYDEV_DFB0_DSI_CTRL 0x4000c794u +#define CYDEV_DFB0_INT_CTRL 0x4000c798u +#define CYDEV_DFB0_DMA_CTRL 0x4000c79cu +#define CYDEV_DFB0_STAGEA 0x4000c7a0u +#define CYDEV_DFB0_STAGEAM 0x4000c7a1u +#define CYDEV_DFB0_STAGEAH 0x4000c7a2u +#define CYDEV_DFB0_STAGEB 0x4000c7a4u +#define CYDEV_DFB0_STAGEBM 0x4000c7a5u +#define CYDEV_DFB0_STAGEBH 0x4000c7a6u +#define CYDEV_DFB0_HOLDA 0x4000c7a8u +#define CYDEV_DFB0_HOLDAM 0x4000c7a9u +#define CYDEV_DFB0_HOLDAH 0x4000c7aau +#define CYDEV_DFB0_HOLDAS 0x4000c7abu +#define CYDEV_DFB0_HOLDB 0x4000c7acu +#define CYDEV_DFB0_HOLDBM 0x4000c7adu +#define CYDEV_DFB0_HOLDBH 0x4000c7aeu +#define CYDEV_DFB0_HOLDBS 0x4000c7afu +#define CYDEV_DFB0_COHER 0x4000c7b0u +#define CYDEV_DFB0_DALIGN 0x4000c7b4u +#define CYDEV_UCFG_BASE 0x40010000u +#define CYDEV_UCFG_SIZE 0x00005040u +#define CYDEV_UCFG_B0_BASE 0x40010000u +#define CYDEV_UCFG_B0_SIZE 0x00000fefu +#define CYDEV_UCFG_B0_P0_BASE 0x40010000u +#define CYDEV_UCFG_B0_P0_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P0_U0_BASE 0x40010000u +#define CYDEV_UCFG_B0_P0_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT0 0x40010000u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT1 0x40010004u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT2 0x40010008u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT3 0x4001000cu +#define CYDEV_UCFG_B0_P0_U0_PLD_IT4 0x40010010u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT5 0x40010014u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT6 0x40010018u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT7 0x4001001cu +#define CYDEV_UCFG_B0_P0_U0_PLD_IT8 0x40010020u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT9 0x40010024u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT10 0x40010028u +#define CYDEV_UCFG_B0_P0_U0_PLD_IT11 0x4001002cu +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT0 0x40010030u +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT1 0x40010032u +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT2 0x40010034u +#define CYDEV_UCFG_B0_P0_U0_PLD_ORT3 0x40010036u +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST 0x40010038u +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB 0x4001003au +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET 0x4001003cu +#define CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS 0x4001003eu +#define CYDEV_UCFG_B0_P0_U0_CFG0 0x40010040u +#define CYDEV_UCFG_B0_P0_U0_CFG1 0x40010041u +#define CYDEV_UCFG_B0_P0_U0_CFG2 0x40010042u +#define CYDEV_UCFG_B0_P0_U0_CFG3 0x40010043u +#define CYDEV_UCFG_B0_P0_U0_CFG4 0x40010044u +#define CYDEV_UCFG_B0_P0_U0_CFG5 0x40010045u +#define CYDEV_UCFG_B0_P0_U0_CFG6 0x40010046u +#define CYDEV_UCFG_B0_P0_U0_CFG7 0x40010047u +#define CYDEV_UCFG_B0_P0_U0_CFG8 0x40010048u +#define CYDEV_UCFG_B0_P0_U0_CFG9 0x40010049u +#define CYDEV_UCFG_B0_P0_U0_CFG10 0x4001004au +#define CYDEV_UCFG_B0_P0_U0_CFG11 0x4001004bu +#define CYDEV_UCFG_B0_P0_U0_CFG12 0x4001004cu +#define CYDEV_UCFG_B0_P0_U0_CFG13 0x4001004du +#define CYDEV_UCFG_B0_P0_U0_CFG14 0x4001004eu +#define CYDEV_UCFG_B0_P0_U0_CFG15 0x4001004fu +#define CYDEV_UCFG_B0_P0_U0_CFG16 0x40010050u +#define CYDEV_UCFG_B0_P0_U0_CFG17 0x40010051u +#define CYDEV_UCFG_B0_P0_U0_CFG18 0x40010052u +#define CYDEV_UCFG_B0_P0_U0_CFG19 0x40010053u +#define CYDEV_UCFG_B0_P0_U0_CFG20 0x40010054u +#define CYDEV_UCFG_B0_P0_U0_CFG21 0x40010055u +#define CYDEV_UCFG_B0_P0_U0_CFG22 0x40010056u +#define CYDEV_UCFG_B0_P0_U0_CFG23 0x40010057u +#define CYDEV_UCFG_B0_P0_U0_CFG24 0x40010058u +#define CYDEV_UCFG_B0_P0_U0_CFG25 0x40010059u +#define CYDEV_UCFG_B0_P0_U0_CFG26 0x4001005au +#define CYDEV_UCFG_B0_P0_U0_CFG27 0x4001005bu +#define CYDEV_UCFG_B0_P0_U0_CFG28 0x4001005cu +#define CYDEV_UCFG_B0_P0_U0_CFG29 0x4001005du +#define CYDEV_UCFG_B0_P0_U0_CFG30 0x4001005eu +#define CYDEV_UCFG_B0_P0_U0_CFG31 0x4001005fu +#define CYDEV_UCFG_B0_P0_U0_DCFG0 0x40010060u +#define CYDEV_UCFG_B0_P0_U0_DCFG1 0x40010062u +#define CYDEV_UCFG_B0_P0_U0_DCFG2 0x40010064u +#define CYDEV_UCFG_B0_P0_U0_DCFG3 0x40010066u +#define CYDEV_UCFG_B0_P0_U0_DCFG4 0x40010068u +#define CYDEV_UCFG_B0_P0_U0_DCFG5 0x4001006au +#define CYDEV_UCFG_B0_P0_U0_DCFG6 0x4001006cu +#define CYDEV_UCFG_B0_P0_U0_DCFG7 0x4001006eu +#define CYDEV_UCFG_B0_P0_U1_BASE 0x40010080u +#define CYDEV_UCFG_B0_P0_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT0 0x40010080u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT1 0x40010084u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT2 0x40010088u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT3 0x4001008cu +#define CYDEV_UCFG_B0_P0_U1_PLD_IT4 0x40010090u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT5 0x40010094u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT6 0x40010098u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT7 0x4001009cu +#define CYDEV_UCFG_B0_P0_U1_PLD_IT8 0x400100a0u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT9 0x400100a4u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT10 0x400100a8u +#define CYDEV_UCFG_B0_P0_U1_PLD_IT11 0x400100acu +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT0 0x400100b0u +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT1 0x400100b2u +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT2 0x400100b4u +#define CYDEV_UCFG_B0_P0_U1_PLD_ORT3 0x400100b6u +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST 0x400100b8u +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB 0x400100bau +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET 0x400100bcu +#define CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS 0x400100beu +#define CYDEV_UCFG_B0_P0_U1_CFG0 0x400100c0u +#define CYDEV_UCFG_B0_P0_U1_CFG1 0x400100c1u +#define CYDEV_UCFG_B0_P0_U1_CFG2 0x400100c2u +#define CYDEV_UCFG_B0_P0_U1_CFG3 0x400100c3u +#define CYDEV_UCFG_B0_P0_U1_CFG4 0x400100c4u +#define CYDEV_UCFG_B0_P0_U1_CFG5 0x400100c5u +#define CYDEV_UCFG_B0_P0_U1_CFG6 0x400100c6u +#define CYDEV_UCFG_B0_P0_U1_CFG7 0x400100c7u +#define CYDEV_UCFG_B0_P0_U1_CFG8 0x400100c8u +#define CYDEV_UCFG_B0_P0_U1_CFG9 0x400100c9u +#define CYDEV_UCFG_B0_P0_U1_CFG10 0x400100cau +#define CYDEV_UCFG_B0_P0_U1_CFG11 0x400100cbu +#define CYDEV_UCFG_B0_P0_U1_CFG12 0x400100ccu +#define CYDEV_UCFG_B0_P0_U1_CFG13 0x400100cdu +#define CYDEV_UCFG_B0_P0_U1_CFG14 0x400100ceu +#define CYDEV_UCFG_B0_P0_U1_CFG15 0x400100cfu +#define CYDEV_UCFG_B0_P0_U1_CFG16 0x400100d0u +#define CYDEV_UCFG_B0_P0_U1_CFG17 0x400100d1u +#define CYDEV_UCFG_B0_P0_U1_CFG18 0x400100d2u +#define CYDEV_UCFG_B0_P0_U1_CFG19 0x400100d3u +#define CYDEV_UCFG_B0_P0_U1_CFG20 0x400100d4u +#define CYDEV_UCFG_B0_P0_U1_CFG21 0x400100d5u +#define CYDEV_UCFG_B0_P0_U1_CFG22 0x400100d6u +#define CYDEV_UCFG_B0_P0_U1_CFG23 0x400100d7u +#define CYDEV_UCFG_B0_P0_U1_CFG24 0x400100d8u +#define CYDEV_UCFG_B0_P0_U1_CFG25 0x400100d9u +#define CYDEV_UCFG_B0_P0_U1_CFG26 0x400100dau +#define CYDEV_UCFG_B0_P0_U1_CFG27 0x400100dbu +#define CYDEV_UCFG_B0_P0_U1_CFG28 0x400100dcu +#define CYDEV_UCFG_B0_P0_U1_CFG29 0x400100ddu +#define CYDEV_UCFG_B0_P0_U1_CFG30 0x400100deu +#define CYDEV_UCFG_B0_P0_U1_CFG31 0x400100dfu +#define CYDEV_UCFG_B0_P0_U1_DCFG0 0x400100e0u +#define CYDEV_UCFG_B0_P0_U1_DCFG1 0x400100e2u +#define CYDEV_UCFG_B0_P0_U1_DCFG2 0x400100e4u +#define CYDEV_UCFG_B0_P0_U1_DCFG3 0x400100e6u +#define CYDEV_UCFG_B0_P0_U1_DCFG4 0x400100e8u +#define CYDEV_UCFG_B0_P0_U1_DCFG5 0x400100eau +#define CYDEV_UCFG_B0_P0_U1_DCFG6 0x400100ecu +#define CYDEV_UCFG_B0_P0_U1_DCFG7 0x400100eeu +#define CYDEV_UCFG_B0_P0_ROUTE_BASE 0x40010100u +#define CYDEV_UCFG_B0_P0_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P1_BASE 0x40010200u +#define CYDEV_UCFG_B0_P1_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P1_U0_BASE 0x40010200u +#define CYDEV_UCFG_B0_P1_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT0 0x40010200u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT1 0x40010204u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT2 0x40010208u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT3 0x4001020cu +#define CYDEV_UCFG_B0_P1_U0_PLD_IT4 0x40010210u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT5 0x40010214u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT6 0x40010218u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT7 0x4001021cu +#define CYDEV_UCFG_B0_P1_U0_PLD_IT8 0x40010220u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT9 0x40010224u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT10 0x40010228u +#define CYDEV_UCFG_B0_P1_U0_PLD_IT11 0x4001022cu +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT0 0x40010230u +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT1 0x40010232u +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT2 0x40010234u +#define CYDEV_UCFG_B0_P1_U0_PLD_ORT3 0x40010236u +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST 0x40010238u +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB 0x4001023au +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET 0x4001023cu +#define CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS 0x4001023eu +#define CYDEV_UCFG_B0_P1_U0_CFG0 0x40010240u +#define CYDEV_UCFG_B0_P1_U0_CFG1 0x40010241u +#define CYDEV_UCFG_B0_P1_U0_CFG2 0x40010242u +#define CYDEV_UCFG_B0_P1_U0_CFG3 0x40010243u +#define CYDEV_UCFG_B0_P1_U0_CFG4 0x40010244u +#define CYDEV_UCFG_B0_P1_U0_CFG5 0x40010245u +#define CYDEV_UCFG_B0_P1_U0_CFG6 0x40010246u +#define CYDEV_UCFG_B0_P1_U0_CFG7 0x40010247u +#define CYDEV_UCFG_B0_P1_U0_CFG8 0x40010248u +#define CYDEV_UCFG_B0_P1_U0_CFG9 0x40010249u +#define CYDEV_UCFG_B0_P1_U0_CFG10 0x4001024au +#define CYDEV_UCFG_B0_P1_U0_CFG11 0x4001024bu +#define CYDEV_UCFG_B0_P1_U0_CFG12 0x4001024cu +#define CYDEV_UCFG_B0_P1_U0_CFG13 0x4001024du +#define CYDEV_UCFG_B0_P1_U0_CFG14 0x4001024eu +#define CYDEV_UCFG_B0_P1_U0_CFG15 0x4001024fu +#define CYDEV_UCFG_B0_P1_U0_CFG16 0x40010250u +#define CYDEV_UCFG_B0_P1_U0_CFG17 0x40010251u +#define CYDEV_UCFG_B0_P1_U0_CFG18 0x40010252u +#define CYDEV_UCFG_B0_P1_U0_CFG19 0x40010253u +#define CYDEV_UCFG_B0_P1_U0_CFG20 0x40010254u +#define CYDEV_UCFG_B0_P1_U0_CFG21 0x40010255u +#define CYDEV_UCFG_B0_P1_U0_CFG22 0x40010256u +#define CYDEV_UCFG_B0_P1_U0_CFG23 0x40010257u +#define CYDEV_UCFG_B0_P1_U0_CFG24 0x40010258u +#define CYDEV_UCFG_B0_P1_U0_CFG25 0x40010259u +#define CYDEV_UCFG_B0_P1_U0_CFG26 0x4001025au +#define CYDEV_UCFG_B0_P1_U0_CFG27 0x4001025bu +#define CYDEV_UCFG_B0_P1_U0_CFG28 0x4001025cu +#define CYDEV_UCFG_B0_P1_U0_CFG29 0x4001025du +#define CYDEV_UCFG_B0_P1_U0_CFG30 0x4001025eu +#define CYDEV_UCFG_B0_P1_U0_CFG31 0x4001025fu +#define CYDEV_UCFG_B0_P1_U0_DCFG0 0x40010260u +#define CYDEV_UCFG_B0_P1_U0_DCFG1 0x40010262u +#define CYDEV_UCFG_B0_P1_U0_DCFG2 0x40010264u +#define CYDEV_UCFG_B0_P1_U0_DCFG3 0x40010266u +#define CYDEV_UCFG_B0_P1_U0_DCFG4 0x40010268u +#define CYDEV_UCFG_B0_P1_U0_DCFG5 0x4001026au +#define CYDEV_UCFG_B0_P1_U0_DCFG6 0x4001026cu +#define CYDEV_UCFG_B0_P1_U0_DCFG7 0x4001026eu +#define CYDEV_UCFG_B0_P1_U1_BASE 0x40010280u +#define CYDEV_UCFG_B0_P1_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT0 0x40010280u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT1 0x40010284u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT2 0x40010288u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT3 0x4001028cu +#define CYDEV_UCFG_B0_P1_U1_PLD_IT4 0x40010290u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT5 0x40010294u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT6 0x40010298u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT7 0x4001029cu +#define CYDEV_UCFG_B0_P1_U1_PLD_IT8 0x400102a0u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT9 0x400102a4u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT10 0x400102a8u +#define CYDEV_UCFG_B0_P1_U1_PLD_IT11 0x400102acu +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT0 0x400102b0u +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT1 0x400102b2u +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT2 0x400102b4u +#define CYDEV_UCFG_B0_P1_U1_PLD_ORT3 0x400102b6u +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST 0x400102b8u +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB 0x400102bau +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET 0x400102bcu +#define CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS 0x400102beu +#define CYDEV_UCFG_B0_P1_U1_CFG0 0x400102c0u +#define CYDEV_UCFG_B0_P1_U1_CFG1 0x400102c1u +#define CYDEV_UCFG_B0_P1_U1_CFG2 0x400102c2u +#define CYDEV_UCFG_B0_P1_U1_CFG3 0x400102c3u +#define CYDEV_UCFG_B0_P1_U1_CFG4 0x400102c4u +#define CYDEV_UCFG_B0_P1_U1_CFG5 0x400102c5u +#define CYDEV_UCFG_B0_P1_U1_CFG6 0x400102c6u +#define CYDEV_UCFG_B0_P1_U1_CFG7 0x400102c7u +#define CYDEV_UCFG_B0_P1_U1_CFG8 0x400102c8u +#define CYDEV_UCFG_B0_P1_U1_CFG9 0x400102c9u +#define CYDEV_UCFG_B0_P1_U1_CFG10 0x400102cau +#define CYDEV_UCFG_B0_P1_U1_CFG11 0x400102cbu +#define CYDEV_UCFG_B0_P1_U1_CFG12 0x400102ccu +#define CYDEV_UCFG_B0_P1_U1_CFG13 0x400102cdu +#define CYDEV_UCFG_B0_P1_U1_CFG14 0x400102ceu +#define CYDEV_UCFG_B0_P1_U1_CFG15 0x400102cfu +#define CYDEV_UCFG_B0_P1_U1_CFG16 0x400102d0u +#define CYDEV_UCFG_B0_P1_U1_CFG17 0x400102d1u +#define CYDEV_UCFG_B0_P1_U1_CFG18 0x400102d2u +#define CYDEV_UCFG_B0_P1_U1_CFG19 0x400102d3u +#define CYDEV_UCFG_B0_P1_U1_CFG20 0x400102d4u +#define CYDEV_UCFG_B0_P1_U1_CFG21 0x400102d5u +#define CYDEV_UCFG_B0_P1_U1_CFG22 0x400102d6u +#define CYDEV_UCFG_B0_P1_U1_CFG23 0x400102d7u +#define CYDEV_UCFG_B0_P1_U1_CFG24 0x400102d8u +#define CYDEV_UCFG_B0_P1_U1_CFG25 0x400102d9u +#define CYDEV_UCFG_B0_P1_U1_CFG26 0x400102dau +#define CYDEV_UCFG_B0_P1_U1_CFG27 0x400102dbu +#define CYDEV_UCFG_B0_P1_U1_CFG28 0x400102dcu +#define CYDEV_UCFG_B0_P1_U1_CFG29 0x400102ddu +#define CYDEV_UCFG_B0_P1_U1_CFG30 0x400102deu +#define CYDEV_UCFG_B0_P1_U1_CFG31 0x400102dfu +#define CYDEV_UCFG_B0_P1_U1_DCFG0 0x400102e0u +#define CYDEV_UCFG_B0_P1_U1_DCFG1 0x400102e2u +#define CYDEV_UCFG_B0_P1_U1_DCFG2 0x400102e4u +#define CYDEV_UCFG_B0_P1_U1_DCFG3 0x400102e6u +#define CYDEV_UCFG_B0_P1_U1_DCFG4 0x400102e8u +#define CYDEV_UCFG_B0_P1_U1_DCFG5 0x400102eau +#define CYDEV_UCFG_B0_P1_U1_DCFG6 0x400102ecu +#define CYDEV_UCFG_B0_P1_U1_DCFG7 0x400102eeu +#define CYDEV_UCFG_B0_P1_ROUTE_BASE 0x40010300u +#define CYDEV_UCFG_B0_P1_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P2_BASE 0x40010400u +#define CYDEV_UCFG_B0_P2_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P2_U0_BASE 0x40010400u +#define CYDEV_UCFG_B0_P2_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT0 0x40010400u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT1 0x40010404u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT2 0x40010408u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT3 0x4001040cu +#define CYDEV_UCFG_B0_P2_U0_PLD_IT4 0x40010410u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT5 0x40010414u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT6 0x40010418u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT7 0x4001041cu +#define CYDEV_UCFG_B0_P2_U0_PLD_IT8 0x40010420u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT9 0x40010424u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT10 0x40010428u +#define CYDEV_UCFG_B0_P2_U0_PLD_IT11 0x4001042cu +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT0 0x40010430u +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT1 0x40010432u +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT2 0x40010434u +#define CYDEV_UCFG_B0_P2_U0_PLD_ORT3 0x40010436u +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST 0x40010438u +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB 0x4001043au +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET 0x4001043cu +#define CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS 0x4001043eu +#define CYDEV_UCFG_B0_P2_U0_CFG0 0x40010440u +#define CYDEV_UCFG_B0_P2_U0_CFG1 0x40010441u +#define CYDEV_UCFG_B0_P2_U0_CFG2 0x40010442u +#define CYDEV_UCFG_B0_P2_U0_CFG3 0x40010443u +#define CYDEV_UCFG_B0_P2_U0_CFG4 0x40010444u +#define CYDEV_UCFG_B0_P2_U0_CFG5 0x40010445u +#define CYDEV_UCFG_B0_P2_U0_CFG6 0x40010446u +#define CYDEV_UCFG_B0_P2_U0_CFG7 0x40010447u +#define CYDEV_UCFG_B0_P2_U0_CFG8 0x40010448u +#define CYDEV_UCFG_B0_P2_U0_CFG9 0x40010449u +#define CYDEV_UCFG_B0_P2_U0_CFG10 0x4001044au +#define CYDEV_UCFG_B0_P2_U0_CFG11 0x4001044bu +#define CYDEV_UCFG_B0_P2_U0_CFG12 0x4001044cu +#define CYDEV_UCFG_B0_P2_U0_CFG13 0x4001044du +#define CYDEV_UCFG_B0_P2_U0_CFG14 0x4001044eu +#define CYDEV_UCFG_B0_P2_U0_CFG15 0x4001044fu +#define CYDEV_UCFG_B0_P2_U0_CFG16 0x40010450u +#define CYDEV_UCFG_B0_P2_U0_CFG17 0x40010451u +#define CYDEV_UCFG_B0_P2_U0_CFG18 0x40010452u +#define CYDEV_UCFG_B0_P2_U0_CFG19 0x40010453u +#define CYDEV_UCFG_B0_P2_U0_CFG20 0x40010454u +#define CYDEV_UCFG_B0_P2_U0_CFG21 0x40010455u +#define CYDEV_UCFG_B0_P2_U0_CFG22 0x40010456u +#define CYDEV_UCFG_B0_P2_U0_CFG23 0x40010457u +#define CYDEV_UCFG_B0_P2_U0_CFG24 0x40010458u +#define CYDEV_UCFG_B0_P2_U0_CFG25 0x40010459u +#define CYDEV_UCFG_B0_P2_U0_CFG26 0x4001045au +#define CYDEV_UCFG_B0_P2_U0_CFG27 0x4001045bu +#define CYDEV_UCFG_B0_P2_U0_CFG28 0x4001045cu +#define CYDEV_UCFG_B0_P2_U0_CFG29 0x4001045du +#define CYDEV_UCFG_B0_P2_U0_CFG30 0x4001045eu +#define CYDEV_UCFG_B0_P2_U0_CFG31 0x4001045fu +#define CYDEV_UCFG_B0_P2_U0_DCFG0 0x40010460u +#define CYDEV_UCFG_B0_P2_U0_DCFG1 0x40010462u +#define CYDEV_UCFG_B0_P2_U0_DCFG2 0x40010464u +#define CYDEV_UCFG_B0_P2_U0_DCFG3 0x40010466u +#define CYDEV_UCFG_B0_P2_U0_DCFG4 0x40010468u +#define CYDEV_UCFG_B0_P2_U0_DCFG5 0x4001046au +#define CYDEV_UCFG_B0_P2_U0_DCFG6 0x4001046cu +#define CYDEV_UCFG_B0_P2_U0_DCFG7 0x4001046eu +#define CYDEV_UCFG_B0_P2_U1_BASE 0x40010480u +#define CYDEV_UCFG_B0_P2_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT0 0x40010480u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT1 0x40010484u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT2 0x40010488u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT3 0x4001048cu +#define CYDEV_UCFG_B0_P2_U1_PLD_IT4 0x40010490u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT5 0x40010494u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT6 0x40010498u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT7 0x4001049cu +#define CYDEV_UCFG_B0_P2_U1_PLD_IT8 0x400104a0u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT9 0x400104a4u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT10 0x400104a8u +#define CYDEV_UCFG_B0_P2_U1_PLD_IT11 0x400104acu +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT0 0x400104b0u +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT1 0x400104b2u +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT2 0x400104b4u +#define CYDEV_UCFG_B0_P2_U1_PLD_ORT3 0x400104b6u +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST 0x400104b8u +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB 0x400104bau +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET 0x400104bcu +#define CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS 0x400104beu +#define CYDEV_UCFG_B0_P2_U1_CFG0 0x400104c0u +#define CYDEV_UCFG_B0_P2_U1_CFG1 0x400104c1u +#define CYDEV_UCFG_B0_P2_U1_CFG2 0x400104c2u +#define CYDEV_UCFG_B0_P2_U1_CFG3 0x400104c3u +#define CYDEV_UCFG_B0_P2_U1_CFG4 0x400104c4u +#define CYDEV_UCFG_B0_P2_U1_CFG5 0x400104c5u +#define CYDEV_UCFG_B0_P2_U1_CFG6 0x400104c6u +#define CYDEV_UCFG_B0_P2_U1_CFG7 0x400104c7u +#define CYDEV_UCFG_B0_P2_U1_CFG8 0x400104c8u +#define CYDEV_UCFG_B0_P2_U1_CFG9 0x400104c9u +#define CYDEV_UCFG_B0_P2_U1_CFG10 0x400104cau +#define CYDEV_UCFG_B0_P2_U1_CFG11 0x400104cbu +#define CYDEV_UCFG_B0_P2_U1_CFG12 0x400104ccu +#define CYDEV_UCFG_B0_P2_U1_CFG13 0x400104cdu +#define CYDEV_UCFG_B0_P2_U1_CFG14 0x400104ceu +#define CYDEV_UCFG_B0_P2_U1_CFG15 0x400104cfu +#define CYDEV_UCFG_B0_P2_U1_CFG16 0x400104d0u +#define CYDEV_UCFG_B0_P2_U1_CFG17 0x400104d1u +#define CYDEV_UCFG_B0_P2_U1_CFG18 0x400104d2u +#define CYDEV_UCFG_B0_P2_U1_CFG19 0x400104d3u +#define CYDEV_UCFG_B0_P2_U1_CFG20 0x400104d4u +#define CYDEV_UCFG_B0_P2_U1_CFG21 0x400104d5u +#define CYDEV_UCFG_B0_P2_U1_CFG22 0x400104d6u +#define CYDEV_UCFG_B0_P2_U1_CFG23 0x400104d7u +#define CYDEV_UCFG_B0_P2_U1_CFG24 0x400104d8u +#define CYDEV_UCFG_B0_P2_U1_CFG25 0x400104d9u +#define CYDEV_UCFG_B0_P2_U1_CFG26 0x400104dau +#define CYDEV_UCFG_B0_P2_U1_CFG27 0x400104dbu +#define CYDEV_UCFG_B0_P2_U1_CFG28 0x400104dcu +#define CYDEV_UCFG_B0_P2_U1_CFG29 0x400104ddu +#define CYDEV_UCFG_B0_P2_U1_CFG30 0x400104deu +#define CYDEV_UCFG_B0_P2_U1_CFG31 0x400104dfu +#define CYDEV_UCFG_B0_P2_U1_DCFG0 0x400104e0u +#define CYDEV_UCFG_B0_P2_U1_DCFG1 0x400104e2u +#define CYDEV_UCFG_B0_P2_U1_DCFG2 0x400104e4u +#define CYDEV_UCFG_B0_P2_U1_DCFG3 0x400104e6u +#define CYDEV_UCFG_B0_P2_U1_DCFG4 0x400104e8u +#define CYDEV_UCFG_B0_P2_U1_DCFG5 0x400104eau +#define CYDEV_UCFG_B0_P2_U1_DCFG6 0x400104ecu +#define CYDEV_UCFG_B0_P2_U1_DCFG7 0x400104eeu +#define CYDEV_UCFG_B0_P2_ROUTE_BASE 0x40010500u +#define CYDEV_UCFG_B0_P2_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P3_BASE 0x40010600u +#define CYDEV_UCFG_B0_P3_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P3_U0_BASE 0x40010600u +#define CYDEV_UCFG_B0_P3_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT0 0x40010600u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT1 0x40010604u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT2 0x40010608u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT3 0x4001060cu +#define CYDEV_UCFG_B0_P3_U0_PLD_IT4 0x40010610u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT5 0x40010614u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT6 0x40010618u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT7 0x4001061cu +#define CYDEV_UCFG_B0_P3_U0_PLD_IT8 0x40010620u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT9 0x40010624u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT10 0x40010628u +#define CYDEV_UCFG_B0_P3_U0_PLD_IT11 0x4001062cu +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT0 0x40010630u +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT1 0x40010632u +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT2 0x40010634u +#define CYDEV_UCFG_B0_P3_U0_PLD_ORT3 0x40010636u +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST 0x40010638u +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB 0x4001063au +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET 0x4001063cu +#define CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS 0x4001063eu +#define CYDEV_UCFG_B0_P3_U0_CFG0 0x40010640u +#define CYDEV_UCFG_B0_P3_U0_CFG1 0x40010641u +#define CYDEV_UCFG_B0_P3_U0_CFG2 0x40010642u +#define CYDEV_UCFG_B0_P3_U0_CFG3 0x40010643u +#define CYDEV_UCFG_B0_P3_U0_CFG4 0x40010644u +#define CYDEV_UCFG_B0_P3_U0_CFG5 0x40010645u +#define CYDEV_UCFG_B0_P3_U0_CFG6 0x40010646u +#define CYDEV_UCFG_B0_P3_U0_CFG7 0x40010647u +#define CYDEV_UCFG_B0_P3_U0_CFG8 0x40010648u +#define CYDEV_UCFG_B0_P3_U0_CFG9 0x40010649u +#define CYDEV_UCFG_B0_P3_U0_CFG10 0x4001064au +#define CYDEV_UCFG_B0_P3_U0_CFG11 0x4001064bu +#define CYDEV_UCFG_B0_P3_U0_CFG12 0x4001064cu +#define CYDEV_UCFG_B0_P3_U0_CFG13 0x4001064du +#define CYDEV_UCFG_B0_P3_U0_CFG14 0x4001064eu +#define CYDEV_UCFG_B0_P3_U0_CFG15 0x4001064fu +#define CYDEV_UCFG_B0_P3_U0_CFG16 0x40010650u +#define CYDEV_UCFG_B0_P3_U0_CFG17 0x40010651u +#define CYDEV_UCFG_B0_P3_U0_CFG18 0x40010652u +#define CYDEV_UCFG_B0_P3_U0_CFG19 0x40010653u +#define CYDEV_UCFG_B0_P3_U0_CFG20 0x40010654u +#define CYDEV_UCFG_B0_P3_U0_CFG21 0x40010655u +#define CYDEV_UCFG_B0_P3_U0_CFG22 0x40010656u +#define CYDEV_UCFG_B0_P3_U0_CFG23 0x40010657u +#define CYDEV_UCFG_B0_P3_U0_CFG24 0x40010658u +#define CYDEV_UCFG_B0_P3_U0_CFG25 0x40010659u +#define CYDEV_UCFG_B0_P3_U0_CFG26 0x4001065au +#define CYDEV_UCFG_B0_P3_U0_CFG27 0x4001065bu +#define CYDEV_UCFG_B0_P3_U0_CFG28 0x4001065cu +#define CYDEV_UCFG_B0_P3_U0_CFG29 0x4001065du +#define CYDEV_UCFG_B0_P3_U0_CFG30 0x4001065eu +#define CYDEV_UCFG_B0_P3_U0_CFG31 0x4001065fu +#define CYDEV_UCFG_B0_P3_U0_DCFG0 0x40010660u +#define CYDEV_UCFG_B0_P3_U0_DCFG1 0x40010662u +#define CYDEV_UCFG_B0_P3_U0_DCFG2 0x40010664u +#define CYDEV_UCFG_B0_P3_U0_DCFG3 0x40010666u +#define CYDEV_UCFG_B0_P3_U0_DCFG4 0x40010668u +#define CYDEV_UCFG_B0_P3_U0_DCFG5 0x4001066au +#define CYDEV_UCFG_B0_P3_U0_DCFG6 0x4001066cu +#define CYDEV_UCFG_B0_P3_U0_DCFG7 0x4001066eu +#define CYDEV_UCFG_B0_P3_U1_BASE 0x40010680u +#define CYDEV_UCFG_B0_P3_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT0 0x40010680u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT1 0x40010684u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT2 0x40010688u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT3 0x4001068cu +#define CYDEV_UCFG_B0_P3_U1_PLD_IT4 0x40010690u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT5 0x40010694u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT6 0x40010698u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT7 0x4001069cu +#define CYDEV_UCFG_B0_P3_U1_PLD_IT8 0x400106a0u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT9 0x400106a4u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT10 0x400106a8u +#define CYDEV_UCFG_B0_P3_U1_PLD_IT11 0x400106acu +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT0 0x400106b0u +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT1 0x400106b2u +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT2 0x400106b4u +#define CYDEV_UCFG_B0_P3_U1_PLD_ORT3 0x400106b6u +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST 0x400106b8u +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB 0x400106bau +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET 0x400106bcu +#define CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS 0x400106beu +#define CYDEV_UCFG_B0_P3_U1_CFG0 0x400106c0u +#define CYDEV_UCFG_B0_P3_U1_CFG1 0x400106c1u +#define CYDEV_UCFG_B0_P3_U1_CFG2 0x400106c2u +#define CYDEV_UCFG_B0_P3_U1_CFG3 0x400106c3u +#define CYDEV_UCFG_B0_P3_U1_CFG4 0x400106c4u +#define CYDEV_UCFG_B0_P3_U1_CFG5 0x400106c5u +#define CYDEV_UCFG_B0_P3_U1_CFG6 0x400106c6u +#define CYDEV_UCFG_B0_P3_U1_CFG7 0x400106c7u +#define CYDEV_UCFG_B0_P3_U1_CFG8 0x400106c8u +#define CYDEV_UCFG_B0_P3_U1_CFG9 0x400106c9u +#define CYDEV_UCFG_B0_P3_U1_CFG10 0x400106cau +#define CYDEV_UCFG_B0_P3_U1_CFG11 0x400106cbu +#define CYDEV_UCFG_B0_P3_U1_CFG12 0x400106ccu +#define CYDEV_UCFG_B0_P3_U1_CFG13 0x400106cdu +#define CYDEV_UCFG_B0_P3_U1_CFG14 0x400106ceu +#define CYDEV_UCFG_B0_P3_U1_CFG15 0x400106cfu +#define CYDEV_UCFG_B0_P3_U1_CFG16 0x400106d0u +#define CYDEV_UCFG_B0_P3_U1_CFG17 0x400106d1u +#define CYDEV_UCFG_B0_P3_U1_CFG18 0x400106d2u +#define CYDEV_UCFG_B0_P3_U1_CFG19 0x400106d3u +#define CYDEV_UCFG_B0_P3_U1_CFG20 0x400106d4u +#define CYDEV_UCFG_B0_P3_U1_CFG21 0x400106d5u +#define CYDEV_UCFG_B0_P3_U1_CFG22 0x400106d6u +#define CYDEV_UCFG_B0_P3_U1_CFG23 0x400106d7u +#define CYDEV_UCFG_B0_P3_U1_CFG24 0x400106d8u +#define CYDEV_UCFG_B0_P3_U1_CFG25 0x400106d9u +#define CYDEV_UCFG_B0_P3_U1_CFG26 0x400106dau +#define CYDEV_UCFG_B0_P3_U1_CFG27 0x400106dbu +#define CYDEV_UCFG_B0_P3_U1_CFG28 0x400106dcu +#define CYDEV_UCFG_B0_P3_U1_CFG29 0x400106ddu +#define CYDEV_UCFG_B0_P3_U1_CFG30 0x400106deu +#define CYDEV_UCFG_B0_P3_U1_CFG31 0x400106dfu +#define CYDEV_UCFG_B0_P3_U1_DCFG0 0x400106e0u +#define CYDEV_UCFG_B0_P3_U1_DCFG1 0x400106e2u +#define CYDEV_UCFG_B0_P3_U1_DCFG2 0x400106e4u +#define CYDEV_UCFG_B0_P3_U1_DCFG3 0x400106e6u +#define CYDEV_UCFG_B0_P3_U1_DCFG4 0x400106e8u +#define CYDEV_UCFG_B0_P3_U1_DCFG5 0x400106eau +#define CYDEV_UCFG_B0_P3_U1_DCFG6 0x400106ecu +#define CYDEV_UCFG_B0_P3_U1_DCFG7 0x400106eeu +#define CYDEV_UCFG_B0_P3_ROUTE_BASE 0x40010700u +#define CYDEV_UCFG_B0_P3_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P4_BASE 0x40010800u +#define CYDEV_UCFG_B0_P4_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P4_U0_BASE 0x40010800u +#define CYDEV_UCFG_B0_P4_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT0 0x40010800u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT1 0x40010804u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT2 0x40010808u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT3 0x4001080cu +#define CYDEV_UCFG_B0_P4_U0_PLD_IT4 0x40010810u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT5 0x40010814u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT6 0x40010818u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT7 0x4001081cu +#define CYDEV_UCFG_B0_P4_U0_PLD_IT8 0x40010820u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT9 0x40010824u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT10 0x40010828u +#define CYDEV_UCFG_B0_P4_U0_PLD_IT11 0x4001082cu +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT0 0x40010830u +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT1 0x40010832u +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT2 0x40010834u +#define CYDEV_UCFG_B0_P4_U0_PLD_ORT3 0x40010836u +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST 0x40010838u +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB 0x4001083au +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET 0x4001083cu +#define CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS 0x4001083eu +#define CYDEV_UCFG_B0_P4_U0_CFG0 0x40010840u +#define CYDEV_UCFG_B0_P4_U0_CFG1 0x40010841u +#define CYDEV_UCFG_B0_P4_U0_CFG2 0x40010842u +#define CYDEV_UCFG_B0_P4_U0_CFG3 0x40010843u +#define CYDEV_UCFG_B0_P4_U0_CFG4 0x40010844u +#define CYDEV_UCFG_B0_P4_U0_CFG5 0x40010845u +#define CYDEV_UCFG_B0_P4_U0_CFG6 0x40010846u +#define CYDEV_UCFG_B0_P4_U0_CFG7 0x40010847u +#define CYDEV_UCFG_B0_P4_U0_CFG8 0x40010848u +#define CYDEV_UCFG_B0_P4_U0_CFG9 0x40010849u +#define CYDEV_UCFG_B0_P4_U0_CFG10 0x4001084au +#define CYDEV_UCFG_B0_P4_U0_CFG11 0x4001084bu +#define CYDEV_UCFG_B0_P4_U0_CFG12 0x4001084cu +#define CYDEV_UCFG_B0_P4_U0_CFG13 0x4001084du +#define CYDEV_UCFG_B0_P4_U0_CFG14 0x4001084eu +#define CYDEV_UCFG_B0_P4_U0_CFG15 0x4001084fu +#define CYDEV_UCFG_B0_P4_U0_CFG16 0x40010850u +#define CYDEV_UCFG_B0_P4_U0_CFG17 0x40010851u +#define CYDEV_UCFG_B0_P4_U0_CFG18 0x40010852u +#define CYDEV_UCFG_B0_P4_U0_CFG19 0x40010853u +#define CYDEV_UCFG_B0_P4_U0_CFG20 0x40010854u +#define CYDEV_UCFG_B0_P4_U0_CFG21 0x40010855u +#define CYDEV_UCFG_B0_P4_U0_CFG22 0x40010856u +#define CYDEV_UCFG_B0_P4_U0_CFG23 0x40010857u +#define CYDEV_UCFG_B0_P4_U0_CFG24 0x40010858u +#define CYDEV_UCFG_B0_P4_U0_CFG25 0x40010859u +#define CYDEV_UCFG_B0_P4_U0_CFG26 0x4001085au +#define CYDEV_UCFG_B0_P4_U0_CFG27 0x4001085bu +#define CYDEV_UCFG_B0_P4_U0_CFG28 0x4001085cu +#define CYDEV_UCFG_B0_P4_U0_CFG29 0x4001085du +#define CYDEV_UCFG_B0_P4_U0_CFG30 0x4001085eu +#define CYDEV_UCFG_B0_P4_U0_CFG31 0x4001085fu +#define CYDEV_UCFG_B0_P4_U0_DCFG0 0x40010860u +#define CYDEV_UCFG_B0_P4_U0_DCFG1 0x40010862u +#define CYDEV_UCFG_B0_P4_U0_DCFG2 0x40010864u +#define CYDEV_UCFG_B0_P4_U0_DCFG3 0x40010866u +#define CYDEV_UCFG_B0_P4_U0_DCFG4 0x40010868u +#define CYDEV_UCFG_B0_P4_U0_DCFG5 0x4001086au +#define CYDEV_UCFG_B0_P4_U0_DCFG6 0x4001086cu +#define CYDEV_UCFG_B0_P4_U0_DCFG7 0x4001086eu +#define CYDEV_UCFG_B0_P4_U1_BASE 0x40010880u +#define CYDEV_UCFG_B0_P4_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT0 0x40010880u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT1 0x40010884u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT2 0x40010888u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT3 0x4001088cu +#define CYDEV_UCFG_B0_P4_U1_PLD_IT4 0x40010890u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT5 0x40010894u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT6 0x40010898u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT7 0x4001089cu +#define CYDEV_UCFG_B0_P4_U1_PLD_IT8 0x400108a0u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT9 0x400108a4u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT10 0x400108a8u +#define CYDEV_UCFG_B0_P4_U1_PLD_IT11 0x400108acu +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT0 0x400108b0u +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT1 0x400108b2u +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT2 0x400108b4u +#define CYDEV_UCFG_B0_P4_U1_PLD_ORT3 0x400108b6u +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST 0x400108b8u +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB 0x400108bau +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET 0x400108bcu +#define CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS 0x400108beu +#define CYDEV_UCFG_B0_P4_U1_CFG0 0x400108c0u +#define CYDEV_UCFG_B0_P4_U1_CFG1 0x400108c1u +#define CYDEV_UCFG_B0_P4_U1_CFG2 0x400108c2u +#define CYDEV_UCFG_B0_P4_U1_CFG3 0x400108c3u +#define CYDEV_UCFG_B0_P4_U1_CFG4 0x400108c4u +#define CYDEV_UCFG_B0_P4_U1_CFG5 0x400108c5u +#define CYDEV_UCFG_B0_P4_U1_CFG6 0x400108c6u +#define CYDEV_UCFG_B0_P4_U1_CFG7 0x400108c7u +#define CYDEV_UCFG_B0_P4_U1_CFG8 0x400108c8u +#define CYDEV_UCFG_B0_P4_U1_CFG9 0x400108c9u +#define CYDEV_UCFG_B0_P4_U1_CFG10 0x400108cau +#define CYDEV_UCFG_B0_P4_U1_CFG11 0x400108cbu +#define CYDEV_UCFG_B0_P4_U1_CFG12 0x400108ccu +#define CYDEV_UCFG_B0_P4_U1_CFG13 0x400108cdu +#define CYDEV_UCFG_B0_P4_U1_CFG14 0x400108ceu +#define CYDEV_UCFG_B0_P4_U1_CFG15 0x400108cfu +#define CYDEV_UCFG_B0_P4_U1_CFG16 0x400108d0u +#define CYDEV_UCFG_B0_P4_U1_CFG17 0x400108d1u +#define CYDEV_UCFG_B0_P4_U1_CFG18 0x400108d2u +#define CYDEV_UCFG_B0_P4_U1_CFG19 0x400108d3u +#define CYDEV_UCFG_B0_P4_U1_CFG20 0x400108d4u +#define CYDEV_UCFG_B0_P4_U1_CFG21 0x400108d5u +#define CYDEV_UCFG_B0_P4_U1_CFG22 0x400108d6u +#define CYDEV_UCFG_B0_P4_U1_CFG23 0x400108d7u +#define CYDEV_UCFG_B0_P4_U1_CFG24 0x400108d8u +#define CYDEV_UCFG_B0_P4_U1_CFG25 0x400108d9u +#define CYDEV_UCFG_B0_P4_U1_CFG26 0x400108dau +#define CYDEV_UCFG_B0_P4_U1_CFG27 0x400108dbu +#define CYDEV_UCFG_B0_P4_U1_CFG28 0x400108dcu +#define CYDEV_UCFG_B0_P4_U1_CFG29 0x400108ddu +#define CYDEV_UCFG_B0_P4_U1_CFG30 0x400108deu +#define CYDEV_UCFG_B0_P4_U1_CFG31 0x400108dfu +#define CYDEV_UCFG_B0_P4_U1_DCFG0 0x400108e0u +#define CYDEV_UCFG_B0_P4_U1_DCFG1 0x400108e2u +#define CYDEV_UCFG_B0_P4_U1_DCFG2 0x400108e4u +#define CYDEV_UCFG_B0_P4_U1_DCFG3 0x400108e6u +#define CYDEV_UCFG_B0_P4_U1_DCFG4 0x400108e8u +#define CYDEV_UCFG_B0_P4_U1_DCFG5 0x400108eau +#define CYDEV_UCFG_B0_P4_U1_DCFG6 0x400108ecu +#define CYDEV_UCFG_B0_P4_U1_DCFG7 0x400108eeu +#define CYDEV_UCFG_B0_P4_ROUTE_BASE 0x40010900u +#define CYDEV_UCFG_B0_P4_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P5_BASE 0x40010a00u +#define CYDEV_UCFG_B0_P5_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P5_U0_BASE 0x40010a00u +#define CYDEV_UCFG_B0_P5_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT0 0x40010a00u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT1 0x40010a04u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT2 0x40010a08u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT3 0x40010a0cu +#define CYDEV_UCFG_B0_P5_U0_PLD_IT4 0x40010a10u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT5 0x40010a14u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT6 0x40010a18u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT7 0x40010a1cu +#define CYDEV_UCFG_B0_P5_U0_PLD_IT8 0x40010a20u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT9 0x40010a24u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT10 0x40010a28u +#define CYDEV_UCFG_B0_P5_U0_PLD_IT11 0x40010a2cu +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT0 0x40010a30u +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT1 0x40010a32u +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT2 0x40010a34u +#define CYDEV_UCFG_B0_P5_U0_PLD_ORT3 0x40010a36u +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST 0x40010a38u +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB 0x40010a3au +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET 0x40010a3cu +#define CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS 0x40010a3eu +#define CYDEV_UCFG_B0_P5_U0_CFG0 0x40010a40u +#define CYDEV_UCFG_B0_P5_U0_CFG1 0x40010a41u +#define CYDEV_UCFG_B0_P5_U0_CFG2 0x40010a42u +#define CYDEV_UCFG_B0_P5_U0_CFG3 0x40010a43u +#define CYDEV_UCFG_B0_P5_U0_CFG4 0x40010a44u +#define CYDEV_UCFG_B0_P5_U0_CFG5 0x40010a45u +#define CYDEV_UCFG_B0_P5_U0_CFG6 0x40010a46u +#define CYDEV_UCFG_B0_P5_U0_CFG7 0x40010a47u +#define CYDEV_UCFG_B0_P5_U0_CFG8 0x40010a48u +#define CYDEV_UCFG_B0_P5_U0_CFG9 0x40010a49u +#define CYDEV_UCFG_B0_P5_U0_CFG10 0x40010a4au +#define CYDEV_UCFG_B0_P5_U0_CFG11 0x40010a4bu +#define CYDEV_UCFG_B0_P5_U0_CFG12 0x40010a4cu +#define CYDEV_UCFG_B0_P5_U0_CFG13 0x40010a4du +#define CYDEV_UCFG_B0_P5_U0_CFG14 0x40010a4eu +#define CYDEV_UCFG_B0_P5_U0_CFG15 0x40010a4fu +#define CYDEV_UCFG_B0_P5_U0_CFG16 0x40010a50u +#define CYDEV_UCFG_B0_P5_U0_CFG17 0x40010a51u +#define CYDEV_UCFG_B0_P5_U0_CFG18 0x40010a52u +#define CYDEV_UCFG_B0_P5_U0_CFG19 0x40010a53u +#define CYDEV_UCFG_B0_P5_U0_CFG20 0x40010a54u +#define CYDEV_UCFG_B0_P5_U0_CFG21 0x40010a55u +#define CYDEV_UCFG_B0_P5_U0_CFG22 0x40010a56u +#define CYDEV_UCFG_B0_P5_U0_CFG23 0x40010a57u +#define CYDEV_UCFG_B0_P5_U0_CFG24 0x40010a58u +#define CYDEV_UCFG_B0_P5_U0_CFG25 0x40010a59u +#define CYDEV_UCFG_B0_P5_U0_CFG26 0x40010a5au +#define CYDEV_UCFG_B0_P5_U0_CFG27 0x40010a5bu +#define CYDEV_UCFG_B0_P5_U0_CFG28 0x40010a5cu +#define CYDEV_UCFG_B0_P5_U0_CFG29 0x40010a5du +#define CYDEV_UCFG_B0_P5_U0_CFG30 0x40010a5eu +#define CYDEV_UCFG_B0_P5_U0_CFG31 0x40010a5fu +#define CYDEV_UCFG_B0_P5_U0_DCFG0 0x40010a60u +#define CYDEV_UCFG_B0_P5_U0_DCFG1 0x40010a62u +#define CYDEV_UCFG_B0_P5_U0_DCFG2 0x40010a64u +#define CYDEV_UCFG_B0_P5_U0_DCFG3 0x40010a66u +#define CYDEV_UCFG_B0_P5_U0_DCFG4 0x40010a68u +#define CYDEV_UCFG_B0_P5_U0_DCFG5 0x40010a6au +#define CYDEV_UCFG_B0_P5_U0_DCFG6 0x40010a6cu +#define CYDEV_UCFG_B0_P5_U0_DCFG7 0x40010a6eu +#define CYDEV_UCFG_B0_P5_U1_BASE 0x40010a80u +#define CYDEV_UCFG_B0_P5_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT0 0x40010a80u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT1 0x40010a84u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT2 0x40010a88u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT3 0x40010a8cu +#define CYDEV_UCFG_B0_P5_U1_PLD_IT4 0x40010a90u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT5 0x40010a94u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT6 0x40010a98u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT7 0x40010a9cu +#define CYDEV_UCFG_B0_P5_U1_PLD_IT8 0x40010aa0u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT9 0x40010aa4u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT10 0x40010aa8u +#define CYDEV_UCFG_B0_P5_U1_PLD_IT11 0x40010aacu +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT0 0x40010ab0u +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT1 0x40010ab2u +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT2 0x40010ab4u +#define CYDEV_UCFG_B0_P5_U1_PLD_ORT3 0x40010ab6u +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST 0x40010ab8u +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB 0x40010abau +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET 0x40010abcu +#define CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS 0x40010abeu +#define CYDEV_UCFG_B0_P5_U1_CFG0 0x40010ac0u +#define CYDEV_UCFG_B0_P5_U1_CFG1 0x40010ac1u +#define CYDEV_UCFG_B0_P5_U1_CFG2 0x40010ac2u +#define CYDEV_UCFG_B0_P5_U1_CFG3 0x40010ac3u +#define CYDEV_UCFG_B0_P5_U1_CFG4 0x40010ac4u +#define CYDEV_UCFG_B0_P5_U1_CFG5 0x40010ac5u +#define CYDEV_UCFG_B0_P5_U1_CFG6 0x40010ac6u +#define CYDEV_UCFG_B0_P5_U1_CFG7 0x40010ac7u +#define CYDEV_UCFG_B0_P5_U1_CFG8 0x40010ac8u +#define CYDEV_UCFG_B0_P5_U1_CFG9 0x40010ac9u +#define CYDEV_UCFG_B0_P5_U1_CFG10 0x40010acau +#define CYDEV_UCFG_B0_P5_U1_CFG11 0x40010acbu +#define CYDEV_UCFG_B0_P5_U1_CFG12 0x40010accu +#define CYDEV_UCFG_B0_P5_U1_CFG13 0x40010acdu +#define CYDEV_UCFG_B0_P5_U1_CFG14 0x40010aceu +#define CYDEV_UCFG_B0_P5_U1_CFG15 0x40010acfu +#define CYDEV_UCFG_B0_P5_U1_CFG16 0x40010ad0u +#define CYDEV_UCFG_B0_P5_U1_CFG17 0x40010ad1u +#define CYDEV_UCFG_B0_P5_U1_CFG18 0x40010ad2u +#define CYDEV_UCFG_B0_P5_U1_CFG19 0x40010ad3u +#define CYDEV_UCFG_B0_P5_U1_CFG20 0x40010ad4u +#define CYDEV_UCFG_B0_P5_U1_CFG21 0x40010ad5u +#define CYDEV_UCFG_B0_P5_U1_CFG22 0x40010ad6u +#define CYDEV_UCFG_B0_P5_U1_CFG23 0x40010ad7u +#define CYDEV_UCFG_B0_P5_U1_CFG24 0x40010ad8u +#define CYDEV_UCFG_B0_P5_U1_CFG25 0x40010ad9u +#define CYDEV_UCFG_B0_P5_U1_CFG26 0x40010adau +#define CYDEV_UCFG_B0_P5_U1_CFG27 0x40010adbu +#define CYDEV_UCFG_B0_P5_U1_CFG28 0x40010adcu +#define CYDEV_UCFG_B0_P5_U1_CFG29 0x40010addu +#define CYDEV_UCFG_B0_P5_U1_CFG30 0x40010adeu +#define CYDEV_UCFG_B0_P5_U1_CFG31 0x40010adfu +#define CYDEV_UCFG_B0_P5_U1_DCFG0 0x40010ae0u +#define CYDEV_UCFG_B0_P5_U1_DCFG1 0x40010ae2u +#define CYDEV_UCFG_B0_P5_U1_DCFG2 0x40010ae4u +#define CYDEV_UCFG_B0_P5_U1_DCFG3 0x40010ae6u +#define CYDEV_UCFG_B0_P5_U1_DCFG4 0x40010ae8u +#define CYDEV_UCFG_B0_P5_U1_DCFG5 0x40010aeau +#define CYDEV_UCFG_B0_P5_U1_DCFG6 0x40010aecu +#define CYDEV_UCFG_B0_P5_U1_DCFG7 0x40010aeeu +#define CYDEV_UCFG_B0_P5_ROUTE_BASE 0x40010b00u +#define CYDEV_UCFG_B0_P5_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P6_BASE 0x40010c00u +#define CYDEV_UCFG_B0_P6_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P6_U0_BASE 0x40010c00u +#define CYDEV_UCFG_B0_P6_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT0 0x40010c00u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT1 0x40010c04u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT2 0x40010c08u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT3 0x40010c0cu +#define CYDEV_UCFG_B0_P6_U0_PLD_IT4 0x40010c10u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT5 0x40010c14u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT6 0x40010c18u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT7 0x40010c1cu +#define CYDEV_UCFG_B0_P6_U0_PLD_IT8 0x40010c20u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT9 0x40010c24u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT10 0x40010c28u +#define CYDEV_UCFG_B0_P6_U0_PLD_IT11 0x40010c2cu +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT0 0x40010c30u +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT1 0x40010c32u +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT2 0x40010c34u +#define CYDEV_UCFG_B0_P6_U0_PLD_ORT3 0x40010c36u +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST 0x40010c38u +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB 0x40010c3au +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET 0x40010c3cu +#define CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS 0x40010c3eu +#define CYDEV_UCFG_B0_P6_U0_CFG0 0x40010c40u +#define CYDEV_UCFG_B0_P6_U0_CFG1 0x40010c41u +#define CYDEV_UCFG_B0_P6_U0_CFG2 0x40010c42u +#define CYDEV_UCFG_B0_P6_U0_CFG3 0x40010c43u +#define CYDEV_UCFG_B0_P6_U0_CFG4 0x40010c44u +#define CYDEV_UCFG_B0_P6_U0_CFG5 0x40010c45u +#define CYDEV_UCFG_B0_P6_U0_CFG6 0x40010c46u +#define CYDEV_UCFG_B0_P6_U0_CFG7 0x40010c47u +#define CYDEV_UCFG_B0_P6_U0_CFG8 0x40010c48u +#define CYDEV_UCFG_B0_P6_U0_CFG9 0x40010c49u +#define CYDEV_UCFG_B0_P6_U0_CFG10 0x40010c4au +#define CYDEV_UCFG_B0_P6_U0_CFG11 0x40010c4bu +#define CYDEV_UCFG_B0_P6_U0_CFG12 0x40010c4cu +#define CYDEV_UCFG_B0_P6_U0_CFG13 0x40010c4du +#define CYDEV_UCFG_B0_P6_U0_CFG14 0x40010c4eu +#define CYDEV_UCFG_B0_P6_U0_CFG15 0x40010c4fu +#define CYDEV_UCFG_B0_P6_U0_CFG16 0x40010c50u +#define CYDEV_UCFG_B0_P6_U0_CFG17 0x40010c51u +#define CYDEV_UCFG_B0_P6_U0_CFG18 0x40010c52u +#define CYDEV_UCFG_B0_P6_U0_CFG19 0x40010c53u +#define CYDEV_UCFG_B0_P6_U0_CFG20 0x40010c54u +#define CYDEV_UCFG_B0_P6_U0_CFG21 0x40010c55u +#define CYDEV_UCFG_B0_P6_U0_CFG22 0x40010c56u +#define CYDEV_UCFG_B0_P6_U0_CFG23 0x40010c57u +#define CYDEV_UCFG_B0_P6_U0_CFG24 0x40010c58u +#define CYDEV_UCFG_B0_P6_U0_CFG25 0x40010c59u +#define CYDEV_UCFG_B0_P6_U0_CFG26 0x40010c5au +#define CYDEV_UCFG_B0_P6_U0_CFG27 0x40010c5bu +#define CYDEV_UCFG_B0_P6_U0_CFG28 0x40010c5cu +#define CYDEV_UCFG_B0_P6_U0_CFG29 0x40010c5du +#define CYDEV_UCFG_B0_P6_U0_CFG30 0x40010c5eu +#define CYDEV_UCFG_B0_P6_U0_CFG31 0x40010c5fu +#define CYDEV_UCFG_B0_P6_U0_DCFG0 0x40010c60u +#define CYDEV_UCFG_B0_P6_U0_DCFG1 0x40010c62u +#define CYDEV_UCFG_B0_P6_U0_DCFG2 0x40010c64u +#define CYDEV_UCFG_B0_P6_U0_DCFG3 0x40010c66u +#define CYDEV_UCFG_B0_P6_U0_DCFG4 0x40010c68u +#define CYDEV_UCFG_B0_P6_U0_DCFG5 0x40010c6au +#define CYDEV_UCFG_B0_P6_U0_DCFG6 0x40010c6cu +#define CYDEV_UCFG_B0_P6_U0_DCFG7 0x40010c6eu +#define CYDEV_UCFG_B0_P6_U1_BASE 0x40010c80u +#define CYDEV_UCFG_B0_P6_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT0 0x40010c80u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT1 0x40010c84u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT2 0x40010c88u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT3 0x40010c8cu +#define CYDEV_UCFG_B0_P6_U1_PLD_IT4 0x40010c90u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT5 0x40010c94u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT6 0x40010c98u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT7 0x40010c9cu +#define CYDEV_UCFG_B0_P6_U1_PLD_IT8 0x40010ca0u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT9 0x40010ca4u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT10 0x40010ca8u +#define CYDEV_UCFG_B0_P6_U1_PLD_IT11 0x40010cacu +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT0 0x40010cb0u +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT1 0x40010cb2u +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT2 0x40010cb4u +#define CYDEV_UCFG_B0_P6_U1_PLD_ORT3 0x40010cb6u +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST 0x40010cb8u +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB 0x40010cbau +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET 0x40010cbcu +#define CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS 0x40010cbeu +#define CYDEV_UCFG_B0_P6_U1_CFG0 0x40010cc0u +#define CYDEV_UCFG_B0_P6_U1_CFG1 0x40010cc1u +#define CYDEV_UCFG_B0_P6_U1_CFG2 0x40010cc2u +#define CYDEV_UCFG_B0_P6_U1_CFG3 0x40010cc3u +#define CYDEV_UCFG_B0_P6_U1_CFG4 0x40010cc4u +#define CYDEV_UCFG_B0_P6_U1_CFG5 0x40010cc5u +#define CYDEV_UCFG_B0_P6_U1_CFG6 0x40010cc6u +#define CYDEV_UCFG_B0_P6_U1_CFG7 0x40010cc7u +#define CYDEV_UCFG_B0_P6_U1_CFG8 0x40010cc8u +#define CYDEV_UCFG_B0_P6_U1_CFG9 0x40010cc9u +#define CYDEV_UCFG_B0_P6_U1_CFG10 0x40010ccau +#define CYDEV_UCFG_B0_P6_U1_CFG11 0x40010ccbu +#define CYDEV_UCFG_B0_P6_U1_CFG12 0x40010cccu +#define CYDEV_UCFG_B0_P6_U1_CFG13 0x40010ccdu +#define CYDEV_UCFG_B0_P6_U1_CFG14 0x40010cceu +#define CYDEV_UCFG_B0_P6_U1_CFG15 0x40010ccfu +#define CYDEV_UCFG_B0_P6_U1_CFG16 0x40010cd0u +#define CYDEV_UCFG_B0_P6_U1_CFG17 0x40010cd1u +#define CYDEV_UCFG_B0_P6_U1_CFG18 0x40010cd2u +#define CYDEV_UCFG_B0_P6_U1_CFG19 0x40010cd3u +#define CYDEV_UCFG_B0_P6_U1_CFG20 0x40010cd4u +#define CYDEV_UCFG_B0_P6_U1_CFG21 0x40010cd5u +#define CYDEV_UCFG_B0_P6_U1_CFG22 0x40010cd6u +#define CYDEV_UCFG_B0_P6_U1_CFG23 0x40010cd7u +#define CYDEV_UCFG_B0_P6_U1_CFG24 0x40010cd8u +#define CYDEV_UCFG_B0_P6_U1_CFG25 0x40010cd9u +#define CYDEV_UCFG_B0_P6_U1_CFG26 0x40010cdau +#define CYDEV_UCFG_B0_P6_U1_CFG27 0x40010cdbu +#define CYDEV_UCFG_B0_P6_U1_CFG28 0x40010cdcu +#define CYDEV_UCFG_B0_P6_U1_CFG29 0x40010cddu +#define CYDEV_UCFG_B0_P6_U1_CFG30 0x40010cdeu +#define CYDEV_UCFG_B0_P6_U1_CFG31 0x40010cdfu +#define CYDEV_UCFG_B0_P6_U1_DCFG0 0x40010ce0u +#define CYDEV_UCFG_B0_P6_U1_DCFG1 0x40010ce2u +#define CYDEV_UCFG_B0_P6_U1_DCFG2 0x40010ce4u +#define CYDEV_UCFG_B0_P6_U1_DCFG3 0x40010ce6u +#define CYDEV_UCFG_B0_P6_U1_DCFG4 0x40010ce8u +#define CYDEV_UCFG_B0_P6_U1_DCFG5 0x40010ceau +#define CYDEV_UCFG_B0_P6_U1_DCFG6 0x40010cecu +#define CYDEV_UCFG_B0_P6_U1_DCFG7 0x40010ceeu +#define CYDEV_UCFG_B0_P6_ROUTE_BASE 0x40010d00u +#define CYDEV_UCFG_B0_P6_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P7_BASE 0x40010e00u +#define CYDEV_UCFG_B0_P7_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P7_U0_BASE 0x40010e00u +#define CYDEV_UCFG_B0_P7_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT0 0x40010e00u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT1 0x40010e04u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT2 0x40010e08u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT3 0x40010e0cu +#define CYDEV_UCFG_B0_P7_U0_PLD_IT4 0x40010e10u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT5 0x40010e14u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT6 0x40010e18u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT7 0x40010e1cu +#define CYDEV_UCFG_B0_P7_U0_PLD_IT8 0x40010e20u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT9 0x40010e24u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT10 0x40010e28u +#define CYDEV_UCFG_B0_P7_U0_PLD_IT11 0x40010e2cu +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT0 0x40010e30u +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT1 0x40010e32u +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT2 0x40010e34u +#define CYDEV_UCFG_B0_P7_U0_PLD_ORT3 0x40010e36u +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST 0x40010e38u +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB 0x40010e3au +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET 0x40010e3cu +#define CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS 0x40010e3eu +#define CYDEV_UCFG_B0_P7_U0_CFG0 0x40010e40u +#define CYDEV_UCFG_B0_P7_U0_CFG1 0x40010e41u +#define CYDEV_UCFG_B0_P7_U0_CFG2 0x40010e42u +#define CYDEV_UCFG_B0_P7_U0_CFG3 0x40010e43u +#define CYDEV_UCFG_B0_P7_U0_CFG4 0x40010e44u +#define CYDEV_UCFG_B0_P7_U0_CFG5 0x40010e45u +#define CYDEV_UCFG_B0_P7_U0_CFG6 0x40010e46u +#define CYDEV_UCFG_B0_P7_U0_CFG7 0x40010e47u +#define CYDEV_UCFG_B0_P7_U0_CFG8 0x40010e48u +#define CYDEV_UCFG_B0_P7_U0_CFG9 0x40010e49u +#define CYDEV_UCFG_B0_P7_U0_CFG10 0x40010e4au +#define CYDEV_UCFG_B0_P7_U0_CFG11 0x40010e4bu +#define CYDEV_UCFG_B0_P7_U0_CFG12 0x40010e4cu +#define CYDEV_UCFG_B0_P7_U0_CFG13 0x40010e4du +#define CYDEV_UCFG_B0_P7_U0_CFG14 0x40010e4eu +#define CYDEV_UCFG_B0_P7_U0_CFG15 0x40010e4fu +#define CYDEV_UCFG_B0_P7_U0_CFG16 0x40010e50u +#define CYDEV_UCFG_B0_P7_U0_CFG17 0x40010e51u +#define CYDEV_UCFG_B0_P7_U0_CFG18 0x40010e52u +#define CYDEV_UCFG_B0_P7_U0_CFG19 0x40010e53u +#define CYDEV_UCFG_B0_P7_U0_CFG20 0x40010e54u +#define CYDEV_UCFG_B0_P7_U0_CFG21 0x40010e55u +#define CYDEV_UCFG_B0_P7_U0_CFG22 0x40010e56u +#define CYDEV_UCFG_B0_P7_U0_CFG23 0x40010e57u +#define CYDEV_UCFG_B0_P7_U0_CFG24 0x40010e58u +#define CYDEV_UCFG_B0_P7_U0_CFG25 0x40010e59u +#define CYDEV_UCFG_B0_P7_U0_CFG26 0x40010e5au +#define CYDEV_UCFG_B0_P7_U0_CFG27 0x40010e5bu +#define CYDEV_UCFG_B0_P7_U0_CFG28 0x40010e5cu +#define CYDEV_UCFG_B0_P7_U0_CFG29 0x40010e5du +#define CYDEV_UCFG_B0_P7_U0_CFG30 0x40010e5eu +#define CYDEV_UCFG_B0_P7_U0_CFG31 0x40010e5fu +#define CYDEV_UCFG_B0_P7_U0_DCFG0 0x40010e60u +#define CYDEV_UCFG_B0_P7_U0_DCFG1 0x40010e62u +#define CYDEV_UCFG_B0_P7_U0_DCFG2 0x40010e64u +#define CYDEV_UCFG_B0_P7_U0_DCFG3 0x40010e66u +#define CYDEV_UCFG_B0_P7_U0_DCFG4 0x40010e68u +#define CYDEV_UCFG_B0_P7_U0_DCFG5 0x40010e6au +#define CYDEV_UCFG_B0_P7_U0_DCFG6 0x40010e6cu +#define CYDEV_UCFG_B0_P7_U0_DCFG7 0x40010e6eu +#define CYDEV_UCFG_B0_P7_U1_BASE 0x40010e80u +#define CYDEV_UCFG_B0_P7_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT0 0x40010e80u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT1 0x40010e84u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT2 0x40010e88u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT3 0x40010e8cu +#define CYDEV_UCFG_B0_P7_U1_PLD_IT4 0x40010e90u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT5 0x40010e94u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT6 0x40010e98u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT7 0x40010e9cu +#define CYDEV_UCFG_B0_P7_U1_PLD_IT8 0x40010ea0u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT9 0x40010ea4u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT10 0x40010ea8u +#define CYDEV_UCFG_B0_P7_U1_PLD_IT11 0x40010eacu +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT0 0x40010eb0u +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT1 0x40010eb2u +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT2 0x40010eb4u +#define CYDEV_UCFG_B0_P7_U1_PLD_ORT3 0x40010eb6u +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST 0x40010eb8u +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB 0x40010ebau +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET 0x40010ebcu +#define CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS 0x40010ebeu +#define CYDEV_UCFG_B0_P7_U1_CFG0 0x40010ec0u +#define CYDEV_UCFG_B0_P7_U1_CFG1 0x40010ec1u +#define CYDEV_UCFG_B0_P7_U1_CFG2 0x40010ec2u +#define CYDEV_UCFG_B0_P7_U1_CFG3 0x40010ec3u +#define CYDEV_UCFG_B0_P7_U1_CFG4 0x40010ec4u +#define CYDEV_UCFG_B0_P7_U1_CFG5 0x40010ec5u +#define CYDEV_UCFG_B0_P7_U1_CFG6 0x40010ec6u +#define CYDEV_UCFG_B0_P7_U1_CFG7 0x40010ec7u +#define CYDEV_UCFG_B0_P7_U1_CFG8 0x40010ec8u +#define CYDEV_UCFG_B0_P7_U1_CFG9 0x40010ec9u +#define CYDEV_UCFG_B0_P7_U1_CFG10 0x40010ecau +#define CYDEV_UCFG_B0_P7_U1_CFG11 0x40010ecbu +#define CYDEV_UCFG_B0_P7_U1_CFG12 0x40010eccu +#define CYDEV_UCFG_B0_P7_U1_CFG13 0x40010ecdu +#define CYDEV_UCFG_B0_P7_U1_CFG14 0x40010eceu +#define CYDEV_UCFG_B0_P7_U1_CFG15 0x40010ecfu +#define CYDEV_UCFG_B0_P7_U1_CFG16 0x40010ed0u +#define CYDEV_UCFG_B0_P7_U1_CFG17 0x40010ed1u +#define CYDEV_UCFG_B0_P7_U1_CFG18 0x40010ed2u +#define CYDEV_UCFG_B0_P7_U1_CFG19 0x40010ed3u +#define CYDEV_UCFG_B0_P7_U1_CFG20 0x40010ed4u +#define CYDEV_UCFG_B0_P7_U1_CFG21 0x40010ed5u +#define CYDEV_UCFG_B0_P7_U1_CFG22 0x40010ed6u +#define CYDEV_UCFG_B0_P7_U1_CFG23 0x40010ed7u +#define CYDEV_UCFG_B0_P7_U1_CFG24 0x40010ed8u +#define CYDEV_UCFG_B0_P7_U1_CFG25 0x40010ed9u +#define CYDEV_UCFG_B0_P7_U1_CFG26 0x40010edau +#define CYDEV_UCFG_B0_P7_U1_CFG27 0x40010edbu +#define CYDEV_UCFG_B0_P7_U1_CFG28 0x40010edcu +#define CYDEV_UCFG_B0_P7_U1_CFG29 0x40010eddu +#define CYDEV_UCFG_B0_P7_U1_CFG30 0x40010edeu +#define CYDEV_UCFG_B0_P7_U1_CFG31 0x40010edfu +#define CYDEV_UCFG_B0_P7_U1_DCFG0 0x40010ee0u +#define CYDEV_UCFG_B0_P7_U1_DCFG1 0x40010ee2u +#define CYDEV_UCFG_B0_P7_U1_DCFG2 0x40010ee4u +#define CYDEV_UCFG_B0_P7_U1_DCFG3 0x40010ee6u +#define CYDEV_UCFG_B0_P7_U1_DCFG4 0x40010ee8u +#define CYDEV_UCFG_B0_P7_U1_DCFG5 0x40010eeau +#define CYDEV_UCFG_B0_P7_U1_DCFG6 0x40010eecu +#define CYDEV_UCFG_B0_P7_U1_DCFG7 0x40010eeeu +#define CYDEV_UCFG_B0_P7_ROUTE_BASE 0x40010f00u +#define CYDEV_UCFG_B0_P7_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_BASE 0x40011000u +#define CYDEV_UCFG_B1_SIZE 0x00000fefu +#define CYDEV_UCFG_B1_P2_BASE 0x40011400u +#define CYDEV_UCFG_B1_P2_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P2_U0_BASE 0x40011400u +#define CYDEV_UCFG_B1_P2_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT0 0x40011400u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT1 0x40011404u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT2 0x40011408u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT3 0x4001140cu +#define CYDEV_UCFG_B1_P2_U0_PLD_IT4 0x40011410u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT5 0x40011414u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT6 0x40011418u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT7 0x4001141cu +#define CYDEV_UCFG_B1_P2_U0_PLD_IT8 0x40011420u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT9 0x40011424u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT10 0x40011428u +#define CYDEV_UCFG_B1_P2_U0_PLD_IT11 0x4001142cu +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT0 0x40011430u +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT1 0x40011432u +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT2 0x40011434u +#define CYDEV_UCFG_B1_P2_U0_PLD_ORT3 0x40011436u +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST 0x40011438u +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB 0x4001143au +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET 0x4001143cu +#define CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS 0x4001143eu +#define CYDEV_UCFG_B1_P2_U0_CFG0 0x40011440u +#define CYDEV_UCFG_B1_P2_U0_CFG1 0x40011441u +#define CYDEV_UCFG_B1_P2_U0_CFG2 0x40011442u +#define CYDEV_UCFG_B1_P2_U0_CFG3 0x40011443u +#define CYDEV_UCFG_B1_P2_U0_CFG4 0x40011444u +#define CYDEV_UCFG_B1_P2_U0_CFG5 0x40011445u +#define CYDEV_UCFG_B1_P2_U0_CFG6 0x40011446u +#define CYDEV_UCFG_B1_P2_U0_CFG7 0x40011447u +#define CYDEV_UCFG_B1_P2_U0_CFG8 0x40011448u +#define CYDEV_UCFG_B1_P2_U0_CFG9 0x40011449u +#define CYDEV_UCFG_B1_P2_U0_CFG10 0x4001144au +#define CYDEV_UCFG_B1_P2_U0_CFG11 0x4001144bu +#define CYDEV_UCFG_B1_P2_U0_CFG12 0x4001144cu +#define CYDEV_UCFG_B1_P2_U0_CFG13 0x4001144du +#define CYDEV_UCFG_B1_P2_U0_CFG14 0x4001144eu +#define CYDEV_UCFG_B1_P2_U0_CFG15 0x4001144fu +#define CYDEV_UCFG_B1_P2_U0_CFG16 0x40011450u +#define CYDEV_UCFG_B1_P2_U0_CFG17 0x40011451u +#define CYDEV_UCFG_B1_P2_U0_CFG18 0x40011452u +#define CYDEV_UCFG_B1_P2_U0_CFG19 0x40011453u +#define CYDEV_UCFG_B1_P2_U0_CFG20 0x40011454u +#define CYDEV_UCFG_B1_P2_U0_CFG21 0x40011455u +#define CYDEV_UCFG_B1_P2_U0_CFG22 0x40011456u +#define CYDEV_UCFG_B1_P2_U0_CFG23 0x40011457u +#define CYDEV_UCFG_B1_P2_U0_CFG24 0x40011458u +#define CYDEV_UCFG_B1_P2_U0_CFG25 0x40011459u +#define CYDEV_UCFG_B1_P2_U0_CFG26 0x4001145au +#define CYDEV_UCFG_B1_P2_U0_CFG27 0x4001145bu +#define CYDEV_UCFG_B1_P2_U0_CFG28 0x4001145cu +#define CYDEV_UCFG_B1_P2_U0_CFG29 0x4001145du +#define CYDEV_UCFG_B1_P2_U0_CFG30 0x4001145eu +#define CYDEV_UCFG_B1_P2_U0_CFG31 0x4001145fu +#define CYDEV_UCFG_B1_P2_U0_DCFG0 0x40011460u +#define CYDEV_UCFG_B1_P2_U0_DCFG1 0x40011462u +#define CYDEV_UCFG_B1_P2_U0_DCFG2 0x40011464u +#define CYDEV_UCFG_B1_P2_U0_DCFG3 0x40011466u +#define CYDEV_UCFG_B1_P2_U0_DCFG4 0x40011468u +#define CYDEV_UCFG_B1_P2_U0_DCFG5 0x4001146au +#define CYDEV_UCFG_B1_P2_U0_DCFG6 0x4001146cu +#define CYDEV_UCFG_B1_P2_U0_DCFG7 0x4001146eu +#define CYDEV_UCFG_B1_P2_U1_BASE 0x40011480u +#define CYDEV_UCFG_B1_P2_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT0 0x40011480u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT1 0x40011484u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT2 0x40011488u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT3 0x4001148cu +#define CYDEV_UCFG_B1_P2_U1_PLD_IT4 0x40011490u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT5 0x40011494u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT6 0x40011498u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT7 0x4001149cu +#define CYDEV_UCFG_B1_P2_U1_PLD_IT8 0x400114a0u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT9 0x400114a4u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT10 0x400114a8u +#define CYDEV_UCFG_B1_P2_U1_PLD_IT11 0x400114acu +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT0 0x400114b0u +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT1 0x400114b2u +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT2 0x400114b4u +#define CYDEV_UCFG_B1_P2_U1_PLD_ORT3 0x400114b6u +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST 0x400114b8u +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB 0x400114bau +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET 0x400114bcu +#define CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS 0x400114beu +#define CYDEV_UCFG_B1_P2_U1_CFG0 0x400114c0u +#define CYDEV_UCFG_B1_P2_U1_CFG1 0x400114c1u +#define CYDEV_UCFG_B1_P2_U1_CFG2 0x400114c2u +#define CYDEV_UCFG_B1_P2_U1_CFG3 0x400114c3u +#define CYDEV_UCFG_B1_P2_U1_CFG4 0x400114c4u +#define CYDEV_UCFG_B1_P2_U1_CFG5 0x400114c5u +#define CYDEV_UCFG_B1_P2_U1_CFG6 0x400114c6u +#define CYDEV_UCFG_B1_P2_U1_CFG7 0x400114c7u +#define CYDEV_UCFG_B1_P2_U1_CFG8 0x400114c8u +#define CYDEV_UCFG_B1_P2_U1_CFG9 0x400114c9u +#define CYDEV_UCFG_B1_P2_U1_CFG10 0x400114cau +#define CYDEV_UCFG_B1_P2_U1_CFG11 0x400114cbu +#define CYDEV_UCFG_B1_P2_U1_CFG12 0x400114ccu +#define CYDEV_UCFG_B1_P2_U1_CFG13 0x400114cdu +#define CYDEV_UCFG_B1_P2_U1_CFG14 0x400114ceu +#define CYDEV_UCFG_B1_P2_U1_CFG15 0x400114cfu +#define CYDEV_UCFG_B1_P2_U1_CFG16 0x400114d0u +#define CYDEV_UCFG_B1_P2_U1_CFG17 0x400114d1u +#define CYDEV_UCFG_B1_P2_U1_CFG18 0x400114d2u +#define CYDEV_UCFG_B1_P2_U1_CFG19 0x400114d3u +#define CYDEV_UCFG_B1_P2_U1_CFG20 0x400114d4u +#define CYDEV_UCFG_B1_P2_U1_CFG21 0x400114d5u +#define CYDEV_UCFG_B1_P2_U1_CFG22 0x400114d6u +#define CYDEV_UCFG_B1_P2_U1_CFG23 0x400114d7u +#define CYDEV_UCFG_B1_P2_U1_CFG24 0x400114d8u +#define CYDEV_UCFG_B1_P2_U1_CFG25 0x400114d9u +#define CYDEV_UCFG_B1_P2_U1_CFG26 0x400114dau +#define CYDEV_UCFG_B1_P2_U1_CFG27 0x400114dbu +#define CYDEV_UCFG_B1_P2_U1_CFG28 0x400114dcu +#define CYDEV_UCFG_B1_P2_U1_CFG29 0x400114ddu +#define CYDEV_UCFG_B1_P2_U1_CFG30 0x400114deu +#define CYDEV_UCFG_B1_P2_U1_CFG31 0x400114dfu +#define CYDEV_UCFG_B1_P2_U1_DCFG0 0x400114e0u +#define CYDEV_UCFG_B1_P2_U1_DCFG1 0x400114e2u +#define CYDEV_UCFG_B1_P2_U1_DCFG2 0x400114e4u +#define CYDEV_UCFG_B1_P2_U1_DCFG3 0x400114e6u +#define CYDEV_UCFG_B1_P2_U1_DCFG4 0x400114e8u +#define CYDEV_UCFG_B1_P2_U1_DCFG5 0x400114eau +#define CYDEV_UCFG_B1_P2_U1_DCFG6 0x400114ecu +#define CYDEV_UCFG_B1_P2_U1_DCFG7 0x400114eeu +#define CYDEV_UCFG_B1_P2_ROUTE_BASE 0x40011500u +#define CYDEV_UCFG_B1_P2_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P3_BASE 0x40011600u +#define CYDEV_UCFG_B1_P3_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P3_U0_BASE 0x40011600u +#define CYDEV_UCFG_B1_P3_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT0 0x40011600u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT1 0x40011604u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT2 0x40011608u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT3 0x4001160cu +#define CYDEV_UCFG_B1_P3_U0_PLD_IT4 0x40011610u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT5 0x40011614u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT6 0x40011618u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT7 0x4001161cu +#define CYDEV_UCFG_B1_P3_U0_PLD_IT8 0x40011620u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT9 0x40011624u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT10 0x40011628u +#define CYDEV_UCFG_B1_P3_U0_PLD_IT11 0x4001162cu +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT0 0x40011630u +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT1 0x40011632u +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT2 0x40011634u +#define CYDEV_UCFG_B1_P3_U0_PLD_ORT3 0x40011636u +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST 0x40011638u +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB 0x4001163au +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET 0x4001163cu +#define CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS 0x4001163eu +#define CYDEV_UCFG_B1_P3_U0_CFG0 0x40011640u +#define CYDEV_UCFG_B1_P3_U0_CFG1 0x40011641u +#define CYDEV_UCFG_B1_P3_U0_CFG2 0x40011642u +#define CYDEV_UCFG_B1_P3_U0_CFG3 0x40011643u +#define CYDEV_UCFG_B1_P3_U0_CFG4 0x40011644u +#define CYDEV_UCFG_B1_P3_U0_CFG5 0x40011645u +#define CYDEV_UCFG_B1_P3_U0_CFG6 0x40011646u +#define CYDEV_UCFG_B1_P3_U0_CFG7 0x40011647u +#define CYDEV_UCFG_B1_P3_U0_CFG8 0x40011648u +#define CYDEV_UCFG_B1_P3_U0_CFG9 0x40011649u +#define CYDEV_UCFG_B1_P3_U0_CFG10 0x4001164au +#define CYDEV_UCFG_B1_P3_U0_CFG11 0x4001164bu +#define CYDEV_UCFG_B1_P3_U0_CFG12 0x4001164cu +#define CYDEV_UCFG_B1_P3_U0_CFG13 0x4001164du +#define CYDEV_UCFG_B1_P3_U0_CFG14 0x4001164eu +#define CYDEV_UCFG_B1_P3_U0_CFG15 0x4001164fu +#define CYDEV_UCFG_B1_P3_U0_CFG16 0x40011650u +#define CYDEV_UCFG_B1_P3_U0_CFG17 0x40011651u +#define CYDEV_UCFG_B1_P3_U0_CFG18 0x40011652u +#define CYDEV_UCFG_B1_P3_U0_CFG19 0x40011653u +#define CYDEV_UCFG_B1_P3_U0_CFG20 0x40011654u +#define CYDEV_UCFG_B1_P3_U0_CFG21 0x40011655u +#define CYDEV_UCFG_B1_P3_U0_CFG22 0x40011656u +#define CYDEV_UCFG_B1_P3_U0_CFG23 0x40011657u +#define CYDEV_UCFG_B1_P3_U0_CFG24 0x40011658u +#define CYDEV_UCFG_B1_P3_U0_CFG25 0x40011659u +#define CYDEV_UCFG_B1_P3_U0_CFG26 0x4001165au +#define CYDEV_UCFG_B1_P3_U0_CFG27 0x4001165bu +#define CYDEV_UCFG_B1_P3_U0_CFG28 0x4001165cu +#define CYDEV_UCFG_B1_P3_U0_CFG29 0x4001165du +#define CYDEV_UCFG_B1_P3_U0_CFG30 0x4001165eu +#define CYDEV_UCFG_B1_P3_U0_CFG31 0x4001165fu +#define CYDEV_UCFG_B1_P3_U0_DCFG0 0x40011660u +#define CYDEV_UCFG_B1_P3_U0_DCFG1 0x40011662u +#define CYDEV_UCFG_B1_P3_U0_DCFG2 0x40011664u +#define CYDEV_UCFG_B1_P3_U0_DCFG3 0x40011666u +#define CYDEV_UCFG_B1_P3_U0_DCFG4 0x40011668u +#define CYDEV_UCFG_B1_P3_U0_DCFG5 0x4001166au +#define CYDEV_UCFG_B1_P3_U0_DCFG6 0x4001166cu +#define CYDEV_UCFG_B1_P3_U0_DCFG7 0x4001166eu +#define CYDEV_UCFG_B1_P3_U1_BASE 0x40011680u +#define CYDEV_UCFG_B1_P3_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT0 0x40011680u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT1 0x40011684u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT2 0x40011688u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT3 0x4001168cu +#define CYDEV_UCFG_B1_P3_U1_PLD_IT4 0x40011690u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT5 0x40011694u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT6 0x40011698u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT7 0x4001169cu +#define CYDEV_UCFG_B1_P3_U1_PLD_IT8 0x400116a0u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT9 0x400116a4u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT10 0x400116a8u +#define CYDEV_UCFG_B1_P3_U1_PLD_IT11 0x400116acu +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT0 0x400116b0u +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT1 0x400116b2u +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT2 0x400116b4u +#define CYDEV_UCFG_B1_P3_U1_PLD_ORT3 0x400116b6u +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST 0x400116b8u +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB 0x400116bau +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET 0x400116bcu +#define CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS 0x400116beu +#define CYDEV_UCFG_B1_P3_U1_CFG0 0x400116c0u +#define CYDEV_UCFG_B1_P3_U1_CFG1 0x400116c1u +#define CYDEV_UCFG_B1_P3_U1_CFG2 0x400116c2u +#define CYDEV_UCFG_B1_P3_U1_CFG3 0x400116c3u +#define CYDEV_UCFG_B1_P3_U1_CFG4 0x400116c4u +#define CYDEV_UCFG_B1_P3_U1_CFG5 0x400116c5u +#define CYDEV_UCFG_B1_P3_U1_CFG6 0x400116c6u +#define CYDEV_UCFG_B1_P3_U1_CFG7 0x400116c7u +#define CYDEV_UCFG_B1_P3_U1_CFG8 0x400116c8u +#define CYDEV_UCFG_B1_P3_U1_CFG9 0x400116c9u +#define CYDEV_UCFG_B1_P3_U1_CFG10 0x400116cau +#define CYDEV_UCFG_B1_P3_U1_CFG11 0x400116cbu +#define CYDEV_UCFG_B1_P3_U1_CFG12 0x400116ccu +#define CYDEV_UCFG_B1_P3_U1_CFG13 0x400116cdu +#define CYDEV_UCFG_B1_P3_U1_CFG14 0x400116ceu +#define CYDEV_UCFG_B1_P3_U1_CFG15 0x400116cfu +#define CYDEV_UCFG_B1_P3_U1_CFG16 0x400116d0u +#define CYDEV_UCFG_B1_P3_U1_CFG17 0x400116d1u +#define CYDEV_UCFG_B1_P3_U1_CFG18 0x400116d2u +#define CYDEV_UCFG_B1_P3_U1_CFG19 0x400116d3u +#define CYDEV_UCFG_B1_P3_U1_CFG20 0x400116d4u +#define CYDEV_UCFG_B1_P3_U1_CFG21 0x400116d5u +#define CYDEV_UCFG_B1_P3_U1_CFG22 0x400116d6u +#define CYDEV_UCFG_B1_P3_U1_CFG23 0x400116d7u +#define CYDEV_UCFG_B1_P3_U1_CFG24 0x400116d8u +#define CYDEV_UCFG_B1_P3_U1_CFG25 0x400116d9u +#define CYDEV_UCFG_B1_P3_U1_CFG26 0x400116dau +#define CYDEV_UCFG_B1_P3_U1_CFG27 0x400116dbu +#define CYDEV_UCFG_B1_P3_U1_CFG28 0x400116dcu +#define CYDEV_UCFG_B1_P3_U1_CFG29 0x400116ddu +#define CYDEV_UCFG_B1_P3_U1_CFG30 0x400116deu +#define CYDEV_UCFG_B1_P3_U1_CFG31 0x400116dfu +#define CYDEV_UCFG_B1_P3_U1_DCFG0 0x400116e0u +#define CYDEV_UCFG_B1_P3_U1_DCFG1 0x400116e2u +#define CYDEV_UCFG_B1_P3_U1_DCFG2 0x400116e4u +#define CYDEV_UCFG_B1_P3_U1_DCFG3 0x400116e6u +#define CYDEV_UCFG_B1_P3_U1_DCFG4 0x400116e8u +#define CYDEV_UCFG_B1_P3_U1_DCFG5 0x400116eau +#define CYDEV_UCFG_B1_P3_U1_DCFG6 0x400116ecu +#define CYDEV_UCFG_B1_P3_U1_DCFG7 0x400116eeu +#define CYDEV_UCFG_B1_P3_ROUTE_BASE 0x40011700u +#define CYDEV_UCFG_B1_P3_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P4_BASE 0x40011800u +#define CYDEV_UCFG_B1_P4_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P4_U0_BASE 0x40011800u +#define CYDEV_UCFG_B1_P4_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT0 0x40011800u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT1 0x40011804u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT2 0x40011808u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT3 0x4001180cu +#define CYDEV_UCFG_B1_P4_U0_PLD_IT4 0x40011810u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT5 0x40011814u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT6 0x40011818u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT7 0x4001181cu +#define CYDEV_UCFG_B1_P4_U0_PLD_IT8 0x40011820u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT9 0x40011824u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT10 0x40011828u +#define CYDEV_UCFG_B1_P4_U0_PLD_IT11 0x4001182cu +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT0 0x40011830u +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT1 0x40011832u +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT2 0x40011834u +#define CYDEV_UCFG_B1_P4_U0_PLD_ORT3 0x40011836u +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST 0x40011838u +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB 0x4001183au +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET 0x4001183cu +#define CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS 0x4001183eu +#define CYDEV_UCFG_B1_P4_U0_CFG0 0x40011840u +#define CYDEV_UCFG_B1_P4_U0_CFG1 0x40011841u +#define CYDEV_UCFG_B1_P4_U0_CFG2 0x40011842u +#define CYDEV_UCFG_B1_P4_U0_CFG3 0x40011843u +#define CYDEV_UCFG_B1_P4_U0_CFG4 0x40011844u +#define CYDEV_UCFG_B1_P4_U0_CFG5 0x40011845u +#define CYDEV_UCFG_B1_P4_U0_CFG6 0x40011846u +#define CYDEV_UCFG_B1_P4_U0_CFG7 0x40011847u +#define CYDEV_UCFG_B1_P4_U0_CFG8 0x40011848u +#define CYDEV_UCFG_B1_P4_U0_CFG9 0x40011849u +#define CYDEV_UCFG_B1_P4_U0_CFG10 0x4001184au +#define CYDEV_UCFG_B1_P4_U0_CFG11 0x4001184bu +#define CYDEV_UCFG_B1_P4_U0_CFG12 0x4001184cu +#define CYDEV_UCFG_B1_P4_U0_CFG13 0x4001184du +#define CYDEV_UCFG_B1_P4_U0_CFG14 0x4001184eu +#define CYDEV_UCFG_B1_P4_U0_CFG15 0x4001184fu +#define CYDEV_UCFG_B1_P4_U0_CFG16 0x40011850u +#define CYDEV_UCFG_B1_P4_U0_CFG17 0x40011851u +#define CYDEV_UCFG_B1_P4_U0_CFG18 0x40011852u +#define CYDEV_UCFG_B1_P4_U0_CFG19 0x40011853u +#define CYDEV_UCFG_B1_P4_U0_CFG20 0x40011854u +#define CYDEV_UCFG_B1_P4_U0_CFG21 0x40011855u +#define CYDEV_UCFG_B1_P4_U0_CFG22 0x40011856u +#define CYDEV_UCFG_B1_P4_U0_CFG23 0x40011857u +#define CYDEV_UCFG_B1_P4_U0_CFG24 0x40011858u +#define CYDEV_UCFG_B1_P4_U0_CFG25 0x40011859u +#define CYDEV_UCFG_B1_P4_U0_CFG26 0x4001185au +#define CYDEV_UCFG_B1_P4_U0_CFG27 0x4001185bu +#define CYDEV_UCFG_B1_P4_U0_CFG28 0x4001185cu +#define CYDEV_UCFG_B1_P4_U0_CFG29 0x4001185du +#define CYDEV_UCFG_B1_P4_U0_CFG30 0x4001185eu +#define CYDEV_UCFG_B1_P4_U0_CFG31 0x4001185fu +#define CYDEV_UCFG_B1_P4_U0_DCFG0 0x40011860u +#define CYDEV_UCFG_B1_P4_U0_DCFG1 0x40011862u +#define CYDEV_UCFG_B1_P4_U0_DCFG2 0x40011864u +#define CYDEV_UCFG_B1_P4_U0_DCFG3 0x40011866u +#define CYDEV_UCFG_B1_P4_U0_DCFG4 0x40011868u +#define CYDEV_UCFG_B1_P4_U0_DCFG5 0x4001186au +#define CYDEV_UCFG_B1_P4_U0_DCFG6 0x4001186cu +#define CYDEV_UCFG_B1_P4_U0_DCFG7 0x4001186eu +#define CYDEV_UCFG_B1_P4_U1_BASE 0x40011880u +#define CYDEV_UCFG_B1_P4_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT0 0x40011880u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT1 0x40011884u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT2 0x40011888u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT3 0x4001188cu +#define CYDEV_UCFG_B1_P4_U1_PLD_IT4 0x40011890u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT5 0x40011894u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT6 0x40011898u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT7 0x4001189cu +#define CYDEV_UCFG_B1_P4_U1_PLD_IT8 0x400118a0u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT9 0x400118a4u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT10 0x400118a8u +#define CYDEV_UCFG_B1_P4_U1_PLD_IT11 0x400118acu +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT0 0x400118b0u +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT1 0x400118b2u +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT2 0x400118b4u +#define CYDEV_UCFG_B1_P4_U1_PLD_ORT3 0x400118b6u +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST 0x400118b8u +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB 0x400118bau +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET 0x400118bcu +#define CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS 0x400118beu +#define CYDEV_UCFG_B1_P4_U1_CFG0 0x400118c0u +#define CYDEV_UCFG_B1_P4_U1_CFG1 0x400118c1u +#define CYDEV_UCFG_B1_P4_U1_CFG2 0x400118c2u +#define CYDEV_UCFG_B1_P4_U1_CFG3 0x400118c3u +#define CYDEV_UCFG_B1_P4_U1_CFG4 0x400118c4u +#define CYDEV_UCFG_B1_P4_U1_CFG5 0x400118c5u +#define CYDEV_UCFG_B1_P4_U1_CFG6 0x400118c6u +#define CYDEV_UCFG_B1_P4_U1_CFG7 0x400118c7u +#define CYDEV_UCFG_B1_P4_U1_CFG8 0x400118c8u +#define CYDEV_UCFG_B1_P4_U1_CFG9 0x400118c9u +#define CYDEV_UCFG_B1_P4_U1_CFG10 0x400118cau +#define CYDEV_UCFG_B1_P4_U1_CFG11 0x400118cbu +#define CYDEV_UCFG_B1_P4_U1_CFG12 0x400118ccu +#define CYDEV_UCFG_B1_P4_U1_CFG13 0x400118cdu +#define CYDEV_UCFG_B1_P4_U1_CFG14 0x400118ceu +#define CYDEV_UCFG_B1_P4_U1_CFG15 0x400118cfu +#define CYDEV_UCFG_B1_P4_U1_CFG16 0x400118d0u +#define CYDEV_UCFG_B1_P4_U1_CFG17 0x400118d1u +#define CYDEV_UCFG_B1_P4_U1_CFG18 0x400118d2u +#define CYDEV_UCFG_B1_P4_U1_CFG19 0x400118d3u +#define CYDEV_UCFG_B1_P4_U1_CFG20 0x400118d4u +#define CYDEV_UCFG_B1_P4_U1_CFG21 0x400118d5u +#define CYDEV_UCFG_B1_P4_U1_CFG22 0x400118d6u +#define CYDEV_UCFG_B1_P4_U1_CFG23 0x400118d7u +#define CYDEV_UCFG_B1_P4_U1_CFG24 0x400118d8u +#define CYDEV_UCFG_B1_P4_U1_CFG25 0x400118d9u +#define CYDEV_UCFG_B1_P4_U1_CFG26 0x400118dau +#define CYDEV_UCFG_B1_P4_U1_CFG27 0x400118dbu +#define CYDEV_UCFG_B1_P4_U1_CFG28 0x400118dcu +#define CYDEV_UCFG_B1_P4_U1_CFG29 0x400118ddu +#define CYDEV_UCFG_B1_P4_U1_CFG30 0x400118deu +#define CYDEV_UCFG_B1_P4_U1_CFG31 0x400118dfu +#define CYDEV_UCFG_B1_P4_U1_DCFG0 0x400118e0u +#define CYDEV_UCFG_B1_P4_U1_DCFG1 0x400118e2u +#define CYDEV_UCFG_B1_P4_U1_DCFG2 0x400118e4u +#define CYDEV_UCFG_B1_P4_U1_DCFG3 0x400118e6u +#define CYDEV_UCFG_B1_P4_U1_DCFG4 0x400118e8u +#define CYDEV_UCFG_B1_P4_U1_DCFG5 0x400118eau +#define CYDEV_UCFG_B1_P4_U1_DCFG6 0x400118ecu +#define CYDEV_UCFG_B1_P4_U1_DCFG7 0x400118eeu +#define CYDEV_UCFG_B1_P4_ROUTE_BASE 0x40011900u +#define CYDEV_UCFG_B1_P4_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P5_BASE 0x40011a00u +#define CYDEV_UCFG_B1_P5_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P5_U0_BASE 0x40011a00u +#define CYDEV_UCFG_B1_P5_U0_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT0 0x40011a00u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT1 0x40011a04u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT2 0x40011a08u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT3 0x40011a0cu +#define CYDEV_UCFG_B1_P5_U0_PLD_IT4 0x40011a10u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT5 0x40011a14u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT6 0x40011a18u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT7 0x40011a1cu +#define CYDEV_UCFG_B1_P5_U0_PLD_IT8 0x40011a20u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT9 0x40011a24u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT10 0x40011a28u +#define CYDEV_UCFG_B1_P5_U0_PLD_IT11 0x40011a2cu +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT0 0x40011a30u +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT1 0x40011a32u +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT2 0x40011a34u +#define CYDEV_UCFG_B1_P5_U0_PLD_ORT3 0x40011a36u +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST 0x40011a38u +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB 0x40011a3au +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET 0x40011a3cu +#define CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS 0x40011a3eu +#define CYDEV_UCFG_B1_P5_U0_CFG0 0x40011a40u +#define CYDEV_UCFG_B1_P5_U0_CFG1 0x40011a41u +#define CYDEV_UCFG_B1_P5_U0_CFG2 0x40011a42u +#define CYDEV_UCFG_B1_P5_U0_CFG3 0x40011a43u +#define CYDEV_UCFG_B1_P5_U0_CFG4 0x40011a44u +#define CYDEV_UCFG_B1_P5_U0_CFG5 0x40011a45u +#define CYDEV_UCFG_B1_P5_U0_CFG6 0x40011a46u +#define CYDEV_UCFG_B1_P5_U0_CFG7 0x40011a47u +#define CYDEV_UCFG_B1_P5_U0_CFG8 0x40011a48u +#define CYDEV_UCFG_B1_P5_U0_CFG9 0x40011a49u +#define CYDEV_UCFG_B1_P5_U0_CFG10 0x40011a4au +#define CYDEV_UCFG_B1_P5_U0_CFG11 0x40011a4bu +#define CYDEV_UCFG_B1_P5_U0_CFG12 0x40011a4cu +#define CYDEV_UCFG_B1_P5_U0_CFG13 0x40011a4du +#define CYDEV_UCFG_B1_P5_U0_CFG14 0x40011a4eu +#define CYDEV_UCFG_B1_P5_U0_CFG15 0x40011a4fu +#define CYDEV_UCFG_B1_P5_U0_CFG16 0x40011a50u +#define CYDEV_UCFG_B1_P5_U0_CFG17 0x40011a51u +#define CYDEV_UCFG_B1_P5_U0_CFG18 0x40011a52u +#define CYDEV_UCFG_B1_P5_U0_CFG19 0x40011a53u +#define CYDEV_UCFG_B1_P5_U0_CFG20 0x40011a54u +#define CYDEV_UCFG_B1_P5_U0_CFG21 0x40011a55u +#define CYDEV_UCFG_B1_P5_U0_CFG22 0x40011a56u +#define CYDEV_UCFG_B1_P5_U0_CFG23 0x40011a57u +#define CYDEV_UCFG_B1_P5_U0_CFG24 0x40011a58u +#define CYDEV_UCFG_B1_P5_U0_CFG25 0x40011a59u +#define CYDEV_UCFG_B1_P5_U0_CFG26 0x40011a5au +#define CYDEV_UCFG_B1_P5_U0_CFG27 0x40011a5bu +#define CYDEV_UCFG_B1_P5_U0_CFG28 0x40011a5cu +#define CYDEV_UCFG_B1_P5_U0_CFG29 0x40011a5du +#define CYDEV_UCFG_B1_P5_U0_CFG30 0x40011a5eu +#define CYDEV_UCFG_B1_P5_U0_CFG31 0x40011a5fu +#define CYDEV_UCFG_B1_P5_U0_DCFG0 0x40011a60u +#define CYDEV_UCFG_B1_P5_U0_DCFG1 0x40011a62u +#define CYDEV_UCFG_B1_P5_U0_DCFG2 0x40011a64u +#define CYDEV_UCFG_B1_P5_U0_DCFG3 0x40011a66u +#define CYDEV_UCFG_B1_P5_U0_DCFG4 0x40011a68u +#define CYDEV_UCFG_B1_P5_U0_DCFG5 0x40011a6au +#define CYDEV_UCFG_B1_P5_U0_DCFG6 0x40011a6cu +#define CYDEV_UCFG_B1_P5_U0_DCFG7 0x40011a6eu +#define CYDEV_UCFG_B1_P5_U1_BASE 0x40011a80u +#define CYDEV_UCFG_B1_P5_U1_SIZE 0x00000070u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT0 0x40011a80u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT1 0x40011a84u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT2 0x40011a88u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT3 0x40011a8cu +#define CYDEV_UCFG_B1_P5_U1_PLD_IT4 0x40011a90u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT5 0x40011a94u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT6 0x40011a98u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT7 0x40011a9cu +#define CYDEV_UCFG_B1_P5_U1_PLD_IT8 0x40011aa0u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT9 0x40011aa4u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT10 0x40011aa8u +#define CYDEV_UCFG_B1_P5_U1_PLD_IT11 0x40011aacu +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT0 0x40011ab0u +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT1 0x40011ab2u +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT2 0x40011ab4u +#define CYDEV_UCFG_B1_P5_U1_PLD_ORT3 0x40011ab6u +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST 0x40011ab8u +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB 0x40011abau +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET 0x40011abcu +#define CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS 0x40011abeu +#define CYDEV_UCFG_B1_P5_U1_CFG0 0x40011ac0u +#define CYDEV_UCFG_B1_P5_U1_CFG1 0x40011ac1u +#define CYDEV_UCFG_B1_P5_U1_CFG2 0x40011ac2u +#define CYDEV_UCFG_B1_P5_U1_CFG3 0x40011ac3u +#define CYDEV_UCFG_B1_P5_U1_CFG4 0x40011ac4u +#define CYDEV_UCFG_B1_P5_U1_CFG5 0x40011ac5u +#define CYDEV_UCFG_B1_P5_U1_CFG6 0x40011ac6u +#define CYDEV_UCFG_B1_P5_U1_CFG7 0x40011ac7u +#define CYDEV_UCFG_B1_P5_U1_CFG8 0x40011ac8u +#define CYDEV_UCFG_B1_P5_U1_CFG9 0x40011ac9u +#define CYDEV_UCFG_B1_P5_U1_CFG10 0x40011acau +#define CYDEV_UCFG_B1_P5_U1_CFG11 0x40011acbu +#define CYDEV_UCFG_B1_P5_U1_CFG12 0x40011accu +#define CYDEV_UCFG_B1_P5_U1_CFG13 0x40011acdu +#define CYDEV_UCFG_B1_P5_U1_CFG14 0x40011aceu +#define CYDEV_UCFG_B1_P5_U1_CFG15 0x40011acfu +#define CYDEV_UCFG_B1_P5_U1_CFG16 0x40011ad0u +#define CYDEV_UCFG_B1_P5_U1_CFG17 0x40011ad1u +#define CYDEV_UCFG_B1_P5_U1_CFG18 0x40011ad2u +#define CYDEV_UCFG_B1_P5_U1_CFG19 0x40011ad3u +#define CYDEV_UCFG_B1_P5_U1_CFG20 0x40011ad4u +#define CYDEV_UCFG_B1_P5_U1_CFG21 0x40011ad5u +#define CYDEV_UCFG_B1_P5_U1_CFG22 0x40011ad6u +#define CYDEV_UCFG_B1_P5_U1_CFG23 0x40011ad7u +#define CYDEV_UCFG_B1_P5_U1_CFG24 0x40011ad8u +#define CYDEV_UCFG_B1_P5_U1_CFG25 0x40011ad9u +#define CYDEV_UCFG_B1_P5_U1_CFG26 0x40011adau +#define CYDEV_UCFG_B1_P5_U1_CFG27 0x40011adbu +#define CYDEV_UCFG_B1_P5_U1_CFG28 0x40011adcu +#define CYDEV_UCFG_B1_P5_U1_CFG29 0x40011addu +#define CYDEV_UCFG_B1_P5_U1_CFG30 0x40011adeu +#define CYDEV_UCFG_B1_P5_U1_CFG31 0x40011adfu +#define CYDEV_UCFG_B1_P5_U1_DCFG0 0x40011ae0u +#define CYDEV_UCFG_B1_P5_U1_DCFG1 0x40011ae2u +#define CYDEV_UCFG_B1_P5_U1_DCFG2 0x40011ae4u +#define CYDEV_UCFG_B1_P5_U1_DCFG3 0x40011ae6u +#define CYDEV_UCFG_B1_P5_U1_DCFG4 0x40011ae8u +#define CYDEV_UCFG_B1_P5_U1_DCFG5 0x40011aeau +#define CYDEV_UCFG_B1_P5_U1_DCFG6 0x40011aecu +#define CYDEV_UCFG_B1_P5_U1_DCFG7 0x40011aeeu +#define CYDEV_UCFG_B1_P5_ROUTE_BASE 0x40011b00u +#define CYDEV_UCFG_B1_P5_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_DSI0_BASE 0x40014000u +#define CYDEV_UCFG_DSI0_SIZE 0x000000efu +#define CYDEV_UCFG_DSI1_BASE 0x40014100u +#define CYDEV_UCFG_DSI1_SIZE 0x000000efu +#define CYDEV_UCFG_DSI2_BASE 0x40014200u +#define CYDEV_UCFG_DSI2_SIZE 0x000000efu +#define CYDEV_UCFG_DSI3_BASE 0x40014300u +#define CYDEV_UCFG_DSI3_SIZE 0x000000efu +#define CYDEV_UCFG_DSI4_BASE 0x40014400u +#define CYDEV_UCFG_DSI4_SIZE 0x000000efu +#define CYDEV_UCFG_DSI5_BASE 0x40014500u +#define CYDEV_UCFG_DSI5_SIZE 0x000000efu +#define CYDEV_UCFG_DSI6_BASE 0x40014600u +#define CYDEV_UCFG_DSI6_SIZE 0x000000efu +#define CYDEV_UCFG_DSI7_BASE 0x40014700u +#define CYDEV_UCFG_DSI7_SIZE 0x000000efu +#define CYDEV_UCFG_DSI8_BASE 0x40014800u +#define CYDEV_UCFG_DSI8_SIZE 0x000000efu +#define CYDEV_UCFG_DSI9_BASE 0x40014900u +#define CYDEV_UCFG_DSI9_SIZE 0x000000efu +#define CYDEV_UCFG_DSI12_BASE 0x40014c00u +#define CYDEV_UCFG_DSI12_SIZE 0x000000efu +#define CYDEV_UCFG_DSI13_BASE 0x40014d00u +#define CYDEV_UCFG_DSI13_SIZE 0x000000efu +#define CYDEV_UCFG_BCTL0_BASE 0x40015000u +#define CYDEV_UCFG_BCTL0_SIZE 0x00000010u +#define CYDEV_UCFG_BCTL0_MDCLK_EN 0x40015000u +#define CYDEV_UCFG_BCTL0_MBCLK_EN 0x40015001u +#define CYDEV_UCFG_BCTL0_WAIT_CFG 0x40015002u +#define CYDEV_UCFG_BCTL0_BANK_CTL 0x40015003u +#define CYDEV_UCFG_BCTL0_UDB_TEST_3 0x40015007u +#define CYDEV_UCFG_BCTL0_DCLK_EN0 0x40015008u +#define CYDEV_UCFG_BCTL0_BCLK_EN0 0x40015009u +#define CYDEV_UCFG_BCTL0_DCLK_EN1 0x4001500au +#define CYDEV_UCFG_BCTL0_BCLK_EN1 0x4001500bu +#define CYDEV_UCFG_BCTL0_DCLK_EN2 0x4001500cu +#define CYDEV_UCFG_BCTL0_BCLK_EN2 0x4001500du +#define CYDEV_UCFG_BCTL0_DCLK_EN3 0x4001500eu +#define CYDEV_UCFG_BCTL0_BCLK_EN3 0x4001500fu +#define CYDEV_UCFG_BCTL1_BASE 0x40015010u +#define CYDEV_UCFG_BCTL1_SIZE 0x00000010u +#define CYDEV_UCFG_BCTL1_MDCLK_EN 0x40015010u +#define CYDEV_UCFG_BCTL1_MBCLK_EN 0x40015011u +#define CYDEV_UCFG_BCTL1_WAIT_CFG 0x40015012u +#define CYDEV_UCFG_BCTL1_BANK_CTL 0x40015013u +#define CYDEV_UCFG_BCTL1_UDB_TEST_3 0x40015017u +#define CYDEV_UCFG_BCTL1_DCLK_EN0 0x40015018u +#define CYDEV_UCFG_BCTL1_BCLK_EN0 0x40015019u +#define CYDEV_UCFG_BCTL1_DCLK_EN1 0x4001501au +#define CYDEV_UCFG_BCTL1_BCLK_EN1 0x4001501bu +#define CYDEV_UCFG_BCTL1_DCLK_EN2 0x4001501cu +#define CYDEV_UCFG_BCTL1_BCLK_EN2 0x4001501du +#define CYDEV_UCFG_BCTL1_DCLK_EN3 0x4001501eu +#define CYDEV_UCFG_BCTL1_BCLK_EN3 0x4001501fu +#define CYDEV_IDMUX_BASE 0x40015100u +#define CYDEV_IDMUX_SIZE 0x00000016u +#define CYDEV_IDMUX_IRQ_CTL0 0x40015100u +#define CYDEV_IDMUX_IRQ_CTL1 0x40015101u +#define CYDEV_IDMUX_IRQ_CTL2 0x40015102u +#define CYDEV_IDMUX_IRQ_CTL3 0x40015103u +#define CYDEV_IDMUX_IRQ_CTL4 0x40015104u +#define CYDEV_IDMUX_IRQ_CTL5 0x40015105u +#define CYDEV_IDMUX_IRQ_CTL6 0x40015106u +#define CYDEV_IDMUX_IRQ_CTL7 0x40015107u +#define CYDEV_IDMUX_DRQ_CTL0 0x40015110u +#define CYDEV_IDMUX_DRQ_CTL1 0x40015111u +#define CYDEV_IDMUX_DRQ_CTL2 0x40015112u +#define CYDEV_IDMUX_DRQ_CTL3 0x40015113u +#define CYDEV_IDMUX_DRQ_CTL4 0x40015114u +#define CYDEV_IDMUX_DRQ_CTL5 0x40015115u +#define CYDEV_CACHERAM_BASE 0x40030000u +#define CYDEV_CACHERAM_SIZE 0x00000400u +#define CYDEV_CACHERAM_DATA_MBASE 0x40030000u +#define CYDEV_CACHERAM_DATA_MSIZE 0x00000400u +#define CYDEV_SFR_BASE 0x40050100u +#define CYDEV_SFR_SIZE 0x000000fbu +#define CYDEV_SFR_GPIO0 0x40050180u +#define CYDEV_SFR_GPIRD0 0x40050189u +#define CYDEV_SFR_GPIO0_SEL 0x4005018au +#define CYDEV_SFR_GPIO1 0x40050190u +#define CYDEV_SFR_GPIRD1 0x40050191u +#define CYDEV_SFR_GPIO2 0x40050198u +#define CYDEV_SFR_GPIRD2 0x40050199u +#define CYDEV_SFR_GPIO2_SEL 0x4005019au +#define CYDEV_SFR_GPIO1_SEL 0x400501a2u +#define CYDEV_SFR_GPIO3 0x400501b0u +#define CYDEV_SFR_GPIRD3 0x400501b1u +#define CYDEV_SFR_GPIO3_SEL 0x400501b2u +#define CYDEV_SFR_GPIO4 0x400501c0u +#define CYDEV_SFR_GPIRD4 0x400501c1u +#define CYDEV_SFR_GPIO4_SEL 0x400501c2u +#define CYDEV_SFR_GPIO5 0x400501c8u +#define CYDEV_SFR_GPIRD5 0x400501c9u +#define CYDEV_SFR_GPIO5_SEL 0x400501cau +#define CYDEV_SFR_GPIO6 0x400501d8u +#define CYDEV_SFR_GPIRD6 0x400501d9u +#define CYDEV_SFR_GPIO6_SEL 0x400501dau +#define CYDEV_SFR_GPIO12 0x400501e8u +#define CYDEV_SFR_GPIRD12 0x400501e9u +#define CYDEV_SFR_GPIO12_SEL 0x400501f2u +#define CYDEV_SFR_GPIO15 0x400501f8u +#define CYDEV_SFR_GPIRD15 0x400501f9u +#define CYDEV_SFR_GPIO15_SEL 0x400501fau +#define CYDEV_P3BA_BASE 0x40050300u +#define CYDEV_P3BA_SIZE 0x0000002bu +#define CYDEV_P3BA_Y_START 0x40050300u +#define CYDEV_P3BA_YROLL 0x40050301u +#define CYDEV_P3BA_YCFG 0x40050302u +#define CYDEV_P3BA_X_START1 0x40050303u +#define CYDEV_P3BA_X_START2 0x40050304u +#define CYDEV_P3BA_XROLL1 0x40050305u +#define CYDEV_P3BA_XROLL2 0x40050306u +#define CYDEV_P3BA_XINC 0x40050307u +#define CYDEV_P3BA_XCFG 0x40050308u +#define CYDEV_P3BA_OFFSETADDR1 0x40050309u +#define CYDEV_P3BA_OFFSETADDR2 0x4005030au +#define CYDEV_P3BA_OFFSETADDR3 0x4005030bu +#define CYDEV_P3BA_ABSADDR1 0x4005030cu +#define CYDEV_P3BA_ABSADDR2 0x4005030du +#define CYDEV_P3BA_ABSADDR3 0x4005030eu +#define CYDEV_P3BA_ABSADDR4 0x4005030fu +#define CYDEV_P3BA_DATCFG1 0x40050310u +#define CYDEV_P3BA_DATCFG2 0x40050311u +#define CYDEV_P3BA_CMP_RSLT1 0x40050314u +#define CYDEV_P3BA_CMP_RSLT2 0x40050315u +#define CYDEV_P3BA_CMP_RSLT3 0x40050316u +#define CYDEV_P3BA_CMP_RSLT4 0x40050317u +#define CYDEV_P3BA_DATA_REG1 0x40050318u +#define CYDEV_P3BA_DATA_REG2 0x40050319u +#define CYDEV_P3BA_DATA_REG3 0x4005031au +#define CYDEV_P3BA_DATA_REG4 0x4005031bu +#define CYDEV_P3BA_EXP_DATA1 0x4005031cu +#define CYDEV_P3BA_EXP_DATA2 0x4005031du +#define CYDEV_P3BA_EXP_DATA3 0x4005031eu +#define CYDEV_P3BA_EXP_DATA4 0x4005031fu +#define CYDEV_P3BA_MSTR_HRDATA1 0x40050320u +#define CYDEV_P3BA_MSTR_HRDATA2 0x40050321u +#define CYDEV_P3BA_MSTR_HRDATA3 0x40050322u +#define CYDEV_P3BA_MSTR_HRDATA4 0x40050323u +#define CYDEV_P3BA_BIST_EN 0x40050324u +#define CYDEV_P3BA_PHUB_MASTER_SSR 0x40050325u +#define CYDEV_P3BA_SEQCFG1 0x40050326u +#define CYDEV_P3BA_SEQCFG2 0x40050327u +#define CYDEV_P3BA_Y_CURR 0x40050328u +#define CYDEV_P3BA_X_CURR1 0x40050329u +#define CYDEV_P3BA_X_CURR2 0x4005032au +#define CYDEV_PANTHER_BASE 0x40080000u +#define CYDEV_PANTHER_SIZE 0x00000020u +#define CYDEV_PANTHER_STCALIB_CFG 0x40080000u +#define CYDEV_PANTHER_WAITPIPE 0x40080004u +#define CYDEV_PANTHER_TRACE_CFG 0x40080008u +#define CYDEV_PANTHER_DBG_CFG 0x4008000cu +#define CYDEV_PANTHER_CM3_LCKRST_STAT 0x40080018u +#define CYDEV_PANTHER_DEVICE_ID 0x4008001cu +#define CYDEV_FLSECC_BASE 0x48000000u +#define CYDEV_FLSECC_SIZE 0x00008000u +#define CYDEV_FLSECC_DATA_MBASE 0x48000000u +#define CYDEV_FLSECC_DATA_MSIZE 0x00008000u +#define CYDEV_FLSHID_BASE 0x49000000u +#define CYDEV_FLSHID_SIZE 0x00000200u +#define CYDEV_FLSHID_RSVD_MBASE 0x49000000u +#define CYDEV_FLSHID_RSVD_MSIZE 0x00000080u +#define CYDEV_FLSHID_CUST_MDATA_MBASE 0x49000080u +#define CYDEV_FLSHID_CUST_MDATA_MSIZE 0x00000080u +#define CYDEV_FLSHID_CUST_TABLES_BASE 0x49000100u +#define CYDEV_FLSHID_CUST_TABLES_SIZE 0x00000040u +#define CYDEV_FLSHID_CUST_TABLES_Y_LOC 0x49000100u +#define CYDEV_FLSHID_CUST_TABLES_X_LOC 0x49000101u +#define CYDEV_FLSHID_CUST_TABLES_WAFER_NUM 0x49000102u +#define CYDEV_FLSHID_CUST_TABLES_LOT_LSB 0x49000103u +#define CYDEV_FLSHID_CUST_TABLES_LOT_MSB 0x49000104u +#define CYDEV_FLSHID_CUST_TABLES_WRK_WK 0x49000105u +#define CYDEV_FLSHID_CUST_TABLES_FAB_YR 0x49000106u +#define CYDEV_FLSHID_CUST_TABLES_MINOR 0x49000107u +#define CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ 0x49000108u +#define CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ 0x49000109u +#define CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ 0x4900010au +#define CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ 0x4900010bu +#define CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ 0x4900010cu +#define CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ 0x4900010du +#define CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ 0x4900010eu +#define CYDEV_FLSHID_CUST_TABLES_IMO_USB 0x4900010fu +#define CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS 0x49000110u +#define CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS 0x49000111u +#define CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS 0x49000112u +#define CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS 0x49000113u +#define CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS 0x49000114u +#define CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS 0x49000115u +#define CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS 0x49000116u +#define CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS 0x49000117u +#define CYDEV_FLSHID_CUST_TABLES_DEC_M1 0x49000118u +#define CYDEV_FLSHID_CUST_TABLES_DEC_M2 0x49000119u +#define CYDEV_FLSHID_CUST_TABLES_DEC_M3 0x4900011au +#define CYDEV_FLSHID_CUST_TABLES_DEC_M4 0x4900011bu +#define CYDEV_FLSHID_CUST_TABLES_DEC_M5 0x4900011cu +#define CYDEV_FLSHID_CUST_TABLES_DEC_M6 0x4900011du +#define CYDEV_FLSHID_CUST_TABLES_DEC_M7 0x4900011eu +#define CYDEV_FLSHID_CUST_TABLES_DEC_M8 0x4900011fu +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M1 0x49000120u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M2 0x49000121u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M3 0x49000122u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M4 0x49000123u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M5 0x49000124u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M6 0x49000125u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M7 0x49000126u +#define CYDEV_FLSHID_CUST_TABLES_DAC0_M8 0x49000127u +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M1 0x49000128u +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M2 0x49000129u +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M3 0x4900012au +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M4 0x4900012bu +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M5 0x4900012cu +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M6 0x4900012du +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M7 0x4900012eu +#define CYDEV_FLSHID_CUST_TABLES_DAC2_M8 0x4900012fu +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M1 0x49000130u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M2 0x49000131u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M3 0x49000132u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M4 0x49000133u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M5 0x49000134u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M6 0x49000135u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M7 0x49000136u +#define CYDEV_FLSHID_CUST_TABLES_DAC1_M8 0x49000137u +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M1 0x49000138u +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M2 0x49000139u +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M3 0x4900013au +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M4 0x4900013bu +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M5 0x4900013cu +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M6 0x4900013du +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M7 0x4900013eu +#define CYDEV_FLSHID_CUST_TABLES_DAC3_M8 0x4900013fu +#define CYDEV_FLSHID_MFG_CFG_BASE 0x49000180u +#define CYDEV_FLSHID_MFG_CFG_SIZE 0x00000080u +#define CYDEV_FLSHID_MFG_CFG_IMO_TR1 0x49000188u +#define CYDEV_FLSHID_MFG_CFG_CMP0_TR0 0x490001acu +#define CYDEV_FLSHID_MFG_CFG_CMP1_TR0 0x490001aeu +#define CYDEV_FLSHID_MFG_CFG_CMP2_TR0 0x490001b0u +#define CYDEV_FLSHID_MFG_CFG_CMP3_TR0 0x490001b2u +#define CYDEV_FLSHID_MFG_CFG_CMP0_TR1 0x490001b4u +#define CYDEV_FLSHID_MFG_CFG_CMP1_TR1 0x490001b6u +#define CYDEV_FLSHID_MFG_CFG_CMP2_TR1 0x490001b8u +#define CYDEV_FLSHID_MFG_CFG_CMP3_TR1 0x490001bau +#define CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM 0x490001ceu +#define CYDEV_EXTMEM_BASE 0x60000000u +#define CYDEV_EXTMEM_SIZE 0x00800000u +#define CYDEV_EXTMEM_DATA_MBASE 0x60000000u +#define CYDEV_EXTMEM_DATA_MSIZE 0x00800000u +#define CYDEV_ITM_BASE 0xe0000000u +#define CYDEV_ITM_SIZE 0x00001000u +#define CYDEV_ITM_TRACE_EN 0xe0000e00u +#define CYDEV_ITM_TRACE_PRIVILEGE 0xe0000e40u +#define CYDEV_ITM_TRACE_CTRL 0xe0000e80u +#define CYDEV_ITM_LOCK_ACCESS 0xe0000fb0u +#define CYDEV_ITM_LOCK_STATUS 0xe0000fb4u +#define CYDEV_ITM_PID4 0xe0000fd0u +#define CYDEV_ITM_PID5 0xe0000fd4u +#define CYDEV_ITM_PID6 0xe0000fd8u +#define CYDEV_ITM_PID7 0xe0000fdcu +#define CYDEV_ITM_PID0 0xe0000fe0u +#define CYDEV_ITM_PID1 0xe0000fe4u +#define CYDEV_ITM_PID2 0xe0000fe8u +#define CYDEV_ITM_PID3 0xe0000fecu +#define CYDEV_ITM_CID0 0xe0000ff0u +#define CYDEV_ITM_CID1 0xe0000ff4u +#define CYDEV_ITM_CID2 0xe0000ff8u +#define CYDEV_ITM_CID3 0xe0000ffcu +#define CYDEV_DWT_BASE 0xe0001000u +#define CYDEV_DWT_SIZE 0x0000005cu +#define CYDEV_DWT_CTRL 0xe0001000u +#define CYDEV_DWT_CYCLE_COUNT 0xe0001004u +#define CYDEV_DWT_CPI_COUNT 0xe0001008u +#define CYDEV_DWT_EXC_OVHD_COUNT 0xe000100cu +#define CYDEV_DWT_SLEEP_COUNT 0xe0001010u +#define CYDEV_DWT_LSU_COUNT 0xe0001014u +#define CYDEV_DWT_FOLD_COUNT 0xe0001018u +#define CYDEV_DWT_PC_SAMPLE 0xe000101cu +#define CYDEV_DWT_COMP_0 0xe0001020u +#define CYDEV_DWT_MASK_0 0xe0001024u +#define CYDEV_DWT_FUNCTION_0 0xe0001028u +#define CYDEV_DWT_COMP_1 0xe0001030u +#define CYDEV_DWT_MASK_1 0xe0001034u +#define CYDEV_DWT_FUNCTION_1 0xe0001038u +#define CYDEV_DWT_COMP_2 0xe0001040u +#define CYDEV_DWT_MASK_2 0xe0001044u +#define CYDEV_DWT_FUNCTION_2 0xe0001048u +#define CYDEV_DWT_COMP_3 0xe0001050u +#define CYDEV_DWT_MASK_3 0xe0001054u +#define CYDEV_DWT_FUNCTION_3 0xe0001058u +#define CYDEV_FPB_BASE 0xe0002000u +#define CYDEV_FPB_SIZE 0x00001000u +#define CYDEV_FPB_CTRL 0xe0002000u +#define CYDEV_FPB_REMAP 0xe0002004u +#define CYDEV_FPB_FP_COMP_0 0xe0002008u +#define CYDEV_FPB_FP_COMP_1 0xe000200cu +#define CYDEV_FPB_FP_COMP_2 0xe0002010u +#define CYDEV_FPB_FP_COMP_3 0xe0002014u +#define CYDEV_FPB_FP_COMP_4 0xe0002018u +#define CYDEV_FPB_FP_COMP_5 0xe000201cu +#define CYDEV_FPB_FP_COMP_6 0xe0002020u +#define CYDEV_FPB_FP_COMP_7 0xe0002024u +#define CYDEV_FPB_PID4 0xe0002fd0u +#define CYDEV_FPB_PID5 0xe0002fd4u +#define CYDEV_FPB_PID6 0xe0002fd8u +#define CYDEV_FPB_PID7 0xe0002fdcu +#define CYDEV_FPB_PID0 0xe0002fe0u +#define CYDEV_FPB_PID1 0xe0002fe4u +#define CYDEV_FPB_PID2 0xe0002fe8u +#define CYDEV_FPB_PID3 0xe0002fecu +#define CYDEV_FPB_CID0 0xe0002ff0u +#define CYDEV_FPB_CID1 0xe0002ff4u +#define CYDEV_FPB_CID2 0xe0002ff8u +#define CYDEV_FPB_CID3 0xe0002ffcu +#define CYDEV_NVIC_BASE 0xe000e000u +#define CYDEV_NVIC_SIZE 0x00000d3cu +#define CYDEV_NVIC_INT_CTL_TYPE 0xe000e004u +#define CYDEV_NVIC_SYSTICK_CTL 0xe000e010u +#define CYDEV_NVIC_SYSTICK_RELOAD 0xe000e014u +#define CYDEV_NVIC_SYSTICK_CURRENT 0xe000e018u +#define CYDEV_NVIC_SYSTICK_CAL 0xe000e01cu +#define CYDEV_NVIC_SETENA0 0xe000e100u +#define CYDEV_NVIC_CLRENA0 0xe000e180u +#define CYDEV_NVIC_SETPEND0 0xe000e200u +#define CYDEV_NVIC_CLRPEND0 0xe000e280u +#define CYDEV_NVIC_ACTIVE0 0xe000e300u +#define CYDEV_NVIC_PRI_0 0xe000e400u +#define CYDEV_NVIC_PRI_1 0xe000e401u +#define CYDEV_NVIC_PRI_2 0xe000e402u +#define CYDEV_NVIC_PRI_3 0xe000e403u +#define CYDEV_NVIC_PRI_4 0xe000e404u +#define CYDEV_NVIC_PRI_5 0xe000e405u +#define CYDEV_NVIC_PRI_6 0xe000e406u +#define CYDEV_NVIC_PRI_7 0xe000e407u +#define CYDEV_NVIC_PRI_8 0xe000e408u +#define CYDEV_NVIC_PRI_9 0xe000e409u +#define CYDEV_NVIC_PRI_10 0xe000e40au +#define CYDEV_NVIC_PRI_11 0xe000e40bu +#define CYDEV_NVIC_PRI_12 0xe000e40cu +#define CYDEV_NVIC_PRI_13 0xe000e40du +#define CYDEV_NVIC_PRI_14 0xe000e40eu +#define CYDEV_NVIC_PRI_15 0xe000e40fu +#define CYDEV_NVIC_PRI_16 0xe000e410u +#define CYDEV_NVIC_PRI_17 0xe000e411u +#define CYDEV_NVIC_PRI_18 0xe000e412u +#define CYDEV_NVIC_PRI_19 0xe000e413u +#define CYDEV_NVIC_PRI_20 0xe000e414u +#define CYDEV_NVIC_PRI_21 0xe000e415u +#define CYDEV_NVIC_PRI_22 0xe000e416u +#define CYDEV_NVIC_PRI_23 0xe000e417u +#define CYDEV_NVIC_PRI_24 0xe000e418u +#define CYDEV_NVIC_PRI_25 0xe000e419u +#define CYDEV_NVIC_PRI_26 0xe000e41au +#define CYDEV_NVIC_PRI_27 0xe000e41bu +#define CYDEV_NVIC_PRI_28 0xe000e41cu +#define CYDEV_NVIC_PRI_29 0xe000e41du +#define CYDEV_NVIC_PRI_30 0xe000e41eu +#define CYDEV_NVIC_PRI_31 0xe000e41fu +#define CYDEV_NVIC_CPUID_BASE 0xe000ed00u +#define CYDEV_NVIC_INTR_CTRL_STATE 0xe000ed04u +#define CYDEV_NVIC_VECT_OFFSET 0xe000ed08u +#define CYDEV_NVIC_APPLN_INTR 0xe000ed0cu +#define CYDEV_NVIC_SYSTEM_CONTROL 0xe000ed10u +#define CYDEV_NVIC_CFG_CONTROL 0xe000ed14u +#define CYDEV_NVIC_SYS_PRIO_HANDLER_4_7 0xe000ed18u +#define CYDEV_NVIC_SYS_PRIO_HANDLER_8_11 0xe000ed1cu +#define CYDEV_NVIC_SYS_PRIO_HANDLER_12_15 0xe000ed20u +#define CYDEV_NVIC_SYS_HANDLER_CSR 0xe000ed24u +#define CYDEV_NVIC_MEMMAN_FAULT_STATUS 0xe000ed28u +#define CYDEV_NVIC_BUS_FAULT_STATUS 0xe000ed29u +#define CYDEV_NVIC_USAGE_FAULT_STATUS 0xe000ed2au +#define CYDEV_NVIC_HARD_FAULT_STATUS 0xe000ed2cu +#define CYDEV_NVIC_DEBUG_FAULT_STATUS 0xe000ed30u +#define CYDEV_NVIC_MEMMAN_FAULT_ADD 0xe000ed34u +#define CYDEV_NVIC_BUS_FAULT_ADD 0xe000ed38u +#define CYDEV_CORE_DBG_BASE 0xe000edf0u +#define CYDEV_CORE_DBG_SIZE 0x00000010u +#define CYDEV_CORE_DBG_DBG_HLT_CS 0xe000edf0u +#define CYDEV_CORE_DBG_DBG_REG_SEL 0xe000edf4u +#define CYDEV_CORE_DBG_DBG_REG_DATA 0xe000edf8u +#define CYDEV_CORE_DBG_EXC_MON_CTL 0xe000edfcu +#define CYDEV_TPIU_BASE 0xe0040000u +#define CYDEV_TPIU_SIZE 0x00001000u +#define CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ 0xe0040000u +#define CYDEV_TPIU_CURRENT_SYNC_PRT_SZ 0xe0040004u +#define CYDEV_TPIU_ASYNC_CLK_PRESCALER 0xe0040010u +#define CYDEV_TPIU_PROTOCOL 0xe00400f0u +#define CYDEV_TPIU_FORM_FLUSH_STAT 0xe0040300u +#define CYDEV_TPIU_FORM_FLUSH_CTRL 0xe0040304u +#define CYDEV_TPIU_TRIGGER 0xe0040ee8u +#define CYDEV_TPIU_ITETMDATA 0xe0040eecu +#define CYDEV_TPIU_ITATBCTR2 0xe0040ef0u +#define CYDEV_TPIU_ITATBCTR0 0xe0040ef8u +#define CYDEV_TPIU_ITITMDATA 0xe0040efcu +#define CYDEV_TPIU_ITCTRL 0xe0040f00u +#define CYDEV_TPIU_DEVID 0xe0040fc8u +#define CYDEV_TPIU_DEVTYPE 0xe0040fccu +#define CYDEV_TPIU_PID4 0xe0040fd0u +#define CYDEV_TPIU_PID5 0xe0040fd4u +#define CYDEV_TPIU_PID6 0xe0040fd8u +#define CYDEV_TPIU_PID7 0xe0040fdcu +#define CYDEV_TPIU_PID0 0xe0040fe0u +#define CYDEV_TPIU_PID1 0xe0040fe4u +#define CYDEV_TPIU_PID2 0xe0040fe8u +#define CYDEV_TPIU_PID3 0xe0040fecu +#define CYDEV_TPIU_CID0 0xe0040ff0u +#define CYDEV_TPIU_CID1 0xe0040ff4u +#define CYDEV_TPIU_CID2 0xe0040ff8u +#define CYDEV_TPIU_CID3 0xe0040ffcu +#define CYDEV_ETM_BASE 0xe0041000u +#define CYDEV_ETM_SIZE 0x00001000u +#define CYDEV_ETM_CTL 0xe0041000u +#define CYDEV_ETM_CFG_CODE 0xe0041004u +#define CYDEV_ETM_TRIG_EVENT 0xe0041008u +#define CYDEV_ETM_STATUS 0xe0041010u +#define CYDEV_ETM_SYS_CFG 0xe0041014u +#define CYDEV_ETM_TRACE_ENB_EVENT 0xe0041020u +#define CYDEV_ETM_TRACE_EN_CTRL1 0xe0041024u +#define CYDEV_ETM_FIFOFULL_LEVEL 0xe004102cu +#define CYDEV_ETM_SYNC_FREQ 0xe00411e0u +#define CYDEV_ETM_ETM_ID 0xe00411e4u +#define CYDEV_ETM_CFG_CODE_EXT 0xe00411e8u +#define CYDEV_ETM_TR_SS_EMBICE_CTRL 0xe00411f0u +#define CYDEV_ETM_CS_TRACE_ID 0xe0041200u +#define CYDEV_ETM_OS_LOCK_ACCESS 0xe0041300u +#define CYDEV_ETM_OS_LOCK_STATUS 0xe0041304u +#define CYDEV_ETM_PDSR 0xe0041314u +#define CYDEV_ETM_ITMISCIN 0xe0041ee0u +#define CYDEV_ETM_ITTRIGOUT 0xe0041ee8u +#define CYDEV_ETM_ITATBCTR2 0xe0041ef0u +#define CYDEV_ETM_ITATBCTR0 0xe0041ef8u +#define CYDEV_ETM_INT_MODE_CTRL 0xe0041f00u +#define CYDEV_ETM_CLM_TAG_SET 0xe0041fa0u +#define CYDEV_ETM_CLM_TAG_CLR 0xe0041fa4u +#define CYDEV_ETM_LOCK_ACCESS 0xe0041fb0u +#define CYDEV_ETM_LOCK_STATUS 0xe0041fb4u +#define CYDEV_ETM_AUTH_STATUS 0xe0041fb8u +#define CYDEV_ETM_DEV_TYPE 0xe0041fccu +#define CYDEV_ETM_PID4 0xe0041fd0u +#define CYDEV_ETM_PID5 0xe0041fd4u +#define CYDEV_ETM_PID6 0xe0041fd8u +#define CYDEV_ETM_PID7 0xe0041fdcu +#define CYDEV_ETM_PID0 0xe0041fe0u +#define CYDEV_ETM_PID1 0xe0041fe4u +#define CYDEV_ETM_PID2 0xe0041fe8u +#define CYDEV_ETM_PID3 0xe0041fecu +#define CYDEV_ETM_CID0 0xe0041ff0u +#define CYDEV_ETM_CID1 0xe0041ff4u +#define CYDEV_ETM_CID2 0xe0041ff8u +#define CYDEV_ETM_CID3 0xe0041ffcu +#define CYDEV_ROM_TABLE_BASE 0xe00ff000u +#define CYDEV_ROM_TABLE_SIZE 0x00001000u +#define CYDEV_ROM_TABLE_NVIC 0xe00ff000u +#define CYDEV_ROM_TABLE_DWT 0xe00ff004u +#define CYDEV_ROM_TABLE_FPB 0xe00ff008u +#define CYDEV_ROM_TABLE_ITM 0xe00ff00cu +#define CYDEV_ROM_TABLE_TPIU 0xe00ff010u +#define CYDEV_ROM_TABLE_ETM 0xe00ff014u +#define CYDEV_ROM_TABLE_END 0xe00ff018u +#define CYDEV_ROM_TABLE_MEMTYPE 0xe00fffccu +#define CYDEV_ROM_TABLE_PID4 0xe00fffd0u +#define CYDEV_ROM_TABLE_PID5 0xe00fffd4u +#define CYDEV_ROM_TABLE_PID6 0xe00fffd8u +#define CYDEV_ROM_TABLE_PID7 0xe00fffdcu +#define CYDEV_ROM_TABLE_PID0 0xe00fffe0u +#define CYDEV_ROM_TABLE_PID1 0xe00fffe4u +#define CYDEV_ROM_TABLE_PID2 0xe00fffe8u +#define CYDEV_ROM_TABLE_PID3 0xe00fffecu +#define CYDEV_ROM_TABLE_CID0 0xe00ffff0u +#define CYDEV_ROM_TABLE_CID1 0xe00ffff4u +#define CYDEV_ROM_TABLE_CID2 0xe00ffff8u +#define CYDEV_ROM_TABLE_CID3 0xe00ffffcu +#define CYDEV_FLS_SIZE CYDEV_FLASH_SIZE +#define CYDEV_ECC_BASE CYDEV_FLSECC_BASE +#define CYDEV_FLS_SECTOR_SIZE 0x00010000u +#define CYDEV_FLS_ROW_SIZE 0x00000100u +#define CYDEV_ECC_SECTOR_SIZE 0x00002000u +#define CYDEV_ECC_ROW_SIZE 0x00000020u +#define CYDEV_EEPROM_SECTOR_SIZE 0x00000400u +#define CYDEV_EEPROM_ROW_SIZE 0x00000010u +#define CYDEV_PERIPH_BASE CYDEV_CLKDIST_BASE +#define CYCLK_LD_DISABLE 0x00000004u +#define CYCLK_LD_SYNC_EN 0x00000002u +#define CYCLK_LD_LOAD 0x00000001u +#define CYCLK_PIPE 0x00000080u +#define CYCLK_SSS 0x00000040u +#define CYCLK_EARLY 0x00000020u +#define CYCLK_DUTY 0x00000010u +#define CYCLK_SYNC 0x00000008u +#define CYCLK_SRC_SEL_CLK_SYNC_D 0 +#define CYCLK_SRC_SEL_SYNC_DIG 0 +#define CYCLK_SRC_SEL_IMO 1 +#define CYCLK_SRC_SEL_XTAL_MHZ 2 +#define CYCLK_SRC_SEL_XTALM 2 +#define CYCLK_SRC_SEL_ILO 3 +#define CYCLK_SRC_SEL_PLL 4 +#define CYCLK_SRC_SEL_XTAL_KHZ 5 +#define CYCLK_SRC_SEL_XTALK 5 +#define CYCLK_SRC_SEL_DSI_G 6 +#define CYCLK_SRC_SEL_DSI_D 7 +#define CYCLK_SRC_SEL_CLK_SYNC_A 0 +#define CYCLK_SRC_SEL_DSI_A 7 +#endif /* CYDEVICE_H */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice_trm.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice_trm.h new file mode 100644 index 0000000..f14bf4a --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevice_trm.h @@ -0,0 +1,5359 @@ +/******************************************************************************* +* FILENAME: cydevice_trm.h +* +* PSoC Creator 2.2 Component Pack 6 +* +* DESCRIPTION: +* This file provides all of the address values for the entire PSoC device. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#if !defined(CYDEVICE_TRM_H) +#define CYDEVICE_TRM_H +#define CYDEV_FLASH_BASE 0x00000000u +#define CYDEV_FLASH_SIZE 0x00040000u +#define CYREG_FLASH_DATA_MBASE 0x00000000u +#define CYREG_FLASH_DATA_MSIZE 0x00040000u +#define CYDEV_SRAM_BASE 0x1fff8000u +#define CYDEV_SRAM_SIZE 0x00010000u +#define CYREG_SRAM_CODE64K_MBASE 0x1fff8000u +#define CYREG_SRAM_CODE64K_MSIZE 0x00004000u +#define CYREG_SRAM_CODE32K_MBASE 0x1fffc000u +#define CYREG_SRAM_CODE32K_MSIZE 0x00002000u +#define CYREG_SRAM_CODE16K_MBASE 0x1fffe000u +#define CYREG_SRAM_CODE16K_MSIZE 0x00001000u +#define CYREG_SRAM_CODE_MBASE 0x1fff8000u +#define CYREG_SRAM_CODE_MSIZE 0x00008000u +#define CYREG_SRAM_DATA_MBASE 0x20000000u +#define CYREG_SRAM_DATA_MSIZE 0x00008000u +#define CYREG_SRAM_DATA16K_MBASE 0x20001000u +#define CYREG_SRAM_DATA16K_MSIZE 0x00001000u +#define CYREG_SRAM_DATA32K_MBASE 0x20002000u +#define CYREG_SRAM_DATA32K_MSIZE 0x00002000u +#define CYREG_SRAM_DATA64K_MBASE 0x20004000u +#define CYREG_SRAM_DATA64K_MSIZE 0x00004000u +#define CYDEV_DMA_BASE 0x20008000u +#define CYDEV_DMA_SIZE 0x00008000u +#define CYREG_DMA_SRAM64K_MBASE 0x20008000u +#define CYREG_DMA_SRAM64K_MSIZE 0x00004000u +#define CYREG_DMA_SRAM32K_MBASE 0x2000c000u +#define CYREG_DMA_SRAM32K_MSIZE 0x00002000u +#define CYREG_DMA_SRAM16K_MBASE 0x2000e000u +#define CYREG_DMA_SRAM16K_MSIZE 0x00001000u +#define CYREG_DMA_SRAM_MBASE 0x2000f000u +#define CYREG_DMA_SRAM_MSIZE 0x00001000u +#define CYDEV_CLKDIST_BASE 0x40004000u +#define CYDEV_CLKDIST_SIZE 0x00000110u +#define CYREG_CLKDIST_CR 0x40004000u +#define CYREG_CLKDIST_LD 0x40004001u +#define CYREG_CLKDIST_WRK0 0x40004002u +#define CYREG_CLKDIST_WRK1 0x40004003u +#define CYREG_CLKDIST_MSTR0 0x40004004u +#define CYREG_CLKDIST_MSTR1 0x40004005u +#define CYREG_CLKDIST_BCFG0 0x40004006u +#define CYREG_CLKDIST_BCFG1 0x40004007u +#define CYREG_CLKDIST_BCFG2 0x40004008u +#define CYREG_CLKDIST_UCFG 0x40004009u +#define CYREG_CLKDIST_DLY0 0x4000400au +#define CYREG_CLKDIST_DLY1 0x4000400bu +#define CYREG_CLKDIST_DMASK 0x40004010u +#define CYREG_CLKDIST_AMASK 0x40004014u +#define CYDEV_CLKDIST_DCFG0_BASE 0x40004080u +#define CYDEV_CLKDIST_DCFG0_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG0_CFG0 0x40004080u +#define CYREG_CLKDIST_DCFG0_CFG1 0x40004081u +#define CYREG_CLKDIST_DCFG0_CFG2 0x40004082u +#define CYDEV_CLKDIST_DCFG1_BASE 0x40004084u +#define CYDEV_CLKDIST_DCFG1_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG1_CFG0 0x40004084u +#define CYREG_CLKDIST_DCFG1_CFG1 0x40004085u +#define CYREG_CLKDIST_DCFG1_CFG2 0x40004086u +#define CYDEV_CLKDIST_DCFG2_BASE 0x40004088u +#define CYDEV_CLKDIST_DCFG2_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG2_CFG0 0x40004088u +#define CYREG_CLKDIST_DCFG2_CFG1 0x40004089u +#define CYREG_CLKDIST_DCFG2_CFG2 0x4000408au +#define CYDEV_CLKDIST_DCFG3_BASE 0x4000408cu +#define CYDEV_CLKDIST_DCFG3_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG3_CFG0 0x4000408cu +#define CYREG_CLKDIST_DCFG3_CFG1 0x4000408du +#define CYREG_CLKDIST_DCFG3_CFG2 0x4000408eu +#define CYDEV_CLKDIST_DCFG4_BASE 0x40004090u +#define CYDEV_CLKDIST_DCFG4_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG4_CFG0 0x40004090u +#define CYREG_CLKDIST_DCFG4_CFG1 0x40004091u +#define CYREG_CLKDIST_DCFG4_CFG2 0x40004092u +#define CYDEV_CLKDIST_DCFG5_BASE 0x40004094u +#define CYDEV_CLKDIST_DCFG5_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG5_CFG0 0x40004094u +#define CYREG_CLKDIST_DCFG5_CFG1 0x40004095u +#define CYREG_CLKDIST_DCFG5_CFG2 0x40004096u +#define CYDEV_CLKDIST_DCFG6_BASE 0x40004098u +#define CYDEV_CLKDIST_DCFG6_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG6_CFG0 0x40004098u +#define CYREG_CLKDIST_DCFG6_CFG1 0x40004099u +#define CYREG_CLKDIST_DCFG6_CFG2 0x4000409au +#define CYDEV_CLKDIST_DCFG7_BASE 0x4000409cu +#define CYDEV_CLKDIST_DCFG7_SIZE 0x00000003u +#define CYREG_CLKDIST_DCFG7_CFG0 0x4000409cu +#define CYREG_CLKDIST_DCFG7_CFG1 0x4000409du +#define CYREG_CLKDIST_DCFG7_CFG2 0x4000409eu +#define CYDEV_CLKDIST_ACFG0_BASE 0x40004100u +#define CYDEV_CLKDIST_ACFG0_SIZE 0x00000004u +#define CYREG_CLKDIST_ACFG0_CFG0 0x40004100u +#define CYREG_CLKDIST_ACFG0_CFG1 0x40004101u +#define CYREG_CLKDIST_ACFG0_CFG2 0x40004102u +#define CYREG_CLKDIST_ACFG0_CFG3 0x40004103u +#define CYDEV_CLKDIST_ACFG1_BASE 0x40004104u +#define CYDEV_CLKDIST_ACFG1_SIZE 0x00000004u +#define CYREG_CLKDIST_ACFG1_CFG0 0x40004104u +#define CYREG_CLKDIST_ACFG1_CFG1 0x40004105u +#define CYREG_CLKDIST_ACFG1_CFG2 0x40004106u +#define CYREG_CLKDIST_ACFG1_CFG3 0x40004107u +#define CYDEV_CLKDIST_ACFG2_BASE 0x40004108u +#define CYDEV_CLKDIST_ACFG2_SIZE 0x00000004u +#define CYREG_CLKDIST_ACFG2_CFG0 0x40004108u +#define CYREG_CLKDIST_ACFG2_CFG1 0x40004109u +#define CYREG_CLKDIST_ACFG2_CFG2 0x4000410au +#define CYREG_CLKDIST_ACFG2_CFG3 0x4000410bu +#define CYDEV_CLKDIST_ACFG3_BASE 0x4000410cu +#define CYDEV_CLKDIST_ACFG3_SIZE 0x00000004u +#define CYREG_CLKDIST_ACFG3_CFG0 0x4000410cu +#define CYREG_CLKDIST_ACFG3_CFG1 0x4000410du +#define CYREG_CLKDIST_ACFG3_CFG2 0x4000410eu +#define CYREG_CLKDIST_ACFG3_CFG3 0x4000410fu +#define CYDEV_FASTCLK_BASE 0x40004200u +#define CYDEV_FASTCLK_SIZE 0x00000026u +#define CYDEV_FASTCLK_IMO_BASE 0x40004200u +#define CYDEV_FASTCLK_IMO_SIZE 0x00000001u +#define CYREG_FASTCLK_IMO_CR 0x40004200u +#define CYDEV_FASTCLK_XMHZ_BASE 0x40004210u +#define CYDEV_FASTCLK_XMHZ_SIZE 0x00000004u +#define CYREG_FASTCLK_XMHZ_CSR 0x40004210u +#define CYREG_FASTCLK_XMHZ_CFG0 0x40004212u +#define CYREG_FASTCLK_XMHZ_CFG1 0x40004213u +#define CYDEV_FASTCLK_PLL_BASE 0x40004220u +#define CYDEV_FASTCLK_PLL_SIZE 0x00000006u +#define CYREG_FASTCLK_PLL_CFG0 0x40004220u +#define CYREG_FASTCLK_PLL_CFG1 0x40004221u +#define CYREG_FASTCLK_PLL_P 0x40004222u +#define CYREG_FASTCLK_PLL_Q 0x40004223u +#define CYREG_FASTCLK_PLL_SR 0x40004225u +#define CYDEV_SLOWCLK_BASE 0x40004300u +#define CYDEV_SLOWCLK_SIZE 0x0000000bu +#define CYDEV_SLOWCLK_ILO_BASE 0x40004300u +#define CYDEV_SLOWCLK_ILO_SIZE 0x00000002u +#define CYREG_SLOWCLK_ILO_CR0 0x40004300u +#define CYREG_SLOWCLK_ILO_CR1 0x40004301u +#define CYDEV_SLOWCLK_X32_BASE 0x40004308u +#define CYDEV_SLOWCLK_X32_SIZE 0x00000003u +#define CYREG_SLOWCLK_X32_CR 0x40004308u +#define CYREG_SLOWCLK_X32_CFG 0x40004309u +#define CYREG_SLOWCLK_X32_TST 0x4000430au +#define CYDEV_BOOST_BASE 0x40004320u +#define CYDEV_BOOST_SIZE 0x00000007u +#define CYREG_BOOST_CR0 0x40004320u +#define CYREG_BOOST_CR1 0x40004321u +#define CYREG_BOOST_CR2 0x40004322u +#define CYREG_BOOST_CR3 0x40004323u +#define CYREG_BOOST_SR 0x40004324u +#define CYREG_BOOST_CR4 0x40004325u +#define CYREG_BOOST_SR2 0x40004326u +#define CYDEV_PWRSYS_BASE 0x40004330u +#define CYDEV_PWRSYS_SIZE 0x00000002u +#define CYREG_PWRSYS_CR0 0x40004330u +#define CYREG_PWRSYS_CR1 0x40004331u +#define CYDEV_PM_BASE 0x40004380u +#define CYDEV_PM_SIZE 0x00000057u +#define CYREG_PM_TW_CFG0 0x40004380u +#define CYREG_PM_TW_CFG1 0x40004381u +#define CYREG_PM_TW_CFG2 0x40004382u +#define CYREG_PM_WDT_CFG 0x40004383u +#define CYREG_PM_WDT_CR 0x40004384u +#define CYREG_PM_INT_SR 0x40004390u +#define CYREG_PM_MODE_CFG0 0x40004391u +#define CYREG_PM_MODE_CFG1 0x40004392u +#define CYREG_PM_MODE_CSR 0x40004393u +#define CYREG_PM_USB_CR0 0x40004394u +#define CYREG_PM_WAKEUP_CFG0 0x40004398u +#define CYREG_PM_WAKEUP_CFG1 0x40004399u +#define CYREG_PM_WAKEUP_CFG2 0x4000439au +#define CYDEV_PM_ACT_BASE 0x400043a0u +#define CYDEV_PM_ACT_SIZE 0x0000000eu +#define CYREG_PM_ACT_CFG0 0x400043a0u +#define CYREG_PM_ACT_CFG1 0x400043a1u +#define CYREG_PM_ACT_CFG2 0x400043a2u +#define CYREG_PM_ACT_CFG3 0x400043a3u +#define CYREG_PM_ACT_CFG4 0x400043a4u +#define CYREG_PM_ACT_CFG5 0x400043a5u +#define CYREG_PM_ACT_CFG6 0x400043a6u +#define CYREG_PM_ACT_CFG7 0x400043a7u +#define CYREG_PM_ACT_CFG8 0x400043a8u +#define CYREG_PM_ACT_CFG9 0x400043a9u +#define CYREG_PM_ACT_CFG10 0x400043aau +#define CYREG_PM_ACT_CFG11 0x400043abu +#define CYREG_PM_ACT_CFG12 0x400043acu +#define CYREG_PM_ACT_CFG13 0x400043adu +#define CYDEV_PM_STBY_BASE 0x400043b0u +#define CYDEV_PM_STBY_SIZE 0x0000000eu +#define CYREG_PM_STBY_CFG0 0x400043b0u +#define CYREG_PM_STBY_CFG1 0x400043b1u +#define CYREG_PM_STBY_CFG2 0x400043b2u +#define CYREG_PM_STBY_CFG3 0x400043b3u +#define CYREG_PM_STBY_CFG4 0x400043b4u +#define CYREG_PM_STBY_CFG5 0x400043b5u +#define CYREG_PM_STBY_CFG6 0x400043b6u +#define CYREG_PM_STBY_CFG7 0x400043b7u +#define CYREG_PM_STBY_CFG8 0x400043b8u +#define CYREG_PM_STBY_CFG9 0x400043b9u +#define CYREG_PM_STBY_CFG10 0x400043bau +#define CYREG_PM_STBY_CFG11 0x400043bbu +#define CYREG_PM_STBY_CFG12 0x400043bcu +#define CYREG_PM_STBY_CFG13 0x400043bdu +#define CYDEV_PM_AVAIL_BASE 0x400043c0u +#define CYDEV_PM_AVAIL_SIZE 0x00000017u +#define CYREG_PM_AVAIL_CR0 0x400043c0u +#define CYREG_PM_AVAIL_CR1 0x400043c1u +#define CYREG_PM_AVAIL_CR2 0x400043c2u +#define CYREG_PM_AVAIL_CR3 0x400043c3u +#define CYREG_PM_AVAIL_CR4 0x400043c4u +#define CYREG_PM_AVAIL_CR5 0x400043c5u +#define CYREG_PM_AVAIL_CR6 0x400043c6u +#define CYREG_PM_AVAIL_SR0 0x400043d0u +#define CYREG_PM_AVAIL_SR1 0x400043d1u +#define CYREG_PM_AVAIL_SR2 0x400043d2u +#define CYREG_PM_AVAIL_SR3 0x400043d3u +#define CYREG_PM_AVAIL_SR4 0x400043d4u +#define CYREG_PM_AVAIL_SR5 0x400043d5u +#define CYREG_PM_AVAIL_SR6 0x400043d6u +#define CYDEV_PICU_BASE 0x40004500u +#define CYDEV_PICU_SIZE 0x000000b0u +#define CYDEV_PICU_INTTYPE_BASE 0x40004500u +#define CYDEV_PICU_INTTYPE_SIZE 0x00000080u +#define CYDEV_PICU_INTTYPE_PICU0_BASE 0x40004500u +#define CYDEV_PICU_INTTYPE_PICU0_SIZE 0x00000008u +#define CYREG_PICU0_INTTYPE0 0x40004500u +#define CYREG_PICU0_INTTYPE1 0x40004501u +#define CYREG_PICU0_INTTYPE2 0x40004502u +#define CYREG_PICU0_INTTYPE3 0x40004503u +#define CYREG_PICU0_INTTYPE4 0x40004504u +#define CYREG_PICU0_INTTYPE5 0x40004505u +#define CYREG_PICU0_INTTYPE6 0x40004506u +#define CYREG_PICU0_INTTYPE7 0x40004507u +#define CYDEV_PICU_INTTYPE_PICU1_BASE 0x40004508u +#define CYDEV_PICU_INTTYPE_PICU1_SIZE 0x00000008u +#define CYREG_PICU1_INTTYPE0 0x40004508u +#define CYREG_PICU1_INTTYPE1 0x40004509u +#define CYREG_PICU1_INTTYPE2 0x4000450au +#define CYREG_PICU1_INTTYPE3 0x4000450bu +#define CYREG_PICU1_INTTYPE4 0x4000450cu +#define CYREG_PICU1_INTTYPE5 0x4000450du +#define CYREG_PICU1_INTTYPE6 0x4000450eu +#define CYREG_PICU1_INTTYPE7 0x4000450fu +#define CYDEV_PICU_INTTYPE_PICU2_BASE 0x40004510u +#define CYDEV_PICU_INTTYPE_PICU2_SIZE 0x00000008u +#define CYREG_PICU2_INTTYPE0 0x40004510u +#define CYREG_PICU2_INTTYPE1 0x40004511u +#define CYREG_PICU2_INTTYPE2 0x40004512u +#define CYREG_PICU2_INTTYPE3 0x40004513u +#define CYREG_PICU2_INTTYPE4 0x40004514u +#define CYREG_PICU2_INTTYPE5 0x40004515u +#define CYREG_PICU2_INTTYPE6 0x40004516u +#define CYREG_PICU2_INTTYPE7 0x40004517u +#define CYDEV_PICU_INTTYPE_PICU3_BASE 0x40004518u +#define CYDEV_PICU_INTTYPE_PICU3_SIZE 0x00000008u +#define CYREG_PICU3_INTTYPE0 0x40004518u +#define CYREG_PICU3_INTTYPE1 0x40004519u +#define CYREG_PICU3_INTTYPE2 0x4000451au +#define CYREG_PICU3_INTTYPE3 0x4000451bu +#define CYREG_PICU3_INTTYPE4 0x4000451cu +#define CYREG_PICU3_INTTYPE5 0x4000451du +#define CYREG_PICU3_INTTYPE6 0x4000451eu +#define CYREG_PICU3_INTTYPE7 0x4000451fu +#define CYDEV_PICU_INTTYPE_PICU4_BASE 0x40004520u +#define CYDEV_PICU_INTTYPE_PICU4_SIZE 0x00000008u +#define CYREG_PICU4_INTTYPE0 0x40004520u +#define CYREG_PICU4_INTTYPE1 0x40004521u +#define CYREG_PICU4_INTTYPE2 0x40004522u +#define CYREG_PICU4_INTTYPE3 0x40004523u +#define CYREG_PICU4_INTTYPE4 0x40004524u +#define CYREG_PICU4_INTTYPE5 0x40004525u +#define CYREG_PICU4_INTTYPE6 0x40004526u +#define CYREG_PICU4_INTTYPE7 0x40004527u +#define CYDEV_PICU_INTTYPE_PICU5_BASE 0x40004528u +#define CYDEV_PICU_INTTYPE_PICU5_SIZE 0x00000008u +#define CYREG_PICU5_INTTYPE0 0x40004528u +#define CYREG_PICU5_INTTYPE1 0x40004529u +#define CYREG_PICU5_INTTYPE2 0x4000452au +#define CYREG_PICU5_INTTYPE3 0x4000452bu +#define CYREG_PICU5_INTTYPE4 0x4000452cu +#define CYREG_PICU5_INTTYPE5 0x4000452du +#define CYREG_PICU5_INTTYPE6 0x4000452eu +#define CYREG_PICU5_INTTYPE7 0x4000452fu +#define CYDEV_PICU_INTTYPE_PICU6_BASE 0x40004530u +#define CYDEV_PICU_INTTYPE_PICU6_SIZE 0x00000008u +#define CYREG_PICU6_INTTYPE0 0x40004530u +#define CYREG_PICU6_INTTYPE1 0x40004531u +#define CYREG_PICU6_INTTYPE2 0x40004532u +#define CYREG_PICU6_INTTYPE3 0x40004533u +#define CYREG_PICU6_INTTYPE4 0x40004534u +#define CYREG_PICU6_INTTYPE5 0x40004535u +#define CYREG_PICU6_INTTYPE6 0x40004536u +#define CYREG_PICU6_INTTYPE7 0x40004537u +#define CYDEV_PICU_INTTYPE_PICU12_BASE 0x40004560u +#define CYDEV_PICU_INTTYPE_PICU12_SIZE 0x00000008u +#define CYREG_PICU12_INTTYPE0 0x40004560u +#define CYREG_PICU12_INTTYPE1 0x40004561u +#define CYREG_PICU12_INTTYPE2 0x40004562u +#define CYREG_PICU12_INTTYPE3 0x40004563u +#define CYREG_PICU12_INTTYPE4 0x40004564u +#define CYREG_PICU12_INTTYPE5 0x40004565u +#define CYREG_PICU12_INTTYPE6 0x40004566u +#define CYREG_PICU12_INTTYPE7 0x40004567u +#define CYDEV_PICU_INTTYPE_PICU15_BASE 0x40004578u +#define CYDEV_PICU_INTTYPE_PICU15_SIZE 0x00000008u +#define CYREG_PICU15_INTTYPE0 0x40004578u +#define CYREG_PICU15_INTTYPE1 0x40004579u +#define CYREG_PICU15_INTTYPE2 0x4000457au +#define CYREG_PICU15_INTTYPE3 0x4000457bu +#define CYREG_PICU15_INTTYPE4 0x4000457cu +#define CYREG_PICU15_INTTYPE5 0x4000457du +#define CYREG_PICU15_INTTYPE6 0x4000457eu +#define CYREG_PICU15_INTTYPE7 0x4000457fu +#define CYDEV_PICU_STAT_BASE 0x40004580u +#define CYDEV_PICU_STAT_SIZE 0x00000010u +#define CYDEV_PICU_STAT_PICU0_BASE 0x40004580u +#define CYDEV_PICU_STAT_PICU0_SIZE 0x00000001u +#define CYREG_PICU0_INTSTAT 0x40004580u +#define CYDEV_PICU_STAT_PICU1_BASE 0x40004581u +#define CYDEV_PICU_STAT_PICU1_SIZE 0x00000001u +#define CYREG_PICU1_INTSTAT 0x40004581u +#define CYDEV_PICU_STAT_PICU2_BASE 0x40004582u +#define CYDEV_PICU_STAT_PICU2_SIZE 0x00000001u +#define CYREG_PICU2_INTSTAT 0x40004582u +#define CYDEV_PICU_STAT_PICU3_BASE 0x40004583u +#define CYDEV_PICU_STAT_PICU3_SIZE 0x00000001u +#define CYREG_PICU3_INTSTAT 0x40004583u +#define CYDEV_PICU_STAT_PICU4_BASE 0x40004584u +#define CYDEV_PICU_STAT_PICU4_SIZE 0x00000001u +#define CYREG_PICU4_INTSTAT 0x40004584u +#define CYDEV_PICU_STAT_PICU5_BASE 0x40004585u +#define CYDEV_PICU_STAT_PICU5_SIZE 0x00000001u +#define CYREG_PICU5_INTSTAT 0x40004585u +#define CYDEV_PICU_STAT_PICU6_BASE 0x40004586u +#define CYDEV_PICU_STAT_PICU6_SIZE 0x00000001u +#define CYREG_PICU6_INTSTAT 0x40004586u +#define CYDEV_PICU_STAT_PICU12_BASE 0x4000458cu +#define CYDEV_PICU_STAT_PICU12_SIZE 0x00000001u +#define CYREG_PICU12_INTSTAT 0x4000458cu +#define CYDEV_PICU_STAT_PICU15_BASE 0x4000458fu +#define CYDEV_PICU_STAT_PICU15_SIZE 0x00000001u +#define CYREG_PICU15_INTSTAT 0x4000458fu +#define CYDEV_PICU_SNAP_BASE 0x40004590u +#define CYDEV_PICU_SNAP_SIZE 0x00000010u +#define CYDEV_PICU_SNAP_PICU0_BASE 0x40004590u +#define CYDEV_PICU_SNAP_PICU0_SIZE 0x00000001u +#define CYREG_PICU0_SNAP 0x40004590u +#define CYDEV_PICU_SNAP_PICU1_BASE 0x40004591u +#define CYDEV_PICU_SNAP_PICU1_SIZE 0x00000001u +#define CYREG_PICU1_SNAP 0x40004591u +#define CYDEV_PICU_SNAP_PICU2_BASE 0x40004592u +#define CYDEV_PICU_SNAP_PICU2_SIZE 0x00000001u +#define CYREG_PICU2_SNAP 0x40004592u +#define CYDEV_PICU_SNAP_PICU3_BASE 0x40004593u +#define CYDEV_PICU_SNAP_PICU3_SIZE 0x00000001u +#define CYREG_PICU3_SNAP 0x40004593u +#define CYDEV_PICU_SNAP_PICU4_BASE 0x40004594u +#define CYDEV_PICU_SNAP_PICU4_SIZE 0x00000001u +#define CYREG_PICU4_SNAP 0x40004594u +#define CYDEV_PICU_SNAP_PICU5_BASE 0x40004595u +#define CYDEV_PICU_SNAP_PICU5_SIZE 0x00000001u +#define CYREG_PICU5_SNAP 0x40004595u +#define CYDEV_PICU_SNAP_PICU6_BASE 0x40004596u +#define CYDEV_PICU_SNAP_PICU6_SIZE 0x00000001u +#define CYREG_PICU6_SNAP 0x40004596u +#define CYDEV_PICU_SNAP_PICU12_BASE 0x4000459cu +#define CYDEV_PICU_SNAP_PICU12_SIZE 0x00000001u +#define CYREG_PICU12_SNAP 0x4000459cu +#define CYDEV_PICU_SNAP_PICU_15_BASE 0x4000459fu +#define CYDEV_PICU_SNAP_PICU_15_SIZE 0x00000001u +#define CYREG_PICU_15_SNAP_15 0x4000459fu +#define CYDEV_PICU_DISABLE_COR_BASE 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_SIZE 0x00000010u +#define CYDEV_PICU_DISABLE_COR_PICU0_BASE 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_PICU0_SIZE 0x00000001u +#define CYREG_PICU0_DISABLE_COR 0x400045a0u +#define CYDEV_PICU_DISABLE_COR_PICU1_BASE 0x400045a1u +#define CYDEV_PICU_DISABLE_COR_PICU1_SIZE 0x00000001u +#define CYREG_PICU1_DISABLE_COR 0x400045a1u +#define CYDEV_PICU_DISABLE_COR_PICU2_BASE 0x400045a2u +#define CYDEV_PICU_DISABLE_COR_PICU2_SIZE 0x00000001u +#define CYREG_PICU2_DISABLE_COR 0x400045a2u +#define CYDEV_PICU_DISABLE_COR_PICU3_BASE 0x400045a3u +#define CYDEV_PICU_DISABLE_COR_PICU3_SIZE 0x00000001u +#define CYREG_PICU3_DISABLE_COR 0x400045a3u +#define CYDEV_PICU_DISABLE_COR_PICU4_BASE 0x400045a4u +#define CYDEV_PICU_DISABLE_COR_PICU4_SIZE 0x00000001u +#define CYREG_PICU4_DISABLE_COR 0x400045a4u +#define CYDEV_PICU_DISABLE_COR_PICU5_BASE 0x400045a5u +#define CYDEV_PICU_DISABLE_COR_PICU5_SIZE 0x00000001u +#define CYREG_PICU5_DISABLE_COR 0x400045a5u +#define CYDEV_PICU_DISABLE_COR_PICU6_BASE 0x400045a6u +#define CYDEV_PICU_DISABLE_COR_PICU6_SIZE 0x00000001u +#define CYREG_PICU6_DISABLE_COR 0x400045a6u +#define CYDEV_PICU_DISABLE_COR_PICU12_BASE 0x400045acu +#define CYDEV_PICU_DISABLE_COR_PICU12_SIZE 0x00000001u +#define CYREG_PICU12_DISABLE_COR 0x400045acu +#define CYDEV_PICU_DISABLE_COR_PICU15_BASE 0x400045afu +#define CYDEV_PICU_DISABLE_COR_PICU15_SIZE 0x00000001u +#define CYREG_PICU15_DISABLE_COR 0x400045afu +#define CYDEV_MFGCFG_BASE 0x40004600u +#define CYDEV_MFGCFG_SIZE 0x000000edu +#define CYDEV_MFGCFG_ANAIF_BASE 0x40004600u +#define CYDEV_MFGCFG_ANAIF_SIZE 0x00000038u +#define CYDEV_MFGCFG_ANAIF_DAC0_BASE 0x40004608u +#define CYDEV_MFGCFG_ANAIF_DAC0_SIZE 0x00000001u +#define CYREG_DAC0_TR 0x40004608u +#define CYDEV_MFGCFG_ANAIF_DAC1_BASE 0x40004609u +#define CYDEV_MFGCFG_ANAIF_DAC1_SIZE 0x00000001u +#define CYREG_DAC1_TR 0x40004609u +#define CYDEV_MFGCFG_ANAIF_DAC2_BASE 0x4000460au +#define CYDEV_MFGCFG_ANAIF_DAC2_SIZE 0x00000001u +#define CYREG_DAC2_TR 0x4000460au +#define CYDEV_MFGCFG_ANAIF_DAC3_BASE 0x4000460bu +#define CYDEV_MFGCFG_ANAIF_DAC3_SIZE 0x00000001u +#define CYREG_DAC3_TR 0x4000460bu +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE 0x40004610u +#define CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE 0x00000001u +#define CYREG_NPUMP_DSM_TR0 0x40004610u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE 0x40004611u +#define CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE 0x00000001u +#define CYREG_NPUMP_SC_TR0 0x40004611u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE 0x40004612u +#define CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE 0x00000001u +#define CYREG_NPUMP_OPAMP_TR0 0x40004612u +#define CYDEV_MFGCFG_ANAIF_SAR0_BASE 0x40004614u +#define CYDEV_MFGCFG_ANAIF_SAR0_SIZE 0x00000001u +#define CYREG_SAR0_TR0 0x40004614u +#define CYDEV_MFGCFG_ANAIF_SAR1_BASE 0x40004616u +#define CYDEV_MFGCFG_ANAIF_SAR1_SIZE 0x00000001u +#define CYREG_SAR1_TR0 0x40004616u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_BASE 0x40004620u +#define CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE 0x00000002u +#define CYREG_OPAMP0_TR0 0x40004620u +#define CYREG_OPAMP0_TR1 0x40004621u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_BASE 0x40004622u +#define CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE 0x00000002u +#define CYREG_OPAMP1_TR0 0x40004622u +#define CYREG_OPAMP1_TR1 0x40004623u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_BASE 0x40004624u +#define CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE 0x00000002u +#define CYREG_OPAMP2_TR0 0x40004624u +#define CYREG_OPAMP2_TR1 0x40004625u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_BASE 0x40004626u +#define CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE 0x00000002u +#define CYREG_OPAMP3_TR0 0x40004626u +#define CYREG_OPAMP3_TR1 0x40004627u +#define CYDEV_MFGCFG_ANAIF_CMP0_BASE 0x40004630u +#define CYDEV_MFGCFG_ANAIF_CMP0_SIZE 0x00000002u +#define CYREG_CMP0_TR0 0x40004630u +#define CYREG_CMP0_TR1 0x40004631u +#define CYDEV_MFGCFG_ANAIF_CMP1_BASE 0x40004632u +#define CYDEV_MFGCFG_ANAIF_CMP1_SIZE 0x00000002u +#define CYREG_CMP1_TR0 0x40004632u +#define CYREG_CMP1_TR1 0x40004633u +#define CYDEV_MFGCFG_ANAIF_CMP2_BASE 0x40004634u +#define CYDEV_MFGCFG_ANAIF_CMP2_SIZE 0x00000002u +#define CYREG_CMP2_TR0 0x40004634u +#define CYREG_CMP2_TR1 0x40004635u +#define CYDEV_MFGCFG_ANAIF_CMP3_BASE 0x40004636u +#define CYDEV_MFGCFG_ANAIF_CMP3_SIZE 0x00000002u +#define CYREG_CMP3_TR0 0x40004636u +#define CYREG_CMP3_TR1 0x40004637u +#define CYDEV_MFGCFG_PWRSYS_BASE 0x40004680u +#define CYDEV_MFGCFG_PWRSYS_SIZE 0x0000000bu +#define CYREG_PWRSYS_HIB_TR0 0x40004680u +#define CYREG_PWRSYS_HIB_TR1 0x40004681u +#define CYREG_PWRSYS_I2C_TR 0x40004682u +#define CYREG_PWRSYS_SLP_TR 0x40004683u +#define CYREG_PWRSYS_BUZZ_TR 0x40004684u +#define CYREG_PWRSYS_WAKE_TR0 0x40004685u +#define CYREG_PWRSYS_WAKE_TR1 0x40004686u +#define CYREG_PWRSYS_BREF_TR 0x40004687u +#define CYREG_PWRSYS_BG_TR 0x40004688u +#define CYREG_PWRSYS_WAKE_TR2 0x40004689u +#define CYREG_PWRSYS_WAKE_TR3 0x4000468au +#define CYDEV_MFGCFG_ILO_BASE 0x40004690u +#define CYDEV_MFGCFG_ILO_SIZE 0x00000002u +#define CYREG_ILO_TR0 0x40004690u +#define CYREG_ILO_TR1 0x40004691u +#define CYDEV_MFGCFG_X32_BASE 0x40004698u +#define CYDEV_MFGCFG_X32_SIZE 0x00000001u +#define CYREG_X32_TR 0x40004698u +#define CYDEV_MFGCFG_IMO_BASE 0x400046a0u +#define CYDEV_MFGCFG_IMO_SIZE 0x00000005u +#define CYREG_IMO_TR0 0x400046a0u +#define CYREG_IMO_TR1 0x400046a1u +#define CYREG_IMO_GAIN 0x400046a2u +#define CYREG_IMO_C36M 0x400046a3u +#define CYREG_IMO_TR2 0x400046a4u +#define CYDEV_MFGCFG_XMHZ_BASE 0x400046a8u +#define CYDEV_MFGCFG_XMHZ_SIZE 0x00000001u +#define CYREG_XMHZ_TR 0x400046a8u +#define CYREG_MFGCFG_DLY 0x400046c0u +#define CYDEV_MFGCFG_MLOGIC_BASE 0x400046e0u +#define CYDEV_MFGCFG_MLOGIC_SIZE 0x0000000du +#define CYREG_MLOGIC_DMPSTR 0x400046e2u +#define CYDEV_MFGCFG_MLOGIC_SEG_BASE 0x400046e4u +#define CYDEV_MFGCFG_MLOGIC_SEG_SIZE 0x00000002u +#define CYREG_MLOGIC_SEG_CR 0x400046e4u +#define CYREG_MLOGIC_SEG_CFG0 0x400046e5u +#define CYREG_MLOGIC_DEBUG 0x400046e8u +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE 0x400046eau +#define CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE 0x00000001u +#define CYREG_MLOGIC_CPU_SCR_CPU_SCR 0x400046eau +#define CYREG_MLOGIC_REV_ID 0x400046ecu +#define CYDEV_RESET_BASE 0x400046f0u +#define CYDEV_RESET_SIZE 0x0000000fu +#define CYREG_RESET_IPOR_CR0 0x400046f0u +#define CYREG_RESET_IPOR_CR1 0x400046f1u +#define CYREG_RESET_IPOR_CR2 0x400046f2u +#define CYREG_RESET_IPOR_CR3 0x400046f3u +#define CYREG_RESET_CR0 0x400046f4u +#define CYREG_RESET_CR1 0x400046f5u +#define CYREG_RESET_CR2 0x400046f6u +#define CYREG_RESET_CR3 0x400046f7u +#define CYREG_RESET_CR4 0x400046f8u +#define CYREG_RESET_CR5 0x400046f9u +#define CYREG_RESET_SR0 0x400046fau +#define CYREG_RESET_SR1 0x400046fbu +#define CYREG_RESET_SR2 0x400046fcu +#define CYREG_RESET_SR3 0x400046fdu +#define CYREG_RESET_TR 0x400046feu +#define CYDEV_SPC_BASE 0x40004700u +#define CYDEV_SPC_SIZE 0x00000100u +#define CYREG_SPC_FM_EE_CR 0x40004700u +#define CYREG_SPC_FM_EE_WAKE_CNT 0x40004701u +#define CYREG_SPC_EE_SCR 0x40004702u +#define CYREG_SPC_EE_ERR 0x40004703u +#define CYREG_SPC_CPU_DATA 0x40004720u +#define CYREG_SPC_DMA_DATA 0x40004721u +#define CYREG_SPC_SR 0x40004722u +#define CYREG_SPC_CR 0x40004723u +#define CYDEV_SPC_DMM_MAP_BASE 0x40004780u +#define CYDEV_SPC_DMM_MAP_SIZE 0x00000080u +#define CYREG_SPC_DMM_MAP_SRAM_MBASE 0x40004780u +#define CYREG_SPC_DMM_MAP_SRAM_MSIZE 0x00000080u +#define CYDEV_CACHE_BASE 0x40004800u +#define CYDEV_CACHE_SIZE 0x0000009cu +#define CYREG_CACHE_CC_CTL 0x40004800u +#define CYREG_CACHE_ECC_CORR 0x40004880u +#define CYREG_CACHE_ECC_ERR 0x40004888u +#define CYREG_CACHE_FLASH_ERR 0x40004890u +#define CYREG_CACHE_HITMISS 0x40004898u +#define CYDEV_I2C_BASE 0x40004900u +#define CYDEV_I2C_SIZE 0x000000e1u +#define CYREG_I2C_XCFG 0x400049c8u +#define CYREG_I2C_ADR 0x400049cau +#define CYREG_I2C_CFG 0x400049d6u +#define CYREG_I2C_CSR 0x400049d7u +#define CYREG_I2C_D 0x400049d8u +#define CYREG_I2C_MCSR 0x400049d9u +#define CYREG_I2C_CLK_DIV1 0x400049dbu +#define CYREG_I2C_CLK_DIV2 0x400049dcu +#define CYREG_I2C_TMOUT_CSR 0x400049ddu +#define CYREG_I2C_TMOUT_SR 0x400049deu +#define CYREG_I2C_TMOUT_CFG0 0x400049dfu +#define CYREG_I2C_TMOUT_CFG1 0x400049e0u +#define CYDEV_DEC_BASE 0x40004e00u +#define CYDEV_DEC_SIZE 0x00000015u +#define CYREG_DEC_CR 0x40004e00u +#define CYREG_DEC_SR 0x40004e01u +#define CYREG_DEC_SHIFT1 0x40004e02u +#define CYREG_DEC_SHIFT2 0x40004e03u +#define CYREG_DEC_DR2 0x40004e04u +#define CYREG_DEC_DR2H 0x40004e05u +#define CYREG_DEC_DR1 0x40004e06u +#define CYREG_DEC_OCOR 0x40004e08u +#define CYREG_DEC_OCORM 0x40004e09u +#define CYREG_DEC_OCORH 0x40004e0au +#define CYREG_DEC_GCOR 0x40004e0cu +#define CYREG_DEC_GCORH 0x40004e0du +#define CYREG_DEC_GVAL 0x40004e0eu +#define CYREG_DEC_OUTSAMP 0x40004e10u +#define CYREG_DEC_OUTSAMPM 0x40004e11u +#define CYREG_DEC_OUTSAMPH 0x40004e12u +#define CYREG_DEC_OUTSAMPS 0x40004e13u +#define CYREG_DEC_COHER 0x40004e14u +#define CYDEV_TMR0_BASE 0x40004f00u +#define CYDEV_TMR0_SIZE 0x0000000cu +#define CYREG_TMR0_CFG0 0x40004f00u +#define CYREG_TMR0_CFG1 0x40004f01u +#define CYREG_TMR0_CFG2 0x40004f02u +#define CYREG_TMR0_SR0 0x40004f03u +#define CYREG_TMR0_PER0 0x40004f04u +#define CYREG_TMR0_PER1 0x40004f05u +#define CYREG_TMR0_CNT_CMP0 0x40004f06u +#define CYREG_TMR0_CNT_CMP1 0x40004f07u +#define CYREG_TMR0_CAP0 0x40004f08u +#define CYREG_TMR0_CAP1 0x40004f09u +#define CYREG_TMR0_RT0 0x40004f0au +#define CYREG_TMR0_RT1 0x40004f0bu +#define CYDEV_TMR1_BASE 0x40004f0cu +#define CYDEV_TMR1_SIZE 0x0000000cu +#define CYREG_TMR1_CFG0 0x40004f0cu +#define CYREG_TMR1_CFG1 0x40004f0du +#define CYREG_TMR1_CFG2 0x40004f0eu +#define CYREG_TMR1_SR0 0x40004f0fu +#define CYREG_TMR1_PER0 0x40004f10u +#define CYREG_TMR1_PER1 0x40004f11u +#define CYREG_TMR1_CNT_CMP0 0x40004f12u +#define CYREG_TMR1_CNT_CMP1 0x40004f13u +#define CYREG_TMR1_CAP0 0x40004f14u +#define CYREG_TMR1_CAP1 0x40004f15u +#define CYREG_TMR1_RT0 0x40004f16u +#define CYREG_TMR1_RT1 0x40004f17u +#define CYDEV_TMR2_BASE 0x40004f18u +#define CYDEV_TMR2_SIZE 0x0000000cu +#define CYREG_TMR2_CFG0 0x40004f18u +#define CYREG_TMR2_CFG1 0x40004f19u +#define CYREG_TMR2_CFG2 0x40004f1au +#define CYREG_TMR2_SR0 0x40004f1bu +#define CYREG_TMR2_PER0 0x40004f1cu +#define CYREG_TMR2_PER1 0x40004f1du +#define CYREG_TMR2_CNT_CMP0 0x40004f1eu +#define CYREG_TMR2_CNT_CMP1 0x40004f1fu +#define CYREG_TMR2_CAP0 0x40004f20u +#define CYREG_TMR2_CAP1 0x40004f21u +#define CYREG_TMR2_RT0 0x40004f22u +#define CYREG_TMR2_RT1 0x40004f23u +#define CYDEV_TMR3_BASE 0x40004f24u +#define CYDEV_TMR3_SIZE 0x0000000cu +#define CYREG_TMR3_CFG0 0x40004f24u +#define CYREG_TMR3_CFG1 0x40004f25u +#define CYREG_TMR3_CFG2 0x40004f26u +#define CYREG_TMR3_SR0 0x40004f27u +#define CYREG_TMR3_PER0 0x40004f28u +#define CYREG_TMR3_PER1 0x40004f29u +#define CYREG_TMR3_CNT_CMP0 0x40004f2au +#define CYREG_TMR3_CNT_CMP1 0x40004f2bu +#define CYREG_TMR3_CAP0 0x40004f2cu +#define CYREG_TMR3_CAP1 0x40004f2du +#define CYREG_TMR3_RT0 0x40004f2eu +#define CYREG_TMR3_RT1 0x40004f2fu +#define CYDEV_IO_BASE 0x40005000u +#define CYDEV_IO_SIZE 0x00000200u +#define CYDEV_IO_PC_BASE 0x40005000u +#define CYDEV_IO_PC_SIZE 0x00000080u +#define CYDEV_IO_PC_PRT0_BASE 0x40005000u +#define CYDEV_IO_PC_PRT0_SIZE 0x00000008u +#define CYREG_PRT0_PC0 0x40005000u +#define CYREG_PRT0_PC1 0x40005001u +#define CYREG_PRT0_PC2 0x40005002u +#define CYREG_PRT0_PC3 0x40005003u +#define CYREG_PRT0_PC4 0x40005004u +#define CYREG_PRT0_PC5 0x40005005u +#define CYREG_PRT0_PC6 0x40005006u +#define CYREG_PRT0_PC7 0x40005007u +#define CYDEV_IO_PC_PRT1_BASE 0x40005008u +#define CYDEV_IO_PC_PRT1_SIZE 0x00000008u +#define CYREG_PRT1_PC0 0x40005008u +#define CYREG_PRT1_PC1 0x40005009u +#define CYREG_PRT1_PC2 0x4000500au +#define CYREG_PRT1_PC3 0x4000500bu +#define CYREG_PRT1_PC4 0x4000500cu +#define CYREG_PRT1_PC5 0x4000500du +#define CYREG_PRT1_PC6 0x4000500eu +#define CYREG_PRT1_PC7 0x4000500fu +#define CYDEV_IO_PC_PRT2_BASE 0x40005010u +#define CYDEV_IO_PC_PRT2_SIZE 0x00000008u +#define CYREG_PRT2_PC0 0x40005010u +#define CYREG_PRT2_PC1 0x40005011u +#define CYREG_PRT2_PC2 0x40005012u +#define CYREG_PRT2_PC3 0x40005013u +#define CYREG_PRT2_PC4 0x40005014u +#define CYREG_PRT2_PC5 0x40005015u +#define CYREG_PRT2_PC6 0x40005016u +#define CYREG_PRT2_PC7 0x40005017u +#define CYDEV_IO_PC_PRT3_BASE 0x40005018u +#define CYDEV_IO_PC_PRT3_SIZE 0x00000008u +#define CYREG_PRT3_PC0 0x40005018u +#define CYREG_PRT3_PC1 0x40005019u +#define CYREG_PRT3_PC2 0x4000501au +#define CYREG_PRT3_PC3 0x4000501bu +#define CYREG_PRT3_PC4 0x4000501cu +#define CYREG_PRT3_PC5 0x4000501du +#define CYREG_PRT3_PC6 0x4000501eu +#define CYREG_PRT3_PC7 0x4000501fu +#define CYDEV_IO_PC_PRT4_BASE 0x40005020u +#define CYDEV_IO_PC_PRT4_SIZE 0x00000008u +#define CYREG_PRT4_PC0 0x40005020u +#define CYREG_PRT4_PC1 0x40005021u +#define CYREG_PRT4_PC2 0x40005022u +#define CYREG_PRT4_PC3 0x40005023u +#define CYREG_PRT4_PC4 0x40005024u +#define CYREG_PRT4_PC5 0x40005025u +#define CYREG_PRT4_PC6 0x40005026u +#define CYREG_PRT4_PC7 0x40005027u +#define CYDEV_IO_PC_PRT5_BASE 0x40005028u +#define CYDEV_IO_PC_PRT5_SIZE 0x00000008u +#define CYREG_PRT5_PC0 0x40005028u +#define CYREG_PRT5_PC1 0x40005029u +#define CYREG_PRT5_PC2 0x4000502au +#define CYREG_PRT5_PC3 0x4000502bu +#define CYREG_PRT5_PC4 0x4000502cu +#define CYREG_PRT5_PC5 0x4000502du +#define CYREG_PRT5_PC6 0x4000502eu +#define CYREG_PRT5_PC7 0x4000502fu +#define CYDEV_IO_PC_PRT6_BASE 0x40005030u +#define CYDEV_IO_PC_PRT6_SIZE 0x00000008u +#define CYREG_PRT6_PC0 0x40005030u +#define CYREG_PRT6_PC1 0x40005031u +#define CYREG_PRT6_PC2 0x40005032u +#define CYREG_PRT6_PC3 0x40005033u +#define CYREG_PRT6_PC4 0x40005034u +#define CYREG_PRT6_PC5 0x40005035u +#define CYREG_PRT6_PC6 0x40005036u +#define CYREG_PRT6_PC7 0x40005037u +#define CYDEV_IO_PC_PRT12_BASE 0x40005060u +#define CYDEV_IO_PC_PRT12_SIZE 0x00000008u +#define CYREG_PRT12_PC0 0x40005060u +#define CYREG_PRT12_PC1 0x40005061u +#define CYREG_PRT12_PC2 0x40005062u +#define CYREG_PRT12_PC3 0x40005063u +#define CYREG_PRT12_PC4 0x40005064u +#define CYREG_PRT12_PC5 0x40005065u +#define CYREG_PRT12_PC6 0x40005066u +#define CYREG_PRT12_PC7 0x40005067u +#define CYDEV_IO_PC_PRT15_BASE 0x40005078u +#define CYDEV_IO_PC_PRT15_SIZE 0x00000006u +#define CYREG_IO_PC_PRT15_PC0 0x40005078u +#define CYREG_IO_PC_PRT15_PC1 0x40005079u +#define CYREG_IO_PC_PRT15_PC2 0x4000507au +#define CYREG_IO_PC_PRT15_PC3 0x4000507bu +#define CYREG_IO_PC_PRT15_PC4 0x4000507cu +#define CYREG_IO_PC_PRT15_PC5 0x4000507du +#define CYDEV_IO_PC_PRT15_7_6_BASE 0x4000507eu +#define CYDEV_IO_PC_PRT15_7_6_SIZE 0x00000002u +#define CYREG_IO_PC_PRT15_7_6_PC0 0x4000507eu +#define CYREG_IO_PC_PRT15_7_6_PC1 0x4000507fu +#define CYDEV_IO_DR_BASE 0x40005080u +#define CYDEV_IO_DR_SIZE 0x00000010u +#define CYDEV_IO_DR_PRT0_BASE 0x40005080u +#define CYDEV_IO_DR_PRT0_SIZE 0x00000001u +#define CYREG_PRT0_DR_ALIAS 0x40005080u +#define CYDEV_IO_DR_PRT1_BASE 0x40005081u +#define CYDEV_IO_DR_PRT1_SIZE 0x00000001u +#define CYREG_PRT1_DR_ALIAS 0x40005081u +#define CYDEV_IO_DR_PRT2_BASE 0x40005082u +#define CYDEV_IO_DR_PRT2_SIZE 0x00000001u +#define CYREG_PRT2_DR_ALIAS 0x40005082u +#define CYDEV_IO_DR_PRT3_BASE 0x40005083u +#define CYDEV_IO_DR_PRT3_SIZE 0x00000001u +#define CYREG_PRT3_DR_ALIAS 0x40005083u +#define CYDEV_IO_DR_PRT4_BASE 0x40005084u +#define CYDEV_IO_DR_PRT4_SIZE 0x00000001u +#define CYREG_PRT4_DR_ALIAS 0x40005084u +#define CYDEV_IO_DR_PRT5_BASE 0x40005085u +#define CYDEV_IO_DR_PRT5_SIZE 0x00000001u +#define CYREG_PRT5_DR_ALIAS 0x40005085u +#define CYDEV_IO_DR_PRT6_BASE 0x40005086u +#define CYDEV_IO_DR_PRT6_SIZE 0x00000001u +#define CYREG_PRT6_DR_ALIAS 0x40005086u +#define CYDEV_IO_DR_PRT12_BASE 0x4000508cu +#define CYDEV_IO_DR_PRT12_SIZE 0x00000001u +#define CYREG_PRT12_DR_ALIAS 0x4000508cu +#define CYDEV_IO_DR_PRT15_BASE 0x4000508fu +#define CYDEV_IO_DR_PRT15_SIZE 0x00000001u +#define CYREG_PRT15_DR_15_ALIAS 0x4000508fu +#define CYDEV_IO_PS_BASE 0x40005090u +#define CYDEV_IO_PS_SIZE 0x00000010u +#define CYDEV_IO_PS_PRT0_BASE 0x40005090u +#define CYDEV_IO_PS_PRT0_SIZE 0x00000001u +#define CYREG_PRT0_PS_ALIAS 0x40005090u +#define CYDEV_IO_PS_PRT1_BASE 0x40005091u +#define CYDEV_IO_PS_PRT1_SIZE 0x00000001u +#define CYREG_PRT1_PS_ALIAS 0x40005091u +#define CYDEV_IO_PS_PRT2_BASE 0x40005092u +#define CYDEV_IO_PS_PRT2_SIZE 0x00000001u +#define CYREG_PRT2_PS_ALIAS 0x40005092u +#define CYDEV_IO_PS_PRT3_BASE 0x40005093u +#define CYDEV_IO_PS_PRT3_SIZE 0x00000001u +#define CYREG_PRT3_PS_ALIAS 0x40005093u +#define CYDEV_IO_PS_PRT4_BASE 0x40005094u +#define CYDEV_IO_PS_PRT4_SIZE 0x00000001u +#define CYREG_PRT4_PS_ALIAS 0x40005094u +#define CYDEV_IO_PS_PRT5_BASE 0x40005095u +#define CYDEV_IO_PS_PRT5_SIZE 0x00000001u +#define CYREG_PRT5_PS_ALIAS 0x40005095u +#define CYDEV_IO_PS_PRT6_BASE 0x40005096u +#define CYDEV_IO_PS_PRT6_SIZE 0x00000001u +#define CYREG_PRT6_PS_ALIAS 0x40005096u +#define CYDEV_IO_PS_PRT12_BASE 0x4000509cu +#define CYDEV_IO_PS_PRT12_SIZE 0x00000001u +#define CYREG_PRT12_PS_ALIAS 0x4000509cu +#define CYDEV_IO_PS_PRT15_BASE 0x4000509fu +#define CYDEV_IO_PS_PRT15_SIZE 0x00000001u +#define CYREG_PRT15_PS15_ALIAS 0x4000509fu +#define CYDEV_IO_PRT_BASE 0x40005100u +#define CYDEV_IO_PRT_SIZE 0x00000100u +#define CYDEV_IO_PRT_PRT0_BASE 0x40005100u +#define CYDEV_IO_PRT_PRT0_SIZE 0x00000010u +#define CYREG_PRT0_DR 0x40005100u +#define CYREG_PRT0_PS 0x40005101u +#define CYREG_PRT0_DM0 0x40005102u +#define CYREG_PRT0_DM1 0x40005103u +#define CYREG_PRT0_DM2 0x40005104u +#define CYREG_PRT0_SLW 0x40005105u +#define CYREG_PRT0_BYP 0x40005106u +#define CYREG_PRT0_BIE 0x40005107u +#define CYREG_PRT0_INP_DIS 0x40005108u +#define CYREG_PRT0_CTL 0x40005109u +#define CYREG_PRT0_PRT 0x4000510au +#define CYREG_PRT0_BIT_MASK 0x4000510bu +#define CYREG_PRT0_AMUX 0x4000510cu +#define CYREG_PRT0_AG 0x4000510du +#define CYREG_PRT0_LCD_COM_SEG 0x4000510eu +#define CYREG_PRT0_LCD_EN 0x4000510fu +#define CYDEV_IO_PRT_PRT1_BASE 0x40005110u +#define CYDEV_IO_PRT_PRT1_SIZE 0x00000010u +#define CYREG_PRT1_DR 0x40005110u +#define CYREG_PRT1_PS 0x40005111u +#define CYREG_PRT1_DM0 0x40005112u +#define CYREG_PRT1_DM1 0x40005113u +#define CYREG_PRT1_DM2 0x40005114u +#define CYREG_PRT1_SLW 0x40005115u +#define CYREG_PRT1_BYP 0x40005116u +#define CYREG_PRT1_BIE 0x40005117u +#define CYREG_PRT1_INP_DIS 0x40005118u +#define CYREG_PRT1_CTL 0x40005119u +#define CYREG_PRT1_PRT 0x4000511au +#define CYREG_PRT1_BIT_MASK 0x4000511bu +#define CYREG_PRT1_AMUX 0x4000511cu +#define CYREG_PRT1_AG 0x4000511du +#define CYREG_PRT1_LCD_COM_SEG 0x4000511eu +#define CYREG_PRT1_LCD_EN 0x4000511fu +#define CYDEV_IO_PRT_PRT2_BASE 0x40005120u +#define CYDEV_IO_PRT_PRT2_SIZE 0x00000010u +#define CYREG_PRT2_DR 0x40005120u +#define CYREG_PRT2_PS 0x40005121u +#define CYREG_PRT2_DM0 0x40005122u +#define CYREG_PRT2_DM1 0x40005123u +#define CYREG_PRT2_DM2 0x40005124u +#define CYREG_PRT2_SLW 0x40005125u +#define CYREG_PRT2_BYP 0x40005126u +#define CYREG_PRT2_BIE 0x40005127u +#define CYREG_PRT2_INP_DIS 0x40005128u +#define CYREG_PRT2_CTL 0x40005129u +#define CYREG_PRT2_PRT 0x4000512au +#define CYREG_PRT2_BIT_MASK 0x4000512bu +#define CYREG_PRT2_AMUX 0x4000512cu +#define CYREG_PRT2_AG 0x4000512du +#define CYREG_PRT2_LCD_COM_SEG 0x4000512eu +#define CYREG_PRT2_LCD_EN 0x4000512fu +#define CYDEV_IO_PRT_PRT3_BASE 0x40005130u +#define CYDEV_IO_PRT_PRT3_SIZE 0x00000010u +#define CYREG_PRT3_DR 0x40005130u +#define CYREG_PRT3_PS 0x40005131u +#define CYREG_PRT3_DM0 0x40005132u +#define CYREG_PRT3_DM1 0x40005133u +#define CYREG_PRT3_DM2 0x40005134u +#define CYREG_PRT3_SLW 0x40005135u +#define CYREG_PRT3_BYP 0x40005136u +#define CYREG_PRT3_BIE 0x40005137u +#define CYREG_PRT3_INP_DIS 0x40005138u +#define CYREG_PRT3_CTL 0x40005139u +#define CYREG_PRT3_PRT 0x4000513au +#define CYREG_PRT3_BIT_MASK 0x4000513bu +#define CYREG_PRT3_AMUX 0x4000513cu +#define CYREG_PRT3_AG 0x4000513du +#define CYREG_PRT3_LCD_COM_SEG 0x4000513eu +#define CYREG_PRT3_LCD_EN 0x4000513fu +#define CYDEV_IO_PRT_PRT4_BASE 0x40005140u +#define CYDEV_IO_PRT_PRT4_SIZE 0x00000010u +#define CYREG_PRT4_DR 0x40005140u +#define CYREG_PRT4_PS 0x40005141u +#define CYREG_PRT4_DM0 0x40005142u +#define CYREG_PRT4_DM1 0x40005143u +#define CYREG_PRT4_DM2 0x40005144u +#define CYREG_PRT4_SLW 0x40005145u +#define CYREG_PRT4_BYP 0x40005146u +#define CYREG_PRT4_BIE 0x40005147u +#define CYREG_PRT4_INP_DIS 0x40005148u +#define CYREG_PRT4_CTL 0x40005149u +#define CYREG_PRT4_PRT 0x4000514au +#define CYREG_PRT4_BIT_MASK 0x4000514bu +#define CYREG_PRT4_AMUX 0x4000514cu +#define CYREG_PRT4_AG 0x4000514du +#define CYREG_PRT4_LCD_COM_SEG 0x4000514eu +#define CYREG_PRT4_LCD_EN 0x4000514fu +#define CYDEV_IO_PRT_PRT5_BASE 0x40005150u +#define CYDEV_IO_PRT_PRT5_SIZE 0x00000010u +#define CYREG_PRT5_DR 0x40005150u +#define CYREG_PRT5_PS 0x40005151u +#define CYREG_PRT5_DM0 0x40005152u +#define CYREG_PRT5_DM1 0x40005153u +#define CYREG_PRT5_DM2 0x40005154u +#define CYREG_PRT5_SLW 0x40005155u +#define CYREG_PRT5_BYP 0x40005156u +#define CYREG_PRT5_BIE 0x40005157u +#define CYREG_PRT5_INP_DIS 0x40005158u +#define CYREG_PRT5_CTL 0x40005159u +#define CYREG_PRT5_PRT 0x4000515au +#define CYREG_PRT5_BIT_MASK 0x4000515bu +#define CYREG_PRT5_AMUX 0x4000515cu +#define CYREG_PRT5_AG 0x4000515du +#define CYREG_PRT5_LCD_COM_SEG 0x4000515eu +#define CYREG_PRT5_LCD_EN 0x4000515fu +#define CYDEV_IO_PRT_PRT6_BASE 0x40005160u +#define CYDEV_IO_PRT_PRT6_SIZE 0x00000010u +#define CYREG_PRT6_DR 0x40005160u +#define CYREG_PRT6_PS 0x40005161u +#define CYREG_PRT6_DM0 0x40005162u +#define CYREG_PRT6_DM1 0x40005163u +#define CYREG_PRT6_DM2 0x40005164u +#define CYREG_PRT6_SLW 0x40005165u +#define CYREG_PRT6_BYP 0x40005166u +#define CYREG_PRT6_BIE 0x40005167u +#define CYREG_PRT6_INP_DIS 0x40005168u +#define CYREG_PRT6_CTL 0x40005169u +#define CYREG_PRT6_PRT 0x4000516au +#define CYREG_PRT6_BIT_MASK 0x4000516bu +#define CYREG_PRT6_AMUX 0x4000516cu +#define CYREG_PRT6_AG 0x4000516du +#define CYREG_PRT6_LCD_COM_SEG 0x4000516eu +#define CYREG_PRT6_LCD_EN 0x4000516fu +#define CYDEV_IO_PRT_PRT12_BASE 0x400051c0u +#define CYDEV_IO_PRT_PRT12_SIZE 0x00000010u +#define CYREG_PRT12_DR 0x400051c0u +#define CYREG_PRT12_PS 0x400051c1u +#define CYREG_PRT12_DM0 0x400051c2u +#define CYREG_PRT12_DM1 0x400051c3u +#define CYREG_PRT12_DM2 0x400051c4u +#define CYREG_PRT12_SLW 0x400051c5u +#define CYREG_PRT12_BYP 0x400051c6u +#define CYREG_PRT12_BIE 0x400051c7u +#define CYREG_PRT12_INP_DIS 0x400051c8u +#define CYREG_PRT12_SIO_HYST_EN 0x400051c9u +#define CYREG_PRT12_PRT 0x400051cau +#define CYREG_PRT12_BIT_MASK 0x400051cbu +#define CYREG_PRT12_SIO_REG_HIFREQ 0x400051ccu +#define CYREG_PRT12_AG 0x400051cdu +#define CYREG_PRT12_SIO_CFG 0x400051ceu +#define CYREG_PRT12_SIO_DIFF 0x400051cfu +#define CYDEV_IO_PRT_PRT15_BASE 0x400051f0u +#define CYDEV_IO_PRT_PRT15_SIZE 0x00000010u +#define CYREG_PRT15_DR 0x400051f0u +#define CYREG_PRT15_PS 0x400051f1u +#define CYREG_PRT15_DM0 0x400051f2u +#define CYREG_PRT15_DM1 0x400051f3u +#define CYREG_PRT15_DM2 0x400051f4u +#define CYREG_PRT15_SLW 0x400051f5u +#define CYREG_PRT15_BYP 0x400051f6u +#define CYREG_PRT15_BIE 0x400051f7u +#define CYREG_PRT15_INP_DIS 0x400051f8u +#define CYREG_PRT15_CTL 0x400051f9u +#define CYREG_PRT15_PRT 0x400051fau +#define CYREG_PRT15_BIT_MASK 0x400051fbu +#define CYREG_PRT15_AMUX 0x400051fcu +#define CYREG_PRT15_AG 0x400051fdu +#define CYREG_PRT15_LCD_COM_SEG 0x400051feu +#define CYREG_PRT15_LCD_EN 0x400051ffu +#define CYDEV_PRTDSI_BASE 0x40005200u +#define CYDEV_PRTDSI_SIZE 0x0000007fu +#define CYDEV_PRTDSI_PRT0_BASE 0x40005200u +#define CYDEV_PRTDSI_PRT0_SIZE 0x00000007u +#define CYREG_PRT0_OUT_SEL0 0x40005200u +#define CYREG_PRT0_OUT_SEL1 0x40005201u +#define CYREG_PRT0_OE_SEL0 0x40005202u +#define CYREG_PRT0_OE_SEL1 0x40005203u +#define CYREG_PRT0_DBL_SYNC_IN 0x40005204u +#define CYREG_PRT0_SYNC_OUT 0x40005205u +#define CYREG_PRT0_CAPS_SEL 0x40005206u +#define CYDEV_PRTDSI_PRT1_BASE 0x40005208u +#define CYDEV_PRTDSI_PRT1_SIZE 0x00000007u +#define CYREG_PRT1_OUT_SEL0 0x40005208u +#define CYREG_PRT1_OUT_SEL1 0x40005209u +#define CYREG_PRT1_OE_SEL0 0x4000520au +#define CYREG_PRT1_OE_SEL1 0x4000520bu +#define CYREG_PRT1_DBL_SYNC_IN 0x4000520cu +#define CYREG_PRT1_SYNC_OUT 0x4000520du +#define CYREG_PRT1_CAPS_SEL 0x4000520eu +#define CYDEV_PRTDSI_PRT2_BASE 0x40005210u +#define CYDEV_PRTDSI_PRT2_SIZE 0x00000007u +#define CYREG_PRT2_OUT_SEL0 0x40005210u +#define CYREG_PRT2_OUT_SEL1 0x40005211u +#define CYREG_PRT2_OE_SEL0 0x40005212u +#define CYREG_PRT2_OE_SEL1 0x40005213u +#define CYREG_PRT2_DBL_SYNC_IN 0x40005214u +#define CYREG_PRT2_SYNC_OUT 0x40005215u +#define CYREG_PRT2_CAPS_SEL 0x40005216u +#define CYDEV_PRTDSI_PRT3_BASE 0x40005218u +#define CYDEV_PRTDSI_PRT3_SIZE 0x00000007u +#define CYREG_PRT3_OUT_SEL0 0x40005218u +#define CYREG_PRT3_OUT_SEL1 0x40005219u +#define CYREG_PRT3_OE_SEL0 0x4000521au +#define CYREG_PRT3_OE_SEL1 0x4000521bu +#define CYREG_PRT3_DBL_SYNC_IN 0x4000521cu +#define CYREG_PRT3_SYNC_OUT 0x4000521du +#define CYREG_PRT3_CAPS_SEL 0x4000521eu +#define CYDEV_PRTDSI_PRT4_BASE 0x40005220u +#define CYDEV_PRTDSI_PRT4_SIZE 0x00000007u +#define CYREG_PRT4_OUT_SEL0 0x40005220u +#define CYREG_PRT4_OUT_SEL1 0x40005221u +#define CYREG_PRT4_OE_SEL0 0x40005222u +#define CYREG_PRT4_OE_SEL1 0x40005223u +#define CYREG_PRT4_DBL_SYNC_IN 0x40005224u +#define CYREG_PRT4_SYNC_OUT 0x40005225u +#define CYREG_PRT4_CAPS_SEL 0x40005226u +#define CYDEV_PRTDSI_PRT5_BASE 0x40005228u +#define CYDEV_PRTDSI_PRT5_SIZE 0x00000007u +#define CYREG_PRT5_OUT_SEL0 0x40005228u +#define CYREG_PRT5_OUT_SEL1 0x40005229u +#define CYREG_PRT5_OE_SEL0 0x4000522au +#define CYREG_PRT5_OE_SEL1 0x4000522bu +#define CYREG_PRT5_DBL_SYNC_IN 0x4000522cu +#define CYREG_PRT5_SYNC_OUT 0x4000522du +#define CYREG_PRT5_CAPS_SEL 0x4000522eu +#define CYDEV_PRTDSI_PRT6_BASE 0x40005230u +#define CYDEV_PRTDSI_PRT6_SIZE 0x00000007u +#define CYREG_PRT6_OUT_SEL0 0x40005230u +#define CYREG_PRT6_OUT_SEL1 0x40005231u +#define CYREG_PRT6_OE_SEL0 0x40005232u +#define CYREG_PRT6_OE_SEL1 0x40005233u +#define CYREG_PRT6_DBL_SYNC_IN 0x40005234u +#define CYREG_PRT6_SYNC_OUT 0x40005235u +#define CYREG_PRT6_CAPS_SEL 0x40005236u +#define CYDEV_PRTDSI_PRT12_BASE 0x40005260u +#define CYDEV_PRTDSI_PRT12_SIZE 0x00000006u +#define CYREG_PRT12_OUT_SEL0 0x40005260u +#define CYREG_PRT12_OUT_SEL1 0x40005261u +#define CYREG_PRT12_OE_SEL0 0x40005262u +#define CYREG_PRT12_OE_SEL1 0x40005263u +#define CYREG_PRT12_DBL_SYNC_IN 0x40005264u +#define CYREG_PRT12_SYNC_OUT 0x40005265u +#define CYDEV_PRTDSI_PRT15_BASE 0x40005278u +#define CYDEV_PRTDSI_PRT15_SIZE 0x00000007u +#define CYREG_PRT15_OUT_SEL0 0x40005278u +#define CYREG_PRT15_OUT_SEL1 0x40005279u +#define CYREG_PRT15_OE_SEL0 0x4000527au +#define CYREG_PRT15_OE_SEL1 0x4000527bu +#define CYREG_PRT15_DBL_SYNC_IN 0x4000527cu +#define CYREG_PRT15_SYNC_OUT 0x4000527du +#define CYREG_PRT15_CAPS_SEL 0x4000527eu +#define CYDEV_EMIF_BASE 0x40005400u +#define CYDEV_EMIF_SIZE 0x00000007u +#define CYREG_EMIF_NO_UDB 0x40005400u +#define CYREG_EMIF_RP_WAIT_STATES 0x40005401u +#define CYREG_EMIF_MEM_DWN 0x40005402u +#define CYREG_EMIF_MEMCLK_DIV 0x40005403u +#define CYREG_EMIF_CLOCK_EN 0x40005404u +#define CYREG_EMIF_EM_TYPE 0x40005405u +#define CYREG_EMIF_WP_WAIT_STATES 0x40005406u +#define CYDEV_ANAIF_BASE 0x40005800u +#define CYDEV_ANAIF_SIZE 0x000003a9u +#define CYDEV_ANAIF_CFG_BASE 0x40005800u +#define CYDEV_ANAIF_CFG_SIZE 0x0000010fu +#define CYDEV_ANAIF_CFG_SC0_BASE 0x40005800u +#define CYDEV_ANAIF_CFG_SC0_SIZE 0x00000003u +#define CYREG_SC0_CR0 0x40005800u +#define CYREG_SC0_CR1 0x40005801u +#define CYREG_SC0_CR2 0x40005802u +#define CYDEV_ANAIF_CFG_SC1_BASE 0x40005804u +#define CYDEV_ANAIF_CFG_SC1_SIZE 0x00000003u +#define CYREG_SC1_CR0 0x40005804u +#define CYREG_SC1_CR1 0x40005805u +#define CYREG_SC1_CR2 0x40005806u +#define CYDEV_ANAIF_CFG_SC2_BASE 0x40005808u +#define CYDEV_ANAIF_CFG_SC2_SIZE 0x00000003u +#define CYREG_SC2_CR0 0x40005808u +#define CYREG_SC2_CR1 0x40005809u +#define CYREG_SC2_CR2 0x4000580au +#define CYDEV_ANAIF_CFG_SC3_BASE 0x4000580cu +#define CYDEV_ANAIF_CFG_SC3_SIZE 0x00000003u +#define CYREG_SC3_CR0 0x4000580cu +#define CYREG_SC3_CR1 0x4000580du +#define CYREG_SC3_CR2 0x4000580eu +#define CYDEV_ANAIF_CFG_DAC0_BASE 0x40005820u +#define CYDEV_ANAIF_CFG_DAC0_SIZE 0x00000003u +#define CYREG_DAC0_CR0 0x40005820u +#define CYREG_DAC0_CR1 0x40005821u +#define CYREG_DAC0_TST 0x40005822u +#define CYDEV_ANAIF_CFG_DAC1_BASE 0x40005824u +#define CYDEV_ANAIF_CFG_DAC1_SIZE 0x00000003u +#define CYREG_DAC1_CR0 0x40005824u +#define CYREG_DAC1_CR1 0x40005825u +#define CYREG_DAC1_TST 0x40005826u +#define CYDEV_ANAIF_CFG_DAC2_BASE 0x40005828u +#define CYDEV_ANAIF_CFG_DAC2_SIZE 0x00000003u +#define CYREG_DAC2_CR0 0x40005828u +#define CYREG_DAC2_CR1 0x40005829u +#define CYREG_DAC2_TST 0x4000582au +#define CYDEV_ANAIF_CFG_DAC3_BASE 0x4000582cu +#define CYDEV_ANAIF_CFG_DAC3_SIZE 0x00000003u +#define CYREG_DAC3_CR0 0x4000582cu +#define CYREG_DAC3_CR1 0x4000582du +#define CYREG_DAC3_TST 0x4000582eu +#define CYDEV_ANAIF_CFG_CMP0_BASE 0x40005840u +#define CYDEV_ANAIF_CFG_CMP0_SIZE 0x00000001u +#define CYREG_CMP0_CR 0x40005840u +#define CYDEV_ANAIF_CFG_CMP1_BASE 0x40005841u +#define CYDEV_ANAIF_CFG_CMP1_SIZE 0x00000001u +#define CYREG_CMP1_CR 0x40005841u +#define CYDEV_ANAIF_CFG_CMP2_BASE 0x40005842u +#define CYDEV_ANAIF_CFG_CMP2_SIZE 0x00000001u +#define CYREG_CMP2_CR 0x40005842u +#define CYDEV_ANAIF_CFG_CMP3_BASE 0x40005843u +#define CYDEV_ANAIF_CFG_CMP3_SIZE 0x00000001u +#define CYREG_CMP3_CR 0x40005843u +#define CYDEV_ANAIF_CFG_LUT0_BASE 0x40005848u +#define CYDEV_ANAIF_CFG_LUT0_SIZE 0x00000002u +#define CYREG_LUT0_CR 0x40005848u +#define CYREG_LUT0_MX 0x40005849u +#define CYDEV_ANAIF_CFG_LUT1_BASE 0x4000584au +#define CYDEV_ANAIF_CFG_LUT1_SIZE 0x00000002u +#define CYREG_LUT1_CR 0x4000584au +#define CYREG_LUT1_MX 0x4000584bu +#define CYDEV_ANAIF_CFG_LUT2_BASE 0x4000584cu +#define CYDEV_ANAIF_CFG_LUT2_SIZE 0x00000002u +#define CYREG_LUT2_CR 0x4000584cu +#define CYREG_LUT2_MX 0x4000584du +#define CYDEV_ANAIF_CFG_LUT3_BASE 0x4000584eu +#define CYDEV_ANAIF_CFG_LUT3_SIZE 0x00000002u +#define CYREG_LUT3_CR 0x4000584eu +#define CYREG_LUT3_MX 0x4000584fu +#define CYDEV_ANAIF_CFG_OPAMP0_BASE 0x40005858u +#define CYDEV_ANAIF_CFG_OPAMP0_SIZE 0x00000002u +#define CYREG_OPAMP0_CR 0x40005858u +#define CYREG_OPAMP0_RSVD 0x40005859u +#define CYDEV_ANAIF_CFG_OPAMP1_BASE 0x4000585au +#define CYDEV_ANAIF_CFG_OPAMP1_SIZE 0x00000002u +#define CYREG_OPAMP1_CR 0x4000585au +#define CYREG_OPAMP1_RSVD 0x4000585bu +#define CYDEV_ANAIF_CFG_OPAMP2_BASE 0x4000585cu +#define CYDEV_ANAIF_CFG_OPAMP2_SIZE 0x00000002u +#define CYREG_OPAMP2_CR 0x4000585cu +#define CYREG_OPAMP2_RSVD 0x4000585du +#define CYDEV_ANAIF_CFG_OPAMP3_BASE 0x4000585eu +#define CYDEV_ANAIF_CFG_OPAMP3_SIZE 0x00000002u +#define CYREG_OPAMP3_CR 0x4000585eu +#define CYREG_OPAMP3_RSVD 0x4000585fu +#define CYDEV_ANAIF_CFG_LCDDAC_BASE 0x40005868u +#define CYDEV_ANAIF_CFG_LCDDAC_SIZE 0x00000002u +#define CYREG_LCDDAC_CR0 0x40005868u +#define CYREG_LCDDAC_CR1 0x40005869u +#define CYDEV_ANAIF_CFG_LCDDRV_BASE 0x4000586au +#define CYDEV_ANAIF_CFG_LCDDRV_SIZE 0x00000001u +#define CYREG_LCDDRV_CR 0x4000586au +#define CYDEV_ANAIF_CFG_LCDTMR_BASE 0x4000586bu +#define CYDEV_ANAIF_CFG_LCDTMR_SIZE 0x00000001u +#define CYREG_LCDTMR_CFG 0x4000586bu +#define CYDEV_ANAIF_CFG_BG_BASE 0x4000586cu +#define CYDEV_ANAIF_CFG_BG_SIZE 0x00000004u +#define CYREG_BG_CR0 0x4000586cu +#define CYREG_BG_RSVD 0x4000586du +#define CYREG_BG_DFT0 0x4000586eu +#define CYREG_BG_DFT1 0x4000586fu +#define CYDEV_ANAIF_CFG_CAPSL_BASE 0x40005870u +#define CYDEV_ANAIF_CFG_CAPSL_SIZE 0x00000002u +#define CYREG_CAPSL_CFG0 0x40005870u +#define CYREG_CAPSL_CFG1 0x40005871u +#define CYDEV_ANAIF_CFG_CAPSR_BASE 0x40005872u +#define CYDEV_ANAIF_CFG_CAPSR_SIZE 0x00000002u +#define CYREG_CAPSR_CFG0 0x40005872u +#define CYREG_CAPSR_CFG1 0x40005873u +#define CYDEV_ANAIF_CFG_PUMP_BASE 0x40005876u +#define CYDEV_ANAIF_CFG_PUMP_SIZE 0x00000002u +#define CYREG_PUMP_CR0 0x40005876u +#define CYREG_PUMP_CR1 0x40005877u +#define CYDEV_ANAIF_CFG_LPF0_BASE 0x40005878u +#define CYDEV_ANAIF_CFG_LPF0_SIZE 0x00000002u +#define CYREG_LPF0_CR0 0x40005878u +#define CYREG_LPF0_RSVD 0x40005879u +#define CYDEV_ANAIF_CFG_LPF1_BASE 0x4000587au +#define CYDEV_ANAIF_CFG_LPF1_SIZE 0x00000002u +#define CYREG_LPF1_CR0 0x4000587au +#define CYREG_LPF1_RSVD 0x4000587bu +#define CYDEV_ANAIF_CFG_MISC_BASE 0x4000587cu +#define CYDEV_ANAIF_CFG_MISC_SIZE 0x00000001u +#define CYREG_ANAIF_CFG_MISC_CR0 0x4000587cu +#define CYDEV_ANAIF_CFG_DSM0_BASE 0x40005880u +#define CYDEV_ANAIF_CFG_DSM0_SIZE 0x00000020u +#define CYREG_DSM0_CR0 0x40005880u +#define CYREG_DSM0_CR1 0x40005881u +#define CYREG_DSM0_CR2 0x40005882u +#define CYREG_DSM0_CR3 0x40005883u +#define CYREG_DSM0_CR4 0x40005884u +#define CYREG_DSM0_CR5 0x40005885u +#define CYREG_DSM0_CR6 0x40005886u +#define CYREG_DSM0_CR7 0x40005887u +#define CYREG_DSM0_CR8 0x40005888u +#define CYREG_DSM0_CR9 0x40005889u +#define CYREG_DSM0_CR10 0x4000588au +#define CYREG_DSM0_CR11 0x4000588bu +#define CYREG_DSM0_CR12 0x4000588cu +#define CYREG_DSM0_CR13 0x4000588du +#define CYREG_DSM0_CR14 0x4000588eu +#define CYREG_DSM0_CR15 0x4000588fu +#define CYREG_DSM0_CR16 0x40005890u +#define CYREG_DSM0_CR17 0x40005891u +#define CYREG_DSM0_REF0 0x40005892u +#define CYREG_DSM0_REF1 0x40005893u +#define CYREG_DSM0_REF2 0x40005894u +#define CYREG_DSM0_REF3 0x40005895u +#define CYREG_DSM0_DEM0 0x40005896u +#define CYREG_DSM0_DEM1 0x40005897u +#define CYREG_DSM0_TST0 0x40005898u +#define CYREG_DSM0_TST1 0x40005899u +#define CYREG_DSM0_BUF0 0x4000589au +#define CYREG_DSM0_BUF1 0x4000589bu +#define CYREG_DSM0_BUF2 0x4000589cu +#define CYREG_DSM0_BUF3 0x4000589du +#define CYREG_DSM0_MISC 0x4000589eu +#define CYREG_DSM0_RSVD1 0x4000589fu +#define CYDEV_ANAIF_CFG_SAR0_BASE 0x40005900u +#define CYDEV_ANAIF_CFG_SAR0_SIZE 0x00000007u +#define CYREG_SAR0_CSR0 0x40005900u +#define CYREG_SAR0_CSR1 0x40005901u +#define CYREG_SAR0_CSR2 0x40005902u +#define CYREG_SAR0_CSR3 0x40005903u +#define CYREG_SAR0_CSR4 0x40005904u +#define CYREG_SAR0_CSR5 0x40005905u +#define CYREG_SAR0_CSR6 0x40005906u +#define CYDEV_ANAIF_CFG_SAR1_BASE 0x40005908u +#define CYDEV_ANAIF_CFG_SAR1_SIZE 0x00000007u +#define CYREG_SAR1_CSR0 0x40005908u +#define CYREG_SAR1_CSR1 0x40005909u +#define CYREG_SAR1_CSR2 0x4000590au +#define CYREG_SAR1_CSR3 0x4000590bu +#define CYREG_SAR1_CSR4 0x4000590cu +#define CYREG_SAR1_CSR5 0x4000590du +#define CYREG_SAR1_CSR6 0x4000590eu +#define CYDEV_ANAIF_RT_BASE 0x40005a00u +#define CYDEV_ANAIF_RT_SIZE 0x00000162u +#define CYDEV_ANAIF_RT_SC0_BASE 0x40005a00u +#define CYDEV_ANAIF_RT_SC0_SIZE 0x0000000du +#define CYREG_SC0_SW0 0x40005a00u +#define CYREG_SC0_SW2 0x40005a02u +#define CYREG_SC0_SW3 0x40005a03u +#define CYREG_SC0_SW4 0x40005a04u +#define CYREG_SC0_SW6 0x40005a06u +#define CYREG_SC0_SW7 0x40005a07u +#define CYREG_SC0_SW8 0x40005a08u +#define CYREG_SC0_SW10 0x40005a0au +#define CYREG_SC0_CLK 0x40005a0bu +#define CYREG_SC0_BST 0x40005a0cu +#define CYDEV_ANAIF_RT_SC1_BASE 0x40005a10u +#define CYDEV_ANAIF_RT_SC1_SIZE 0x0000000du +#define CYREG_SC1_SW0 0x40005a10u +#define CYREG_SC1_SW2 0x40005a12u +#define CYREG_SC1_SW3 0x40005a13u +#define CYREG_SC1_SW4 0x40005a14u +#define CYREG_SC1_SW6 0x40005a16u +#define CYREG_SC1_SW7 0x40005a17u +#define CYREG_SC1_SW8 0x40005a18u +#define CYREG_SC1_SW10 0x40005a1au +#define CYREG_SC1_CLK 0x40005a1bu +#define CYREG_SC1_BST 0x40005a1cu +#define CYDEV_ANAIF_RT_SC2_BASE 0x40005a20u +#define CYDEV_ANAIF_RT_SC2_SIZE 0x0000000du +#define CYREG_SC2_SW0 0x40005a20u +#define CYREG_SC2_SW2 0x40005a22u +#define CYREG_SC2_SW3 0x40005a23u +#define CYREG_SC2_SW4 0x40005a24u +#define CYREG_SC2_SW6 0x40005a26u +#define CYREG_SC2_SW7 0x40005a27u +#define CYREG_SC2_SW8 0x40005a28u +#define CYREG_SC2_SW10 0x40005a2au +#define CYREG_SC2_CLK 0x40005a2bu +#define CYREG_SC2_BST 0x40005a2cu +#define CYDEV_ANAIF_RT_SC3_BASE 0x40005a30u +#define CYDEV_ANAIF_RT_SC3_SIZE 0x0000000du +#define CYREG_SC3_SW0 0x40005a30u +#define CYREG_SC3_SW2 0x40005a32u +#define CYREG_SC3_SW3 0x40005a33u +#define CYREG_SC3_SW4 0x40005a34u +#define CYREG_SC3_SW6 0x40005a36u +#define CYREG_SC3_SW7 0x40005a37u +#define CYREG_SC3_SW8 0x40005a38u +#define CYREG_SC3_SW10 0x40005a3au +#define CYREG_SC3_CLK 0x40005a3bu +#define CYREG_SC3_BST 0x40005a3cu +#define CYDEV_ANAIF_RT_DAC0_BASE 0x40005a80u +#define CYDEV_ANAIF_RT_DAC0_SIZE 0x00000008u +#define CYREG_DAC0_SW0 0x40005a80u +#define CYREG_DAC0_SW2 0x40005a82u +#define CYREG_DAC0_SW3 0x40005a83u +#define CYREG_DAC0_SW4 0x40005a84u +#define CYREG_DAC0_STROBE 0x40005a87u +#define CYDEV_ANAIF_RT_DAC1_BASE 0x40005a88u +#define CYDEV_ANAIF_RT_DAC1_SIZE 0x00000008u +#define CYREG_DAC1_SW0 0x40005a88u +#define CYREG_DAC1_SW2 0x40005a8au +#define CYREG_DAC1_SW3 0x40005a8bu +#define CYREG_DAC1_SW4 0x40005a8cu +#define CYREG_DAC1_STROBE 0x40005a8fu +#define CYDEV_ANAIF_RT_DAC2_BASE 0x40005a90u +#define CYDEV_ANAIF_RT_DAC2_SIZE 0x00000008u +#define CYREG_DAC2_SW0 0x40005a90u +#define CYREG_DAC2_SW2 0x40005a92u +#define CYREG_DAC2_SW3 0x40005a93u +#define CYREG_DAC2_SW4 0x40005a94u +#define CYREG_DAC2_STROBE 0x40005a97u +#define CYDEV_ANAIF_RT_DAC3_BASE 0x40005a98u +#define CYDEV_ANAIF_RT_DAC3_SIZE 0x00000008u +#define CYREG_DAC3_SW0 0x40005a98u +#define CYREG_DAC3_SW2 0x40005a9au +#define CYREG_DAC3_SW3 0x40005a9bu +#define CYREG_DAC3_SW4 0x40005a9cu +#define CYREG_DAC3_STROBE 0x40005a9fu +#define CYDEV_ANAIF_RT_CMP0_BASE 0x40005ac0u +#define CYDEV_ANAIF_RT_CMP0_SIZE 0x00000008u +#define CYREG_CMP0_SW0 0x40005ac0u +#define CYREG_CMP0_SW2 0x40005ac2u +#define CYREG_CMP0_SW3 0x40005ac3u +#define CYREG_CMP0_SW4 0x40005ac4u +#define CYREG_CMP0_SW6 0x40005ac6u +#define CYREG_CMP0_CLK 0x40005ac7u +#define CYDEV_ANAIF_RT_CMP1_BASE 0x40005ac8u +#define CYDEV_ANAIF_RT_CMP1_SIZE 0x00000008u +#define CYREG_CMP1_SW0 0x40005ac8u +#define CYREG_CMP1_SW2 0x40005acau +#define CYREG_CMP1_SW3 0x40005acbu +#define CYREG_CMP1_SW4 0x40005accu +#define CYREG_CMP1_SW6 0x40005aceu +#define CYREG_CMP1_CLK 0x40005acfu +#define CYDEV_ANAIF_RT_CMP2_BASE 0x40005ad0u +#define CYDEV_ANAIF_RT_CMP2_SIZE 0x00000008u +#define CYREG_CMP2_SW0 0x40005ad0u +#define CYREG_CMP2_SW2 0x40005ad2u +#define CYREG_CMP2_SW3 0x40005ad3u +#define CYREG_CMP2_SW4 0x40005ad4u +#define CYREG_CMP2_SW6 0x40005ad6u +#define CYREG_CMP2_CLK 0x40005ad7u +#define CYDEV_ANAIF_RT_CMP3_BASE 0x40005ad8u +#define CYDEV_ANAIF_RT_CMP3_SIZE 0x00000008u +#define CYREG_CMP3_SW0 0x40005ad8u +#define CYREG_CMP3_SW2 0x40005adau +#define CYREG_CMP3_SW3 0x40005adbu +#define CYREG_CMP3_SW4 0x40005adcu +#define CYREG_CMP3_SW6 0x40005adeu +#define CYREG_CMP3_CLK 0x40005adfu +#define CYDEV_ANAIF_RT_DSM0_BASE 0x40005b00u +#define CYDEV_ANAIF_RT_DSM0_SIZE 0x00000008u +#define CYREG_DSM0_SW0 0x40005b00u +#define CYREG_DSM0_SW2 0x40005b02u +#define CYREG_DSM0_SW3 0x40005b03u +#define CYREG_DSM0_SW4 0x40005b04u +#define CYREG_DSM0_SW6 0x40005b06u +#define CYREG_DSM0_CLK 0x40005b07u +#define CYDEV_ANAIF_RT_SAR0_BASE 0x40005b20u +#define CYDEV_ANAIF_RT_SAR0_SIZE 0x00000008u +#define CYREG_SAR0_SW0 0x40005b20u +#define CYREG_SAR0_SW2 0x40005b22u +#define CYREG_SAR0_SW3 0x40005b23u +#define CYREG_SAR0_SW4 0x40005b24u +#define CYREG_SAR0_SW6 0x40005b26u +#define CYREG_SAR0_CLK 0x40005b27u +#define CYDEV_ANAIF_RT_SAR1_BASE 0x40005b28u +#define CYDEV_ANAIF_RT_SAR1_SIZE 0x00000008u +#define CYREG_SAR1_SW0 0x40005b28u +#define CYREG_SAR1_SW2 0x40005b2au +#define CYREG_SAR1_SW3 0x40005b2bu +#define CYREG_SAR1_SW4 0x40005b2cu +#define CYREG_SAR1_SW6 0x40005b2eu +#define CYREG_SAR1_CLK 0x40005b2fu +#define CYDEV_ANAIF_RT_OPAMP0_BASE 0x40005b40u +#define CYDEV_ANAIF_RT_OPAMP0_SIZE 0x00000002u +#define CYREG_OPAMP0_MX 0x40005b40u +#define CYREG_OPAMP0_SW 0x40005b41u +#define CYDEV_ANAIF_RT_OPAMP1_BASE 0x40005b42u +#define CYDEV_ANAIF_RT_OPAMP1_SIZE 0x00000002u +#define CYREG_OPAMP1_MX 0x40005b42u +#define CYREG_OPAMP1_SW 0x40005b43u +#define CYDEV_ANAIF_RT_OPAMP2_BASE 0x40005b44u +#define CYDEV_ANAIF_RT_OPAMP2_SIZE 0x00000002u +#define CYREG_OPAMP2_MX 0x40005b44u +#define CYREG_OPAMP2_SW 0x40005b45u +#define CYDEV_ANAIF_RT_OPAMP3_BASE 0x40005b46u +#define CYDEV_ANAIF_RT_OPAMP3_SIZE 0x00000002u +#define CYREG_OPAMP3_MX 0x40005b46u +#define CYREG_OPAMP3_SW 0x40005b47u +#define CYDEV_ANAIF_RT_LCDDAC_BASE 0x40005b50u +#define CYDEV_ANAIF_RT_LCDDAC_SIZE 0x00000005u +#define CYREG_LCDDAC_SW0 0x40005b50u +#define CYREG_LCDDAC_SW1 0x40005b51u +#define CYREG_LCDDAC_SW2 0x40005b52u +#define CYREG_LCDDAC_SW3 0x40005b53u +#define CYREG_LCDDAC_SW4 0x40005b54u +#define CYDEV_ANAIF_RT_SC_BASE 0x40005b56u +#define CYDEV_ANAIF_RT_SC_SIZE 0x00000001u +#define CYREG_SC_MISC 0x40005b56u +#define CYDEV_ANAIF_RT_BUS_BASE 0x40005b58u +#define CYDEV_ANAIF_RT_BUS_SIZE 0x00000004u +#define CYREG_BUS_SW0 0x40005b58u +#define CYREG_BUS_SW2 0x40005b5au +#define CYREG_BUS_SW3 0x40005b5bu +#define CYDEV_ANAIF_RT_DFT_BASE 0x40005b5cu +#define CYDEV_ANAIF_RT_DFT_SIZE 0x00000006u +#define CYREG_DFT_CR0 0x40005b5cu +#define CYREG_DFT_CR1 0x40005b5du +#define CYREG_DFT_CR2 0x40005b5eu +#define CYREG_DFT_CR3 0x40005b5fu +#define CYREG_DFT_CR4 0x40005b60u +#define CYREG_DFT_CR5 0x40005b61u +#define CYDEV_ANAIF_WRK_BASE 0x40005b80u +#define CYDEV_ANAIF_WRK_SIZE 0x00000029u +#define CYDEV_ANAIF_WRK_DAC0_BASE 0x40005b80u +#define CYDEV_ANAIF_WRK_DAC0_SIZE 0x00000001u +#define CYREG_DAC0_D 0x40005b80u +#define CYDEV_ANAIF_WRK_DAC1_BASE 0x40005b81u +#define CYDEV_ANAIF_WRK_DAC1_SIZE 0x00000001u +#define CYREG_DAC1_D 0x40005b81u +#define CYDEV_ANAIF_WRK_DAC2_BASE 0x40005b82u +#define CYDEV_ANAIF_WRK_DAC2_SIZE 0x00000001u +#define CYREG_DAC2_D 0x40005b82u +#define CYDEV_ANAIF_WRK_DAC3_BASE 0x40005b83u +#define CYDEV_ANAIF_WRK_DAC3_SIZE 0x00000001u +#define CYREG_DAC3_D 0x40005b83u +#define CYDEV_ANAIF_WRK_DSM0_BASE 0x40005b88u +#define CYDEV_ANAIF_WRK_DSM0_SIZE 0x00000002u +#define CYREG_DSM0_OUT0 0x40005b88u +#define CYREG_DSM0_OUT1 0x40005b89u +#define CYDEV_ANAIF_WRK_LUT_BASE 0x40005b90u +#define CYDEV_ANAIF_WRK_LUT_SIZE 0x00000005u +#define CYREG_LUT_SR 0x40005b90u +#define CYREG_LUT_WRK1 0x40005b91u +#define CYREG_LUT_MSK 0x40005b92u +#define CYREG_LUT_CLK 0x40005b93u +#define CYREG_LUT_CPTR 0x40005b94u +#define CYDEV_ANAIF_WRK_CMP_BASE 0x40005b96u +#define CYDEV_ANAIF_WRK_CMP_SIZE 0x00000002u +#define CYREG_CMP_WRK 0x40005b96u +#define CYREG_CMP_TST 0x40005b97u +#define CYDEV_ANAIF_WRK_SC_BASE 0x40005b98u +#define CYDEV_ANAIF_WRK_SC_SIZE 0x00000005u +#define CYREG_SC_SR 0x40005b98u +#define CYREG_SC_WRK1 0x40005b99u +#define CYREG_SC_MSK 0x40005b9au +#define CYREG_SC_CMPINV 0x40005b9bu +#define CYREG_SC_CPTR 0x40005b9cu +#define CYDEV_ANAIF_WRK_SAR0_BASE 0x40005ba0u +#define CYDEV_ANAIF_WRK_SAR0_SIZE 0x00000002u +#define CYREG_SAR0_WRK0 0x40005ba0u +#define CYREG_SAR0_WRK1 0x40005ba1u +#define CYDEV_ANAIF_WRK_SAR1_BASE 0x40005ba2u +#define CYDEV_ANAIF_WRK_SAR1_SIZE 0x00000002u +#define CYREG_SAR1_WRK0 0x40005ba2u +#define CYREG_SAR1_WRK1 0x40005ba3u +#define CYDEV_ANAIF_WRK_SARS_BASE 0x40005ba8u +#define CYDEV_ANAIF_WRK_SARS_SIZE 0x00000001u +#define CYREG_ANAIF_WRK_SARS_SOF 0x40005ba8u +#define CYDEV_USB_BASE 0x40006000u +#define CYDEV_USB_SIZE 0x00000300u +#define CYREG_USB_EP0_DR0 0x40006000u +#define CYREG_USB_EP0_DR1 0x40006001u +#define CYREG_USB_EP0_DR2 0x40006002u +#define CYREG_USB_EP0_DR3 0x40006003u +#define CYREG_USB_EP0_DR4 0x40006004u +#define CYREG_USB_EP0_DR5 0x40006005u +#define CYREG_USB_EP0_DR6 0x40006006u +#define CYREG_USB_EP0_DR7 0x40006007u +#define CYREG_USB_CR0 0x40006008u +#define CYREG_USB_CR1 0x40006009u +#define CYREG_USB_SIE_EP_INT_EN 0x4000600au +#define CYREG_USB_SIE_EP_INT_SR 0x4000600bu +#define CYDEV_USB_SIE_EP1_BASE 0x4000600cu +#define CYDEV_USB_SIE_EP1_SIZE 0x00000003u +#define CYREG_USB_SIE_EP1_CNT0 0x4000600cu +#define CYREG_USB_SIE_EP1_CNT1 0x4000600du +#define CYREG_USB_SIE_EP1_CR0 0x4000600eu +#define CYREG_USB_USBIO_CR0 0x40006010u +#define CYREG_USB_USBIO_CR1 0x40006012u +#define CYREG_USB_DYN_RECONFIG 0x40006014u +#define CYREG_USB_SOF0 0x40006018u +#define CYREG_USB_SOF1 0x40006019u +#define CYDEV_USB_SIE_EP2_BASE 0x4000601cu +#define CYDEV_USB_SIE_EP2_SIZE 0x00000003u +#define CYREG_USB_SIE_EP2_CNT0 0x4000601cu +#define CYREG_USB_SIE_EP2_CNT1 0x4000601du +#define CYREG_USB_SIE_EP2_CR0 0x4000601eu +#define CYREG_USB_EP0_CR 0x40006028u +#define CYREG_USB_EP0_CNT 0x40006029u +#define CYDEV_USB_SIE_EP3_BASE 0x4000602cu +#define CYDEV_USB_SIE_EP3_SIZE 0x00000003u +#define CYREG_USB_SIE_EP3_CNT0 0x4000602cu +#define CYREG_USB_SIE_EP3_CNT1 0x4000602du +#define CYREG_USB_SIE_EP3_CR0 0x4000602eu +#define CYDEV_USB_SIE_EP4_BASE 0x4000603cu +#define CYDEV_USB_SIE_EP4_SIZE 0x00000003u +#define CYREG_USB_SIE_EP4_CNT0 0x4000603cu +#define CYREG_USB_SIE_EP4_CNT1 0x4000603du +#define CYREG_USB_SIE_EP4_CR0 0x4000603eu +#define CYDEV_USB_SIE_EP5_BASE 0x4000604cu +#define CYDEV_USB_SIE_EP5_SIZE 0x00000003u +#define CYREG_USB_SIE_EP5_CNT0 0x4000604cu +#define CYREG_USB_SIE_EP5_CNT1 0x4000604du +#define CYREG_USB_SIE_EP5_CR0 0x4000604eu +#define CYDEV_USB_SIE_EP6_BASE 0x4000605cu +#define CYDEV_USB_SIE_EP6_SIZE 0x00000003u +#define CYREG_USB_SIE_EP6_CNT0 0x4000605cu +#define CYREG_USB_SIE_EP6_CNT1 0x4000605du +#define CYREG_USB_SIE_EP6_CR0 0x4000605eu +#define CYDEV_USB_SIE_EP7_BASE 0x4000606cu +#define CYDEV_USB_SIE_EP7_SIZE 0x00000003u +#define CYREG_USB_SIE_EP7_CNT0 0x4000606cu +#define CYREG_USB_SIE_EP7_CNT1 0x4000606du +#define CYREG_USB_SIE_EP7_CR0 0x4000606eu +#define CYDEV_USB_SIE_EP8_BASE 0x4000607cu +#define CYDEV_USB_SIE_EP8_SIZE 0x00000003u +#define CYREG_USB_SIE_EP8_CNT0 0x4000607cu +#define CYREG_USB_SIE_EP8_CNT1 0x4000607du +#define CYREG_USB_SIE_EP8_CR0 0x4000607eu +#define CYDEV_USB_ARB_EP1_BASE 0x40006080u +#define CYDEV_USB_ARB_EP1_SIZE 0x00000003u +#define CYREG_USB_ARB_EP1_CFG 0x40006080u +#define CYREG_USB_ARB_EP1_INT_EN 0x40006081u +#define CYREG_USB_ARB_EP1_SR 0x40006082u +#define CYDEV_USB_ARB_RW1_BASE 0x40006084u +#define CYDEV_USB_ARB_RW1_SIZE 0x00000005u +#define CYREG_USB_ARB_RW1_WA 0x40006084u +#define CYREG_USB_ARB_RW1_WA_MSB 0x40006085u +#define CYREG_USB_ARB_RW1_RA 0x40006086u +#define CYREG_USB_ARB_RW1_RA_MSB 0x40006087u +#define CYREG_USB_ARB_RW1_DR 0x40006088u +#define CYREG_USB_BUF_SIZE 0x4000608cu +#define CYREG_USB_EP_ACTIVE 0x4000608eu +#define CYREG_USB_EP_TYPE 0x4000608fu +#define CYDEV_USB_ARB_EP2_BASE 0x40006090u +#define CYDEV_USB_ARB_EP2_SIZE 0x00000003u +#define CYREG_USB_ARB_EP2_CFG 0x40006090u +#define CYREG_USB_ARB_EP2_INT_EN 0x40006091u +#define CYREG_USB_ARB_EP2_SR 0x40006092u +#define CYDEV_USB_ARB_RW2_BASE 0x40006094u +#define CYDEV_USB_ARB_RW2_SIZE 0x00000005u +#define CYREG_USB_ARB_RW2_WA 0x40006094u +#define CYREG_USB_ARB_RW2_WA_MSB 0x40006095u +#define CYREG_USB_ARB_RW2_RA 0x40006096u +#define CYREG_USB_ARB_RW2_RA_MSB 0x40006097u +#define CYREG_USB_ARB_RW2_DR 0x40006098u +#define CYREG_USB_ARB_CFG 0x4000609cu +#define CYREG_USB_USB_CLK_EN 0x4000609du +#define CYREG_USB_ARB_INT_EN 0x4000609eu +#define CYREG_USB_ARB_INT_SR 0x4000609fu +#define CYDEV_USB_ARB_EP3_BASE 0x400060a0u +#define CYDEV_USB_ARB_EP3_SIZE 0x00000003u +#define CYREG_USB_ARB_EP3_CFG 0x400060a0u +#define CYREG_USB_ARB_EP3_INT_EN 0x400060a1u +#define CYREG_USB_ARB_EP3_SR 0x400060a2u +#define CYDEV_USB_ARB_RW3_BASE 0x400060a4u +#define CYDEV_USB_ARB_RW3_SIZE 0x00000005u +#define CYREG_USB_ARB_RW3_WA 0x400060a4u +#define CYREG_USB_ARB_RW3_WA_MSB 0x400060a5u +#define CYREG_USB_ARB_RW3_RA 0x400060a6u +#define CYREG_USB_ARB_RW3_RA_MSB 0x400060a7u +#define CYREG_USB_ARB_RW3_DR 0x400060a8u +#define CYREG_USB_CWA 0x400060acu +#define CYREG_USB_CWA_MSB 0x400060adu +#define CYDEV_USB_ARB_EP4_BASE 0x400060b0u +#define CYDEV_USB_ARB_EP4_SIZE 0x00000003u +#define CYREG_USB_ARB_EP4_CFG 0x400060b0u +#define CYREG_USB_ARB_EP4_INT_EN 0x400060b1u +#define CYREG_USB_ARB_EP4_SR 0x400060b2u +#define CYDEV_USB_ARB_RW4_BASE 0x400060b4u +#define CYDEV_USB_ARB_RW4_SIZE 0x00000005u +#define CYREG_USB_ARB_RW4_WA 0x400060b4u +#define CYREG_USB_ARB_RW4_WA_MSB 0x400060b5u +#define CYREG_USB_ARB_RW4_RA 0x400060b6u +#define CYREG_USB_ARB_RW4_RA_MSB 0x400060b7u +#define CYREG_USB_ARB_RW4_DR 0x400060b8u +#define CYREG_USB_DMA_THRES 0x400060bcu +#define CYREG_USB_DMA_THRES_MSB 0x400060bdu +#define CYDEV_USB_ARB_EP5_BASE 0x400060c0u +#define CYDEV_USB_ARB_EP5_SIZE 0x00000003u +#define CYREG_USB_ARB_EP5_CFG 0x400060c0u +#define CYREG_USB_ARB_EP5_INT_EN 0x400060c1u +#define CYREG_USB_ARB_EP5_SR 0x400060c2u +#define CYDEV_USB_ARB_RW5_BASE 0x400060c4u +#define CYDEV_USB_ARB_RW5_SIZE 0x00000005u +#define CYREG_USB_ARB_RW5_WA 0x400060c4u +#define CYREG_USB_ARB_RW5_WA_MSB 0x400060c5u +#define CYREG_USB_ARB_RW5_RA 0x400060c6u +#define CYREG_USB_ARB_RW5_RA_MSB 0x400060c7u +#define CYREG_USB_ARB_RW5_DR 0x400060c8u +#define CYREG_USB_BUS_RST_CNT 0x400060ccu +#define CYDEV_USB_ARB_EP6_BASE 0x400060d0u +#define CYDEV_USB_ARB_EP6_SIZE 0x00000003u +#define CYREG_USB_ARB_EP6_CFG 0x400060d0u +#define CYREG_USB_ARB_EP6_INT_EN 0x400060d1u +#define CYREG_USB_ARB_EP6_SR 0x400060d2u +#define CYDEV_USB_ARB_RW6_BASE 0x400060d4u +#define CYDEV_USB_ARB_RW6_SIZE 0x00000005u +#define CYREG_USB_ARB_RW6_WA 0x400060d4u +#define CYREG_USB_ARB_RW6_WA_MSB 0x400060d5u +#define CYREG_USB_ARB_RW6_RA 0x400060d6u +#define CYREG_USB_ARB_RW6_RA_MSB 0x400060d7u +#define CYREG_USB_ARB_RW6_DR 0x400060d8u +#define CYDEV_USB_ARB_EP7_BASE 0x400060e0u +#define CYDEV_USB_ARB_EP7_SIZE 0x00000003u +#define CYREG_USB_ARB_EP7_CFG 0x400060e0u +#define CYREG_USB_ARB_EP7_INT_EN 0x400060e1u +#define CYREG_USB_ARB_EP7_SR 0x400060e2u +#define CYDEV_USB_ARB_RW7_BASE 0x400060e4u +#define CYDEV_USB_ARB_RW7_SIZE 0x00000005u +#define CYREG_USB_ARB_RW7_WA 0x400060e4u +#define CYREG_USB_ARB_RW7_WA_MSB 0x400060e5u +#define CYREG_USB_ARB_RW7_RA 0x400060e6u +#define CYREG_USB_ARB_RW7_RA_MSB 0x400060e7u +#define CYREG_USB_ARB_RW7_DR 0x400060e8u +#define CYDEV_USB_ARB_EP8_BASE 0x400060f0u +#define CYDEV_USB_ARB_EP8_SIZE 0x00000003u +#define CYREG_USB_ARB_EP8_CFG 0x400060f0u +#define CYREG_USB_ARB_EP8_INT_EN 0x400060f1u +#define CYREG_USB_ARB_EP8_SR 0x400060f2u +#define CYDEV_USB_ARB_RW8_BASE 0x400060f4u +#define CYDEV_USB_ARB_RW8_SIZE 0x00000005u +#define CYREG_USB_ARB_RW8_WA 0x400060f4u +#define CYREG_USB_ARB_RW8_WA_MSB 0x400060f5u +#define CYREG_USB_ARB_RW8_RA 0x400060f6u +#define CYREG_USB_ARB_RW8_RA_MSB 0x400060f7u +#define CYREG_USB_ARB_RW8_DR 0x400060f8u +#define CYDEV_USB_MEM_BASE 0x40006100u +#define CYDEV_USB_MEM_SIZE 0x00000200u +#define CYREG_USB_MEM_DATA_MBASE 0x40006100u +#define CYREG_USB_MEM_DATA_MSIZE 0x00000200u +#define CYDEV_UWRK_BASE 0x40006400u +#define CYDEV_UWRK_SIZE 0x00000b60u +#define CYDEV_UWRK_UWRK8_BASE 0x40006400u +#define CYDEV_UWRK_UWRK8_SIZE 0x000003b0u +#define CYDEV_UWRK_UWRK8_B0_BASE 0x40006400u +#define CYDEV_UWRK_UWRK8_B0_SIZE 0x000000b0u +#define CYREG_B0_UDB00_A0 0x40006400u +#define CYREG_B0_UDB01_A0 0x40006401u +#define CYREG_B0_UDB02_A0 0x40006402u +#define CYREG_B0_UDB03_A0 0x40006403u +#define CYREG_B0_UDB04_A0 0x40006404u +#define CYREG_B0_UDB05_A0 0x40006405u +#define CYREG_B0_UDB06_A0 0x40006406u +#define CYREG_B0_UDB07_A0 0x40006407u +#define CYREG_B0_UDB08_A0 0x40006408u +#define CYREG_B0_UDB09_A0 0x40006409u +#define CYREG_B0_UDB10_A0 0x4000640au +#define CYREG_B0_UDB11_A0 0x4000640bu +#define CYREG_B0_UDB12_A0 0x4000640cu +#define CYREG_B0_UDB13_A0 0x4000640du +#define CYREG_B0_UDB14_A0 0x4000640eu +#define CYREG_B0_UDB15_A0 0x4000640fu +#define CYREG_B0_UDB00_A1 0x40006410u +#define CYREG_B0_UDB01_A1 0x40006411u +#define CYREG_B0_UDB02_A1 0x40006412u +#define CYREG_B0_UDB03_A1 0x40006413u +#define CYREG_B0_UDB04_A1 0x40006414u +#define CYREG_B0_UDB05_A1 0x40006415u +#define CYREG_B0_UDB06_A1 0x40006416u +#define CYREG_B0_UDB07_A1 0x40006417u +#define CYREG_B0_UDB08_A1 0x40006418u +#define CYREG_B0_UDB09_A1 0x40006419u +#define CYREG_B0_UDB10_A1 0x4000641au +#define CYREG_B0_UDB11_A1 0x4000641bu +#define CYREG_B0_UDB12_A1 0x4000641cu +#define CYREG_B0_UDB13_A1 0x4000641du +#define CYREG_B0_UDB14_A1 0x4000641eu +#define CYREG_B0_UDB15_A1 0x4000641fu +#define CYREG_B0_UDB00_D0 0x40006420u +#define CYREG_B0_UDB01_D0 0x40006421u +#define CYREG_B0_UDB02_D0 0x40006422u +#define CYREG_B0_UDB03_D0 0x40006423u +#define CYREG_B0_UDB04_D0 0x40006424u +#define CYREG_B0_UDB05_D0 0x40006425u +#define CYREG_B0_UDB06_D0 0x40006426u +#define CYREG_B0_UDB07_D0 0x40006427u +#define CYREG_B0_UDB08_D0 0x40006428u +#define CYREG_B0_UDB09_D0 0x40006429u +#define CYREG_B0_UDB10_D0 0x4000642au +#define CYREG_B0_UDB11_D0 0x4000642bu +#define CYREG_B0_UDB12_D0 0x4000642cu +#define CYREG_B0_UDB13_D0 0x4000642du +#define CYREG_B0_UDB14_D0 0x4000642eu +#define CYREG_B0_UDB15_D0 0x4000642fu +#define CYREG_B0_UDB00_D1 0x40006430u +#define CYREG_B0_UDB01_D1 0x40006431u +#define CYREG_B0_UDB02_D1 0x40006432u +#define CYREG_B0_UDB03_D1 0x40006433u +#define CYREG_B0_UDB04_D1 0x40006434u +#define CYREG_B0_UDB05_D1 0x40006435u +#define CYREG_B0_UDB06_D1 0x40006436u +#define CYREG_B0_UDB07_D1 0x40006437u +#define CYREG_B0_UDB08_D1 0x40006438u +#define CYREG_B0_UDB09_D1 0x40006439u +#define CYREG_B0_UDB10_D1 0x4000643au +#define CYREG_B0_UDB11_D1 0x4000643bu +#define CYREG_B0_UDB12_D1 0x4000643cu +#define CYREG_B0_UDB13_D1 0x4000643du +#define CYREG_B0_UDB14_D1 0x4000643eu +#define CYREG_B0_UDB15_D1 0x4000643fu +#define CYREG_B0_UDB00_F0 0x40006440u +#define CYREG_B0_UDB01_F0 0x40006441u +#define CYREG_B0_UDB02_F0 0x40006442u +#define CYREG_B0_UDB03_F0 0x40006443u +#define CYREG_B0_UDB04_F0 0x40006444u +#define CYREG_B0_UDB05_F0 0x40006445u +#define CYREG_B0_UDB06_F0 0x40006446u +#define CYREG_B0_UDB07_F0 0x40006447u +#define CYREG_B0_UDB08_F0 0x40006448u +#define CYREG_B0_UDB09_F0 0x40006449u +#define CYREG_B0_UDB10_F0 0x4000644au +#define CYREG_B0_UDB11_F0 0x4000644bu +#define CYREG_B0_UDB12_F0 0x4000644cu +#define CYREG_B0_UDB13_F0 0x4000644du +#define CYREG_B0_UDB14_F0 0x4000644eu +#define CYREG_B0_UDB15_F0 0x4000644fu +#define CYREG_B0_UDB00_F1 0x40006450u +#define CYREG_B0_UDB01_F1 0x40006451u +#define CYREG_B0_UDB02_F1 0x40006452u +#define CYREG_B0_UDB03_F1 0x40006453u +#define CYREG_B0_UDB04_F1 0x40006454u +#define CYREG_B0_UDB05_F1 0x40006455u +#define CYREG_B0_UDB06_F1 0x40006456u +#define CYREG_B0_UDB07_F1 0x40006457u +#define CYREG_B0_UDB08_F1 0x40006458u +#define CYREG_B0_UDB09_F1 0x40006459u +#define CYREG_B0_UDB10_F1 0x4000645au +#define CYREG_B0_UDB11_F1 0x4000645bu +#define CYREG_B0_UDB12_F1 0x4000645cu +#define CYREG_B0_UDB13_F1 0x4000645du +#define CYREG_B0_UDB14_F1 0x4000645eu +#define CYREG_B0_UDB15_F1 0x4000645fu +#define CYREG_B0_UDB00_ST 0x40006460u +#define CYREG_B0_UDB01_ST 0x40006461u +#define CYREG_B0_UDB02_ST 0x40006462u +#define CYREG_B0_UDB03_ST 0x40006463u +#define CYREG_B0_UDB04_ST 0x40006464u +#define CYREG_B0_UDB05_ST 0x40006465u +#define CYREG_B0_UDB06_ST 0x40006466u +#define CYREG_B0_UDB07_ST 0x40006467u +#define CYREG_B0_UDB08_ST 0x40006468u +#define CYREG_B0_UDB09_ST 0x40006469u +#define CYREG_B0_UDB10_ST 0x4000646au +#define CYREG_B0_UDB11_ST 0x4000646bu +#define CYREG_B0_UDB12_ST 0x4000646cu +#define CYREG_B0_UDB13_ST 0x4000646du +#define CYREG_B0_UDB14_ST 0x4000646eu +#define CYREG_B0_UDB15_ST 0x4000646fu +#define CYREG_B0_UDB00_CTL 0x40006470u +#define CYREG_B0_UDB01_CTL 0x40006471u +#define CYREG_B0_UDB02_CTL 0x40006472u +#define CYREG_B0_UDB03_CTL 0x40006473u +#define CYREG_B0_UDB04_CTL 0x40006474u +#define CYREG_B0_UDB05_CTL 0x40006475u +#define CYREG_B0_UDB06_CTL 0x40006476u +#define CYREG_B0_UDB07_CTL 0x40006477u +#define CYREG_B0_UDB08_CTL 0x40006478u +#define CYREG_B0_UDB09_CTL 0x40006479u +#define CYREG_B0_UDB10_CTL 0x4000647au +#define CYREG_B0_UDB11_CTL 0x4000647bu +#define CYREG_B0_UDB12_CTL 0x4000647cu +#define CYREG_B0_UDB13_CTL 0x4000647du +#define CYREG_B0_UDB14_CTL 0x4000647eu +#define CYREG_B0_UDB15_CTL 0x4000647fu +#define CYREG_B0_UDB00_MSK 0x40006480u +#define CYREG_B0_UDB01_MSK 0x40006481u +#define CYREG_B0_UDB02_MSK 0x40006482u +#define CYREG_B0_UDB03_MSK 0x40006483u +#define CYREG_B0_UDB04_MSK 0x40006484u +#define CYREG_B0_UDB05_MSK 0x40006485u +#define CYREG_B0_UDB06_MSK 0x40006486u +#define CYREG_B0_UDB07_MSK 0x40006487u +#define CYREG_B0_UDB08_MSK 0x40006488u +#define CYREG_B0_UDB09_MSK 0x40006489u +#define CYREG_B0_UDB10_MSK 0x4000648au +#define CYREG_B0_UDB11_MSK 0x4000648bu +#define CYREG_B0_UDB12_MSK 0x4000648cu +#define CYREG_B0_UDB13_MSK 0x4000648du +#define CYREG_B0_UDB14_MSK 0x4000648eu +#define CYREG_B0_UDB15_MSK 0x4000648fu +#define CYREG_B0_UDB00_ACTL 0x40006490u +#define CYREG_B0_UDB01_ACTL 0x40006491u +#define CYREG_B0_UDB02_ACTL 0x40006492u +#define CYREG_B0_UDB03_ACTL 0x40006493u +#define CYREG_B0_UDB04_ACTL 0x40006494u +#define CYREG_B0_UDB05_ACTL 0x40006495u +#define CYREG_B0_UDB06_ACTL 0x40006496u +#define CYREG_B0_UDB07_ACTL 0x40006497u +#define CYREG_B0_UDB08_ACTL 0x40006498u +#define CYREG_B0_UDB09_ACTL 0x40006499u +#define CYREG_B0_UDB10_ACTL 0x4000649au +#define CYREG_B0_UDB11_ACTL 0x4000649bu +#define CYREG_B0_UDB12_ACTL 0x4000649cu +#define CYREG_B0_UDB13_ACTL 0x4000649du +#define CYREG_B0_UDB14_ACTL 0x4000649eu +#define CYREG_B0_UDB15_ACTL 0x4000649fu +#define CYREG_B0_UDB00_MC 0x400064a0u +#define CYREG_B0_UDB01_MC 0x400064a1u +#define CYREG_B0_UDB02_MC 0x400064a2u +#define CYREG_B0_UDB03_MC 0x400064a3u +#define CYREG_B0_UDB04_MC 0x400064a4u +#define CYREG_B0_UDB05_MC 0x400064a5u +#define CYREG_B0_UDB06_MC 0x400064a6u +#define CYREG_B0_UDB07_MC 0x400064a7u +#define CYREG_B0_UDB08_MC 0x400064a8u +#define CYREG_B0_UDB09_MC 0x400064a9u +#define CYREG_B0_UDB10_MC 0x400064aau +#define CYREG_B0_UDB11_MC 0x400064abu +#define CYREG_B0_UDB12_MC 0x400064acu +#define CYREG_B0_UDB13_MC 0x400064adu +#define CYREG_B0_UDB14_MC 0x400064aeu +#define CYREG_B0_UDB15_MC 0x400064afu +#define CYDEV_UWRK_UWRK8_B1_BASE 0x40006500u +#define CYDEV_UWRK_UWRK8_B1_SIZE 0x000000b0u +#define CYREG_B1_UDB04_A0 0x40006504u +#define CYREG_B1_UDB05_A0 0x40006505u +#define CYREG_B1_UDB06_A0 0x40006506u +#define CYREG_B1_UDB07_A0 0x40006507u +#define CYREG_B1_UDB08_A0 0x40006508u +#define CYREG_B1_UDB09_A0 0x40006509u +#define CYREG_B1_UDB10_A0 0x4000650au +#define CYREG_B1_UDB11_A0 0x4000650bu +#define CYREG_B1_UDB04_A1 0x40006514u +#define CYREG_B1_UDB05_A1 0x40006515u +#define CYREG_B1_UDB06_A1 0x40006516u +#define CYREG_B1_UDB07_A1 0x40006517u +#define CYREG_B1_UDB08_A1 0x40006518u +#define CYREG_B1_UDB09_A1 0x40006519u +#define CYREG_B1_UDB10_A1 0x4000651au +#define CYREG_B1_UDB11_A1 0x4000651bu +#define CYREG_B1_UDB04_D0 0x40006524u +#define CYREG_B1_UDB05_D0 0x40006525u +#define CYREG_B1_UDB06_D0 0x40006526u +#define CYREG_B1_UDB07_D0 0x40006527u +#define CYREG_B1_UDB08_D0 0x40006528u +#define CYREG_B1_UDB09_D0 0x40006529u +#define CYREG_B1_UDB10_D0 0x4000652au +#define CYREG_B1_UDB11_D0 0x4000652bu +#define CYREG_B1_UDB04_D1 0x40006534u +#define CYREG_B1_UDB05_D1 0x40006535u +#define CYREG_B1_UDB06_D1 0x40006536u +#define CYREG_B1_UDB07_D1 0x40006537u +#define CYREG_B1_UDB08_D1 0x40006538u +#define CYREG_B1_UDB09_D1 0x40006539u +#define CYREG_B1_UDB10_D1 0x4000653au +#define CYREG_B1_UDB11_D1 0x4000653bu +#define CYREG_B1_UDB04_F0 0x40006544u +#define CYREG_B1_UDB05_F0 0x40006545u +#define CYREG_B1_UDB06_F0 0x40006546u +#define CYREG_B1_UDB07_F0 0x40006547u +#define CYREG_B1_UDB08_F0 0x40006548u +#define CYREG_B1_UDB09_F0 0x40006549u +#define CYREG_B1_UDB10_F0 0x4000654au +#define CYREG_B1_UDB11_F0 0x4000654bu +#define CYREG_B1_UDB04_F1 0x40006554u +#define CYREG_B1_UDB05_F1 0x40006555u +#define CYREG_B1_UDB06_F1 0x40006556u +#define CYREG_B1_UDB07_F1 0x40006557u +#define CYREG_B1_UDB08_F1 0x40006558u +#define CYREG_B1_UDB09_F1 0x40006559u +#define CYREG_B1_UDB10_F1 0x4000655au +#define CYREG_B1_UDB11_F1 0x4000655bu +#define CYREG_B1_UDB04_ST 0x40006564u +#define CYREG_B1_UDB05_ST 0x40006565u +#define CYREG_B1_UDB06_ST 0x40006566u +#define CYREG_B1_UDB07_ST 0x40006567u +#define CYREG_B1_UDB08_ST 0x40006568u +#define CYREG_B1_UDB09_ST 0x40006569u +#define CYREG_B1_UDB10_ST 0x4000656au +#define CYREG_B1_UDB11_ST 0x4000656bu +#define CYREG_B1_UDB04_CTL 0x40006574u +#define CYREG_B1_UDB05_CTL 0x40006575u +#define CYREG_B1_UDB06_CTL 0x40006576u +#define CYREG_B1_UDB07_CTL 0x40006577u +#define CYREG_B1_UDB08_CTL 0x40006578u +#define CYREG_B1_UDB09_CTL 0x40006579u +#define CYREG_B1_UDB10_CTL 0x4000657au +#define CYREG_B1_UDB11_CTL 0x4000657bu +#define CYREG_B1_UDB04_MSK 0x40006584u +#define CYREG_B1_UDB05_MSK 0x40006585u +#define CYREG_B1_UDB06_MSK 0x40006586u +#define CYREG_B1_UDB07_MSK 0x40006587u +#define CYREG_B1_UDB08_MSK 0x40006588u +#define CYREG_B1_UDB09_MSK 0x40006589u +#define CYREG_B1_UDB10_MSK 0x4000658au +#define CYREG_B1_UDB11_MSK 0x4000658bu +#define CYREG_B1_UDB04_ACTL 0x40006594u +#define CYREG_B1_UDB05_ACTL 0x40006595u +#define CYREG_B1_UDB06_ACTL 0x40006596u +#define CYREG_B1_UDB07_ACTL 0x40006597u +#define CYREG_B1_UDB08_ACTL 0x40006598u +#define CYREG_B1_UDB09_ACTL 0x40006599u +#define CYREG_B1_UDB10_ACTL 0x4000659au +#define CYREG_B1_UDB11_ACTL 0x4000659bu +#define CYREG_B1_UDB04_MC 0x400065a4u +#define CYREG_B1_UDB05_MC 0x400065a5u +#define CYREG_B1_UDB06_MC 0x400065a6u +#define CYREG_B1_UDB07_MC 0x400065a7u +#define CYREG_B1_UDB08_MC 0x400065a8u +#define CYREG_B1_UDB09_MC 0x400065a9u +#define CYREG_B1_UDB10_MC 0x400065aau +#define CYREG_B1_UDB11_MC 0x400065abu +#define CYDEV_UWRK_UWRK16_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_SIZE 0x00000760u +#define CYDEV_UWRK_UWRK16_CAT_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_SIZE 0x00000760u +#define CYDEV_UWRK_UWRK16_CAT_B0_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_CAT_B0_SIZE 0x00000160u +#define CYREG_B0_UDB00_A0_A1 0x40006800u +#define CYREG_B0_UDB01_A0_A1 0x40006802u +#define CYREG_B0_UDB02_A0_A1 0x40006804u +#define CYREG_B0_UDB03_A0_A1 0x40006806u +#define CYREG_B0_UDB04_A0_A1 0x40006808u +#define CYREG_B0_UDB05_A0_A1 0x4000680au +#define CYREG_B0_UDB06_A0_A1 0x4000680cu +#define CYREG_B0_UDB07_A0_A1 0x4000680eu +#define CYREG_B0_UDB08_A0_A1 0x40006810u +#define CYREG_B0_UDB09_A0_A1 0x40006812u +#define CYREG_B0_UDB10_A0_A1 0x40006814u +#define CYREG_B0_UDB11_A0_A1 0x40006816u +#define CYREG_B0_UDB12_A0_A1 0x40006818u +#define CYREG_B0_UDB13_A0_A1 0x4000681au +#define CYREG_B0_UDB14_A0_A1 0x4000681cu +#define CYREG_B0_UDB15_A0_A1 0x4000681eu +#define CYREG_B0_UDB00_D0_D1 0x40006840u +#define CYREG_B0_UDB01_D0_D1 0x40006842u +#define CYREG_B0_UDB02_D0_D1 0x40006844u +#define CYREG_B0_UDB03_D0_D1 0x40006846u +#define CYREG_B0_UDB04_D0_D1 0x40006848u +#define CYREG_B0_UDB05_D0_D1 0x4000684au +#define CYREG_B0_UDB06_D0_D1 0x4000684cu +#define CYREG_B0_UDB07_D0_D1 0x4000684eu +#define CYREG_B0_UDB08_D0_D1 0x40006850u +#define CYREG_B0_UDB09_D0_D1 0x40006852u +#define CYREG_B0_UDB10_D0_D1 0x40006854u +#define CYREG_B0_UDB11_D0_D1 0x40006856u +#define CYREG_B0_UDB12_D0_D1 0x40006858u +#define CYREG_B0_UDB13_D0_D1 0x4000685au +#define CYREG_B0_UDB14_D0_D1 0x4000685cu +#define CYREG_B0_UDB15_D0_D1 0x4000685eu +#define CYREG_B0_UDB00_F0_F1 0x40006880u +#define CYREG_B0_UDB01_F0_F1 0x40006882u +#define CYREG_B0_UDB02_F0_F1 0x40006884u +#define CYREG_B0_UDB03_F0_F1 0x40006886u +#define CYREG_B0_UDB04_F0_F1 0x40006888u +#define CYREG_B0_UDB05_F0_F1 0x4000688au +#define CYREG_B0_UDB06_F0_F1 0x4000688cu +#define CYREG_B0_UDB07_F0_F1 0x4000688eu +#define CYREG_B0_UDB08_F0_F1 0x40006890u +#define CYREG_B0_UDB09_F0_F1 0x40006892u +#define CYREG_B0_UDB10_F0_F1 0x40006894u +#define CYREG_B0_UDB11_F0_F1 0x40006896u +#define CYREG_B0_UDB12_F0_F1 0x40006898u +#define CYREG_B0_UDB13_F0_F1 0x4000689au +#define CYREG_B0_UDB14_F0_F1 0x4000689cu +#define CYREG_B0_UDB15_F0_F1 0x4000689eu +#define CYREG_B0_UDB00_ST_CTL 0x400068c0u +#define CYREG_B0_UDB01_ST_CTL 0x400068c2u +#define CYREG_B0_UDB02_ST_CTL 0x400068c4u +#define CYREG_B0_UDB03_ST_CTL 0x400068c6u +#define CYREG_B0_UDB04_ST_CTL 0x400068c8u +#define CYREG_B0_UDB05_ST_CTL 0x400068cau +#define CYREG_B0_UDB06_ST_CTL 0x400068ccu +#define CYREG_B0_UDB07_ST_CTL 0x400068ceu +#define CYREG_B0_UDB08_ST_CTL 0x400068d0u +#define CYREG_B0_UDB09_ST_CTL 0x400068d2u +#define CYREG_B0_UDB10_ST_CTL 0x400068d4u +#define CYREG_B0_UDB11_ST_CTL 0x400068d6u +#define CYREG_B0_UDB12_ST_CTL 0x400068d8u +#define CYREG_B0_UDB13_ST_CTL 0x400068dau +#define CYREG_B0_UDB14_ST_CTL 0x400068dcu +#define CYREG_B0_UDB15_ST_CTL 0x400068deu +#define CYREG_B0_UDB00_MSK_ACTL 0x40006900u +#define CYREG_B0_UDB01_MSK_ACTL 0x40006902u +#define CYREG_B0_UDB02_MSK_ACTL 0x40006904u +#define CYREG_B0_UDB03_MSK_ACTL 0x40006906u +#define CYREG_B0_UDB04_MSK_ACTL 0x40006908u +#define CYREG_B0_UDB05_MSK_ACTL 0x4000690au +#define CYREG_B0_UDB06_MSK_ACTL 0x4000690cu +#define CYREG_B0_UDB07_MSK_ACTL 0x4000690eu +#define CYREG_B0_UDB08_MSK_ACTL 0x40006910u +#define CYREG_B0_UDB09_MSK_ACTL 0x40006912u +#define CYREG_B0_UDB10_MSK_ACTL 0x40006914u +#define CYREG_B0_UDB11_MSK_ACTL 0x40006916u +#define CYREG_B0_UDB12_MSK_ACTL 0x40006918u +#define CYREG_B0_UDB13_MSK_ACTL 0x4000691au +#define CYREG_B0_UDB14_MSK_ACTL 0x4000691cu +#define CYREG_B0_UDB15_MSK_ACTL 0x4000691eu +#define CYREG_B0_UDB00_MC_00 0x40006940u +#define CYREG_B0_UDB01_MC_00 0x40006942u +#define CYREG_B0_UDB02_MC_00 0x40006944u +#define CYREG_B0_UDB03_MC_00 0x40006946u +#define CYREG_B0_UDB04_MC_00 0x40006948u +#define CYREG_B0_UDB05_MC_00 0x4000694au +#define CYREG_B0_UDB06_MC_00 0x4000694cu +#define CYREG_B0_UDB07_MC_00 0x4000694eu +#define CYREG_B0_UDB08_MC_00 0x40006950u +#define CYREG_B0_UDB09_MC_00 0x40006952u +#define CYREG_B0_UDB10_MC_00 0x40006954u +#define CYREG_B0_UDB11_MC_00 0x40006956u +#define CYREG_B0_UDB12_MC_00 0x40006958u +#define CYREG_B0_UDB13_MC_00 0x4000695au +#define CYREG_B0_UDB14_MC_00 0x4000695cu +#define CYREG_B0_UDB15_MC_00 0x4000695eu +#define CYDEV_UWRK_UWRK16_CAT_B1_BASE 0x40006a00u +#define CYDEV_UWRK_UWRK16_CAT_B1_SIZE 0x00000160u +#define CYREG_B1_UDB04_A0_A1 0x40006a08u +#define CYREG_B1_UDB05_A0_A1 0x40006a0au +#define CYREG_B1_UDB06_A0_A1 0x40006a0cu +#define CYREG_B1_UDB07_A0_A1 0x40006a0eu +#define CYREG_B1_UDB08_A0_A1 0x40006a10u +#define CYREG_B1_UDB09_A0_A1 0x40006a12u +#define CYREG_B1_UDB10_A0_A1 0x40006a14u +#define CYREG_B1_UDB11_A0_A1 0x40006a16u +#define CYREG_B1_UDB04_D0_D1 0x40006a48u +#define CYREG_B1_UDB05_D0_D1 0x40006a4au +#define CYREG_B1_UDB06_D0_D1 0x40006a4cu +#define CYREG_B1_UDB07_D0_D1 0x40006a4eu +#define CYREG_B1_UDB08_D0_D1 0x40006a50u +#define CYREG_B1_UDB09_D0_D1 0x40006a52u +#define CYREG_B1_UDB10_D0_D1 0x40006a54u +#define CYREG_B1_UDB11_D0_D1 0x40006a56u +#define CYREG_B1_UDB04_F0_F1 0x40006a88u +#define CYREG_B1_UDB05_F0_F1 0x40006a8au +#define CYREG_B1_UDB06_F0_F1 0x40006a8cu +#define CYREG_B1_UDB07_F0_F1 0x40006a8eu +#define CYREG_B1_UDB08_F0_F1 0x40006a90u +#define CYREG_B1_UDB09_F0_F1 0x40006a92u +#define CYREG_B1_UDB10_F0_F1 0x40006a94u +#define CYREG_B1_UDB11_F0_F1 0x40006a96u +#define CYREG_B1_UDB04_ST_CTL 0x40006ac8u +#define CYREG_B1_UDB05_ST_CTL 0x40006acau +#define CYREG_B1_UDB06_ST_CTL 0x40006accu +#define CYREG_B1_UDB07_ST_CTL 0x40006aceu +#define CYREG_B1_UDB08_ST_CTL 0x40006ad0u +#define CYREG_B1_UDB09_ST_CTL 0x40006ad2u +#define CYREG_B1_UDB10_ST_CTL 0x40006ad4u +#define CYREG_B1_UDB11_ST_CTL 0x40006ad6u +#define CYREG_B1_UDB04_MSK_ACTL 0x40006b08u +#define CYREG_B1_UDB05_MSK_ACTL 0x40006b0au +#define CYREG_B1_UDB06_MSK_ACTL 0x40006b0cu +#define CYREG_B1_UDB07_MSK_ACTL 0x40006b0eu +#define CYREG_B1_UDB08_MSK_ACTL 0x40006b10u +#define CYREG_B1_UDB09_MSK_ACTL 0x40006b12u +#define CYREG_B1_UDB10_MSK_ACTL 0x40006b14u +#define CYREG_B1_UDB11_MSK_ACTL 0x40006b16u +#define CYREG_B1_UDB04_MC_00 0x40006b48u +#define CYREG_B1_UDB05_MC_00 0x40006b4au +#define CYREG_B1_UDB06_MC_00 0x40006b4cu +#define CYREG_B1_UDB07_MC_00 0x40006b4eu +#define CYREG_B1_UDB08_MC_00 0x40006b50u +#define CYREG_B1_UDB09_MC_00 0x40006b52u +#define CYREG_B1_UDB10_MC_00 0x40006b54u +#define CYREG_B1_UDB11_MC_00 0x40006b56u +#define CYDEV_UWRK_UWRK16_DEF_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_SIZE 0x0000075eu +#define CYDEV_UWRK_UWRK16_DEF_B0_BASE 0x40006800u +#define CYDEV_UWRK_UWRK16_DEF_B0_SIZE 0x0000015eu +#define CYREG_B0_UDB00_01_A0 0x40006800u +#define CYREG_B0_UDB01_02_A0 0x40006802u +#define CYREG_B0_UDB02_03_A0 0x40006804u +#define CYREG_B0_UDB03_04_A0 0x40006806u +#define CYREG_B0_UDB04_05_A0 0x40006808u +#define CYREG_B0_UDB05_06_A0 0x4000680au +#define CYREG_B0_UDB06_07_A0 0x4000680cu +#define CYREG_B0_UDB07_08_A0 0x4000680eu +#define CYREG_B0_UDB08_09_A0 0x40006810u +#define CYREG_B0_UDB09_10_A0 0x40006812u +#define CYREG_B0_UDB10_11_A0 0x40006814u +#define CYREG_B0_UDB11_12_A0 0x40006816u +#define CYREG_B0_UDB12_13_A0 0x40006818u +#define CYREG_B0_UDB13_14_A0 0x4000681au +#define CYREG_B0_UDB14_15_A0 0x4000681cu +#define CYREG_B0_UDB00_01_A1 0x40006820u +#define CYREG_B0_UDB01_02_A1 0x40006822u +#define CYREG_B0_UDB02_03_A1 0x40006824u +#define CYREG_B0_UDB03_04_A1 0x40006826u +#define CYREG_B0_UDB04_05_A1 0x40006828u +#define CYREG_B0_UDB05_06_A1 0x4000682au +#define CYREG_B0_UDB06_07_A1 0x4000682cu +#define CYREG_B0_UDB07_08_A1 0x4000682eu +#define CYREG_B0_UDB08_09_A1 0x40006830u +#define CYREG_B0_UDB09_10_A1 0x40006832u +#define CYREG_B0_UDB10_11_A1 0x40006834u +#define CYREG_B0_UDB11_12_A1 0x40006836u +#define CYREG_B0_UDB12_13_A1 0x40006838u +#define CYREG_B0_UDB13_14_A1 0x4000683au +#define CYREG_B0_UDB14_15_A1 0x4000683cu +#define CYREG_B0_UDB00_01_D0 0x40006840u +#define CYREG_B0_UDB01_02_D0 0x40006842u +#define CYREG_B0_UDB02_03_D0 0x40006844u +#define CYREG_B0_UDB03_04_D0 0x40006846u +#define CYREG_B0_UDB04_05_D0 0x40006848u +#define CYREG_B0_UDB05_06_D0 0x4000684au +#define CYREG_B0_UDB06_07_D0 0x4000684cu +#define CYREG_B0_UDB07_08_D0 0x4000684eu +#define CYREG_B0_UDB08_09_D0 0x40006850u +#define CYREG_B0_UDB09_10_D0 0x40006852u +#define CYREG_B0_UDB10_11_D0 0x40006854u +#define CYREG_B0_UDB11_12_D0 0x40006856u +#define CYREG_B0_UDB12_13_D0 0x40006858u +#define CYREG_B0_UDB13_14_D0 0x4000685au +#define CYREG_B0_UDB14_15_D0 0x4000685cu +#define CYREG_B0_UDB00_01_D1 0x40006860u +#define CYREG_B0_UDB01_02_D1 0x40006862u +#define CYREG_B0_UDB02_03_D1 0x40006864u +#define CYREG_B0_UDB03_04_D1 0x40006866u +#define CYREG_B0_UDB04_05_D1 0x40006868u +#define CYREG_B0_UDB05_06_D1 0x4000686au +#define CYREG_B0_UDB06_07_D1 0x4000686cu +#define CYREG_B0_UDB07_08_D1 0x4000686eu +#define CYREG_B0_UDB08_09_D1 0x40006870u +#define CYREG_B0_UDB09_10_D1 0x40006872u +#define CYREG_B0_UDB10_11_D1 0x40006874u +#define CYREG_B0_UDB11_12_D1 0x40006876u +#define CYREG_B0_UDB12_13_D1 0x40006878u +#define CYREG_B0_UDB13_14_D1 0x4000687au +#define CYREG_B0_UDB14_15_D1 0x4000687cu +#define CYREG_B0_UDB00_01_F0 0x40006880u +#define CYREG_B0_UDB01_02_F0 0x40006882u +#define CYREG_B0_UDB02_03_F0 0x40006884u +#define CYREG_B0_UDB03_04_F0 0x40006886u +#define CYREG_B0_UDB04_05_F0 0x40006888u +#define CYREG_B0_UDB05_06_F0 0x4000688au +#define CYREG_B0_UDB06_07_F0 0x4000688cu +#define CYREG_B0_UDB07_08_F0 0x4000688eu +#define CYREG_B0_UDB08_09_F0 0x40006890u +#define CYREG_B0_UDB09_10_F0 0x40006892u +#define CYREG_B0_UDB10_11_F0 0x40006894u +#define CYREG_B0_UDB11_12_F0 0x40006896u +#define CYREG_B0_UDB12_13_F0 0x40006898u +#define CYREG_B0_UDB13_14_F0 0x4000689au +#define CYREG_B0_UDB14_15_F0 0x4000689cu +#define CYREG_B0_UDB00_01_F1 0x400068a0u +#define CYREG_B0_UDB01_02_F1 0x400068a2u +#define CYREG_B0_UDB02_03_F1 0x400068a4u +#define CYREG_B0_UDB03_04_F1 0x400068a6u +#define CYREG_B0_UDB04_05_F1 0x400068a8u +#define CYREG_B0_UDB05_06_F1 0x400068aau +#define CYREG_B0_UDB06_07_F1 0x400068acu +#define CYREG_B0_UDB07_08_F1 0x400068aeu +#define CYREG_B0_UDB08_09_F1 0x400068b0u +#define CYREG_B0_UDB09_10_F1 0x400068b2u +#define CYREG_B0_UDB10_11_F1 0x400068b4u +#define CYREG_B0_UDB11_12_F1 0x400068b6u +#define CYREG_B0_UDB12_13_F1 0x400068b8u +#define CYREG_B0_UDB13_14_F1 0x400068bau +#define CYREG_B0_UDB14_15_F1 0x400068bcu +#define CYREG_B0_UDB00_01_ST 0x400068c0u +#define CYREG_B0_UDB01_02_ST 0x400068c2u +#define CYREG_B0_UDB02_03_ST 0x400068c4u +#define CYREG_B0_UDB03_04_ST 0x400068c6u +#define CYREG_B0_UDB04_05_ST 0x400068c8u +#define CYREG_B0_UDB05_06_ST 0x400068cau +#define CYREG_B0_UDB06_07_ST 0x400068ccu +#define CYREG_B0_UDB07_08_ST 0x400068ceu +#define CYREG_B0_UDB08_09_ST 0x400068d0u +#define CYREG_B0_UDB09_10_ST 0x400068d2u +#define CYREG_B0_UDB10_11_ST 0x400068d4u +#define CYREG_B0_UDB11_12_ST 0x400068d6u +#define CYREG_B0_UDB12_13_ST 0x400068d8u +#define CYREG_B0_UDB13_14_ST 0x400068dau +#define CYREG_B0_UDB14_15_ST 0x400068dcu +#define CYREG_B0_UDB00_01_CTL 0x400068e0u +#define CYREG_B0_UDB01_02_CTL 0x400068e2u +#define CYREG_B0_UDB02_03_CTL 0x400068e4u +#define CYREG_B0_UDB03_04_CTL 0x400068e6u +#define CYREG_B0_UDB04_05_CTL 0x400068e8u +#define CYREG_B0_UDB05_06_CTL 0x400068eau +#define CYREG_B0_UDB06_07_CTL 0x400068ecu +#define CYREG_B0_UDB07_08_CTL 0x400068eeu +#define CYREG_B0_UDB08_09_CTL 0x400068f0u +#define CYREG_B0_UDB09_10_CTL 0x400068f2u +#define CYREG_B0_UDB10_11_CTL 0x400068f4u +#define CYREG_B0_UDB11_12_CTL 0x400068f6u +#define CYREG_B0_UDB12_13_CTL 0x400068f8u +#define CYREG_B0_UDB13_14_CTL 0x400068fau +#define CYREG_B0_UDB14_15_CTL 0x400068fcu +#define CYREG_B0_UDB00_01_MSK 0x40006900u +#define CYREG_B0_UDB01_02_MSK 0x40006902u +#define CYREG_B0_UDB02_03_MSK 0x40006904u +#define CYREG_B0_UDB03_04_MSK 0x40006906u +#define CYREG_B0_UDB04_05_MSK 0x40006908u +#define CYREG_B0_UDB05_06_MSK 0x4000690au +#define CYREG_B0_UDB06_07_MSK 0x4000690cu +#define CYREG_B0_UDB07_08_MSK 0x4000690eu +#define CYREG_B0_UDB08_09_MSK 0x40006910u +#define CYREG_B0_UDB09_10_MSK 0x40006912u +#define CYREG_B0_UDB10_11_MSK 0x40006914u +#define CYREG_B0_UDB11_12_MSK 0x40006916u +#define CYREG_B0_UDB12_13_MSK 0x40006918u +#define CYREG_B0_UDB13_14_MSK 0x4000691au +#define CYREG_B0_UDB14_15_MSK 0x4000691cu +#define CYREG_B0_UDB00_01_ACTL 0x40006920u +#define CYREG_B0_UDB01_02_ACTL 0x40006922u +#define CYREG_B0_UDB02_03_ACTL 0x40006924u +#define CYREG_B0_UDB03_04_ACTL 0x40006926u +#define CYREG_B0_UDB04_05_ACTL 0x40006928u +#define CYREG_B0_UDB05_06_ACTL 0x4000692au +#define CYREG_B0_UDB06_07_ACTL 0x4000692cu +#define CYREG_B0_UDB07_08_ACTL 0x4000692eu +#define CYREG_B0_UDB08_09_ACTL 0x40006930u +#define CYREG_B0_UDB09_10_ACTL 0x40006932u +#define CYREG_B0_UDB10_11_ACTL 0x40006934u +#define CYREG_B0_UDB11_12_ACTL 0x40006936u +#define CYREG_B0_UDB12_13_ACTL 0x40006938u +#define CYREG_B0_UDB13_14_ACTL 0x4000693au +#define CYREG_B0_UDB14_15_ACTL 0x4000693cu +#define CYREG_B0_UDB00_01_MC 0x40006940u +#define CYREG_B0_UDB01_02_MC 0x40006942u +#define CYREG_B0_UDB02_03_MC 0x40006944u +#define CYREG_B0_UDB03_04_MC 0x40006946u +#define CYREG_B0_UDB04_05_MC 0x40006948u +#define CYREG_B0_UDB05_06_MC 0x4000694au +#define CYREG_B0_UDB06_07_MC 0x4000694cu +#define CYREG_B0_UDB07_08_MC 0x4000694eu +#define CYREG_B0_UDB08_09_MC 0x40006950u +#define CYREG_B0_UDB09_10_MC 0x40006952u +#define CYREG_B0_UDB10_11_MC 0x40006954u +#define CYREG_B0_UDB11_12_MC 0x40006956u +#define CYREG_B0_UDB12_13_MC 0x40006958u +#define CYREG_B0_UDB13_14_MC 0x4000695au +#define CYREG_B0_UDB14_15_MC 0x4000695cu +#define CYDEV_UWRK_UWRK16_DEF_B1_BASE 0x40006a00u +#define CYDEV_UWRK_UWRK16_DEF_B1_SIZE 0x0000015eu +#define CYREG_B1_UDB04_05_A0 0x40006a08u +#define CYREG_B1_UDB05_06_A0 0x40006a0au +#define CYREG_B1_UDB06_07_A0 0x40006a0cu +#define CYREG_B1_UDB07_08_A0 0x40006a0eu +#define CYREG_B1_UDB08_09_A0 0x40006a10u +#define CYREG_B1_UDB09_10_A0 0x40006a12u +#define CYREG_B1_UDB10_11_A0 0x40006a14u +#define CYREG_B1_UDB11_12_A0 0x40006a16u +#define CYREG_B1_UDB04_05_A1 0x40006a28u +#define CYREG_B1_UDB05_06_A1 0x40006a2au +#define CYREG_B1_UDB06_07_A1 0x40006a2cu +#define CYREG_B1_UDB07_08_A1 0x40006a2eu +#define CYREG_B1_UDB08_09_A1 0x40006a30u +#define CYREG_B1_UDB09_10_A1 0x40006a32u +#define CYREG_B1_UDB10_11_A1 0x40006a34u +#define CYREG_B1_UDB11_12_A1 0x40006a36u +#define CYREG_B1_UDB04_05_D0 0x40006a48u +#define CYREG_B1_UDB05_06_D0 0x40006a4au +#define CYREG_B1_UDB06_07_D0 0x40006a4cu +#define CYREG_B1_UDB07_08_D0 0x40006a4eu +#define CYREG_B1_UDB08_09_D0 0x40006a50u +#define CYREG_B1_UDB09_10_D0 0x40006a52u +#define CYREG_B1_UDB10_11_D0 0x40006a54u +#define CYREG_B1_UDB11_12_D0 0x40006a56u +#define CYREG_B1_UDB04_05_D1 0x40006a68u +#define CYREG_B1_UDB05_06_D1 0x40006a6au +#define CYREG_B1_UDB06_07_D1 0x40006a6cu +#define CYREG_B1_UDB07_08_D1 0x40006a6eu +#define CYREG_B1_UDB08_09_D1 0x40006a70u +#define CYREG_B1_UDB09_10_D1 0x40006a72u +#define CYREG_B1_UDB10_11_D1 0x40006a74u +#define CYREG_B1_UDB11_12_D1 0x40006a76u +#define CYREG_B1_UDB04_05_F0 0x40006a88u +#define CYREG_B1_UDB05_06_F0 0x40006a8au +#define CYREG_B1_UDB06_07_F0 0x40006a8cu +#define CYREG_B1_UDB07_08_F0 0x40006a8eu +#define CYREG_B1_UDB08_09_F0 0x40006a90u +#define CYREG_B1_UDB09_10_F0 0x40006a92u +#define CYREG_B1_UDB10_11_F0 0x40006a94u +#define CYREG_B1_UDB11_12_F0 0x40006a96u +#define CYREG_B1_UDB04_05_F1 0x40006aa8u +#define CYREG_B1_UDB05_06_F1 0x40006aaau +#define CYREG_B1_UDB06_07_F1 0x40006aacu +#define CYREG_B1_UDB07_08_F1 0x40006aaeu +#define CYREG_B1_UDB08_09_F1 0x40006ab0u +#define CYREG_B1_UDB09_10_F1 0x40006ab2u +#define CYREG_B1_UDB10_11_F1 0x40006ab4u +#define CYREG_B1_UDB11_12_F1 0x40006ab6u +#define CYREG_B1_UDB04_05_ST 0x40006ac8u +#define CYREG_B1_UDB05_06_ST 0x40006acau +#define CYREG_B1_UDB06_07_ST 0x40006accu +#define CYREG_B1_UDB07_08_ST 0x40006aceu +#define CYREG_B1_UDB08_09_ST 0x40006ad0u +#define CYREG_B1_UDB09_10_ST 0x40006ad2u +#define CYREG_B1_UDB10_11_ST 0x40006ad4u +#define CYREG_B1_UDB11_12_ST 0x40006ad6u +#define CYREG_B1_UDB04_05_CTL 0x40006ae8u +#define CYREG_B1_UDB05_06_CTL 0x40006aeau +#define CYREG_B1_UDB06_07_CTL 0x40006aecu +#define CYREG_B1_UDB07_08_CTL 0x40006aeeu +#define CYREG_B1_UDB08_09_CTL 0x40006af0u +#define CYREG_B1_UDB09_10_CTL 0x40006af2u +#define CYREG_B1_UDB10_11_CTL 0x40006af4u +#define CYREG_B1_UDB11_12_CTL 0x40006af6u +#define CYREG_B1_UDB04_05_MSK 0x40006b08u +#define CYREG_B1_UDB05_06_MSK 0x40006b0au +#define CYREG_B1_UDB06_07_MSK 0x40006b0cu +#define CYREG_B1_UDB07_08_MSK 0x40006b0eu +#define CYREG_B1_UDB08_09_MSK 0x40006b10u +#define CYREG_B1_UDB09_10_MSK 0x40006b12u +#define CYREG_B1_UDB10_11_MSK 0x40006b14u +#define CYREG_B1_UDB11_12_MSK 0x40006b16u +#define CYREG_B1_UDB04_05_ACTL 0x40006b28u +#define CYREG_B1_UDB05_06_ACTL 0x40006b2au +#define CYREG_B1_UDB06_07_ACTL 0x40006b2cu +#define CYREG_B1_UDB07_08_ACTL 0x40006b2eu +#define CYREG_B1_UDB08_09_ACTL 0x40006b30u +#define CYREG_B1_UDB09_10_ACTL 0x40006b32u +#define CYREG_B1_UDB10_11_ACTL 0x40006b34u +#define CYREG_B1_UDB11_12_ACTL 0x40006b36u +#define CYREG_B1_UDB04_05_MC 0x40006b48u +#define CYREG_B1_UDB05_06_MC 0x40006b4au +#define CYREG_B1_UDB06_07_MC 0x40006b4cu +#define CYREG_B1_UDB07_08_MC 0x40006b4eu +#define CYREG_B1_UDB08_09_MC 0x40006b50u +#define CYREG_B1_UDB09_10_MC 0x40006b52u +#define CYREG_B1_UDB10_11_MC 0x40006b54u +#define CYREG_B1_UDB11_12_MC 0x40006b56u +#define CYDEV_PHUB_BASE 0x40007000u +#define CYDEV_PHUB_SIZE 0x00000c00u +#define CYREG_PHUB_CFG 0x40007000u +#define CYREG_PHUB_ERR 0x40007004u +#define CYREG_PHUB_ERR_ADR 0x40007008u +#define CYDEV_PHUB_CH0_BASE 0x40007010u +#define CYDEV_PHUB_CH0_SIZE 0x0000000cu +#define CYREG_PHUB_CH0_BASIC_CFG 0x40007010u +#define CYREG_PHUB_CH0_ACTION 0x40007014u +#define CYREG_PHUB_CH0_BASIC_STATUS 0x40007018u +#define CYDEV_PHUB_CH1_BASE 0x40007020u +#define CYDEV_PHUB_CH1_SIZE 0x0000000cu +#define CYREG_PHUB_CH1_BASIC_CFG 0x40007020u +#define CYREG_PHUB_CH1_ACTION 0x40007024u +#define CYREG_PHUB_CH1_BASIC_STATUS 0x40007028u +#define CYDEV_PHUB_CH2_BASE 0x40007030u +#define CYDEV_PHUB_CH2_SIZE 0x0000000cu +#define CYREG_PHUB_CH2_BASIC_CFG 0x40007030u +#define CYREG_PHUB_CH2_ACTION 0x40007034u +#define CYREG_PHUB_CH2_BASIC_STATUS 0x40007038u +#define CYDEV_PHUB_CH3_BASE 0x40007040u +#define CYDEV_PHUB_CH3_SIZE 0x0000000cu +#define CYREG_PHUB_CH3_BASIC_CFG 0x40007040u +#define CYREG_PHUB_CH3_ACTION 0x40007044u +#define CYREG_PHUB_CH3_BASIC_STATUS 0x40007048u +#define CYDEV_PHUB_CH4_BASE 0x40007050u +#define CYDEV_PHUB_CH4_SIZE 0x0000000cu +#define CYREG_PHUB_CH4_BASIC_CFG 0x40007050u +#define CYREG_PHUB_CH4_ACTION 0x40007054u +#define CYREG_PHUB_CH4_BASIC_STATUS 0x40007058u +#define CYDEV_PHUB_CH5_BASE 0x40007060u +#define CYDEV_PHUB_CH5_SIZE 0x0000000cu +#define CYREG_PHUB_CH5_BASIC_CFG 0x40007060u +#define CYREG_PHUB_CH5_ACTION 0x40007064u +#define CYREG_PHUB_CH5_BASIC_STATUS 0x40007068u +#define CYDEV_PHUB_CH6_BASE 0x40007070u +#define CYDEV_PHUB_CH6_SIZE 0x0000000cu +#define CYREG_PHUB_CH6_BASIC_CFG 0x40007070u +#define CYREG_PHUB_CH6_ACTION 0x40007074u +#define CYREG_PHUB_CH6_BASIC_STATUS 0x40007078u +#define CYDEV_PHUB_CH7_BASE 0x40007080u +#define CYDEV_PHUB_CH7_SIZE 0x0000000cu +#define CYREG_PHUB_CH7_BASIC_CFG 0x40007080u +#define CYREG_PHUB_CH7_ACTION 0x40007084u +#define CYREG_PHUB_CH7_BASIC_STATUS 0x40007088u +#define CYDEV_PHUB_CH8_BASE 0x40007090u +#define CYDEV_PHUB_CH8_SIZE 0x0000000cu +#define CYREG_PHUB_CH8_BASIC_CFG 0x40007090u +#define CYREG_PHUB_CH8_ACTION 0x40007094u +#define CYREG_PHUB_CH8_BASIC_STATUS 0x40007098u +#define CYDEV_PHUB_CH9_BASE 0x400070a0u +#define CYDEV_PHUB_CH9_SIZE 0x0000000cu +#define CYREG_PHUB_CH9_BASIC_CFG 0x400070a0u +#define CYREG_PHUB_CH9_ACTION 0x400070a4u +#define CYREG_PHUB_CH9_BASIC_STATUS 0x400070a8u +#define CYDEV_PHUB_CH10_BASE 0x400070b0u +#define CYDEV_PHUB_CH10_SIZE 0x0000000cu +#define CYREG_PHUB_CH10_BASIC_CFG 0x400070b0u +#define CYREG_PHUB_CH10_ACTION 0x400070b4u +#define CYREG_PHUB_CH10_BASIC_STATUS 0x400070b8u +#define CYDEV_PHUB_CH11_BASE 0x400070c0u +#define CYDEV_PHUB_CH11_SIZE 0x0000000cu +#define CYREG_PHUB_CH11_BASIC_CFG 0x400070c0u +#define CYREG_PHUB_CH11_ACTION 0x400070c4u +#define CYREG_PHUB_CH11_BASIC_STATUS 0x400070c8u +#define CYDEV_PHUB_CH12_BASE 0x400070d0u +#define CYDEV_PHUB_CH12_SIZE 0x0000000cu +#define CYREG_PHUB_CH12_BASIC_CFG 0x400070d0u +#define CYREG_PHUB_CH12_ACTION 0x400070d4u +#define CYREG_PHUB_CH12_BASIC_STATUS 0x400070d8u +#define CYDEV_PHUB_CH13_BASE 0x400070e0u +#define CYDEV_PHUB_CH13_SIZE 0x0000000cu +#define CYREG_PHUB_CH13_BASIC_CFG 0x400070e0u +#define CYREG_PHUB_CH13_ACTION 0x400070e4u +#define CYREG_PHUB_CH13_BASIC_STATUS 0x400070e8u +#define CYDEV_PHUB_CH14_BASE 0x400070f0u +#define CYDEV_PHUB_CH14_SIZE 0x0000000cu +#define CYREG_PHUB_CH14_BASIC_CFG 0x400070f0u +#define CYREG_PHUB_CH14_ACTION 0x400070f4u +#define CYREG_PHUB_CH14_BASIC_STATUS 0x400070f8u +#define CYDEV_PHUB_CH15_BASE 0x40007100u +#define CYDEV_PHUB_CH15_SIZE 0x0000000cu +#define CYREG_PHUB_CH15_BASIC_CFG 0x40007100u +#define CYREG_PHUB_CH15_ACTION 0x40007104u +#define CYREG_PHUB_CH15_BASIC_STATUS 0x40007108u +#define CYDEV_PHUB_CH16_BASE 0x40007110u +#define CYDEV_PHUB_CH16_SIZE 0x0000000cu +#define CYREG_PHUB_CH16_BASIC_CFG 0x40007110u +#define CYREG_PHUB_CH16_ACTION 0x40007114u +#define CYREG_PHUB_CH16_BASIC_STATUS 0x40007118u +#define CYDEV_PHUB_CH17_BASE 0x40007120u +#define CYDEV_PHUB_CH17_SIZE 0x0000000cu +#define CYREG_PHUB_CH17_BASIC_CFG 0x40007120u +#define CYREG_PHUB_CH17_ACTION 0x40007124u +#define CYREG_PHUB_CH17_BASIC_STATUS 0x40007128u +#define CYDEV_PHUB_CH18_BASE 0x40007130u +#define CYDEV_PHUB_CH18_SIZE 0x0000000cu +#define CYREG_PHUB_CH18_BASIC_CFG 0x40007130u +#define CYREG_PHUB_CH18_ACTION 0x40007134u +#define CYREG_PHUB_CH18_BASIC_STATUS 0x40007138u +#define CYDEV_PHUB_CH19_BASE 0x40007140u +#define CYDEV_PHUB_CH19_SIZE 0x0000000cu +#define CYREG_PHUB_CH19_BASIC_CFG 0x40007140u +#define CYREG_PHUB_CH19_ACTION 0x40007144u +#define CYREG_PHUB_CH19_BASIC_STATUS 0x40007148u +#define CYDEV_PHUB_CH20_BASE 0x40007150u +#define CYDEV_PHUB_CH20_SIZE 0x0000000cu +#define CYREG_PHUB_CH20_BASIC_CFG 0x40007150u +#define CYREG_PHUB_CH20_ACTION 0x40007154u +#define CYREG_PHUB_CH20_BASIC_STATUS 0x40007158u +#define CYDEV_PHUB_CH21_BASE 0x40007160u +#define CYDEV_PHUB_CH21_SIZE 0x0000000cu +#define CYREG_PHUB_CH21_BASIC_CFG 0x40007160u +#define CYREG_PHUB_CH21_ACTION 0x40007164u +#define CYREG_PHUB_CH21_BASIC_STATUS 0x40007168u +#define CYDEV_PHUB_CH22_BASE 0x40007170u +#define CYDEV_PHUB_CH22_SIZE 0x0000000cu +#define CYREG_PHUB_CH22_BASIC_CFG 0x40007170u +#define CYREG_PHUB_CH22_ACTION 0x40007174u +#define CYREG_PHUB_CH22_BASIC_STATUS 0x40007178u +#define CYDEV_PHUB_CH23_BASE 0x40007180u +#define CYDEV_PHUB_CH23_SIZE 0x0000000cu +#define CYREG_PHUB_CH23_BASIC_CFG 0x40007180u +#define CYREG_PHUB_CH23_ACTION 0x40007184u +#define CYREG_PHUB_CH23_BASIC_STATUS 0x40007188u +#define CYDEV_PHUB_CFGMEM0_BASE 0x40007600u +#define CYDEV_PHUB_CFGMEM0_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM0_CFG0 0x40007600u +#define CYREG_PHUB_CFGMEM0_CFG1 0x40007604u +#define CYDEV_PHUB_CFGMEM1_BASE 0x40007608u +#define CYDEV_PHUB_CFGMEM1_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM1_CFG0 0x40007608u +#define CYREG_PHUB_CFGMEM1_CFG1 0x4000760cu +#define CYDEV_PHUB_CFGMEM2_BASE 0x40007610u +#define CYDEV_PHUB_CFGMEM2_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM2_CFG0 0x40007610u +#define CYREG_PHUB_CFGMEM2_CFG1 0x40007614u +#define CYDEV_PHUB_CFGMEM3_BASE 0x40007618u +#define CYDEV_PHUB_CFGMEM3_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM3_CFG0 0x40007618u +#define CYREG_PHUB_CFGMEM3_CFG1 0x4000761cu +#define CYDEV_PHUB_CFGMEM4_BASE 0x40007620u +#define CYDEV_PHUB_CFGMEM4_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM4_CFG0 0x40007620u +#define CYREG_PHUB_CFGMEM4_CFG1 0x40007624u +#define CYDEV_PHUB_CFGMEM5_BASE 0x40007628u +#define CYDEV_PHUB_CFGMEM5_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM5_CFG0 0x40007628u +#define CYREG_PHUB_CFGMEM5_CFG1 0x4000762cu +#define CYDEV_PHUB_CFGMEM6_BASE 0x40007630u +#define CYDEV_PHUB_CFGMEM6_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM6_CFG0 0x40007630u +#define CYREG_PHUB_CFGMEM6_CFG1 0x40007634u +#define CYDEV_PHUB_CFGMEM7_BASE 0x40007638u +#define CYDEV_PHUB_CFGMEM7_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM7_CFG0 0x40007638u +#define CYREG_PHUB_CFGMEM7_CFG1 0x4000763cu +#define CYDEV_PHUB_CFGMEM8_BASE 0x40007640u +#define CYDEV_PHUB_CFGMEM8_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM8_CFG0 0x40007640u +#define CYREG_PHUB_CFGMEM8_CFG1 0x40007644u +#define CYDEV_PHUB_CFGMEM9_BASE 0x40007648u +#define CYDEV_PHUB_CFGMEM9_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM9_CFG0 0x40007648u +#define CYREG_PHUB_CFGMEM9_CFG1 0x4000764cu +#define CYDEV_PHUB_CFGMEM10_BASE 0x40007650u +#define CYDEV_PHUB_CFGMEM10_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM10_CFG0 0x40007650u +#define CYREG_PHUB_CFGMEM10_CFG1 0x40007654u +#define CYDEV_PHUB_CFGMEM11_BASE 0x40007658u +#define CYDEV_PHUB_CFGMEM11_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM11_CFG0 0x40007658u +#define CYREG_PHUB_CFGMEM11_CFG1 0x4000765cu +#define CYDEV_PHUB_CFGMEM12_BASE 0x40007660u +#define CYDEV_PHUB_CFGMEM12_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM12_CFG0 0x40007660u +#define CYREG_PHUB_CFGMEM12_CFG1 0x40007664u +#define CYDEV_PHUB_CFGMEM13_BASE 0x40007668u +#define CYDEV_PHUB_CFGMEM13_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM13_CFG0 0x40007668u +#define CYREG_PHUB_CFGMEM13_CFG1 0x4000766cu +#define CYDEV_PHUB_CFGMEM14_BASE 0x40007670u +#define CYDEV_PHUB_CFGMEM14_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM14_CFG0 0x40007670u +#define CYREG_PHUB_CFGMEM14_CFG1 0x40007674u +#define CYDEV_PHUB_CFGMEM15_BASE 0x40007678u +#define CYDEV_PHUB_CFGMEM15_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM15_CFG0 0x40007678u +#define CYREG_PHUB_CFGMEM15_CFG1 0x4000767cu +#define CYDEV_PHUB_CFGMEM16_BASE 0x40007680u +#define CYDEV_PHUB_CFGMEM16_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM16_CFG0 0x40007680u +#define CYREG_PHUB_CFGMEM16_CFG1 0x40007684u +#define CYDEV_PHUB_CFGMEM17_BASE 0x40007688u +#define CYDEV_PHUB_CFGMEM17_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM17_CFG0 0x40007688u +#define CYREG_PHUB_CFGMEM17_CFG1 0x4000768cu +#define CYDEV_PHUB_CFGMEM18_BASE 0x40007690u +#define CYDEV_PHUB_CFGMEM18_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM18_CFG0 0x40007690u +#define CYREG_PHUB_CFGMEM18_CFG1 0x40007694u +#define CYDEV_PHUB_CFGMEM19_BASE 0x40007698u +#define CYDEV_PHUB_CFGMEM19_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM19_CFG0 0x40007698u +#define CYREG_PHUB_CFGMEM19_CFG1 0x4000769cu +#define CYDEV_PHUB_CFGMEM20_BASE 0x400076a0u +#define CYDEV_PHUB_CFGMEM20_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM20_CFG0 0x400076a0u +#define CYREG_PHUB_CFGMEM20_CFG1 0x400076a4u +#define CYDEV_PHUB_CFGMEM21_BASE 0x400076a8u +#define CYDEV_PHUB_CFGMEM21_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM21_CFG0 0x400076a8u +#define CYREG_PHUB_CFGMEM21_CFG1 0x400076acu +#define CYDEV_PHUB_CFGMEM22_BASE 0x400076b0u +#define CYDEV_PHUB_CFGMEM22_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM22_CFG0 0x400076b0u +#define CYREG_PHUB_CFGMEM22_CFG1 0x400076b4u +#define CYDEV_PHUB_CFGMEM23_BASE 0x400076b8u +#define CYDEV_PHUB_CFGMEM23_SIZE 0x00000008u +#define CYREG_PHUB_CFGMEM23_CFG0 0x400076b8u +#define CYREG_PHUB_CFGMEM23_CFG1 0x400076bcu +#define CYDEV_PHUB_TDMEM0_BASE 0x40007800u +#define CYDEV_PHUB_TDMEM0_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM0_ORIG_TD0 0x40007800u +#define CYREG_PHUB_TDMEM0_ORIG_TD1 0x40007804u +#define CYDEV_PHUB_TDMEM1_BASE 0x40007808u +#define CYDEV_PHUB_TDMEM1_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM1_ORIG_TD0 0x40007808u +#define CYREG_PHUB_TDMEM1_ORIG_TD1 0x4000780cu +#define CYDEV_PHUB_TDMEM2_BASE 0x40007810u +#define CYDEV_PHUB_TDMEM2_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM2_ORIG_TD0 0x40007810u +#define CYREG_PHUB_TDMEM2_ORIG_TD1 0x40007814u +#define CYDEV_PHUB_TDMEM3_BASE 0x40007818u +#define CYDEV_PHUB_TDMEM3_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM3_ORIG_TD0 0x40007818u +#define CYREG_PHUB_TDMEM3_ORIG_TD1 0x4000781cu +#define CYDEV_PHUB_TDMEM4_BASE 0x40007820u +#define CYDEV_PHUB_TDMEM4_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM4_ORIG_TD0 0x40007820u +#define CYREG_PHUB_TDMEM4_ORIG_TD1 0x40007824u +#define CYDEV_PHUB_TDMEM5_BASE 0x40007828u +#define CYDEV_PHUB_TDMEM5_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM5_ORIG_TD0 0x40007828u +#define CYREG_PHUB_TDMEM5_ORIG_TD1 0x4000782cu +#define CYDEV_PHUB_TDMEM6_BASE 0x40007830u +#define CYDEV_PHUB_TDMEM6_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM6_ORIG_TD0 0x40007830u +#define CYREG_PHUB_TDMEM6_ORIG_TD1 0x40007834u +#define CYDEV_PHUB_TDMEM7_BASE 0x40007838u +#define CYDEV_PHUB_TDMEM7_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM7_ORIG_TD0 0x40007838u +#define CYREG_PHUB_TDMEM7_ORIG_TD1 0x4000783cu +#define CYDEV_PHUB_TDMEM8_BASE 0x40007840u +#define CYDEV_PHUB_TDMEM8_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM8_ORIG_TD0 0x40007840u +#define CYREG_PHUB_TDMEM8_ORIG_TD1 0x40007844u +#define CYDEV_PHUB_TDMEM9_BASE 0x40007848u +#define CYDEV_PHUB_TDMEM9_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM9_ORIG_TD0 0x40007848u +#define CYREG_PHUB_TDMEM9_ORIG_TD1 0x4000784cu +#define CYDEV_PHUB_TDMEM10_BASE 0x40007850u +#define CYDEV_PHUB_TDMEM10_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM10_ORIG_TD0 0x40007850u +#define CYREG_PHUB_TDMEM10_ORIG_TD1 0x40007854u +#define CYDEV_PHUB_TDMEM11_BASE 0x40007858u +#define CYDEV_PHUB_TDMEM11_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM11_ORIG_TD0 0x40007858u +#define CYREG_PHUB_TDMEM11_ORIG_TD1 0x4000785cu +#define CYDEV_PHUB_TDMEM12_BASE 0x40007860u +#define CYDEV_PHUB_TDMEM12_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM12_ORIG_TD0 0x40007860u +#define CYREG_PHUB_TDMEM12_ORIG_TD1 0x40007864u +#define CYDEV_PHUB_TDMEM13_BASE 0x40007868u +#define CYDEV_PHUB_TDMEM13_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM13_ORIG_TD0 0x40007868u +#define CYREG_PHUB_TDMEM13_ORIG_TD1 0x4000786cu +#define CYDEV_PHUB_TDMEM14_BASE 0x40007870u +#define CYDEV_PHUB_TDMEM14_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM14_ORIG_TD0 0x40007870u +#define CYREG_PHUB_TDMEM14_ORIG_TD1 0x40007874u +#define CYDEV_PHUB_TDMEM15_BASE 0x40007878u +#define CYDEV_PHUB_TDMEM15_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM15_ORIG_TD0 0x40007878u +#define CYREG_PHUB_TDMEM15_ORIG_TD1 0x4000787cu +#define CYDEV_PHUB_TDMEM16_BASE 0x40007880u +#define CYDEV_PHUB_TDMEM16_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM16_ORIG_TD0 0x40007880u +#define CYREG_PHUB_TDMEM16_ORIG_TD1 0x40007884u +#define CYDEV_PHUB_TDMEM17_BASE 0x40007888u +#define CYDEV_PHUB_TDMEM17_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM17_ORIG_TD0 0x40007888u +#define CYREG_PHUB_TDMEM17_ORIG_TD1 0x4000788cu +#define CYDEV_PHUB_TDMEM18_BASE 0x40007890u +#define CYDEV_PHUB_TDMEM18_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM18_ORIG_TD0 0x40007890u +#define CYREG_PHUB_TDMEM18_ORIG_TD1 0x40007894u +#define CYDEV_PHUB_TDMEM19_BASE 0x40007898u +#define CYDEV_PHUB_TDMEM19_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM19_ORIG_TD0 0x40007898u +#define CYREG_PHUB_TDMEM19_ORIG_TD1 0x4000789cu +#define CYDEV_PHUB_TDMEM20_BASE 0x400078a0u +#define CYDEV_PHUB_TDMEM20_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM20_ORIG_TD0 0x400078a0u +#define CYREG_PHUB_TDMEM20_ORIG_TD1 0x400078a4u +#define CYDEV_PHUB_TDMEM21_BASE 0x400078a8u +#define CYDEV_PHUB_TDMEM21_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM21_ORIG_TD0 0x400078a8u +#define CYREG_PHUB_TDMEM21_ORIG_TD1 0x400078acu +#define CYDEV_PHUB_TDMEM22_BASE 0x400078b0u +#define CYDEV_PHUB_TDMEM22_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM22_ORIG_TD0 0x400078b0u +#define CYREG_PHUB_TDMEM22_ORIG_TD1 0x400078b4u +#define CYDEV_PHUB_TDMEM23_BASE 0x400078b8u +#define CYDEV_PHUB_TDMEM23_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM23_ORIG_TD0 0x400078b8u +#define CYREG_PHUB_TDMEM23_ORIG_TD1 0x400078bcu +#define CYDEV_PHUB_TDMEM24_BASE 0x400078c0u +#define CYDEV_PHUB_TDMEM24_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM24_ORIG_TD0 0x400078c0u +#define CYREG_PHUB_TDMEM24_ORIG_TD1 0x400078c4u +#define CYDEV_PHUB_TDMEM25_BASE 0x400078c8u +#define CYDEV_PHUB_TDMEM25_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM25_ORIG_TD0 0x400078c8u +#define CYREG_PHUB_TDMEM25_ORIG_TD1 0x400078ccu +#define CYDEV_PHUB_TDMEM26_BASE 0x400078d0u +#define CYDEV_PHUB_TDMEM26_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM26_ORIG_TD0 0x400078d0u +#define CYREG_PHUB_TDMEM26_ORIG_TD1 0x400078d4u +#define CYDEV_PHUB_TDMEM27_BASE 0x400078d8u +#define CYDEV_PHUB_TDMEM27_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM27_ORIG_TD0 0x400078d8u +#define CYREG_PHUB_TDMEM27_ORIG_TD1 0x400078dcu +#define CYDEV_PHUB_TDMEM28_BASE 0x400078e0u +#define CYDEV_PHUB_TDMEM28_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM28_ORIG_TD0 0x400078e0u +#define CYREG_PHUB_TDMEM28_ORIG_TD1 0x400078e4u +#define CYDEV_PHUB_TDMEM29_BASE 0x400078e8u +#define CYDEV_PHUB_TDMEM29_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM29_ORIG_TD0 0x400078e8u +#define CYREG_PHUB_TDMEM29_ORIG_TD1 0x400078ecu +#define CYDEV_PHUB_TDMEM30_BASE 0x400078f0u +#define CYDEV_PHUB_TDMEM30_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM30_ORIG_TD0 0x400078f0u +#define CYREG_PHUB_TDMEM30_ORIG_TD1 0x400078f4u +#define CYDEV_PHUB_TDMEM31_BASE 0x400078f8u +#define CYDEV_PHUB_TDMEM31_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM31_ORIG_TD0 0x400078f8u +#define CYREG_PHUB_TDMEM31_ORIG_TD1 0x400078fcu +#define CYDEV_PHUB_TDMEM32_BASE 0x40007900u +#define CYDEV_PHUB_TDMEM32_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM32_ORIG_TD0 0x40007900u +#define CYREG_PHUB_TDMEM32_ORIG_TD1 0x40007904u +#define CYDEV_PHUB_TDMEM33_BASE 0x40007908u +#define CYDEV_PHUB_TDMEM33_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM33_ORIG_TD0 0x40007908u +#define CYREG_PHUB_TDMEM33_ORIG_TD1 0x4000790cu +#define CYDEV_PHUB_TDMEM34_BASE 0x40007910u +#define CYDEV_PHUB_TDMEM34_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM34_ORIG_TD0 0x40007910u +#define CYREG_PHUB_TDMEM34_ORIG_TD1 0x40007914u +#define CYDEV_PHUB_TDMEM35_BASE 0x40007918u +#define CYDEV_PHUB_TDMEM35_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM35_ORIG_TD0 0x40007918u +#define CYREG_PHUB_TDMEM35_ORIG_TD1 0x4000791cu +#define CYDEV_PHUB_TDMEM36_BASE 0x40007920u +#define CYDEV_PHUB_TDMEM36_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM36_ORIG_TD0 0x40007920u +#define CYREG_PHUB_TDMEM36_ORIG_TD1 0x40007924u +#define CYDEV_PHUB_TDMEM37_BASE 0x40007928u +#define CYDEV_PHUB_TDMEM37_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM37_ORIG_TD0 0x40007928u +#define CYREG_PHUB_TDMEM37_ORIG_TD1 0x4000792cu +#define CYDEV_PHUB_TDMEM38_BASE 0x40007930u +#define CYDEV_PHUB_TDMEM38_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM38_ORIG_TD0 0x40007930u +#define CYREG_PHUB_TDMEM38_ORIG_TD1 0x40007934u +#define CYDEV_PHUB_TDMEM39_BASE 0x40007938u +#define CYDEV_PHUB_TDMEM39_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM39_ORIG_TD0 0x40007938u +#define CYREG_PHUB_TDMEM39_ORIG_TD1 0x4000793cu +#define CYDEV_PHUB_TDMEM40_BASE 0x40007940u +#define CYDEV_PHUB_TDMEM40_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM40_ORIG_TD0 0x40007940u +#define CYREG_PHUB_TDMEM40_ORIG_TD1 0x40007944u +#define CYDEV_PHUB_TDMEM41_BASE 0x40007948u +#define CYDEV_PHUB_TDMEM41_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM41_ORIG_TD0 0x40007948u +#define CYREG_PHUB_TDMEM41_ORIG_TD1 0x4000794cu +#define CYDEV_PHUB_TDMEM42_BASE 0x40007950u +#define CYDEV_PHUB_TDMEM42_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM42_ORIG_TD0 0x40007950u +#define CYREG_PHUB_TDMEM42_ORIG_TD1 0x40007954u +#define CYDEV_PHUB_TDMEM43_BASE 0x40007958u +#define CYDEV_PHUB_TDMEM43_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM43_ORIG_TD0 0x40007958u +#define CYREG_PHUB_TDMEM43_ORIG_TD1 0x4000795cu +#define CYDEV_PHUB_TDMEM44_BASE 0x40007960u +#define CYDEV_PHUB_TDMEM44_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM44_ORIG_TD0 0x40007960u +#define CYREG_PHUB_TDMEM44_ORIG_TD1 0x40007964u +#define CYDEV_PHUB_TDMEM45_BASE 0x40007968u +#define CYDEV_PHUB_TDMEM45_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM45_ORIG_TD0 0x40007968u +#define CYREG_PHUB_TDMEM45_ORIG_TD1 0x4000796cu +#define CYDEV_PHUB_TDMEM46_BASE 0x40007970u +#define CYDEV_PHUB_TDMEM46_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM46_ORIG_TD0 0x40007970u +#define CYREG_PHUB_TDMEM46_ORIG_TD1 0x40007974u +#define CYDEV_PHUB_TDMEM47_BASE 0x40007978u +#define CYDEV_PHUB_TDMEM47_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM47_ORIG_TD0 0x40007978u +#define CYREG_PHUB_TDMEM47_ORIG_TD1 0x4000797cu +#define CYDEV_PHUB_TDMEM48_BASE 0x40007980u +#define CYDEV_PHUB_TDMEM48_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM48_ORIG_TD0 0x40007980u +#define CYREG_PHUB_TDMEM48_ORIG_TD1 0x40007984u +#define CYDEV_PHUB_TDMEM49_BASE 0x40007988u +#define CYDEV_PHUB_TDMEM49_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM49_ORIG_TD0 0x40007988u +#define CYREG_PHUB_TDMEM49_ORIG_TD1 0x4000798cu +#define CYDEV_PHUB_TDMEM50_BASE 0x40007990u +#define CYDEV_PHUB_TDMEM50_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM50_ORIG_TD0 0x40007990u +#define CYREG_PHUB_TDMEM50_ORIG_TD1 0x40007994u +#define CYDEV_PHUB_TDMEM51_BASE 0x40007998u +#define CYDEV_PHUB_TDMEM51_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM51_ORIG_TD0 0x40007998u +#define CYREG_PHUB_TDMEM51_ORIG_TD1 0x4000799cu +#define CYDEV_PHUB_TDMEM52_BASE 0x400079a0u +#define CYDEV_PHUB_TDMEM52_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM52_ORIG_TD0 0x400079a0u +#define CYREG_PHUB_TDMEM52_ORIG_TD1 0x400079a4u +#define CYDEV_PHUB_TDMEM53_BASE 0x400079a8u +#define CYDEV_PHUB_TDMEM53_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM53_ORIG_TD0 0x400079a8u +#define CYREG_PHUB_TDMEM53_ORIG_TD1 0x400079acu +#define CYDEV_PHUB_TDMEM54_BASE 0x400079b0u +#define CYDEV_PHUB_TDMEM54_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM54_ORIG_TD0 0x400079b0u +#define CYREG_PHUB_TDMEM54_ORIG_TD1 0x400079b4u +#define CYDEV_PHUB_TDMEM55_BASE 0x400079b8u +#define CYDEV_PHUB_TDMEM55_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM55_ORIG_TD0 0x400079b8u +#define CYREG_PHUB_TDMEM55_ORIG_TD1 0x400079bcu +#define CYDEV_PHUB_TDMEM56_BASE 0x400079c0u +#define CYDEV_PHUB_TDMEM56_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM56_ORIG_TD0 0x400079c0u +#define CYREG_PHUB_TDMEM56_ORIG_TD1 0x400079c4u +#define CYDEV_PHUB_TDMEM57_BASE 0x400079c8u +#define CYDEV_PHUB_TDMEM57_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM57_ORIG_TD0 0x400079c8u +#define CYREG_PHUB_TDMEM57_ORIG_TD1 0x400079ccu +#define CYDEV_PHUB_TDMEM58_BASE 0x400079d0u +#define CYDEV_PHUB_TDMEM58_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM58_ORIG_TD0 0x400079d0u +#define CYREG_PHUB_TDMEM58_ORIG_TD1 0x400079d4u +#define CYDEV_PHUB_TDMEM59_BASE 0x400079d8u +#define CYDEV_PHUB_TDMEM59_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM59_ORIG_TD0 0x400079d8u +#define CYREG_PHUB_TDMEM59_ORIG_TD1 0x400079dcu +#define CYDEV_PHUB_TDMEM60_BASE 0x400079e0u +#define CYDEV_PHUB_TDMEM60_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM60_ORIG_TD0 0x400079e0u +#define CYREG_PHUB_TDMEM60_ORIG_TD1 0x400079e4u +#define CYDEV_PHUB_TDMEM61_BASE 0x400079e8u +#define CYDEV_PHUB_TDMEM61_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM61_ORIG_TD0 0x400079e8u +#define CYREG_PHUB_TDMEM61_ORIG_TD1 0x400079ecu +#define CYDEV_PHUB_TDMEM62_BASE 0x400079f0u +#define CYDEV_PHUB_TDMEM62_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM62_ORIG_TD0 0x400079f0u +#define CYREG_PHUB_TDMEM62_ORIG_TD1 0x400079f4u +#define CYDEV_PHUB_TDMEM63_BASE 0x400079f8u +#define CYDEV_PHUB_TDMEM63_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM63_ORIG_TD0 0x400079f8u +#define CYREG_PHUB_TDMEM63_ORIG_TD1 0x400079fcu +#define CYDEV_PHUB_TDMEM64_BASE 0x40007a00u +#define CYDEV_PHUB_TDMEM64_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM64_ORIG_TD0 0x40007a00u +#define CYREG_PHUB_TDMEM64_ORIG_TD1 0x40007a04u +#define CYDEV_PHUB_TDMEM65_BASE 0x40007a08u +#define CYDEV_PHUB_TDMEM65_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM65_ORIG_TD0 0x40007a08u +#define CYREG_PHUB_TDMEM65_ORIG_TD1 0x40007a0cu +#define CYDEV_PHUB_TDMEM66_BASE 0x40007a10u +#define CYDEV_PHUB_TDMEM66_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM66_ORIG_TD0 0x40007a10u +#define CYREG_PHUB_TDMEM66_ORIG_TD1 0x40007a14u +#define CYDEV_PHUB_TDMEM67_BASE 0x40007a18u +#define CYDEV_PHUB_TDMEM67_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM67_ORIG_TD0 0x40007a18u +#define CYREG_PHUB_TDMEM67_ORIG_TD1 0x40007a1cu +#define CYDEV_PHUB_TDMEM68_BASE 0x40007a20u +#define CYDEV_PHUB_TDMEM68_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM68_ORIG_TD0 0x40007a20u +#define CYREG_PHUB_TDMEM68_ORIG_TD1 0x40007a24u +#define CYDEV_PHUB_TDMEM69_BASE 0x40007a28u +#define CYDEV_PHUB_TDMEM69_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM69_ORIG_TD0 0x40007a28u +#define CYREG_PHUB_TDMEM69_ORIG_TD1 0x40007a2cu +#define CYDEV_PHUB_TDMEM70_BASE 0x40007a30u +#define CYDEV_PHUB_TDMEM70_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM70_ORIG_TD0 0x40007a30u +#define CYREG_PHUB_TDMEM70_ORIG_TD1 0x40007a34u +#define CYDEV_PHUB_TDMEM71_BASE 0x40007a38u +#define CYDEV_PHUB_TDMEM71_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM71_ORIG_TD0 0x40007a38u +#define CYREG_PHUB_TDMEM71_ORIG_TD1 0x40007a3cu +#define CYDEV_PHUB_TDMEM72_BASE 0x40007a40u +#define CYDEV_PHUB_TDMEM72_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM72_ORIG_TD0 0x40007a40u +#define CYREG_PHUB_TDMEM72_ORIG_TD1 0x40007a44u +#define CYDEV_PHUB_TDMEM73_BASE 0x40007a48u +#define CYDEV_PHUB_TDMEM73_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM73_ORIG_TD0 0x40007a48u +#define CYREG_PHUB_TDMEM73_ORIG_TD1 0x40007a4cu +#define CYDEV_PHUB_TDMEM74_BASE 0x40007a50u +#define CYDEV_PHUB_TDMEM74_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM74_ORIG_TD0 0x40007a50u +#define CYREG_PHUB_TDMEM74_ORIG_TD1 0x40007a54u +#define CYDEV_PHUB_TDMEM75_BASE 0x40007a58u +#define CYDEV_PHUB_TDMEM75_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM75_ORIG_TD0 0x40007a58u +#define CYREG_PHUB_TDMEM75_ORIG_TD1 0x40007a5cu +#define CYDEV_PHUB_TDMEM76_BASE 0x40007a60u +#define CYDEV_PHUB_TDMEM76_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM76_ORIG_TD0 0x40007a60u +#define CYREG_PHUB_TDMEM76_ORIG_TD1 0x40007a64u +#define CYDEV_PHUB_TDMEM77_BASE 0x40007a68u +#define CYDEV_PHUB_TDMEM77_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM77_ORIG_TD0 0x40007a68u +#define CYREG_PHUB_TDMEM77_ORIG_TD1 0x40007a6cu +#define CYDEV_PHUB_TDMEM78_BASE 0x40007a70u +#define CYDEV_PHUB_TDMEM78_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM78_ORIG_TD0 0x40007a70u +#define CYREG_PHUB_TDMEM78_ORIG_TD1 0x40007a74u +#define CYDEV_PHUB_TDMEM79_BASE 0x40007a78u +#define CYDEV_PHUB_TDMEM79_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM79_ORIG_TD0 0x40007a78u +#define CYREG_PHUB_TDMEM79_ORIG_TD1 0x40007a7cu +#define CYDEV_PHUB_TDMEM80_BASE 0x40007a80u +#define CYDEV_PHUB_TDMEM80_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM80_ORIG_TD0 0x40007a80u +#define CYREG_PHUB_TDMEM80_ORIG_TD1 0x40007a84u +#define CYDEV_PHUB_TDMEM81_BASE 0x40007a88u +#define CYDEV_PHUB_TDMEM81_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM81_ORIG_TD0 0x40007a88u +#define CYREG_PHUB_TDMEM81_ORIG_TD1 0x40007a8cu +#define CYDEV_PHUB_TDMEM82_BASE 0x40007a90u +#define CYDEV_PHUB_TDMEM82_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM82_ORIG_TD0 0x40007a90u +#define CYREG_PHUB_TDMEM82_ORIG_TD1 0x40007a94u +#define CYDEV_PHUB_TDMEM83_BASE 0x40007a98u +#define CYDEV_PHUB_TDMEM83_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM83_ORIG_TD0 0x40007a98u +#define CYREG_PHUB_TDMEM83_ORIG_TD1 0x40007a9cu +#define CYDEV_PHUB_TDMEM84_BASE 0x40007aa0u +#define CYDEV_PHUB_TDMEM84_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM84_ORIG_TD0 0x40007aa0u +#define CYREG_PHUB_TDMEM84_ORIG_TD1 0x40007aa4u +#define CYDEV_PHUB_TDMEM85_BASE 0x40007aa8u +#define CYDEV_PHUB_TDMEM85_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM85_ORIG_TD0 0x40007aa8u +#define CYREG_PHUB_TDMEM85_ORIG_TD1 0x40007aacu +#define CYDEV_PHUB_TDMEM86_BASE 0x40007ab0u +#define CYDEV_PHUB_TDMEM86_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM86_ORIG_TD0 0x40007ab0u +#define CYREG_PHUB_TDMEM86_ORIG_TD1 0x40007ab4u +#define CYDEV_PHUB_TDMEM87_BASE 0x40007ab8u +#define CYDEV_PHUB_TDMEM87_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM87_ORIG_TD0 0x40007ab8u +#define CYREG_PHUB_TDMEM87_ORIG_TD1 0x40007abcu +#define CYDEV_PHUB_TDMEM88_BASE 0x40007ac0u +#define CYDEV_PHUB_TDMEM88_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM88_ORIG_TD0 0x40007ac0u +#define CYREG_PHUB_TDMEM88_ORIG_TD1 0x40007ac4u +#define CYDEV_PHUB_TDMEM89_BASE 0x40007ac8u +#define CYDEV_PHUB_TDMEM89_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM89_ORIG_TD0 0x40007ac8u +#define CYREG_PHUB_TDMEM89_ORIG_TD1 0x40007accu +#define CYDEV_PHUB_TDMEM90_BASE 0x40007ad0u +#define CYDEV_PHUB_TDMEM90_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM90_ORIG_TD0 0x40007ad0u +#define CYREG_PHUB_TDMEM90_ORIG_TD1 0x40007ad4u +#define CYDEV_PHUB_TDMEM91_BASE 0x40007ad8u +#define CYDEV_PHUB_TDMEM91_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM91_ORIG_TD0 0x40007ad8u +#define CYREG_PHUB_TDMEM91_ORIG_TD1 0x40007adcu +#define CYDEV_PHUB_TDMEM92_BASE 0x40007ae0u +#define CYDEV_PHUB_TDMEM92_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM92_ORIG_TD0 0x40007ae0u +#define CYREG_PHUB_TDMEM92_ORIG_TD1 0x40007ae4u +#define CYDEV_PHUB_TDMEM93_BASE 0x40007ae8u +#define CYDEV_PHUB_TDMEM93_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM93_ORIG_TD0 0x40007ae8u +#define CYREG_PHUB_TDMEM93_ORIG_TD1 0x40007aecu +#define CYDEV_PHUB_TDMEM94_BASE 0x40007af0u +#define CYDEV_PHUB_TDMEM94_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM94_ORIG_TD0 0x40007af0u +#define CYREG_PHUB_TDMEM94_ORIG_TD1 0x40007af4u +#define CYDEV_PHUB_TDMEM95_BASE 0x40007af8u +#define CYDEV_PHUB_TDMEM95_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM95_ORIG_TD0 0x40007af8u +#define CYREG_PHUB_TDMEM95_ORIG_TD1 0x40007afcu +#define CYDEV_PHUB_TDMEM96_BASE 0x40007b00u +#define CYDEV_PHUB_TDMEM96_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM96_ORIG_TD0 0x40007b00u +#define CYREG_PHUB_TDMEM96_ORIG_TD1 0x40007b04u +#define CYDEV_PHUB_TDMEM97_BASE 0x40007b08u +#define CYDEV_PHUB_TDMEM97_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM97_ORIG_TD0 0x40007b08u +#define CYREG_PHUB_TDMEM97_ORIG_TD1 0x40007b0cu +#define CYDEV_PHUB_TDMEM98_BASE 0x40007b10u +#define CYDEV_PHUB_TDMEM98_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM98_ORIG_TD0 0x40007b10u +#define CYREG_PHUB_TDMEM98_ORIG_TD1 0x40007b14u +#define CYDEV_PHUB_TDMEM99_BASE 0x40007b18u +#define CYDEV_PHUB_TDMEM99_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM99_ORIG_TD0 0x40007b18u +#define CYREG_PHUB_TDMEM99_ORIG_TD1 0x40007b1cu +#define CYDEV_PHUB_TDMEM100_BASE 0x40007b20u +#define CYDEV_PHUB_TDMEM100_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM100_ORIG_TD0 0x40007b20u +#define CYREG_PHUB_TDMEM100_ORIG_TD1 0x40007b24u +#define CYDEV_PHUB_TDMEM101_BASE 0x40007b28u +#define CYDEV_PHUB_TDMEM101_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM101_ORIG_TD0 0x40007b28u +#define CYREG_PHUB_TDMEM101_ORIG_TD1 0x40007b2cu +#define CYDEV_PHUB_TDMEM102_BASE 0x40007b30u +#define CYDEV_PHUB_TDMEM102_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM102_ORIG_TD0 0x40007b30u +#define CYREG_PHUB_TDMEM102_ORIG_TD1 0x40007b34u +#define CYDEV_PHUB_TDMEM103_BASE 0x40007b38u +#define CYDEV_PHUB_TDMEM103_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM103_ORIG_TD0 0x40007b38u +#define CYREG_PHUB_TDMEM103_ORIG_TD1 0x40007b3cu +#define CYDEV_PHUB_TDMEM104_BASE 0x40007b40u +#define CYDEV_PHUB_TDMEM104_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM104_ORIG_TD0 0x40007b40u +#define CYREG_PHUB_TDMEM104_ORIG_TD1 0x40007b44u +#define CYDEV_PHUB_TDMEM105_BASE 0x40007b48u +#define CYDEV_PHUB_TDMEM105_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM105_ORIG_TD0 0x40007b48u +#define CYREG_PHUB_TDMEM105_ORIG_TD1 0x40007b4cu +#define CYDEV_PHUB_TDMEM106_BASE 0x40007b50u +#define CYDEV_PHUB_TDMEM106_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM106_ORIG_TD0 0x40007b50u +#define CYREG_PHUB_TDMEM106_ORIG_TD1 0x40007b54u +#define CYDEV_PHUB_TDMEM107_BASE 0x40007b58u +#define CYDEV_PHUB_TDMEM107_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM107_ORIG_TD0 0x40007b58u +#define CYREG_PHUB_TDMEM107_ORIG_TD1 0x40007b5cu +#define CYDEV_PHUB_TDMEM108_BASE 0x40007b60u +#define CYDEV_PHUB_TDMEM108_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM108_ORIG_TD0 0x40007b60u +#define CYREG_PHUB_TDMEM108_ORIG_TD1 0x40007b64u +#define CYDEV_PHUB_TDMEM109_BASE 0x40007b68u +#define CYDEV_PHUB_TDMEM109_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM109_ORIG_TD0 0x40007b68u +#define CYREG_PHUB_TDMEM109_ORIG_TD1 0x40007b6cu +#define CYDEV_PHUB_TDMEM110_BASE 0x40007b70u +#define CYDEV_PHUB_TDMEM110_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM110_ORIG_TD0 0x40007b70u +#define CYREG_PHUB_TDMEM110_ORIG_TD1 0x40007b74u +#define CYDEV_PHUB_TDMEM111_BASE 0x40007b78u +#define CYDEV_PHUB_TDMEM111_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM111_ORIG_TD0 0x40007b78u +#define CYREG_PHUB_TDMEM111_ORIG_TD1 0x40007b7cu +#define CYDEV_PHUB_TDMEM112_BASE 0x40007b80u +#define CYDEV_PHUB_TDMEM112_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM112_ORIG_TD0 0x40007b80u +#define CYREG_PHUB_TDMEM112_ORIG_TD1 0x40007b84u +#define CYDEV_PHUB_TDMEM113_BASE 0x40007b88u +#define CYDEV_PHUB_TDMEM113_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM113_ORIG_TD0 0x40007b88u +#define CYREG_PHUB_TDMEM113_ORIG_TD1 0x40007b8cu +#define CYDEV_PHUB_TDMEM114_BASE 0x40007b90u +#define CYDEV_PHUB_TDMEM114_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM114_ORIG_TD0 0x40007b90u +#define CYREG_PHUB_TDMEM114_ORIG_TD1 0x40007b94u +#define CYDEV_PHUB_TDMEM115_BASE 0x40007b98u +#define CYDEV_PHUB_TDMEM115_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM115_ORIG_TD0 0x40007b98u +#define CYREG_PHUB_TDMEM115_ORIG_TD1 0x40007b9cu +#define CYDEV_PHUB_TDMEM116_BASE 0x40007ba0u +#define CYDEV_PHUB_TDMEM116_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM116_ORIG_TD0 0x40007ba0u +#define CYREG_PHUB_TDMEM116_ORIG_TD1 0x40007ba4u +#define CYDEV_PHUB_TDMEM117_BASE 0x40007ba8u +#define CYDEV_PHUB_TDMEM117_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM117_ORIG_TD0 0x40007ba8u +#define CYREG_PHUB_TDMEM117_ORIG_TD1 0x40007bacu +#define CYDEV_PHUB_TDMEM118_BASE 0x40007bb0u +#define CYDEV_PHUB_TDMEM118_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM118_ORIG_TD0 0x40007bb0u +#define CYREG_PHUB_TDMEM118_ORIG_TD1 0x40007bb4u +#define CYDEV_PHUB_TDMEM119_BASE 0x40007bb8u +#define CYDEV_PHUB_TDMEM119_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM119_ORIG_TD0 0x40007bb8u +#define CYREG_PHUB_TDMEM119_ORIG_TD1 0x40007bbcu +#define CYDEV_PHUB_TDMEM120_BASE 0x40007bc0u +#define CYDEV_PHUB_TDMEM120_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM120_ORIG_TD0 0x40007bc0u +#define CYREG_PHUB_TDMEM120_ORIG_TD1 0x40007bc4u +#define CYDEV_PHUB_TDMEM121_BASE 0x40007bc8u +#define CYDEV_PHUB_TDMEM121_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM121_ORIG_TD0 0x40007bc8u +#define CYREG_PHUB_TDMEM121_ORIG_TD1 0x40007bccu +#define CYDEV_PHUB_TDMEM122_BASE 0x40007bd0u +#define CYDEV_PHUB_TDMEM122_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM122_ORIG_TD0 0x40007bd0u +#define CYREG_PHUB_TDMEM122_ORIG_TD1 0x40007bd4u +#define CYDEV_PHUB_TDMEM123_BASE 0x40007bd8u +#define CYDEV_PHUB_TDMEM123_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM123_ORIG_TD0 0x40007bd8u +#define CYREG_PHUB_TDMEM123_ORIG_TD1 0x40007bdcu +#define CYDEV_PHUB_TDMEM124_BASE 0x40007be0u +#define CYDEV_PHUB_TDMEM124_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM124_ORIG_TD0 0x40007be0u +#define CYREG_PHUB_TDMEM124_ORIG_TD1 0x40007be4u +#define CYDEV_PHUB_TDMEM125_BASE 0x40007be8u +#define CYDEV_PHUB_TDMEM125_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM125_ORIG_TD0 0x40007be8u +#define CYREG_PHUB_TDMEM125_ORIG_TD1 0x40007becu +#define CYDEV_PHUB_TDMEM126_BASE 0x40007bf0u +#define CYDEV_PHUB_TDMEM126_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM126_ORIG_TD0 0x40007bf0u +#define CYREG_PHUB_TDMEM126_ORIG_TD1 0x40007bf4u +#define CYDEV_PHUB_TDMEM127_BASE 0x40007bf8u +#define CYDEV_PHUB_TDMEM127_SIZE 0x00000008u +#define CYREG_PHUB_TDMEM127_ORIG_TD0 0x40007bf8u +#define CYREG_PHUB_TDMEM127_ORIG_TD1 0x40007bfcu +#define CYDEV_EE_BASE 0x40008000u +#define CYDEV_EE_SIZE 0x00000800u +#define CYREG_EE_DATA_MBASE 0x40008000u +#define CYREG_EE_DATA_MSIZE 0x00000800u +#define CYDEV_CAN0_BASE 0x4000a000u +#define CYDEV_CAN0_SIZE 0x000002a0u +#define CYDEV_CAN0_CSR_BASE 0x4000a000u +#define CYDEV_CAN0_CSR_SIZE 0x00000018u +#define CYREG_CAN0_CSR_INT_SR 0x4000a000u +#define CYREG_CAN0_CSR_INT_EN 0x4000a004u +#define CYREG_CAN0_CSR_BUF_SR 0x4000a008u +#define CYREG_CAN0_CSR_ERR_SR 0x4000a00cu +#define CYREG_CAN0_CSR_CMD 0x4000a010u +#define CYREG_CAN0_CSR_CFG 0x4000a014u +#define CYDEV_CAN0_TX0_BASE 0x4000a020u +#define CYDEV_CAN0_TX0_SIZE 0x00000010u +#define CYREG_CAN0_TX0_CMD 0x4000a020u +#define CYREG_CAN0_TX0_ID 0x4000a024u +#define CYREG_CAN0_TX0_DH 0x4000a028u +#define CYREG_CAN0_TX0_DL 0x4000a02cu +#define CYDEV_CAN0_TX1_BASE 0x4000a030u +#define CYDEV_CAN0_TX1_SIZE 0x00000010u +#define CYREG_CAN0_TX1_CMD 0x4000a030u +#define CYREG_CAN0_TX1_ID 0x4000a034u +#define CYREG_CAN0_TX1_DH 0x4000a038u +#define CYREG_CAN0_TX1_DL 0x4000a03cu +#define CYDEV_CAN0_TX2_BASE 0x4000a040u +#define CYDEV_CAN0_TX2_SIZE 0x00000010u +#define CYREG_CAN0_TX2_CMD 0x4000a040u +#define CYREG_CAN0_TX2_ID 0x4000a044u +#define CYREG_CAN0_TX2_DH 0x4000a048u +#define CYREG_CAN0_TX2_DL 0x4000a04cu +#define CYDEV_CAN0_TX3_BASE 0x4000a050u +#define CYDEV_CAN0_TX3_SIZE 0x00000010u +#define CYREG_CAN0_TX3_CMD 0x4000a050u +#define CYREG_CAN0_TX3_ID 0x4000a054u +#define CYREG_CAN0_TX3_DH 0x4000a058u +#define CYREG_CAN0_TX3_DL 0x4000a05cu +#define CYDEV_CAN0_TX4_BASE 0x4000a060u +#define CYDEV_CAN0_TX4_SIZE 0x00000010u +#define CYREG_CAN0_TX4_CMD 0x4000a060u +#define CYREG_CAN0_TX4_ID 0x4000a064u +#define CYREG_CAN0_TX4_DH 0x4000a068u +#define CYREG_CAN0_TX4_DL 0x4000a06cu +#define CYDEV_CAN0_TX5_BASE 0x4000a070u +#define CYDEV_CAN0_TX5_SIZE 0x00000010u +#define CYREG_CAN0_TX5_CMD 0x4000a070u +#define CYREG_CAN0_TX5_ID 0x4000a074u +#define CYREG_CAN0_TX5_DH 0x4000a078u +#define CYREG_CAN0_TX5_DL 0x4000a07cu +#define CYDEV_CAN0_TX6_BASE 0x4000a080u +#define CYDEV_CAN0_TX6_SIZE 0x00000010u +#define CYREG_CAN0_TX6_CMD 0x4000a080u +#define CYREG_CAN0_TX6_ID 0x4000a084u +#define CYREG_CAN0_TX6_DH 0x4000a088u +#define CYREG_CAN0_TX6_DL 0x4000a08cu +#define CYDEV_CAN0_TX7_BASE 0x4000a090u +#define CYDEV_CAN0_TX7_SIZE 0x00000010u +#define CYREG_CAN0_TX7_CMD 0x4000a090u +#define CYREG_CAN0_TX7_ID 0x4000a094u +#define CYREG_CAN0_TX7_DH 0x4000a098u +#define CYREG_CAN0_TX7_DL 0x4000a09cu +#define CYDEV_CAN0_RX0_BASE 0x4000a0a0u +#define CYDEV_CAN0_RX0_SIZE 0x00000020u +#define CYREG_CAN0_RX0_CMD 0x4000a0a0u +#define CYREG_CAN0_RX0_ID 0x4000a0a4u +#define CYREG_CAN0_RX0_DH 0x4000a0a8u +#define CYREG_CAN0_RX0_DL 0x4000a0acu +#define CYREG_CAN0_RX0_AMR 0x4000a0b0u +#define CYREG_CAN0_RX0_ACR 0x4000a0b4u +#define CYREG_CAN0_RX0_AMRD 0x4000a0b8u +#define CYREG_CAN0_RX0_ACRD 0x4000a0bcu +#define CYDEV_CAN0_RX1_BASE 0x4000a0c0u +#define CYDEV_CAN0_RX1_SIZE 0x00000020u +#define CYREG_CAN0_RX1_CMD 0x4000a0c0u +#define CYREG_CAN0_RX1_ID 0x4000a0c4u +#define CYREG_CAN0_RX1_DH 0x4000a0c8u +#define CYREG_CAN0_RX1_DL 0x4000a0ccu +#define CYREG_CAN0_RX1_AMR 0x4000a0d0u +#define CYREG_CAN0_RX1_ACR 0x4000a0d4u +#define CYREG_CAN0_RX1_AMRD 0x4000a0d8u +#define CYREG_CAN0_RX1_ACRD 0x4000a0dcu +#define CYDEV_CAN0_RX2_BASE 0x4000a0e0u +#define CYDEV_CAN0_RX2_SIZE 0x00000020u +#define CYREG_CAN0_RX2_CMD 0x4000a0e0u +#define CYREG_CAN0_RX2_ID 0x4000a0e4u +#define CYREG_CAN0_RX2_DH 0x4000a0e8u +#define CYREG_CAN0_RX2_DL 0x4000a0ecu +#define CYREG_CAN0_RX2_AMR 0x4000a0f0u +#define CYREG_CAN0_RX2_ACR 0x4000a0f4u +#define CYREG_CAN0_RX2_AMRD 0x4000a0f8u +#define CYREG_CAN0_RX2_ACRD 0x4000a0fcu +#define CYDEV_CAN0_RX3_BASE 0x4000a100u +#define CYDEV_CAN0_RX3_SIZE 0x00000020u +#define CYREG_CAN0_RX3_CMD 0x4000a100u +#define CYREG_CAN0_RX3_ID 0x4000a104u +#define CYREG_CAN0_RX3_DH 0x4000a108u +#define CYREG_CAN0_RX3_DL 0x4000a10cu +#define CYREG_CAN0_RX3_AMR 0x4000a110u +#define CYREG_CAN0_RX3_ACR 0x4000a114u +#define CYREG_CAN0_RX3_AMRD 0x4000a118u +#define CYREG_CAN0_RX3_ACRD 0x4000a11cu +#define CYDEV_CAN0_RX4_BASE 0x4000a120u +#define CYDEV_CAN0_RX4_SIZE 0x00000020u +#define CYREG_CAN0_RX4_CMD 0x4000a120u +#define CYREG_CAN0_RX4_ID 0x4000a124u +#define CYREG_CAN0_RX4_DH 0x4000a128u +#define CYREG_CAN0_RX4_DL 0x4000a12cu +#define CYREG_CAN0_RX4_AMR 0x4000a130u +#define CYREG_CAN0_RX4_ACR 0x4000a134u +#define CYREG_CAN0_RX4_AMRD 0x4000a138u +#define CYREG_CAN0_RX4_ACRD 0x4000a13cu +#define CYDEV_CAN0_RX5_BASE 0x4000a140u +#define CYDEV_CAN0_RX5_SIZE 0x00000020u +#define CYREG_CAN0_RX5_CMD 0x4000a140u +#define CYREG_CAN0_RX5_ID 0x4000a144u +#define CYREG_CAN0_RX5_DH 0x4000a148u +#define CYREG_CAN0_RX5_DL 0x4000a14cu +#define CYREG_CAN0_RX5_AMR 0x4000a150u +#define CYREG_CAN0_RX5_ACR 0x4000a154u +#define CYREG_CAN0_RX5_AMRD 0x4000a158u +#define CYREG_CAN0_RX5_ACRD 0x4000a15cu +#define CYDEV_CAN0_RX6_BASE 0x4000a160u +#define CYDEV_CAN0_RX6_SIZE 0x00000020u +#define CYREG_CAN0_RX6_CMD 0x4000a160u +#define CYREG_CAN0_RX6_ID 0x4000a164u +#define CYREG_CAN0_RX6_DH 0x4000a168u +#define CYREG_CAN0_RX6_DL 0x4000a16cu +#define CYREG_CAN0_RX6_AMR 0x4000a170u +#define CYREG_CAN0_RX6_ACR 0x4000a174u +#define CYREG_CAN0_RX6_AMRD 0x4000a178u +#define CYREG_CAN0_RX6_ACRD 0x4000a17cu +#define CYDEV_CAN0_RX7_BASE 0x4000a180u +#define CYDEV_CAN0_RX7_SIZE 0x00000020u +#define CYREG_CAN0_RX7_CMD 0x4000a180u +#define CYREG_CAN0_RX7_ID 0x4000a184u +#define CYREG_CAN0_RX7_DH 0x4000a188u +#define CYREG_CAN0_RX7_DL 0x4000a18cu +#define CYREG_CAN0_RX7_AMR 0x4000a190u +#define CYREG_CAN0_RX7_ACR 0x4000a194u +#define CYREG_CAN0_RX7_AMRD 0x4000a198u +#define CYREG_CAN0_RX7_ACRD 0x4000a19cu +#define CYDEV_CAN0_RX8_BASE 0x4000a1a0u +#define CYDEV_CAN0_RX8_SIZE 0x00000020u +#define CYREG_CAN0_RX8_CMD 0x4000a1a0u +#define CYREG_CAN0_RX8_ID 0x4000a1a4u +#define CYREG_CAN0_RX8_DH 0x4000a1a8u +#define CYREG_CAN0_RX8_DL 0x4000a1acu +#define CYREG_CAN0_RX8_AMR 0x4000a1b0u +#define CYREG_CAN0_RX8_ACR 0x4000a1b4u +#define CYREG_CAN0_RX8_AMRD 0x4000a1b8u +#define CYREG_CAN0_RX8_ACRD 0x4000a1bcu +#define CYDEV_CAN0_RX9_BASE 0x4000a1c0u +#define CYDEV_CAN0_RX9_SIZE 0x00000020u +#define CYREG_CAN0_RX9_CMD 0x4000a1c0u +#define CYREG_CAN0_RX9_ID 0x4000a1c4u +#define CYREG_CAN0_RX9_DH 0x4000a1c8u +#define CYREG_CAN0_RX9_DL 0x4000a1ccu +#define CYREG_CAN0_RX9_AMR 0x4000a1d0u +#define CYREG_CAN0_RX9_ACR 0x4000a1d4u +#define CYREG_CAN0_RX9_AMRD 0x4000a1d8u +#define CYREG_CAN0_RX9_ACRD 0x4000a1dcu +#define CYDEV_CAN0_RX10_BASE 0x4000a1e0u +#define CYDEV_CAN0_RX10_SIZE 0x00000020u +#define CYREG_CAN0_RX10_CMD 0x4000a1e0u +#define CYREG_CAN0_RX10_ID 0x4000a1e4u +#define CYREG_CAN0_RX10_DH 0x4000a1e8u +#define CYREG_CAN0_RX10_DL 0x4000a1ecu +#define CYREG_CAN0_RX10_AMR 0x4000a1f0u +#define CYREG_CAN0_RX10_ACR 0x4000a1f4u +#define CYREG_CAN0_RX10_AMRD 0x4000a1f8u +#define CYREG_CAN0_RX10_ACRD 0x4000a1fcu +#define CYDEV_CAN0_RX11_BASE 0x4000a200u +#define CYDEV_CAN0_RX11_SIZE 0x00000020u +#define CYREG_CAN0_RX11_CMD 0x4000a200u +#define CYREG_CAN0_RX11_ID 0x4000a204u +#define CYREG_CAN0_RX11_DH 0x4000a208u +#define CYREG_CAN0_RX11_DL 0x4000a20cu +#define CYREG_CAN0_RX11_AMR 0x4000a210u +#define CYREG_CAN0_RX11_ACR 0x4000a214u +#define CYREG_CAN0_RX11_AMRD 0x4000a218u +#define CYREG_CAN0_RX11_ACRD 0x4000a21cu +#define CYDEV_CAN0_RX12_BASE 0x4000a220u +#define CYDEV_CAN0_RX12_SIZE 0x00000020u +#define CYREG_CAN0_RX12_CMD 0x4000a220u +#define CYREG_CAN0_RX12_ID 0x4000a224u +#define CYREG_CAN0_RX12_DH 0x4000a228u +#define CYREG_CAN0_RX12_DL 0x4000a22cu +#define CYREG_CAN0_RX12_AMR 0x4000a230u +#define CYREG_CAN0_RX12_ACR 0x4000a234u +#define CYREG_CAN0_RX12_AMRD 0x4000a238u +#define CYREG_CAN0_RX12_ACRD 0x4000a23cu +#define CYDEV_CAN0_RX13_BASE 0x4000a240u +#define CYDEV_CAN0_RX13_SIZE 0x00000020u +#define CYREG_CAN0_RX13_CMD 0x4000a240u +#define CYREG_CAN0_RX13_ID 0x4000a244u +#define CYREG_CAN0_RX13_DH 0x4000a248u +#define CYREG_CAN0_RX13_DL 0x4000a24cu +#define CYREG_CAN0_RX13_AMR 0x4000a250u +#define CYREG_CAN0_RX13_ACR 0x4000a254u +#define CYREG_CAN0_RX13_AMRD 0x4000a258u +#define CYREG_CAN0_RX13_ACRD 0x4000a25cu +#define CYDEV_CAN0_RX14_BASE 0x4000a260u +#define CYDEV_CAN0_RX14_SIZE 0x00000020u +#define CYREG_CAN0_RX14_CMD 0x4000a260u +#define CYREG_CAN0_RX14_ID 0x4000a264u +#define CYREG_CAN0_RX14_DH 0x4000a268u +#define CYREG_CAN0_RX14_DL 0x4000a26cu +#define CYREG_CAN0_RX14_AMR 0x4000a270u +#define CYREG_CAN0_RX14_ACR 0x4000a274u +#define CYREG_CAN0_RX14_AMRD 0x4000a278u +#define CYREG_CAN0_RX14_ACRD 0x4000a27cu +#define CYDEV_CAN0_RX15_BASE 0x4000a280u +#define CYDEV_CAN0_RX15_SIZE 0x00000020u +#define CYREG_CAN0_RX15_CMD 0x4000a280u +#define CYREG_CAN0_RX15_ID 0x4000a284u +#define CYREG_CAN0_RX15_DH 0x4000a288u +#define CYREG_CAN0_RX15_DL 0x4000a28cu +#define CYREG_CAN0_RX15_AMR 0x4000a290u +#define CYREG_CAN0_RX15_ACR 0x4000a294u +#define CYREG_CAN0_RX15_AMRD 0x4000a298u +#define CYREG_CAN0_RX15_ACRD 0x4000a29cu +#define CYDEV_DFB0_BASE 0x4000c000u +#define CYDEV_DFB0_SIZE 0x000007b5u +#define CYDEV_DFB0_DPA_SRAM_BASE 0x4000c000u +#define CYDEV_DFB0_DPA_SRAM_SIZE 0x00000200u +#define CYREG_DFB0_DPA_SRAM_DATA_MBASE 0x4000c000u +#define CYREG_DFB0_DPA_SRAM_DATA_MSIZE 0x00000200u +#define CYDEV_DFB0_DPB_SRAM_BASE 0x4000c200u +#define CYDEV_DFB0_DPB_SRAM_SIZE 0x00000200u +#define CYREG_DFB0_DPB_SRAM_DATA_MBASE 0x4000c200u +#define CYREG_DFB0_DPB_SRAM_DATA_MSIZE 0x00000200u +#define CYDEV_DFB0_CSA_SRAM_BASE 0x4000c400u +#define CYDEV_DFB0_CSA_SRAM_SIZE 0x00000100u +#define CYREG_DFB0_CSA_SRAM_DATA_MBASE 0x4000c400u +#define CYREG_DFB0_CSA_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_CSB_SRAM_BASE 0x4000c500u +#define CYDEV_DFB0_CSB_SRAM_SIZE 0x00000100u +#define CYREG_DFB0_CSB_SRAM_DATA_MBASE 0x4000c500u +#define CYREG_DFB0_CSB_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_FSM_SRAM_BASE 0x4000c600u +#define CYDEV_DFB0_FSM_SRAM_SIZE 0x00000100u +#define CYREG_DFB0_FSM_SRAM_DATA_MBASE 0x4000c600u +#define CYREG_DFB0_FSM_SRAM_DATA_MSIZE 0x00000100u +#define CYDEV_DFB0_ACU_SRAM_BASE 0x4000c700u +#define CYDEV_DFB0_ACU_SRAM_SIZE 0x00000040u +#define CYREG_DFB0_ACU_SRAM_DATA_MBASE 0x4000c700u +#define CYREG_DFB0_ACU_SRAM_DATA_MSIZE 0x00000040u +#define CYREG_DFB0_CR 0x4000c780u +#define CYREG_DFB0_SR 0x4000c784u +#define CYREG_DFB0_RAM_EN 0x4000c788u +#define CYREG_DFB0_RAM_DIR 0x4000c78cu +#define CYREG_DFB0_SEMA 0x4000c790u +#define CYREG_DFB0_DSI_CTRL 0x4000c794u +#define CYREG_DFB0_INT_CTRL 0x4000c798u +#define CYREG_DFB0_DMA_CTRL 0x4000c79cu +#define CYREG_DFB0_STAGEA 0x4000c7a0u +#define CYREG_DFB0_STAGEAM 0x4000c7a1u +#define CYREG_DFB0_STAGEAH 0x4000c7a2u +#define CYREG_DFB0_STAGEB 0x4000c7a4u +#define CYREG_DFB0_STAGEBM 0x4000c7a5u +#define CYREG_DFB0_STAGEBH 0x4000c7a6u +#define CYREG_DFB0_HOLDA 0x4000c7a8u +#define CYREG_DFB0_HOLDAM 0x4000c7a9u +#define CYREG_DFB0_HOLDAH 0x4000c7aau +#define CYREG_DFB0_HOLDAS 0x4000c7abu +#define CYREG_DFB0_HOLDB 0x4000c7acu +#define CYREG_DFB0_HOLDBM 0x4000c7adu +#define CYREG_DFB0_HOLDBH 0x4000c7aeu +#define CYREG_DFB0_HOLDBS 0x4000c7afu +#define CYREG_DFB0_COHER 0x4000c7b0u +#define CYREG_DFB0_DALIGN 0x4000c7b4u +#define CYDEV_UCFG_BASE 0x40010000u +#define CYDEV_UCFG_SIZE 0x00005040u +#define CYDEV_UCFG_B0_BASE 0x40010000u +#define CYDEV_UCFG_B0_SIZE 0x00000fefu +#define CYDEV_UCFG_B0_P0_BASE 0x40010000u +#define CYDEV_UCFG_B0_P0_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P0_U0_BASE 0x40010000u +#define CYDEV_UCFG_B0_P0_U0_SIZE 0x00000070u +#define CYREG_B0_P0_U0_PLD_IT0 0x40010000u +#define CYREG_B0_P0_U0_PLD_IT1 0x40010004u +#define CYREG_B0_P0_U0_PLD_IT2 0x40010008u +#define CYREG_B0_P0_U0_PLD_IT3 0x4001000cu +#define CYREG_B0_P0_U0_PLD_IT4 0x40010010u +#define CYREG_B0_P0_U0_PLD_IT5 0x40010014u +#define CYREG_B0_P0_U0_PLD_IT6 0x40010018u +#define CYREG_B0_P0_U0_PLD_IT7 0x4001001cu +#define CYREG_B0_P0_U0_PLD_IT8 0x40010020u +#define CYREG_B0_P0_U0_PLD_IT9 0x40010024u +#define CYREG_B0_P0_U0_PLD_IT10 0x40010028u +#define CYREG_B0_P0_U0_PLD_IT11 0x4001002cu +#define CYREG_B0_P0_U0_PLD_ORT0 0x40010030u +#define CYREG_B0_P0_U0_PLD_ORT1 0x40010032u +#define CYREG_B0_P0_U0_PLD_ORT2 0x40010034u +#define CYREG_B0_P0_U0_PLD_ORT3 0x40010036u +#define CYREG_B0_P0_U0_MC_CFG_CEN_CONST 0x40010038u +#define CYREG_B0_P0_U0_MC_CFG_XORFB 0x4001003au +#define CYREG_B0_P0_U0_MC_CFG_SET_RESET 0x4001003cu +#define CYREG_B0_P0_U0_MC_CFG_BYPASS 0x4001003eu +#define CYREG_B0_P0_U0_CFG0 0x40010040u +#define CYREG_B0_P0_U0_CFG1 0x40010041u +#define CYREG_B0_P0_U0_CFG2 0x40010042u +#define CYREG_B0_P0_U0_CFG3 0x40010043u +#define CYREG_B0_P0_U0_CFG4 0x40010044u +#define CYREG_B0_P0_U0_CFG5 0x40010045u +#define CYREG_B0_P0_U0_CFG6 0x40010046u +#define CYREG_B0_P0_U0_CFG7 0x40010047u +#define CYREG_B0_P0_U0_CFG8 0x40010048u +#define CYREG_B0_P0_U0_CFG9 0x40010049u +#define CYREG_B0_P0_U0_CFG10 0x4001004au +#define CYREG_B0_P0_U0_CFG11 0x4001004bu +#define CYREG_B0_P0_U0_CFG12 0x4001004cu +#define CYREG_B0_P0_U0_CFG13 0x4001004du +#define CYREG_B0_P0_U0_CFG14 0x4001004eu +#define CYREG_B0_P0_U0_CFG15 0x4001004fu +#define CYREG_B0_P0_U0_CFG16 0x40010050u +#define CYREG_B0_P0_U0_CFG17 0x40010051u +#define CYREG_B0_P0_U0_CFG18 0x40010052u +#define CYREG_B0_P0_U0_CFG19 0x40010053u +#define CYREG_B0_P0_U0_CFG20 0x40010054u +#define CYREG_B0_P0_U0_CFG21 0x40010055u +#define CYREG_B0_P0_U0_CFG22 0x40010056u +#define CYREG_B0_P0_U0_CFG23 0x40010057u +#define CYREG_B0_P0_U0_CFG24 0x40010058u +#define CYREG_B0_P0_U0_CFG25 0x40010059u +#define CYREG_B0_P0_U0_CFG26 0x4001005au +#define CYREG_B0_P0_U0_CFG27 0x4001005bu +#define CYREG_B0_P0_U0_CFG28 0x4001005cu +#define CYREG_B0_P0_U0_CFG29 0x4001005du +#define CYREG_B0_P0_U0_CFG30 0x4001005eu +#define CYREG_B0_P0_U0_CFG31 0x4001005fu +#define CYREG_B0_P0_U0_DCFG0 0x40010060u +#define CYREG_B0_P0_U0_DCFG1 0x40010062u +#define CYREG_B0_P0_U0_DCFG2 0x40010064u +#define CYREG_B0_P0_U0_DCFG3 0x40010066u +#define CYREG_B0_P0_U0_DCFG4 0x40010068u +#define CYREG_B0_P0_U0_DCFG5 0x4001006au +#define CYREG_B0_P0_U0_DCFG6 0x4001006cu +#define CYREG_B0_P0_U0_DCFG7 0x4001006eu +#define CYDEV_UCFG_B0_P0_U1_BASE 0x40010080u +#define CYDEV_UCFG_B0_P0_U1_SIZE 0x00000070u +#define CYREG_B0_P0_U1_PLD_IT0 0x40010080u +#define CYREG_B0_P0_U1_PLD_IT1 0x40010084u +#define CYREG_B0_P0_U1_PLD_IT2 0x40010088u +#define CYREG_B0_P0_U1_PLD_IT3 0x4001008cu +#define CYREG_B0_P0_U1_PLD_IT4 0x40010090u +#define CYREG_B0_P0_U1_PLD_IT5 0x40010094u +#define CYREG_B0_P0_U1_PLD_IT6 0x40010098u +#define CYREG_B0_P0_U1_PLD_IT7 0x4001009cu +#define CYREG_B0_P0_U1_PLD_IT8 0x400100a0u +#define CYREG_B0_P0_U1_PLD_IT9 0x400100a4u +#define CYREG_B0_P0_U1_PLD_IT10 0x400100a8u +#define CYREG_B0_P0_U1_PLD_IT11 0x400100acu +#define CYREG_B0_P0_U1_PLD_ORT0 0x400100b0u +#define CYREG_B0_P0_U1_PLD_ORT1 0x400100b2u +#define CYREG_B0_P0_U1_PLD_ORT2 0x400100b4u +#define CYREG_B0_P0_U1_PLD_ORT3 0x400100b6u +#define CYREG_B0_P0_U1_MC_CFG_CEN_CONST 0x400100b8u +#define CYREG_B0_P0_U1_MC_CFG_XORFB 0x400100bau +#define CYREG_B0_P0_U1_MC_CFG_SET_RESET 0x400100bcu +#define CYREG_B0_P0_U1_MC_CFG_BYPASS 0x400100beu +#define CYREG_B0_P0_U1_CFG0 0x400100c0u +#define CYREG_B0_P0_U1_CFG1 0x400100c1u +#define CYREG_B0_P0_U1_CFG2 0x400100c2u +#define CYREG_B0_P0_U1_CFG3 0x400100c3u +#define CYREG_B0_P0_U1_CFG4 0x400100c4u +#define CYREG_B0_P0_U1_CFG5 0x400100c5u +#define CYREG_B0_P0_U1_CFG6 0x400100c6u +#define CYREG_B0_P0_U1_CFG7 0x400100c7u +#define CYREG_B0_P0_U1_CFG8 0x400100c8u +#define CYREG_B0_P0_U1_CFG9 0x400100c9u +#define CYREG_B0_P0_U1_CFG10 0x400100cau +#define CYREG_B0_P0_U1_CFG11 0x400100cbu +#define CYREG_B0_P0_U1_CFG12 0x400100ccu +#define CYREG_B0_P0_U1_CFG13 0x400100cdu +#define CYREG_B0_P0_U1_CFG14 0x400100ceu +#define CYREG_B0_P0_U1_CFG15 0x400100cfu +#define CYREG_B0_P0_U1_CFG16 0x400100d0u +#define CYREG_B0_P0_U1_CFG17 0x400100d1u +#define CYREG_B0_P0_U1_CFG18 0x400100d2u +#define CYREG_B0_P0_U1_CFG19 0x400100d3u +#define CYREG_B0_P0_U1_CFG20 0x400100d4u +#define CYREG_B0_P0_U1_CFG21 0x400100d5u +#define CYREG_B0_P0_U1_CFG22 0x400100d6u +#define CYREG_B0_P0_U1_CFG23 0x400100d7u +#define CYREG_B0_P0_U1_CFG24 0x400100d8u +#define CYREG_B0_P0_U1_CFG25 0x400100d9u +#define CYREG_B0_P0_U1_CFG26 0x400100dau +#define CYREG_B0_P0_U1_CFG27 0x400100dbu +#define CYREG_B0_P0_U1_CFG28 0x400100dcu +#define CYREG_B0_P0_U1_CFG29 0x400100ddu +#define CYREG_B0_P0_U1_CFG30 0x400100deu +#define CYREG_B0_P0_U1_CFG31 0x400100dfu +#define CYREG_B0_P0_U1_DCFG0 0x400100e0u +#define CYREG_B0_P0_U1_DCFG1 0x400100e2u +#define CYREG_B0_P0_U1_DCFG2 0x400100e4u +#define CYREG_B0_P0_U1_DCFG3 0x400100e6u +#define CYREG_B0_P0_U1_DCFG4 0x400100e8u +#define CYREG_B0_P0_U1_DCFG5 0x400100eau +#define CYREG_B0_P0_U1_DCFG6 0x400100ecu +#define CYREG_B0_P0_U1_DCFG7 0x400100eeu +#define CYDEV_UCFG_B0_P0_ROUTE_BASE 0x40010100u +#define CYDEV_UCFG_B0_P0_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P1_BASE 0x40010200u +#define CYDEV_UCFG_B0_P1_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P1_U0_BASE 0x40010200u +#define CYDEV_UCFG_B0_P1_U0_SIZE 0x00000070u +#define CYREG_B0_P1_U0_PLD_IT0 0x40010200u +#define CYREG_B0_P1_U0_PLD_IT1 0x40010204u +#define CYREG_B0_P1_U0_PLD_IT2 0x40010208u +#define CYREG_B0_P1_U0_PLD_IT3 0x4001020cu +#define CYREG_B0_P1_U0_PLD_IT4 0x40010210u +#define CYREG_B0_P1_U0_PLD_IT5 0x40010214u +#define CYREG_B0_P1_U0_PLD_IT6 0x40010218u +#define CYREG_B0_P1_U0_PLD_IT7 0x4001021cu +#define CYREG_B0_P1_U0_PLD_IT8 0x40010220u +#define CYREG_B0_P1_U0_PLD_IT9 0x40010224u +#define CYREG_B0_P1_U0_PLD_IT10 0x40010228u +#define CYREG_B0_P1_U0_PLD_IT11 0x4001022cu +#define CYREG_B0_P1_U0_PLD_ORT0 0x40010230u +#define CYREG_B0_P1_U0_PLD_ORT1 0x40010232u +#define CYREG_B0_P1_U0_PLD_ORT2 0x40010234u +#define CYREG_B0_P1_U0_PLD_ORT3 0x40010236u +#define CYREG_B0_P1_U0_MC_CFG_CEN_CONST 0x40010238u +#define CYREG_B0_P1_U0_MC_CFG_XORFB 0x4001023au +#define CYREG_B0_P1_U0_MC_CFG_SET_RESET 0x4001023cu +#define CYREG_B0_P1_U0_MC_CFG_BYPASS 0x4001023eu +#define CYREG_B0_P1_U0_CFG0 0x40010240u +#define CYREG_B0_P1_U0_CFG1 0x40010241u +#define CYREG_B0_P1_U0_CFG2 0x40010242u +#define CYREG_B0_P1_U0_CFG3 0x40010243u +#define CYREG_B0_P1_U0_CFG4 0x40010244u +#define CYREG_B0_P1_U0_CFG5 0x40010245u +#define CYREG_B0_P1_U0_CFG6 0x40010246u +#define CYREG_B0_P1_U0_CFG7 0x40010247u +#define CYREG_B0_P1_U0_CFG8 0x40010248u +#define CYREG_B0_P1_U0_CFG9 0x40010249u +#define CYREG_B0_P1_U0_CFG10 0x4001024au +#define CYREG_B0_P1_U0_CFG11 0x4001024bu +#define CYREG_B0_P1_U0_CFG12 0x4001024cu +#define CYREG_B0_P1_U0_CFG13 0x4001024du +#define CYREG_B0_P1_U0_CFG14 0x4001024eu +#define CYREG_B0_P1_U0_CFG15 0x4001024fu +#define CYREG_B0_P1_U0_CFG16 0x40010250u +#define CYREG_B0_P1_U0_CFG17 0x40010251u +#define CYREG_B0_P1_U0_CFG18 0x40010252u +#define CYREG_B0_P1_U0_CFG19 0x40010253u +#define CYREG_B0_P1_U0_CFG20 0x40010254u +#define CYREG_B0_P1_U0_CFG21 0x40010255u +#define CYREG_B0_P1_U0_CFG22 0x40010256u +#define CYREG_B0_P1_U0_CFG23 0x40010257u +#define CYREG_B0_P1_U0_CFG24 0x40010258u +#define CYREG_B0_P1_U0_CFG25 0x40010259u +#define CYREG_B0_P1_U0_CFG26 0x4001025au +#define CYREG_B0_P1_U0_CFG27 0x4001025bu +#define CYREG_B0_P1_U0_CFG28 0x4001025cu +#define CYREG_B0_P1_U0_CFG29 0x4001025du +#define CYREG_B0_P1_U0_CFG30 0x4001025eu +#define CYREG_B0_P1_U0_CFG31 0x4001025fu +#define CYREG_B0_P1_U0_DCFG0 0x40010260u +#define CYREG_B0_P1_U0_DCFG1 0x40010262u +#define CYREG_B0_P1_U0_DCFG2 0x40010264u +#define CYREG_B0_P1_U0_DCFG3 0x40010266u +#define CYREG_B0_P1_U0_DCFG4 0x40010268u +#define CYREG_B0_P1_U0_DCFG5 0x4001026au +#define CYREG_B0_P1_U0_DCFG6 0x4001026cu +#define CYREG_B0_P1_U0_DCFG7 0x4001026eu +#define CYDEV_UCFG_B0_P1_U1_BASE 0x40010280u +#define CYDEV_UCFG_B0_P1_U1_SIZE 0x00000070u +#define CYREG_B0_P1_U1_PLD_IT0 0x40010280u +#define CYREG_B0_P1_U1_PLD_IT1 0x40010284u +#define CYREG_B0_P1_U1_PLD_IT2 0x40010288u +#define CYREG_B0_P1_U1_PLD_IT3 0x4001028cu +#define CYREG_B0_P1_U1_PLD_IT4 0x40010290u +#define CYREG_B0_P1_U1_PLD_IT5 0x40010294u +#define CYREG_B0_P1_U1_PLD_IT6 0x40010298u +#define CYREG_B0_P1_U1_PLD_IT7 0x4001029cu +#define CYREG_B0_P1_U1_PLD_IT8 0x400102a0u +#define CYREG_B0_P1_U1_PLD_IT9 0x400102a4u +#define CYREG_B0_P1_U1_PLD_IT10 0x400102a8u +#define CYREG_B0_P1_U1_PLD_IT11 0x400102acu +#define CYREG_B0_P1_U1_PLD_ORT0 0x400102b0u +#define CYREG_B0_P1_U1_PLD_ORT1 0x400102b2u +#define CYREG_B0_P1_U1_PLD_ORT2 0x400102b4u +#define CYREG_B0_P1_U1_PLD_ORT3 0x400102b6u +#define CYREG_B0_P1_U1_MC_CFG_CEN_CONST 0x400102b8u +#define CYREG_B0_P1_U1_MC_CFG_XORFB 0x400102bau +#define CYREG_B0_P1_U1_MC_CFG_SET_RESET 0x400102bcu +#define CYREG_B0_P1_U1_MC_CFG_BYPASS 0x400102beu +#define CYREG_B0_P1_U1_CFG0 0x400102c0u +#define CYREG_B0_P1_U1_CFG1 0x400102c1u +#define CYREG_B0_P1_U1_CFG2 0x400102c2u +#define CYREG_B0_P1_U1_CFG3 0x400102c3u +#define CYREG_B0_P1_U1_CFG4 0x400102c4u +#define CYREG_B0_P1_U1_CFG5 0x400102c5u +#define CYREG_B0_P1_U1_CFG6 0x400102c6u +#define CYREG_B0_P1_U1_CFG7 0x400102c7u +#define CYREG_B0_P1_U1_CFG8 0x400102c8u +#define CYREG_B0_P1_U1_CFG9 0x400102c9u +#define CYREG_B0_P1_U1_CFG10 0x400102cau +#define CYREG_B0_P1_U1_CFG11 0x400102cbu +#define CYREG_B0_P1_U1_CFG12 0x400102ccu +#define CYREG_B0_P1_U1_CFG13 0x400102cdu +#define CYREG_B0_P1_U1_CFG14 0x400102ceu +#define CYREG_B0_P1_U1_CFG15 0x400102cfu +#define CYREG_B0_P1_U1_CFG16 0x400102d0u +#define CYREG_B0_P1_U1_CFG17 0x400102d1u +#define CYREG_B0_P1_U1_CFG18 0x400102d2u +#define CYREG_B0_P1_U1_CFG19 0x400102d3u +#define CYREG_B0_P1_U1_CFG20 0x400102d4u +#define CYREG_B0_P1_U1_CFG21 0x400102d5u +#define CYREG_B0_P1_U1_CFG22 0x400102d6u +#define CYREG_B0_P1_U1_CFG23 0x400102d7u +#define CYREG_B0_P1_U1_CFG24 0x400102d8u +#define CYREG_B0_P1_U1_CFG25 0x400102d9u +#define CYREG_B0_P1_U1_CFG26 0x400102dau +#define CYREG_B0_P1_U1_CFG27 0x400102dbu +#define CYREG_B0_P1_U1_CFG28 0x400102dcu +#define CYREG_B0_P1_U1_CFG29 0x400102ddu +#define CYREG_B0_P1_U1_CFG30 0x400102deu +#define CYREG_B0_P1_U1_CFG31 0x400102dfu +#define CYREG_B0_P1_U1_DCFG0 0x400102e0u +#define CYREG_B0_P1_U1_DCFG1 0x400102e2u +#define CYREG_B0_P1_U1_DCFG2 0x400102e4u +#define CYREG_B0_P1_U1_DCFG3 0x400102e6u +#define CYREG_B0_P1_U1_DCFG4 0x400102e8u +#define CYREG_B0_P1_U1_DCFG5 0x400102eau +#define CYREG_B0_P1_U1_DCFG6 0x400102ecu +#define CYREG_B0_P1_U1_DCFG7 0x400102eeu +#define CYDEV_UCFG_B0_P1_ROUTE_BASE 0x40010300u +#define CYDEV_UCFG_B0_P1_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P2_BASE 0x40010400u +#define CYDEV_UCFG_B0_P2_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P2_U0_BASE 0x40010400u +#define CYDEV_UCFG_B0_P2_U0_SIZE 0x00000070u +#define CYREG_B0_P2_U0_PLD_IT0 0x40010400u +#define CYREG_B0_P2_U0_PLD_IT1 0x40010404u +#define CYREG_B0_P2_U0_PLD_IT2 0x40010408u +#define CYREG_B0_P2_U0_PLD_IT3 0x4001040cu +#define CYREG_B0_P2_U0_PLD_IT4 0x40010410u +#define CYREG_B0_P2_U0_PLD_IT5 0x40010414u +#define CYREG_B0_P2_U0_PLD_IT6 0x40010418u +#define CYREG_B0_P2_U0_PLD_IT7 0x4001041cu +#define CYREG_B0_P2_U0_PLD_IT8 0x40010420u +#define CYREG_B0_P2_U0_PLD_IT9 0x40010424u +#define CYREG_B0_P2_U0_PLD_IT10 0x40010428u +#define CYREG_B0_P2_U0_PLD_IT11 0x4001042cu +#define CYREG_B0_P2_U0_PLD_ORT0 0x40010430u +#define CYREG_B0_P2_U0_PLD_ORT1 0x40010432u +#define CYREG_B0_P2_U0_PLD_ORT2 0x40010434u +#define CYREG_B0_P2_U0_PLD_ORT3 0x40010436u +#define CYREG_B0_P2_U0_MC_CFG_CEN_CONST 0x40010438u +#define CYREG_B0_P2_U0_MC_CFG_XORFB 0x4001043au +#define CYREG_B0_P2_U0_MC_CFG_SET_RESET 0x4001043cu +#define CYREG_B0_P2_U0_MC_CFG_BYPASS 0x4001043eu +#define CYREG_B0_P2_U0_CFG0 0x40010440u +#define CYREG_B0_P2_U0_CFG1 0x40010441u +#define CYREG_B0_P2_U0_CFG2 0x40010442u +#define CYREG_B0_P2_U0_CFG3 0x40010443u +#define CYREG_B0_P2_U0_CFG4 0x40010444u +#define CYREG_B0_P2_U0_CFG5 0x40010445u +#define CYREG_B0_P2_U0_CFG6 0x40010446u +#define CYREG_B0_P2_U0_CFG7 0x40010447u +#define CYREG_B0_P2_U0_CFG8 0x40010448u +#define CYREG_B0_P2_U0_CFG9 0x40010449u +#define CYREG_B0_P2_U0_CFG10 0x4001044au +#define CYREG_B0_P2_U0_CFG11 0x4001044bu +#define CYREG_B0_P2_U0_CFG12 0x4001044cu +#define CYREG_B0_P2_U0_CFG13 0x4001044du +#define CYREG_B0_P2_U0_CFG14 0x4001044eu +#define CYREG_B0_P2_U0_CFG15 0x4001044fu +#define CYREG_B0_P2_U0_CFG16 0x40010450u +#define CYREG_B0_P2_U0_CFG17 0x40010451u +#define CYREG_B0_P2_U0_CFG18 0x40010452u +#define CYREG_B0_P2_U0_CFG19 0x40010453u +#define CYREG_B0_P2_U0_CFG20 0x40010454u +#define CYREG_B0_P2_U0_CFG21 0x40010455u +#define CYREG_B0_P2_U0_CFG22 0x40010456u +#define CYREG_B0_P2_U0_CFG23 0x40010457u +#define CYREG_B0_P2_U0_CFG24 0x40010458u +#define CYREG_B0_P2_U0_CFG25 0x40010459u +#define CYREG_B0_P2_U0_CFG26 0x4001045au +#define CYREG_B0_P2_U0_CFG27 0x4001045bu +#define CYREG_B0_P2_U0_CFG28 0x4001045cu +#define CYREG_B0_P2_U0_CFG29 0x4001045du +#define CYREG_B0_P2_U0_CFG30 0x4001045eu +#define CYREG_B0_P2_U0_CFG31 0x4001045fu +#define CYREG_B0_P2_U0_DCFG0 0x40010460u +#define CYREG_B0_P2_U0_DCFG1 0x40010462u +#define CYREG_B0_P2_U0_DCFG2 0x40010464u +#define CYREG_B0_P2_U0_DCFG3 0x40010466u +#define CYREG_B0_P2_U0_DCFG4 0x40010468u +#define CYREG_B0_P2_U0_DCFG5 0x4001046au +#define CYREG_B0_P2_U0_DCFG6 0x4001046cu +#define CYREG_B0_P2_U0_DCFG7 0x4001046eu +#define CYDEV_UCFG_B0_P2_U1_BASE 0x40010480u +#define CYDEV_UCFG_B0_P2_U1_SIZE 0x00000070u +#define CYREG_B0_P2_U1_PLD_IT0 0x40010480u +#define CYREG_B0_P2_U1_PLD_IT1 0x40010484u +#define CYREG_B0_P2_U1_PLD_IT2 0x40010488u +#define CYREG_B0_P2_U1_PLD_IT3 0x4001048cu +#define CYREG_B0_P2_U1_PLD_IT4 0x40010490u +#define CYREG_B0_P2_U1_PLD_IT5 0x40010494u +#define CYREG_B0_P2_U1_PLD_IT6 0x40010498u +#define CYREG_B0_P2_U1_PLD_IT7 0x4001049cu +#define CYREG_B0_P2_U1_PLD_IT8 0x400104a0u +#define CYREG_B0_P2_U1_PLD_IT9 0x400104a4u +#define CYREG_B0_P2_U1_PLD_IT10 0x400104a8u +#define CYREG_B0_P2_U1_PLD_IT11 0x400104acu +#define CYREG_B0_P2_U1_PLD_ORT0 0x400104b0u +#define CYREG_B0_P2_U1_PLD_ORT1 0x400104b2u +#define CYREG_B0_P2_U1_PLD_ORT2 0x400104b4u +#define CYREG_B0_P2_U1_PLD_ORT3 0x400104b6u +#define CYREG_B0_P2_U1_MC_CFG_CEN_CONST 0x400104b8u +#define CYREG_B0_P2_U1_MC_CFG_XORFB 0x400104bau +#define CYREG_B0_P2_U1_MC_CFG_SET_RESET 0x400104bcu +#define CYREG_B0_P2_U1_MC_CFG_BYPASS 0x400104beu +#define CYREG_B0_P2_U1_CFG0 0x400104c0u +#define CYREG_B0_P2_U1_CFG1 0x400104c1u +#define CYREG_B0_P2_U1_CFG2 0x400104c2u +#define CYREG_B0_P2_U1_CFG3 0x400104c3u +#define CYREG_B0_P2_U1_CFG4 0x400104c4u +#define CYREG_B0_P2_U1_CFG5 0x400104c5u +#define CYREG_B0_P2_U1_CFG6 0x400104c6u +#define CYREG_B0_P2_U1_CFG7 0x400104c7u +#define CYREG_B0_P2_U1_CFG8 0x400104c8u +#define CYREG_B0_P2_U1_CFG9 0x400104c9u +#define CYREG_B0_P2_U1_CFG10 0x400104cau +#define CYREG_B0_P2_U1_CFG11 0x400104cbu +#define CYREG_B0_P2_U1_CFG12 0x400104ccu +#define CYREG_B0_P2_U1_CFG13 0x400104cdu +#define CYREG_B0_P2_U1_CFG14 0x400104ceu +#define CYREG_B0_P2_U1_CFG15 0x400104cfu +#define CYREG_B0_P2_U1_CFG16 0x400104d0u +#define CYREG_B0_P2_U1_CFG17 0x400104d1u +#define CYREG_B0_P2_U1_CFG18 0x400104d2u +#define CYREG_B0_P2_U1_CFG19 0x400104d3u +#define CYREG_B0_P2_U1_CFG20 0x400104d4u +#define CYREG_B0_P2_U1_CFG21 0x400104d5u +#define CYREG_B0_P2_U1_CFG22 0x400104d6u +#define CYREG_B0_P2_U1_CFG23 0x400104d7u +#define CYREG_B0_P2_U1_CFG24 0x400104d8u +#define CYREG_B0_P2_U1_CFG25 0x400104d9u +#define CYREG_B0_P2_U1_CFG26 0x400104dau +#define CYREG_B0_P2_U1_CFG27 0x400104dbu +#define CYREG_B0_P2_U1_CFG28 0x400104dcu +#define CYREG_B0_P2_U1_CFG29 0x400104ddu +#define CYREG_B0_P2_U1_CFG30 0x400104deu +#define CYREG_B0_P2_U1_CFG31 0x400104dfu +#define CYREG_B0_P2_U1_DCFG0 0x400104e0u +#define CYREG_B0_P2_U1_DCFG1 0x400104e2u +#define CYREG_B0_P2_U1_DCFG2 0x400104e4u +#define CYREG_B0_P2_U1_DCFG3 0x400104e6u +#define CYREG_B0_P2_U1_DCFG4 0x400104e8u +#define CYREG_B0_P2_U1_DCFG5 0x400104eau +#define CYREG_B0_P2_U1_DCFG6 0x400104ecu +#define CYREG_B0_P2_U1_DCFG7 0x400104eeu +#define CYDEV_UCFG_B0_P2_ROUTE_BASE 0x40010500u +#define CYDEV_UCFG_B0_P2_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P3_BASE 0x40010600u +#define CYDEV_UCFG_B0_P3_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P3_U0_BASE 0x40010600u +#define CYDEV_UCFG_B0_P3_U0_SIZE 0x00000070u +#define CYREG_B0_P3_U0_PLD_IT0 0x40010600u +#define CYREG_B0_P3_U0_PLD_IT1 0x40010604u +#define CYREG_B0_P3_U0_PLD_IT2 0x40010608u +#define CYREG_B0_P3_U0_PLD_IT3 0x4001060cu +#define CYREG_B0_P3_U0_PLD_IT4 0x40010610u +#define CYREG_B0_P3_U0_PLD_IT5 0x40010614u +#define CYREG_B0_P3_U0_PLD_IT6 0x40010618u +#define CYREG_B0_P3_U0_PLD_IT7 0x4001061cu +#define CYREG_B0_P3_U0_PLD_IT8 0x40010620u +#define CYREG_B0_P3_U0_PLD_IT9 0x40010624u +#define CYREG_B0_P3_U0_PLD_IT10 0x40010628u +#define CYREG_B0_P3_U0_PLD_IT11 0x4001062cu +#define CYREG_B0_P3_U0_PLD_ORT0 0x40010630u +#define CYREG_B0_P3_U0_PLD_ORT1 0x40010632u +#define CYREG_B0_P3_U0_PLD_ORT2 0x40010634u +#define CYREG_B0_P3_U0_PLD_ORT3 0x40010636u +#define CYREG_B0_P3_U0_MC_CFG_CEN_CONST 0x40010638u +#define CYREG_B0_P3_U0_MC_CFG_XORFB 0x4001063au +#define CYREG_B0_P3_U0_MC_CFG_SET_RESET 0x4001063cu +#define CYREG_B0_P3_U0_MC_CFG_BYPASS 0x4001063eu +#define CYREG_B0_P3_U0_CFG0 0x40010640u +#define CYREG_B0_P3_U0_CFG1 0x40010641u +#define CYREG_B0_P3_U0_CFG2 0x40010642u +#define CYREG_B0_P3_U0_CFG3 0x40010643u +#define CYREG_B0_P3_U0_CFG4 0x40010644u +#define CYREG_B0_P3_U0_CFG5 0x40010645u +#define CYREG_B0_P3_U0_CFG6 0x40010646u +#define CYREG_B0_P3_U0_CFG7 0x40010647u +#define CYREG_B0_P3_U0_CFG8 0x40010648u +#define CYREG_B0_P3_U0_CFG9 0x40010649u +#define CYREG_B0_P3_U0_CFG10 0x4001064au +#define CYREG_B0_P3_U0_CFG11 0x4001064bu +#define CYREG_B0_P3_U0_CFG12 0x4001064cu +#define CYREG_B0_P3_U0_CFG13 0x4001064du +#define CYREG_B0_P3_U0_CFG14 0x4001064eu +#define CYREG_B0_P3_U0_CFG15 0x4001064fu +#define CYREG_B0_P3_U0_CFG16 0x40010650u +#define CYREG_B0_P3_U0_CFG17 0x40010651u +#define CYREG_B0_P3_U0_CFG18 0x40010652u +#define CYREG_B0_P3_U0_CFG19 0x40010653u +#define CYREG_B0_P3_U0_CFG20 0x40010654u +#define CYREG_B0_P3_U0_CFG21 0x40010655u +#define CYREG_B0_P3_U0_CFG22 0x40010656u +#define CYREG_B0_P3_U0_CFG23 0x40010657u +#define CYREG_B0_P3_U0_CFG24 0x40010658u +#define CYREG_B0_P3_U0_CFG25 0x40010659u +#define CYREG_B0_P3_U0_CFG26 0x4001065au +#define CYREG_B0_P3_U0_CFG27 0x4001065bu +#define CYREG_B0_P3_U0_CFG28 0x4001065cu +#define CYREG_B0_P3_U0_CFG29 0x4001065du +#define CYREG_B0_P3_U0_CFG30 0x4001065eu +#define CYREG_B0_P3_U0_CFG31 0x4001065fu +#define CYREG_B0_P3_U0_DCFG0 0x40010660u +#define CYREG_B0_P3_U0_DCFG1 0x40010662u +#define CYREG_B0_P3_U0_DCFG2 0x40010664u +#define CYREG_B0_P3_U0_DCFG3 0x40010666u +#define CYREG_B0_P3_U0_DCFG4 0x40010668u +#define CYREG_B0_P3_U0_DCFG5 0x4001066au +#define CYREG_B0_P3_U0_DCFG6 0x4001066cu +#define CYREG_B0_P3_U0_DCFG7 0x4001066eu +#define CYDEV_UCFG_B0_P3_U1_BASE 0x40010680u +#define CYDEV_UCFG_B0_P3_U1_SIZE 0x00000070u +#define CYREG_B0_P3_U1_PLD_IT0 0x40010680u +#define CYREG_B0_P3_U1_PLD_IT1 0x40010684u +#define CYREG_B0_P3_U1_PLD_IT2 0x40010688u +#define CYREG_B0_P3_U1_PLD_IT3 0x4001068cu +#define CYREG_B0_P3_U1_PLD_IT4 0x40010690u +#define CYREG_B0_P3_U1_PLD_IT5 0x40010694u +#define CYREG_B0_P3_U1_PLD_IT6 0x40010698u +#define CYREG_B0_P3_U1_PLD_IT7 0x4001069cu +#define CYREG_B0_P3_U1_PLD_IT8 0x400106a0u +#define CYREG_B0_P3_U1_PLD_IT9 0x400106a4u +#define CYREG_B0_P3_U1_PLD_IT10 0x400106a8u +#define CYREG_B0_P3_U1_PLD_IT11 0x400106acu +#define CYREG_B0_P3_U1_PLD_ORT0 0x400106b0u +#define CYREG_B0_P3_U1_PLD_ORT1 0x400106b2u +#define CYREG_B0_P3_U1_PLD_ORT2 0x400106b4u +#define CYREG_B0_P3_U1_PLD_ORT3 0x400106b6u +#define CYREG_B0_P3_U1_MC_CFG_CEN_CONST 0x400106b8u +#define CYREG_B0_P3_U1_MC_CFG_XORFB 0x400106bau +#define CYREG_B0_P3_U1_MC_CFG_SET_RESET 0x400106bcu +#define CYREG_B0_P3_U1_MC_CFG_BYPASS 0x400106beu +#define CYREG_B0_P3_U1_CFG0 0x400106c0u +#define CYREG_B0_P3_U1_CFG1 0x400106c1u +#define CYREG_B0_P3_U1_CFG2 0x400106c2u +#define CYREG_B0_P3_U1_CFG3 0x400106c3u +#define CYREG_B0_P3_U1_CFG4 0x400106c4u +#define CYREG_B0_P3_U1_CFG5 0x400106c5u +#define CYREG_B0_P3_U1_CFG6 0x400106c6u +#define CYREG_B0_P3_U1_CFG7 0x400106c7u +#define CYREG_B0_P3_U1_CFG8 0x400106c8u +#define CYREG_B0_P3_U1_CFG9 0x400106c9u +#define CYREG_B0_P3_U1_CFG10 0x400106cau +#define CYREG_B0_P3_U1_CFG11 0x400106cbu +#define CYREG_B0_P3_U1_CFG12 0x400106ccu +#define CYREG_B0_P3_U1_CFG13 0x400106cdu +#define CYREG_B0_P3_U1_CFG14 0x400106ceu +#define CYREG_B0_P3_U1_CFG15 0x400106cfu +#define CYREG_B0_P3_U1_CFG16 0x400106d0u +#define CYREG_B0_P3_U1_CFG17 0x400106d1u +#define CYREG_B0_P3_U1_CFG18 0x400106d2u +#define CYREG_B0_P3_U1_CFG19 0x400106d3u +#define CYREG_B0_P3_U1_CFG20 0x400106d4u +#define CYREG_B0_P3_U1_CFG21 0x400106d5u +#define CYREG_B0_P3_U1_CFG22 0x400106d6u +#define CYREG_B0_P3_U1_CFG23 0x400106d7u +#define CYREG_B0_P3_U1_CFG24 0x400106d8u +#define CYREG_B0_P3_U1_CFG25 0x400106d9u +#define CYREG_B0_P3_U1_CFG26 0x400106dau +#define CYREG_B0_P3_U1_CFG27 0x400106dbu +#define CYREG_B0_P3_U1_CFG28 0x400106dcu +#define CYREG_B0_P3_U1_CFG29 0x400106ddu +#define CYREG_B0_P3_U1_CFG30 0x400106deu +#define CYREG_B0_P3_U1_CFG31 0x400106dfu +#define CYREG_B0_P3_U1_DCFG0 0x400106e0u +#define CYREG_B0_P3_U1_DCFG1 0x400106e2u +#define CYREG_B0_P3_U1_DCFG2 0x400106e4u +#define CYREG_B0_P3_U1_DCFG3 0x400106e6u +#define CYREG_B0_P3_U1_DCFG4 0x400106e8u +#define CYREG_B0_P3_U1_DCFG5 0x400106eau +#define CYREG_B0_P3_U1_DCFG6 0x400106ecu +#define CYREG_B0_P3_U1_DCFG7 0x400106eeu +#define CYDEV_UCFG_B0_P3_ROUTE_BASE 0x40010700u +#define CYDEV_UCFG_B0_P3_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P4_BASE 0x40010800u +#define CYDEV_UCFG_B0_P4_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P4_U0_BASE 0x40010800u +#define CYDEV_UCFG_B0_P4_U0_SIZE 0x00000070u +#define CYREG_B0_P4_U0_PLD_IT0 0x40010800u +#define CYREG_B0_P4_U0_PLD_IT1 0x40010804u +#define CYREG_B0_P4_U0_PLD_IT2 0x40010808u +#define CYREG_B0_P4_U0_PLD_IT3 0x4001080cu +#define CYREG_B0_P4_U0_PLD_IT4 0x40010810u +#define CYREG_B0_P4_U0_PLD_IT5 0x40010814u +#define CYREG_B0_P4_U0_PLD_IT6 0x40010818u +#define CYREG_B0_P4_U0_PLD_IT7 0x4001081cu +#define CYREG_B0_P4_U0_PLD_IT8 0x40010820u +#define CYREG_B0_P4_U0_PLD_IT9 0x40010824u +#define CYREG_B0_P4_U0_PLD_IT10 0x40010828u +#define CYREG_B0_P4_U0_PLD_IT11 0x4001082cu +#define CYREG_B0_P4_U0_PLD_ORT0 0x40010830u +#define CYREG_B0_P4_U0_PLD_ORT1 0x40010832u +#define CYREG_B0_P4_U0_PLD_ORT2 0x40010834u +#define CYREG_B0_P4_U0_PLD_ORT3 0x40010836u +#define CYREG_B0_P4_U0_MC_CFG_CEN_CONST 0x40010838u +#define CYREG_B0_P4_U0_MC_CFG_XORFB 0x4001083au +#define CYREG_B0_P4_U0_MC_CFG_SET_RESET 0x4001083cu +#define CYREG_B0_P4_U0_MC_CFG_BYPASS 0x4001083eu +#define CYREG_B0_P4_U0_CFG0 0x40010840u +#define CYREG_B0_P4_U0_CFG1 0x40010841u +#define CYREG_B0_P4_U0_CFG2 0x40010842u +#define CYREG_B0_P4_U0_CFG3 0x40010843u +#define CYREG_B0_P4_U0_CFG4 0x40010844u +#define CYREG_B0_P4_U0_CFG5 0x40010845u +#define CYREG_B0_P4_U0_CFG6 0x40010846u +#define CYREG_B0_P4_U0_CFG7 0x40010847u +#define CYREG_B0_P4_U0_CFG8 0x40010848u +#define CYREG_B0_P4_U0_CFG9 0x40010849u +#define CYREG_B0_P4_U0_CFG10 0x4001084au +#define CYREG_B0_P4_U0_CFG11 0x4001084bu +#define CYREG_B0_P4_U0_CFG12 0x4001084cu +#define CYREG_B0_P4_U0_CFG13 0x4001084du +#define CYREG_B0_P4_U0_CFG14 0x4001084eu +#define CYREG_B0_P4_U0_CFG15 0x4001084fu +#define CYREG_B0_P4_U0_CFG16 0x40010850u +#define CYREG_B0_P4_U0_CFG17 0x40010851u +#define CYREG_B0_P4_U0_CFG18 0x40010852u +#define CYREG_B0_P4_U0_CFG19 0x40010853u +#define CYREG_B0_P4_U0_CFG20 0x40010854u +#define CYREG_B0_P4_U0_CFG21 0x40010855u +#define CYREG_B0_P4_U0_CFG22 0x40010856u +#define CYREG_B0_P4_U0_CFG23 0x40010857u +#define CYREG_B0_P4_U0_CFG24 0x40010858u +#define CYREG_B0_P4_U0_CFG25 0x40010859u +#define CYREG_B0_P4_U0_CFG26 0x4001085au +#define CYREG_B0_P4_U0_CFG27 0x4001085bu +#define CYREG_B0_P4_U0_CFG28 0x4001085cu +#define CYREG_B0_P4_U0_CFG29 0x4001085du +#define CYREG_B0_P4_U0_CFG30 0x4001085eu +#define CYREG_B0_P4_U0_CFG31 0x4001085fu +#define CYREG_B0_P4_U0_DCFG0 0x40010860u +#define CYREG_B0_P4_U0_DCFG1 0x40010862u +#define CYREG_B0_P4_U0_DCFG2 0x40010864u +#define CYREG_B0_P4_U0_DCFG3 0x40010866u +#define CYREG_B0_P4_U0_DCFG4 0x40010868u +#define CYREG_B0_P4_U0_DCFG5 0x4001086au +#define CYREG_B0_P4_U0_DCFG6 0x4001086cu +#define CYREG_B0_P4_U0_DCFG7 0x4001086eu +#define CYDEV_UCFG_B0_P4_U1_BASE 0x40010880u +#define CYDEV_UCFG_B0_P4_U1_SIZE 0x00000070u +#define CYREG_B0_P4_U1_PLD_IT0 0x40010880u +#define CYREG_B0_P4_U1_PLD_IT1 0x40010884u +#define CYREG_B0_P4_U1_PLD_IT2 0x40010888u +#define CYREG_B0_P4_U1_PLD_IT3 0x4001088cu +#define CYREG_B0_P4_U1_PLD_IT4 0x40010890u +#define CYREG_B0_P4_U1_PLD_IT5 0x40010894u +#define CYREG_B0_P4_U1_PLD_IT6 0x40010898u +#define CYREG_B0_P4_U1_PLD_IT7 0x4001089cu +#define CYREG_B0_P4_U1_PLD_IT8 0x400108a0u +#define CYREG_B0_P4_U1_PLD_IT9 0x400108a4u +#define CYREG_B0_P4_U1_PLD_IT10 0x400108a8u +#define CYREG_B0_P4_U1_PLD_IT11 0x400108acu +#define CYREG_B0_P4_U1_PLD_ORT0 0x400108b0u +#define CYREG_B0_P4_U1_PLD_ORT1 0x400108b2u +#define CYREG_B0_P4_U1_PLD_ORT2 0x400108b4u +#define CYREG_B0_P4_U1_PLD_ORT3 0x400108b6u +#define CYREG_B0_P4_U1_MC_CFG_CEN_CONST 0x400108b8u +#define CYREG_B0_P4_U1_MC_CFG_XORFB 0x400108bau +#define CYREG_B0_P4_U1_MC_CFG_SET_RESET 0x400108bcu +#define CYREG_B0_P4_U1_MC_CFG_BYPASS 0x400108beu +#define CYREG_B0_P4_U1_CFG0 0x400108c0u +#define CYREG_B0_P4_U1_CFG1 0x400108c1u +#define CYREG_B0_P4_U1_CFG2 0x400108c2u +#define CYREG_B0_P4_U1_CFG3 0x400108c3u +#define CYREG_B0_P4_U1_CFG4 0x400108c4u +#define CYREG_B0_P4_U1_CFG5 0x400108c5u +#define CYREG_B0_P4_U1_CFG6 0x400108c6u +#define CYREG_B0_P4_U1_CFG7 0x400108c7u +#define CYREG_B0_P4_U1_CFG8 0x400108c8u +#define CYREG_B0_P4_U1_CFG9 0x400108c9u +#define CYREG_B0_P4_U1_CFG10 0x400108cau +#define CYREG_B0_P4_U1_CFG11 0x400108cbu +#define CYREG_B0_P4_U1_CFG12 0x400108ccu +#define CYREG_B0_P4_U1_CFG13 0x400108cdu +#define CYREG_B0_P4_U1_CFG14 0x400108ceu +#define CYREG_B0_P4_U1_CFG15 0x400108cfu +#define CYREG_B0_P4_U1_CFG16 0x400108d0u +#define CYREG_B0_P4_U1_CFG17 0x400108d1u +#define CYREG_B0_P4_U1_CFG18 0x400108d2u +#define CYREG_B0_P4_U1_CFG19 0x400108d3u +#define CYREG_B0_P4_U1_CFG20 0x400108d4u +#define CYREG_B0_P4_U1_CFG21 0x400108d5u +#define CYREG_B0_P4_U1_CFG22 0x400108d6u +#define CYREG_B0_P4_U1_CFG23 0x400108d7u +#define CYREG_B0_P4_U1_CFG24 0x400108d8u +#define CYREG_B0_P4_U1_CFG25 0x400108d9u +#define CYREG_B0_P4_U1_CFG26 0x400108dau +#define CYREG_B0_P4_U1_CFG27 0x400108dbu +#define CYREG_B0_P4_U1_CFG28 0x400108dcu +#define CYREG_B0_P4_U1_CFG29 0x400108ddu +#define CYREG_B0_P4_U1_CFG30 0x400108deu +#define CYREG_B0_P4_U1_CFG31 0x400108dfu +#define CYREG_B0_P4_U1_DCFG0 0x400108e0u +#define CYREG_B0_P4_U1_DCFG1 0x400108e2u +#define CYREG_B0_P4_U1_DCFG2 0x400108e4u +#define CYREG_B0_P4_U1_DCFG3 0x400108e6u +#define CYREG_B0_P4_U1_DCFG4 0x400108e8u +#define CYREG_B0_P4_U1_DCFG5 0x400108eau +#define CYREG_B0_P4_U1_DCFG6 0x400108ecu +#define CYREG_B0_P4_U1_DCFG7 0x400108eeu +#define CYDEV_UCFG_B0_P4_ROUTE_BASE 0x40010900u +#define CYDEV_UCFG_B0_P4_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P5_BASE 0x40010a00u +#define CYDEV_UCFG_B0_P5_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P5_U0_BASE 0x40010a00u +#define CYDEV_UCFG_B0_P5_U0_SIZE 0x00000070u +#define CYREG_B0_P5_U0_PLD_IT0 0x40010a00u +#define CYREG_B0_P5_U0_PLD_IT1 0x40010a04u +#define CYREG_B0_P5_U0_PLD_IT2 0x40010a08u +#define CYREG_B0_P5_U0_PLD_IT3 0x40010a0cu +#define CYREG_B0_P5_U0_PLD_IT4 0x40010a10u +#define CYREG_B0_P5_U0_PLD_IT5 0x40010a14u +#define CYREG_B0_P5_U0_PLD_IT6 0x40010a18u +#define CYREG_B0_P5_U0_PLD_IT7 0x40010a1cu +#define CYREG_B0_P5_U0_PLD_IT8 0x40010a20u +#define CYREG_B0_P5_U0_PLD_IT9 0x40010a24u +#define CYREG_B0_P5_U0_PLD_IT10 0x40010a28u +#define CYREG_B0_P5_U0_PLD_IT11 0x40010a2cu +#define CYREG_B0_P5_U0_PLD_ORT0 0x40010a30u +#define CYREG_B0_P5_U0_PLD_ORT1 0x40010a32u +#define CYREG_B0_P5_U0_PLD_ORT2 0x40010a34u +#define CYREG_B0_P5_U0_PLD_ORT3 0x40010a36u +#define CYREG_B0_P5_U0_MC_CFG_CEN_CONST 0x40010a38u +#define CYREG_B0_P5_U0_MC_CFG_XORFB 0x40010a3au +#define CYREG_B0_P5_U0_MC_CFG_SET_RESET 0x40010a3cu +#define CYREG_B0_P5_U0_MC_CFG_BYPASS 0x40010a3eu +#define CYREG_B0_P5_U0_CFG0 0x40010a40u +#define CYREG_B0_P5_U0_CFG1 0x40010a41u +#define CYREG_B0_P5_U0_CFG2 0x40010a42u +#define CYREG_B0_P5_U0_CFG3 0x40010a43u +#define CYREG_B0_P5_U0_CFG4 0x40010a44u +#define CYREG_B0_P5_U0_CFG5 0x40010a45u +#define CYREG_B0_P5_U0_CFG6 0x40010a46u +#define CYREG_B0_P5_U0_CFG7 0x40010a47u +#define CYREG_B0_P5_U0_CFG8 0x40010a48u +#define CYREG_B0_P5_U0_CFG9 0x40010a49u +#define CYREG_B0_P5_U0_CFG10 0x40010a4au +#define CYREG_B0_P5_U0_CFG11 0x40010a4bu +#define CYREG_B0_P5_U0_CFG12 0x40010a4cu +#define CYREG_B0_P5_U0_CFG13 0x40010a4du +#define CYREG_B0_P5_U0_CFG14 0x40010a4eu +#define CYREG_B0_P5_U0_CFG15 0x40010a4fu +#define CYREG_B0_P5_U0_CFG16 0x40010a50u +#define CYREG_B0_P5_U0_CFG17 0x40010a51u +#define CYREG_B0_P5_U0_CFG18 0x40010a52u +#define CYREG_B0_P5_U0_CFG19 0x40010a53u +#define CYREG_B0_P5_U0_CFG20 0x40010a54u +#define CYREG_B0_P5_U0_CFG21 0x40010a55u +#define CYREG_B0_P5_U0_CFG22 0x40010a56u +#define CYREG_B0_P5_U0_CFG23 0x40010a57u +#define CYREG_B0_P5_U0_CFG24 0x40010a58u +#define CYREG_B0_P5_U0_CFG25 0x40010a59u +#define CYREG_B0_P5_U0_CFG26 0x40010a5au +#define CYREG_B0_P5_U0_CFG27 0x40010a5bu +#define CYREG_B0_P5_U0_CFG28 0x40010a5cu +#define CYREG_B0_P5_U0_CFG29 0x40010a5du +#define CYREG_B0_P5_U0_CFG30 0x40010a5eu +#define CYREG_B0_P5_U0_CFG31 0x40010a5fu +#define CYREG_B0_P5_U0_DCFG0 0x40010a60u +#define CYREG_B0_P5_U0_DCFG1 0x40010a62u +#define CYREG_B0_P5_U0_DCFG2 0x40010a64u +#define CYREG_B0_P5_U0_DCFG3 0x40010a66u +#define CYREG_B0_P5_U0_DCFG4 0x40010a68u +#define CYREG_B0_P5_U0_DCFG5 0x40010a6au +#define CYREG_B0_P5_U0_DCFG6 0x40010a6cu +#define CYREG_B0_P5_U0_DCFG7 0x40010a6eu +#define CYDEV_UCFG_B0_P5_U1_BASE 0x40010a80u +#define CYDEV_UCFG_B0_P5_U1_SIZE 0x00000070u +#define CYREG_B0_P5_U1_PLD_IT0 0x40010a80u +#define CYREG_B0_P5_U1_PLD_IT1 0x40010a84u +#define CYREG_B0_P5_U1_PLD_IT2 0x40010a88u +#define CYREG_B0_P5_U1_PLD_IT3 0x40010a8cu +#define CYREG_B0_P5_U1_PLD_IT4 0x40010a90u +#define CYREG_B0_P5_U1_PLD_IT5 0x40010a94u +#define CYREG_B0_P5_U1_PLD_IT6 0x40010a98u +#define CYREG_B0_P5_U1_PLD_IT7 0x40010a9cu +#define CYREG_B0_P5_U1_PLD_IT8 0x40010aa0u +#define CYREG_B0_P5_U1_PLD_IT9 0x40010aa4u +#define CYREG_B0_P5_U1_PLD_IT10 0x40010aa8u +#define CYREG_B0_P5_U1_PLD_IT11 0x40010aacu +#define CYREG_B0_P5_U1_PLD_ORT0 0x40010ab0u +#define CYREG_B0_P5_U1_PLD_ORT1 0x40010ab2u +#define CYREG_B0_P5_U1_PLD_ORT2 0x40010ab4u +#define CYREG_B0_P5_U1_PLD_ORT3 0x40010ab6u +#define CYREG_B0_P5_U1_MC_CFG_CEN_CONST 0x40010ab8u +#define CYREG_B0_P5_U1_MC_CFG_XORFB 0x40010abau +#define CYREG_B0_P5_U1_MC_CFG_SET_RESET 0x40010abcu +#define CYREG_B0_P5_U1_MC_CFG_BYPASS 0x40010abeu +#define CYREG_B0_P5_U1_CFG0 0x40010ac0u +#define CYREG_B0_P5_U1_CFG1 0x40010ac1u +#define CYREG_B0_P5_U1_CFG2 0x40010ac2u +#define CYREG_B0_P5_U1_CFG3 0x40010ac3u +#define CYREG_B0_P5_U1_CFG4 0x40010ac4u +#define CYREG_B0_P5_U1_CFG5 0x40010ac5u +#define CYREG_B0_P5_U1_CFG6 0x40010ac6u +#define CYREG_B0_P5_U1_CFG7 0x40010ac7u +#define CYREG_B0_P5_U1_CFG8 0x40010ac8u +#define CYREG_B0_P5_U1_CFG9 0x40010ac9u +#define CYREG_B0_P5_U1_CFG10 0x40010acau +#define CYREG_B0_P5_U1_CFG11 0x40010acbu +#define CYREG_B0_P5_U1_CFG12 0x40010accu +#define CYREG_B0_P5_U1_CFG13 0x40010acdu +#define CYREG_B0_P5_U1_CFG14 0x40010aceu +#define CYREG_B0_P5_U1_CFG15 0x40010acfu +#define CYREG_B0_P5_U1_CFG16 0x40010ad0u +#define CYREG_B0_P5_U1_CFG17 0x40010ad1u +#define CYREG_B0_P5_U1_CFG18 0x40010ad2u +#define CYREG_B0_P5_U1_CFG19 0x40010ad3u +#define CYREG_B0_P5_U1_CFG20 0x40010ad4u +#define CYREG_B0_P5_U1_CFG21 0x40010ad5u +#define CYREG_B0_P5_U1_CFG22 0x40010ad6u +#define CYREG_B0_P5_U1_CFG23 0x40010ad7u +#define CYREG_B0_P5_U1_CFG24 0x40010ad8u +#define CYREG_B0_P5_U1_CFG25 0x40010ad9u +#define CYREG_B0_P5_U1_CFG26 0x40010adau +#define CYREG_B0_P5_U1_CFG27 0x40010adbu +#define CYREG_B0_P5_U1_CFG28 0x40010adcu +#define CYREG_B0_P5_U1_CFG29 0x40010addu +#define CYREG_B0_P5_U1_CFG30 0x40010adeu +#define CYREG_B0_P5_U1_CFG31 0x40010adfu +#define CYREG_B0_P5_U1_DCFG0 0x40010ae0u +#define CYREG_B0_P5_U1_DCFG1 0x40010ae2u +#define CYREG_B0_P5_U1_DCFG2 0x40010ae4u +#define CYREG_B0_P5_U1_DCFG3 0x40010ae6u +#define CYREG_B0_P5_U1_DCFG4 0x40010ae8u +#define CYREG_B0_P5_U1_DCFG5 0x40010aeau +#define CYREG_B0_P5_U1_DCFG6 0x40010aecu +#define CYREG_B0_P5_U1_DCFG7 0x40010aeeu +#define CYDEV_UCFG_B0_P5_ROUTE_BASE 0x40010b00u +#define CYDEV_UCFG_B0_P5_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P6_BASE 0x40010c00u +#define CYDEV_UCFG_B0_P6_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P6_U0_BASE 0x40010c00u +#define CYDEV_UCFG_B0_P6_U0_SIZE 0x00000070u +#define CYREG_B0_P6_U0_PLD_IT0 0x40010c00u +#define CYREG_B0_P6_U0_PLD_IT1 0x40010c04u +#define CYREG_B0_P6_U0_PLD_IT2 0x40010c08u +#define CYREG_B0_P6_U0_PLD_IT3 0x40010c0cu +#define CYREG_B0_P6_U0_PLD_IT4 0x40010c10u +#define CYREG_B0_P6_U0_PLD_IT5 0x40010c14u +#define CYREG_B0_P6_U0_PLD_IT6 0x40010c18u +#define CYREG_B0_P6_U0_PLD_IT7 0x40010c1cu +#define CYREG_B0_P6_U0_PLD_IT8 0x40010c20u +#define CYREG_B0_P6_U0_PLD_IT9 0x40010c24u +#define CYREG_B0_P6_U0_PLD_IT10 0x40010c28u +#define CYREG_B0_P6_U0_PLD_IT11 0x40010c2cu +#define CYREG_B0_P6_U0_PLD_ORT0 0x40010c30u +#define CYREG_B0_P6_U0_PLD_ORT1 0x40010c32u +#define CYREG_B0_P6_U0_PLD_ORT2 0x40010c34u +#define CYREG_B0_P6_U0_PLD_ORT3 0x40010c36u +#define CYREG_B0_P6_U0_MC_CFG_CEN_CONST 0x40010c38u +#define CYREG_B0_P6_U0_MC_CFG_XORFB 0x40010c3au +#define CYREG_B0_P6_U0_MC_CFG_SET_RESET 0x40010c3cu +#define CYREG_B0_P6_U0_MC_CFG_BYPASS 0x40010c3eu +#define CYREG_B0_P6_U0_CFG0 0x40010c40u +#define CYREG_B0_P6_U0_CFG1 0x40010c41u +#define CYREG_B0_P6_U0_CFG2 0x40010c42u +#define CYREG_B0_P6_U0_CFG3 0x40010c43u +#define CYREG_B0_P6_U0_CFG4 0x40010c44u +#define CYREG_B0_P6_U0_CFG5 0x40010c45u +#define CYREG_B0_P6_U0_CFG6 0x40010c46u +#define CYREG_B0_P6_U0_CFG7 0x40010c47u +#define CYREG_B0_P6_U0_CFG8 0x40010c48u +#define CYREG_B0_P6_U0_CFG9 0x40010c49u +#define CYREG_B0_P6_U0_CFG10 0x40010c4au +#define CYREG_B0_P6_U0_CFG11 0x40010c4bu +#define CYREG_B0_P6_U0_CFG12 0x40010c4cu +#define CYREG_B0_P6_U0_CFG13 0x40010c4du +#define CYREG_B0_P6_U0_CFG14 0x40010c4eu +#define CYREG_B0_P6_U0_CFG15 0x40010c4fu +#define CYREG_B0_P6_U0_CFG16 0x40010c50u +#define CYREG_B0_P6_U0_CFG17 0x40010c51u +#define CYREG_B0_P6_U0_CFG18 0x40010c52u +#define CYREG_B0_P6_U0_CFG19 0x40010c53u +#define CYREG_B0_P6_U0_CFG20 0x40010c54u +#define CYREG_B0_P6_U0_CFG21 0x40010c55u +#define CYREG_B0_P6_U0_CFG22 0x40010c56u +#define CYREG_B0_P6_U0_CFG23 0x40010c57u +#define CYREG_B0_P6_U0_CFG24 0x40010c58u +#define CYREG_B0_P6_U0_CFG25 0x40010c59u +#define CYREG_B0_P6_U0_CFG26 0x40010c5au +#define CYREG_B0_P6_U0_CFG27 0x40010c5bu +#define CYREG_B0_P6_U0_CFG28 0x40010c5cu +#define CYREG_B0_P6_U0_CFG29 0x40010c5du +#define CYREG_B0_P6_U0_CFG30 0x40010c5eu +#define CYREG_B0_P6_U0_CFG31 0x40010c5fu +#define CYREG_B0_P6_U0_DCFG0 0x40010c60u +#define CYREG_B0_P6_U0_DCFG1 0x40010c62u +#define CYREG_B0_P6_U0_DCFG2 0x40010c64u +#define CYREG_B0_P6_U0_DCFG3 0x40010c66u +#define CYREG_B0_P6_U0_DCFG4 0x40010c68u +#define CYREG_B0_P6_U0_DCFG5 0x40010c6au +#define CYREG_B0_P6_U0_DCFG6 0x40010c6cu +#define CYREG_B0_P6_U0_DCFG7 0x40010c6eu +#define CYDEV_UCFG_B0_P6_U1_BASE 0x40010c80u +#define CYDEV_UCFG_B0_P6_U1_SIZE 0x00000070u +#define CYREG_B0_P6_U1_PLD_IT0 0x40010c80u +#define CYREG_B0_P6_U1_PLD_IT1 0x40010c84u +#define CYREG_B0_P6_U1_PLD_IT2 0x40010c88u +#define CYREG_B0_P6_U1_PLD_IT3 0x40010c8cu +#define CYREG_B0_P6_U1_PLD_IT4 0x40010c90u +#define CYREG_B0_P6_U1_PLD_IT5 0x40010c94u +#define CYREG_B0_P6_U1_PLD_IT6 0x40010c98u +#define CYREG_B0_P6_U1_PLD_IT7 0x40010c9cu +#define CYREG_B0_P6_U1_PLD_IT8 0x40010ca0u +#define CYREG_B0_P6_U1_PLD_IT9 0x40010ca4u +#define CYREG_B0_P6_U1_PLD_IT10 0x40010ca8u +#define CYREG_B0_P6_U1_PLD_IT11 0x40010cacu +#define CYREG_B0_P6_U1_PLD_ORT0 0x40010cb0u +#define CYREG_B0_P6_U1_PLD_ORT1 0x40010cb2u +#define CYREG_B0_P6_U1_PLD_ORT2 0x40010cb4u +#define CYREG_B0_P6_U1_PLD_ORT3 0x40010cb6u +#define CYREG_B0_P6_U1_MC_CFG_CEN_CONST 0x40010cb8u +#define CYREG_B0_P6_U1_MC_CFG_XORFB 0x40010cbau +#define CYREG_B0_P6_U1_MC_CFG_SET_RESET 0x40010cbcu +#define CYREG_B0_P6_U1_MC_CFG_BYPASS 0x40010cbeu +#define CYREG_B0_P6_U1_CFG0 0x40010cc0u +#define CYREG_B0_P6_U1_CFG1 0x40010cc1u +#define CYREG_B0_P6_U1_CFG2 0x40010cc2u +#define CYREG_B0_P6_U1_CFG3 0x40010cc3u +#define CYREG_B0_P6_U1_CFG4 0x40010cc4u +#define CYREG_B0_P6_U1_CFG5 0x40010cc5u +#define CYREG_B0_P6_U1_CFG6 0x40010cc6u +#define CYREG_B0_P6_U1_CFG7 0x40010cc7u +#define CYREG_B0_P6_U1_CFG8 0x40010cc8u +#define CYREG_B0_P6_U1_CFG9 0x40010cc9u +#define CYREG_B0_P6_U1_CFG10 0x40010ccau +#define CYREG_B0_P6_U1_CFG11 0x40010ccbu +#define CYREG_B0_P6_U1_CFG12 0x40010cccu +#define CYREG_B0_P6_U1_CFG13 0x40010ccdu +#define CYREG_B0_P6_U1_CFG14 0x40010cceu +#define CYREG_B0_P6_U1_CFG15 0x40010ccfu +#define CYREG_B0_P6_U1_CFG16 0x40010cd0u +#define CYREG_B0_P6_U1_CFG17 0x40010cd1u +#define CYREG_B0_P6_U1_CFG18 0x40010cd2u +#define CYREG_B0_P6_U1_CFG19 0x40010cd3u +#define CYREG_B0_P6_U1_CFG20 0x40010cd4u +#define CYREG_B0_P6_U1_CFG21 0x40010cd5u +#define CYREG_B0_P6_U1_CFG22 0x40010cd6u +#define CYREG_B0_P6_U1_CFG23 0x40010cd7u +#define CYREG_B0_P6_U1_CFG24 0x40010cd8u +#define CYREG_B0_P6_U1_CFG25 0x40010cd9u +#define CYREG_B0_P6_U1_CFG26 0x40010cdau +#define CYREG_B0_P6_U1_CFG27 0x40010cdbu +#define CYREG_B0_P6_U1_CFG28 0x40010cdcu +#define CYREG_B0_P6_U1_CFG29 0x40010cddu +#define CYREG_B0_P6_U1_CFG30 0x40010cdeu +#define CYREG_B0_P6_U1_CFG31 0x40010cdfu +#define CYREG_B0_P6_U1_DCFG0 0x40010ce0u +#define CYREG_B0_P6_U1_DCFG1 0x40010ce2u +#define CYREG_B0_P6_U1_DCFG2 0x40010ce4u +#define CYREG_B0_P6_U1_DCFG3 0x40010ce6u +#define CYREG_B0_P6_U1_DCFG4 0x40010ce8u +#define CYREG_B0_P6_U1_DCFG5 0x40010ceau +#define CYREG_B0_P6_U1_DCFG6 0x40010cecu +#define CYREG_B0_P6_U1_DCFG7 0x40010ceeu +#define CYDEV_UCFG_B0_P6_ROUTE_BASE 0x40010d00u +#define CYDEV_UCFG_B0_P6_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B0_P7_BASE 0x40010e00u +#define CYDEV_UCFG_B0_P7_SIZE 0x000001efu +#define CYDEV_UCFG_B0_P7_U0_BASE 0x40010e00u +#define CYDEV_UCFG_B0_P7_U0_SIZE 0x00000070u +#define CYREG_B0_P7_U0_PLD_IT0 0x40010e00u +#define CYREG_B0_P7_U0_PLD_IT1 0x40010e04u +#define CYREG_B0_P7_U0_PLD_IT2 0x40010e08u +#define CYREG_B0_P7_U0_PLD_IT3 0x40010e0cu +#define CYREG_B0_P7_U0_PLD_IT4 0x40010e10u +#define CYREG_B0_P7_U0_PLD_IT5 0x40010e14u +#define CYREG_B0_P7_U0_PLD_IT6 0x40010e18u +#define CYREG_B0_P7_U0_PLD_IT7 0x40010e1cu +#define CYREG_B0_P7_U0_PLD_IT8 0x40010e20u +#define CYREG_B0_P7_U0_PLD_IT9 0x40010e24u +#define CYREG_B0_P7_U0_PLD_IT10 0x40010e28u +#define CYREG_B0_P7_U0_PLD_IT11 0x40010e2cu +#define CYREG_B0_P7_U0_PLD_ORT0 0x40010e30u +#define CYREG_B0_P7_U0_PLD_ORT1 0x40010e32u +#define CYREG_B0_P7_U0_PLD_ORT2 0x40010e34u +#define CYREG_B0_P7_U0_PLD_ORT3 0x40010e36u +#define CYREG_B0_P7_U0_MC_CFG_CEN_CONST 0x40010e38u +#define CYREG_B0_P7_U0_MC_CFG_XORFB 0x40010e3au +#define CYREG_B0_P7_U0_MC_CFG_SET_RESET 0x40010e3cu +#define CYREG_B0_P7_U0_MC_CFG_BYPASS 0x40010e3eu +#define CYREG_B0_P7_U0_CFG0 0x40010e40u +#define CYREG_B0_P7_U0_CFG1 0x40010e41u +#define CYREG_B0_P7_U0_CFG2 0x40010e42u +#define CYREG_B0_P7_U0_CFG3 0x40010e43u +#define CYREG_B0_P7_U0_CFG4 0x40010e44u +#define CYREG_B0_P7_U0_CFG5 0x40010e45u +#define CYREG_B0_P7_U0_CFG6 0x40010e46u +#define CYREG_B0_P7_U0_CFG7 0x40010e47u +#define CYREG_B0_P7_U0_CFG8 0x40010e48u +#define CYREG_B0_P7_U0_CFG9 0x40010e49u +#define CYREG_B0_P7_U0_CFG10 0x40010e4au +#define CYREG_B0_P7_U0_CFG11 0x40010e4bu +#define CYREG_B0_P7_U0_CFG12 0x40010e4cu +#define CYREG_B0_P7_U0_CFG13 0x40010e4du +#define CYREG_B0_P7_U0_CFG14 0x40010e4eu +#define CYREG_B0_P7_U0_CFG15 0x40010e4fu +#define CYREG_B0_P7_U0_CFG16 0x40010e50u +#define CYREG_B0_P7_U0_CFG17 0x40010e51u +#define CYREG_B0_P7_U0_CFG18 0x40010e52u +#define CYREG_B0_P7_U0_CFG19 0x40010e53u +#define CYREG_B0_P7_U0_CFG20 0x40010e54u +#define CYREG_B0_P7_U0_CFG21 0x40010e55u +#define CYREG_B0_P7_U0_CFG22 0x40010e56u +#define CYREG_B0_P7_U0_CFG23 0x40010e57u +#define CYREG_B0_P7_U0_CFG24 0x40010e58u +#define CYREG_B0_P7_U0_CFG25 0x40010e59u +#define CYREG_B0_P7_U0_CFG26 0x40010e5au +#define CYREG_B0_P7_U0_CFG27 0x40010e5bu +#define CYREG_B0_P7_U0_CFG28 0x40010e5cu +#define CYREG_B0_P7_U0_CFG29 0x40010e5du +#define CYREG_B0_P7_U0_CFG30 0x40010e5eu +#define CYREG_B0_P7_U0_CFG31 0x40010e5fu +#define CYREG_B0_P7_U0_DCFG0 0x40010e60u +#define CYREG_B0_P7_U0_DCFG1 0x40010e62u +#define CYREG_B0_P7_U0_DCFG2 0x40010e64u +#define CYREG_B0_P7_U0_DCFG3 0x40010e66u +#define CYREG_B0_P7_U0_DCFG4 0x40010e68u +#define CYREG_B0_P7_U0_DCFG5 0x40010e6au +#define CYREG_B0_P7_U0_DCFG6 0x40010e6cu +#define CYREG_B0_P7_U0_DCFG7 0x40010e6eu +#define CYDEV_UCFG_B0_P7_U1_BASE 0x40010e80u +#define CYDEV_UCFG_B0_P7_U1_SIZE 0x00000070u +#define CYREG_B0_P7_U1_PLD_IT0 0x40010e80u +#define CYREG_B0_P7_U1_PLD_IT1 0x40010e84u +#define CYREG_B0_P7_U1_PLD_IT2 0x40010e88u +#define CYREG_B0_P7_U1_PLD_IT3 0x40010e8cu +#define CYREG_B0_P7_U1_PLD_IT4 0x40010e90u +#define CYREG_B0_P7_U1_PLD_IT5 0x40010e94u +#define CYREG_B0_P7_U1_PLD_IT6 0x40010e98u +#define CYREG_B0_P7_U1_PLD_IT7 0x40010e9cu +#define CYREG_B0_P7_U1_PLD_IT8 0x40010ea0u +#define CYREG_B0_P7_U1_PLD_IT9 0x40010ea4u +#define CYREG_B0_P7_U1_PLD_IT10 0x40010ea8u +#define CYREG_B0_P7_U1_PLD_IT11 0x40010eacu +#define CYREG_B0_P7_U1_PLD_ORT0 0x40010eb0u +#define CYREG_B0_P7_U1_PLD_ORT1 0x40010eb2u +#define CYREG_B0_P7_U1_PLD_ORT2 0x40010eb4u +#define CYREG_B0_P7_U1_PLD_ORT3 0x40010eb6u +#define CYREG_B0_P7_U1_MC_CFG_CEN_CONST 0x40010eb8u +#define CYREG_B0_P7_U1_MC_CFG_XORFB 0x40010ebau +#define CYREG_B0_P7_U1_MC_CFG_SET_RESET 0x40010ebcu +#define CYREG_B0_P7_U1_MC_CFG_BYPASS 0x40010ebeu +#define CYREG_B0_P7_U1_CFG0 0x40010ec0u +#define CYREG_B0_P7_U1_CFG1 0x40010ec1u +#define CYREG_B0_P7_U1_CFG2 0x40010ec2u +#define CYREG_B0_P7_U1_CFG3 0x40010ec3u +#define CYREG_B0_P7_U1_CFG4 0x40010ec4u +#define CYREG_B0_P7_U1_CFG5 0x40010ec5u +#define CYREG_B0_P7_U1_CFG6 0x40010ec6u +#define CYREG_B0_P7_U1_CFG7 0x40010ec7u +#define CYREG_B0_P7_U1_CFG8 0x40010ec8u +#define CYREG_B0_P7_U1_CFG9 0x40010ec9u +#define CYREG_B0_P7_U1_CFG10 0x40010ecau +#define CYREG_B0_P7_U1_CFG11 0x40010ecbu +#define CYREG_B0_P7_U1_CFG12 0x40010eccu +#define CYREG_B0_P7_U1_CFG13 0x40010ecdu +#define CYREG_B0_P7_U1_CFG14 0x40010eceu +#define CYREG_B0_P7_U1_CFG15 0x40010ecfu +#define CYREG_B0_P7_U1_CFG16 0x40010ed0u +#define CYREG_B0_P7_U1_CFG17 0x40010ed1u +#define CYREG_B0_P7_U1_CFG18 0x40010ed2u +#define CYREG_B0_P7_U1_CFG19 0x40010ed3u +#define CYREG_B0_P7_U1_CFG20 0x40010ed4u +#define CYREG_B0_P7_U1_CFG21 0x40010ed5u +#define CYREG_B0_P7_U1_CFG22 0x40010ed6u +#define CYREG_B0_P7_U1_CFG23 0x40010ed7u +#define CYREG_B0_P7_U1_CFG24 0x40010ed8u +#define CYREG_B0_P7_U1_CFG25 0x40010ed9u +#define CYREG_B0_P7_U1_CFG26 0x40010edau +#define CYREG_B0_P7_U1_CFG27 0x40010edbu +#define CYREG_B0_P7_U1_CFG28 0x40010edcu +#define CYREG_B0_P7_U1_CFG29 0x40010eddu +#define CYREG_B0_P7_U1_CFG30 0x40010edeu +#define CYREG_B0_P7_U1_CFG31 0x40010edfu +#define CYREG_B0_P7_U1_DCFG0 0x40010ee0u +#define CYREG_B0_P7_U1_DCFG1 0x40010ee2u +#define CYREG_B0_P7_U1_DCFG2 0x40010ee4u +#define CYREG_B0_P7_U1_DCFG3 0x40010ee6u +#define CYREG_B0_P7_U1_DCFG4 0x40010ee8u +#define CYREG_B0_P7_U1_DCFG5 0x40010eeau +#define CYREG_B0_P7_U1_DCFG6 0x40010eecu +#define CYREG_B0_P7_U1_DCFG7 0x40010eeeu +#define CYDEV_UCFG_B0_P7_ROUTE_BASE 0x40010f00u +#define CYDEV_UCFG_B0_P7_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_BASE 0x40011000u +#define CYDEV_UCFG_B1_SIZE 0x00000fefu +#define CYDEV_UCFG_B1_P2_BASE 0x40011400u +#define CYDEV_UCFG_B1_P2_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P2_U0_BASE 0x40011400u +#define CYDEV_UCFG_B1_P2_U0_SIZE 0x00000070u +#define CYREG_B1_P2_U0_PLD_IT0 0x40011400u +#define CYREG_B1_P2_U0_PLD_IT1 0x40011404u +#define CYREG_B1_P2_U0_PLD_IT2 0x40011408u +#define CYREG_B1_P2_U0_PLD_IT3 0x4001140cu +#define CYREG_B1_P2_U0_PLD_IT4 0x40011410u +#define CYREG_B1_P2_U0_PLD_IT5 0x40011414u +#define CYREG_B1_P2_U0_PLD_IT6 0x40011418u +#define CYREG_B1_P2_U0_PLD_IT7 0x4001141cu +#define CYREG_B1_P2_U0_PLD_IT8 0x40011420u +#define CYREG_B1_P2_U0_PLD_IT9 0x40011424u +#define CYREG_B1_P2_U0_PLD_IT10 0x40011428u +#define CYREG_B1_P2_U0_PLD_IT11 0x4001142cu +#define CYREG_B1_P2_U0_PLD_ORT0 0x40011430u +#define CYREG_B1_P2_U0_PLD_ORT1 0x40011432u +#define CYREG_B1_P2_U0_PLD_ORT2 0x40011434u +#define CYREG_B1_P2_U0_PLD_ORT3 0x40011436u +#define CYREG_B1_P2_U0_MC_CFG_CEN_CONST 0x40011438u +#define CYREG_B1_P2_U0_MC_CFG_XORFB 0x4001143au +#define CYREG_B1_P2_U0_MC_CFG_SET_RESET 0x4001143cu +#define CYREG_B1_P2_U0_MC_CFG_BYPASS 0x4001143eu +#define CYREG_B1_P2_U0_CFG0 0x40011440u +#define CYREG_B1_P2_U0_CFG1 0x40011441u +#define CYREG_B1_P2_U0_CFG2 0x40011442u +#define CYREG_B1_P2_U0_CFG3 0x40011443u +#define CYREG_B1_P2_U0_CFG4 0x40011444u +#define CYREG_B1_P2_U0_CFG5 0x40011445u +#define CYREG_B1_P2_U0_CFG6 0x40011446u +#define CYREG_B1_P2_U0_CFG7 0x40011447u +#define CYREG_B1_P2_U0_CFG8 0x40011448u +#define CYREG_B1_P2_U0_CFG9 0x40011449u +#define CYREG_B1_P2_U0_CFG10 0x4001144au +#define CYREG_B1_P2_U0_CFG11 0x4001144bu +#define CYREG_B1_P2_U0_CFG12 0x4001144cu +#define CYREG_B1_P2_U0_CFG13 0x4001144du +#define CYREG_B1_P2_U0_CFG14 0x4001144eu +#define CYREG_B1_P2_U0_CFG15 0x4001144fu +#define CYREG_B1_P2_U0_CFG16 0x40011450u +#define CYREG_B1_P2_U0_CFG17 0x40011451u +#define CYREG_B1_P2_U0_CFG18 0x40011452u +#define CYREG_B1_P2_U0_CFG19 0x40011453u +#define CYREG_B1_P2_U0_CFG20 0x40011454u +#define CYREG_B1_P2_U0_CFG21 0x40011455u +#define CYREG_B1_P2_U0_CFG22 0x40011456u +#define CYREG_B1_P2_U0_CFG23 0x40011457u +#define CYREG_B1_P2_U0_CFG24 0x40011458u +#define CYREG_B1_P2_U0_CFG25 0x40011459u +#define CYREG_B1_P2_U0_CFG26 0x4001145au +#define CYREG_B1_P2_U0_CFG27 0x4001145bu +#define CYREG_B1_P2_U0_CFG28 0x4001145cu +#define CYREG_B1_P2_U0_CFG29 0x4001145du +#define CYREG_B1_P2_U0_CFG30 0x4001145eu +#define CYREG_B1_P2_U0_CFG31 0x4001145fu +#define CYREG_B1_P2_U0_DCFG0 0x40011460u +#define CYREG_B1_P2_U0_DCFG1 0x40011462u +#define CYREG_B1_P2_U0_DCFG2 0x40011464u +#define CYREG_B1_P2_U0_DCFG3 0x40011466u +#define CYREG_B1_P2_U0_DCFG4 0x40011468u +#define CYREG_B1_P2_U0_DCFG5 0x4001146au +#define CYREG_B1_P2_U0_DCFG6 0x4001146cu +#define CYREG_B1_P2_U0_DCFG7 0x4001146eu +#define CYDEV_UCFG_B1_P2_U1_BASE 0x40011480u +#define CYDEV_UCFG_B1_P2_U1_SIZE 0x00000070u +#define CYREG_B1_P2_U1_PLD_IT0 0x40011480u +#define CYREG_B1_P2_U1_PLD_IT1 0x40011484u +#define CYREG_B1_P2_U1_PLD_IT2 0x40011488u +#define CYREG_B1_P2_U1_PLD_IT3 0x4001148cu +#define CYREG_B1_P2_U1_PLD_IT4 0x40011490u +#define CYREG_B1_P2_U1_PLD_IT5 0x40011494u +#define CYREG_B1_P2_U1_PLD_IT6 0x40011498u +#define CYREG_B1_P2_U1_PLD_IT7 0x4001149cu +#define CYREG_B1_P2_U1_PLD_IT8 0x400114a0u +#define CYREG_B1_P2_U1_PLD_IT9 0x400114a4u +#define CYREG_B1_P2_U1_PLD_IT10 0x400114a8u +#define CYREG_B1_P2_U1_PLD_IT11 0x400114acu +#define CYREG_B1_P2_U1_PLD_ORT0 0x400114b0u +#define CYREG_B1_P2_U1_PLD_ORT1 0x400114b2u +#define CYREG_B1_P2_U1_PLD_ORT2 0x400114b4u +#define CYREG_B1_P2_U1_PLD_ORT3 0x400114b6u +#define CYREG_B1_P2_U1_MC_CFG_CEN_CONST 0x400114b8u +#define CYREG_B1_P2_U1_MC_CFG_XORFB 0x400114bau +#define CYREG_B1_P2_U1_MC_CFG_SET_RESET 0x400114bcu +#define CYREG_B1_P2_U1_MC_CFG_BYPASS 0x400114beu +#define CYREG_B1_P2_U1_CFG0 0x400114c0u +#define CYREG_B1_P2_U1_CFG1 0x400114c1u +#define CYREG_B1_P2_U1_CFG2 0x400114c2u +#define CYREG_B1_P2_U1_CFG3 0x400114c3u +#define CYREG_B1_P2_U1_CFG4 0x400114c4u +#define CYREG_B1_P2_U1_CFG5 0x400114c5u +#define CYREG_B1_P2_U1_CFG6 0x400114c6u +#define CYREG_B1_P2_U1_CFG7 0x400114c7u +#define CYREG_B1_P2_U1_CFG8 0x400114c8u +#define CYREG_B1_P2_U1_CFG9 0x400114c9u +#define CYREG_B1_P2_U1_CFG10 0x400114cau +#define CYREG_B1_P2_U1_CFG11 0x400114cbu +#define CYREG_B1_P2_U1_CFG12 0x400114ccu +#define CYREG_B1_P2_U1_CFG13 0x400114cdu +#define CYREG_B1_P2_U1_CFG14 0x400114ceu +#define CYREG_B1_P2_U1_CFG15 0x400114cfu +#define CYREG_B1_P2_U1_CFG16 0x400114d0u +#define CYREG_B1_P2_U1_CFG17 0x400114d1u +#define CYREG_B1_P2_U1_CFG18 0x400114d2u +#define CYREG_B1_P2_U1_CFG19 0x400114d3u +#define CYREG_B1_P2_U1_CFG20 0x400114d4u +#define CYREG_B1_P2_U1_CFG21 0x400114d5u +#define CYREG_B1_P2_U1_CFG22 0x400114d6u +#define CYREG_B1_P2_U1_CFG23 0x400114d7u +#define CYREG_B1_P2_U1_CFG24 0x400114d8u +#define CYREG_B1_P2_U1_CFG25 0x400114d9u +#define CYREG_B1_P2_U1_CFG26 0x400114dau +#define CYREG_B1_P2_U1_CFG27 0x400114dbu +#define CYREG_B1_P2_U1_CFG28 0x400114dcu +#define CYREG_B1_P2_U1_CFG29 0x400114ddu +#define CYREG_B1_P2_U1_CFG30 0x400114deu +#define CYREG_B1_P2_U1_CFG31 0x400114dfu +#define CYREG_B1_P2_U1_DCFG0 0x400114e0u +#define CYREG_B1_P2_U1_DCFG1 0x400114e2u +#define CYREG_B1_P2_U1_DCFG2 0x400114e4u +#define CYREG_B1_P2_U1_DCFG3 0x400114e6u +#define CYREG_B1_P2_U1_DCFG4 0x400114e8u +#define CYREG_B1_P2_U1_DCFG5 0x400114eau +#define CYREG_B1_P2_U1_DCFG6 0x400114ecu +#define CYREG_B1_P2_U1_DCFG7 0x400114eeu +#define CYDEV_UCFG_B1_P2_ROUTE_BASE 0x40011500u +#define CYDEV_UCFG_B1_P2_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P3_BASE 0x40011600u +#define CYDEV_UCFG_B1_P3_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P3_U0_BASE 0x40011600u +#define CYDEV_UCFG_B1_P3_U0_SIZE 0x00000070u +#define CYREG_B1_P3_U0_PLD_IT0 0x40011600u +#define CYREG_B1_P3_U0_PLD_IT1 0x40011604u +#define CYREG_B1_P3_U0_PLD_IT2 0x40011608u +#define CYREG_B1_P3_U0_PLD_IT3 0x4001160cu +#define CYREG_B1_P3_U0_PLD_IT4 0x40011610u +#define CYREG_B1_P3_U0_PLD_IT5 0x40011614u +#define CYREG_B1_P3_U0_PLD_IT6 0x40011618u +#define CYREG_B1_P3_U0_PLD_IT7 0x4001161cu +#define CYREG_B1_P3_U0_PLD_IT8 0x40011620u +#define CYREG_B1_P3_U0_PLD_IT9 0x40011624u +#define CYREG_B1_P3_U0_PLD_IT10 0x40011628u +#define CYREG_B1_P3_U0_PLD_IT11 0x4001162cu +#define CYREG_B1_P3_U0_PLD_ORT0 0x40011630u +#define CYREG_B1_P3_U0_PLD_ORT1 0x40011632u +#define CYREG_B1_P3_U0_PLD_ORT2 0x40011634u +#define CYREG_B1_P3_U0_PLD_ORT3 0x40011636u +#define CYREG_B1_P3_U0_MC_CFG_CEN_CONST 0x40011638u +#define CYREG_B1_P3_U0_MC_CFG_XORFB 0x4001163au +#define CYREG_B1_P3_U0_MC_CFG_SET_RESET 0x4001163cu +#define CYREG_B1_P3_U0_MC_CFG_BYPASS 0x4001163eu +#define CYREG_B1_P3_U0_CFG0 0x40011640u +#define CYREG_B1_P3_U0_CFG1 0x40011641u +#define CYREG_B1_P3_U0_CFG2 0x40011642u +#define CYREG_B1_P3_U0_CFG3 0x40011643u +#define CYREG_B1_P3_U0_CFG4 0x40011644u +#define CYREG_B1_P3_U0_CFG5 0x40011645u +#define CYREG_B1_P3_U0_CFG6 0x40011646u +#define CYREG_B1_P3_U0_CFG7 0x40011647u +#define CYREG_B1_P3_U0_CFG8 0x40011648u +#define CYREG_B1_P3_U0_CFG9 0x40011649u +#define CYREG_B1_P3_U0_CFG10 0x4001164au +#define CYREG_B1_P3_U0_CFG11 0x4001164bu +#define CYREG_B1_P3_U0_CFG12 0x4001164cu +#define CYREG_B1_P3_U0_CFG13 0x4001164du +#define CYREG_B1_P3_U0_CFG14 0x4001164eu +#define CYREG_B1_P3_U0_CFG15 0x4001164fu +#define CYREG_B1_P3_U0_CFG16 0x40011650u +#define CYREG_B1_P3_U0_CFG17 0x40011651u +#define CYREG_B1_P3_U0_CFG18 0x40011652u +#define CYREG_B1_P3_U0_CFG19 0x40011653u +#define CYREG_B1_P3_U0_CFG20 0x40011654u +#define CYREG_B1_P3_U0_CFG21 0x40011655u +#define CYREG_B1_P3_U0_CFG22 0x40011656u +#define CYREG_B1_P3_U0_CFG23 0x40011657u +#define CYREG_B1_P3_U0_CFG24 0x40011658u +#define CYREG_B1_P3_U0_CFG25 0x40011659u +#define CYREG_B1_P3_U0_CFG26 0x4001165au +#define CYREG_B1_P3_U0_CFG27 0x4001165bu +#define CYREG_B1_P3_U0_CFG28 0x4001165cu +#define CYREG_B1_P3_U0_CFG29 0x4001165du +#define CYREG_B1_P3_U0_CFG30 0x4001165eu +#define CYREG_B1_P3_U0_CFG31 0x4001165fu +#define CYREG_B1_P3_U0_DCFG0 0x40011660u +#define CYREG_B1_P3_U0_DCFG1 0x40011662u +#define CYREG_B1_P3_U0_DCFG2 0x40011664u +#define CYREG_B1_P3_U0_DCFG3 0x40011666u +#define CYREG_B1_P3_U0_DCFG4 0x40011668u +#define CYREG_B1_P3_U0_DCFG5 0x4001166au +#define CYREG_B1_P3_U0_DCFG6 0x4001166cu +#define CYREG_B1_P3_U0_DCFG7 0x4001166eu +#define CYDEV_UCFG_B1_P3_U1_BASE 0x40011680u +#define CYDEV_UCFG_B1_P3_U1_SIZE 0x00000070u +#define CYREG_B1_P3_U1_PLD_IT0 0x40011680u +#define CYREG_B1_P3_U1_PLD_IT1 0x40011684u +#define CYREG_B1_P3_U1_PLD_IT2 0x40011688u +#define CYREG_B1_P3_U1_PLD_IT3 0x4001168cu +#define CYREG_B1_P3_U1_PLD_IT4 0x40011690u +#define CYREG_B1_P3_U1_PLD_IT5 0x40011694u +#define CYREG_B1_P3_U1_PLD_IT6 0x40011698u +#define CYREG_B1_P3_U1_PLD_IT7 0x4001169cu +#define CYREG_B1_P3_U1_PLD_IT8 0x400116a0u +#define CYREG_B1_P3_U1_PLD_IT9 0x400116a4u +#define CYREG_B1_P3_U1_PLD_IT10 0x400116a8u +#define CYREG_B1_P3_U1_PLD_IT11 0x400116acu +#define CYREG_B1_P3_U1_PLD_ORT0 0x400116b0u +#define CYREG_B1_P3_U1_PLD_ORT1 0x400116b2u +#define CYREG_B1_P3_U1_PLD_ORT2 0x400116b4u +#define CYREG_B1_P3_U1_PLD_ORT3 0x400116b6u +#define CYREG_B1_P3_U1_MC_CFG_CEN_CONST 0x400116b8u +#define CYREG_B1_P3_U1_MC_CFG_XORFB 0x400116bau +#define CYREG_B1_P3_U1_MC_CFG_SET_RESET 0x400116bcu +#define CYREG_B1_P3_U1_MC_CFG_BYPASS 0x400116beu +#define CYREG_B1_P3_U1_CFG0 0x400116c0u +#define CYREG_B1_P3_U1_CFG1 0x400116c1u +#define CYREG_B1_P3_U1_CFG2 0x400116c2u +#define CYREG_B1_P3_U1_CFG3 0x400116c3u +#define CYREG_B1_P3_U1_CFG4 0x400116c4u +#define CYREG_B1_P3_U1_CFG5 0x400116c5u +#define CYREG_B1_P3_U1_CFG6 0x400116c6u +#define CYREG_B1_P3_U1_CFG7 0x400116c7u +#define CYREG_B1_P3_U1_CFG8 0x400116c8u +#define CYREG_B1_P3_U1_CFG9 0x400116c9u +#define CYREG_B1_P3_U1_CFG10 0x400116cau +#define CYREG_B1_P3_U1_CFG11 0x400116cbu +#define CYREG_B1_P3_U1_CFG12 0x400116ccu +#define CYREG_B1_P3_U1_CFG13 0x400116cdu +#define CYREG_B1_P3_U1_CFG14 0x400116ceu +#define CYREG_B1_P3_U1_CFG15 0x400116cfu +#define CYREG_B1_P3_U1_CFG16 0x400116d0u +#define CYREG_B1_P3_U1_CFG17 0x400116d1u +#define CYREG_B1_P3_U1_CFG18 0x400116d2u +#define CYREG_B1_P3_U1_CFG19 0x400116d3u +#define CYREG_B1_P3_U1_CFG20 0x400116d4u +#define CYREG_B1_P3_U1_CFG21 0x400116d5u +#define CYREG_B1_P3_U1_CFG22 0x400116d6u +#define CYREG_B1_P3_U1_CFG23 0x400116d7u +#define CYREG_B1_P3_U1_CFG24 0x400116d8u +#define CYREG_B1_P3_U1_CFG25 0x400116d9u +#define CYREG_B1_P3_U1_CFG26 0x400116dau +#define CYREG_B1_P3_U1_CFG27 0x400116dbu +#define CYREG_B1_P3_U1_CFG28 0x400116dcu +#define CYREG_B1_P3_U1_CFG29 0x400116ddu +#define CYREG_B1_P3_U1_CFG30 0x400116deu +#define CYREG_B1_P3_U1_CFG31 0x400116dfu +#define CYREG_B1_P3_U1_DCFG0 0x400116e0u +#define CYREG_B1_P3_U1_DCFG1 0x400116e2u +#define CYREG_B1_P3_U1_DCFG2 0x400116e4u +#define CYREG_B1_P3_U1_DCFG3 0x400116e6u +#define CYREG_B1_P3_U1_DCFG4 0x400116e8u +#define CYREG_B1_P3_U1_DCFG5 0x400116eau +#define CYREG_B1_P3_U1_DCFG6 0x400116ecu +#define CYREG_B1_P3_U1_DCFG7 0x400116eeu +#define CYDEV_UCFG_B1_P3_ROUTE_BASE 0x40011700u +#define CYDEV_UCFG_B1_P3_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P4_BASE 0x40011800u +#define CYDEV_UCFG_B1_P4_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P4_U0_BASE 0x40011800u +#define CYDEV_UCFG_B1_P4_U0_SIZE 0x00000070u +#define CYREG_B1_P4_U0_PLD_IT0 0x40011800u +#define CYREG_B1_P4_U0_PLD_IT1 0x40011804u +#define CYREG_B1_P4_U0_PLD_IT2 0x40011808u +#define CYREG_B1_P4_U0_PLD_IT3 0x4001180cu +#define CYREG_B1_P4_U0_PLD_IT4 0x40011810u +#define CYREG_B1_P4_U0_PLD_IT5 0x40011814u +#define CYREG_B1_P4_U0_PLD_IT6 0x40011818u +#define CYREG_B1_P4_U0_PLD_IT7 0x4001181cu +#define CYREG_B1_P4_U0_PLD_IT8 0x40011820u +#define CYREG_B1_P4_U0_PLD_IT9 0x40011824u +#define CYREG_B1_P4_U0_PLD_IT10 0x40011828u +#define CYREG_B1_P4_U0_PLD_IT11 0x4001182cu +#define CYREG_B1_P4_U0_PLD_ORT0 0x40011830u +#define CYREG_B1_P4_U0_PLD_ORT1 0x40011832u +#define CYREG_B1_P4_U0_PLD_ORT2 0x40011834u +#define CYREG_B1_P4_U0_PLD_ORT3 0x40011836u +#define CYREG_B1_P4_U0_MC_CFG_CEN_CONST 0x40011838u +#define CYREG_B1_P4_U0_MC_CFG_XORFB 0x4001183au +#define CYREG_B1_P4_U0_MC_CFG_SET_RESET 0x4001183cu +#define CYREG_B1_P4_U0_MC_CFG_BYPASS 0x4001183eu +#define CYREG_B1_P4_U0_CFG0 0x40011840u +#define CYREG_B1_P4_U0_CFG1 0x40011841u +#define CYREG_B1_P4_U0_CFG2 0x40011842u +#define CYREG_B1_P4_U0_CFG3 0x40011843u +#define CYREG_B1_P4_U0_CFG4 0x40011844u +#define CYREG_B1_P4_U0_CFG5 0x40011845u +#define CYREG_B1_P4_U0_CFG6 0x40011846u +#define CYREG_B1_P4_U0_CFG7 0x40011847u +#define CYREG_B1_P4_U0_CFG8 0x40011848u +#define CYREG_B1_P4_U0_CFG9 0x40011849u +#define CYREG_B1_P4_U0_CFG10 0x4001184au +#define CYREG_B1_P4_U0_CFG11 0x4001184bu +#define CYREG_B1_P4_U0_CFG12 0x4001184cu +#define CYREG_B1_P4_U0_CFG13 0x4001184du +#define CYREG_B1_P4_U0_CFG14 0x4001184eu +#define CYREG_B1_P4_U0_CFG15 0x4001184fu +#define CYREG_B1_P4_U0_CFG16 0x40011850u +#define CYREG_B1_P4_U0_CFG17 0x40011851u +#define CYREG_B1_P4_U0_CFG18 0x40011852u +#define CYREG_B1_P4_U0_CFG19 0x40011853u +#define CYREG_B1_P4_U0_CFG20 0x40011854u +#define CYREG_B1_P4_U0_CFG21 0x40011855u +#define CYREG_B1_P4_U0_CFG22 0x40011856u +#define CYREG_B1_P4_U0_CFG23 0x40011857u +#define CYREG_B1_P4_U0_CFG24 0x40011858u +#define CYREG_B1_P4_U0_CFG25 0x40011859u +#define CYREG_B1_P4_U0_CFG26 0x4001185au +#define CYREG_B1_P4_U0_CFG27 0x4001185bu +#define CYREG_B1_P4_U0_CFG28 0x4001185cu +#define CYREG_B1_P4_U0_CFG29 0x4001185du +#define CYREG_B1_P4_U0_CFG30 0x4001185eu +#define CYREG_B1_P4_U0_CFG31 0x4001185fu +#define CYREG_B1_P4_U0_DCFG0 0x40011860u +#define CYREG_B1_P4_U0_DCFG1 0x40011862u +#define CYREG_B1_P4_U0_DCFG2 0x40011864u +#define CYREG_B1_P4_U0_DCFG3 0x40011866u +#define CYREG_B1_P4_U0_DCFG4 0x40011868u +#define CYREG_B1_P4_U0_DCFG5 0x4001186au +#define CYREG_B1_P4_U0_DCFG6 0x4001186cu +#define CYREG_B1_P4_U0_DCFG7 0x4001186eu +#define CYDEV_UCFG_B1_P4_U1_BASE 0x40011880u +#define CYDEV_UCFG_B1_P4_U1_SIZE 0x00000070u +#define CYREG_B1_P4_U1_PLD_IT0 0x40011880u +#define CYREG_B1_P4_U1_PLD_IT1 0x40011884u +#define CYREG_B1_P4_U1_PLD_IT2 0x40011888u +#define CYREG_B1_P4_U1_PLD_IT3 0x4001188cu +#define CYREG_B1_P4_U1_PLD_IT4 0x40011890u +#define CYREG_B1_P4_U1_PLD_IT5 0x40011894u +#define CYREG_B1_P4_U1_PLD_IT6 0x40011898u +#define CYREG_B1_P4_U1_PLD_IT7 0x4001189cu +#define CYREG_B1_P4_U1_PLD_IT8 0x400118a0u +#define CYREG_B1_P4_U1_PLD_IT9 0x400118a4u +#define CYREG_B1_P4_U1_PLD_IT10 0x400118a8u +#define CYREG_B1_P4_U1_PLD_IT11 0x400118acu +#define CYREG_B1_P4_U1_PLD_ORT0 0x400118b0u +#define CYREG_B1_P4_U1_PLD_ORT1 0x400118b2u +#define CYREG_B1_P4_U1_PLD_ORT2 0x400118b4u +#define CYREG_B1_P4_U1_PLD_ORT3 0x400118b6u +#define CYREG_B1_P4_U1_MC_CFG_CEN_CONST 0x400118b8u +#define CYREG_B1_P4_U1_MC_CFG_XORFB 0x400118bau +#define CYREG_B1_P4_U1_MC_CFG_SET_RESET 0x400118bcu +#define CYREG_B1_P4_U1_MC_CFG_BYPASS 0x400118beu +#define CYREG_B1_P4_U1_CFG0 0x400118c0u +#define CYREG_B1_P4_U1_CFG1 0x400118c1u +#define CYREG_B1_P4_U1_CFG2 0x400118c2u +#define CYREG_B1_P4_U1_CFG3 0x400118c3u +#define CYREG_B1_P4_U1_CFG4 0x400118c4u +#define CYREG_B1_P4_U1_CFG5 0x400118c5u +#define CYREG_B1_P4_U1_CFG6 0x400118c6u +#define CYREG_B1_P4_U1_CFG7 0x400118c7u +#define CYREG_B1_P4_U1_CFG8 0x400118c8u +#define CYREG_B1_P4_U1_CFG9 0x400118c9u +#define CYREG_B1_P4_U1_CFG10 0x400118cau +#define CYREG_B1_P4_U1_CFG11 0x400118cbu +#define CYREG_B1_P4_U1_CFG12 0x400118ccu +#define CYREG_B1_P4_U1_CFG13 0x400118cdu +#define CYREG_B1_P4_U1_CFG14 0x400118ceu +#define CYREG_B1_P4_U1_CFG15 0x400118cfu +#define CYREG_B1_P4_U1_CFG16 0x400118d0u +#define CYREG_B1_P4_U1_CFG17 0x400118d1u +#define CYREG_B1_P4_U1_CFG18 0x400118d2u +#define CYREG_B1_P4_U1_CFG19 0x400118d3u +#define CYREG_B1_P4_U1_CFG20 0x400118d4u +#define CYREG_B1_P4_U1_CFG21 0x400118d5u +#define CYREG_B1_P4_U1_CFG22 0x400118d6u +#define CYREG_B1_P4_U1_CFG23 0x400118d7u +#define CYREG_B1_P4_U1_CFG24 0x400118d8u +#define CYREG_B1_P4_U1_CFG25 0x400118d9u +#define CYREG_B1_P4_U1_CFG26 0x400118dau +#define CYREG_B1_P4_U1_CFG27 0x400118dbu +#define CYREG_B1_P4_U1_CFG28 0x400118dcu +#define CYREG_B1_P4_U1_CFG29 0x400118ddu +#define CYREG_B1_P4_U1_CFG30 0x400118deu +#define CYREG_B1_P4_U1_CFG31 0x400118dfu +#define CYREG_B1_P4_U1_DCFG0 0x400118e0u +#define CYREG_B1_P4_U1_DCFG1 0x400118e2u +#define CYREG_B1_P4_U1_DCFG2 0x400118e4u +#define CYREG_B1_P4_U1_DCFG3 0x400118e6u +#define CYREG_B1_P4_U1_DCFG4 0x400118e8u +#define CYREG_B1_P4_U1_DCFG5 0x400118eau +#define CYREG_B1_P4_U1_DCFG6 0x400118ecu +#define CYREG_B1_P4_U1_DCFG7 0x400118eeu +#define CYDEV_UCFG_B1_P4_ROUTE_BASE 0x40011900u +#define CYDEV_UCFG_B1_P4_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_B1_P5_BASE 0x40011a00u +#define CYDEV_UCFG_B1_P5_SIZE 0x000001efu +#define CYDEV_UCFG_B1_P5_U0_BASE 0x40011a00u +#define CYDEV_UCFG_B1_P5_U0_SIZE 0x00000070u +#define CYREG_B1_P5_U0_PLD_IT0 0x40011a00u +#define CYREG_B1_P5_U0_PLD_IT1 0x40011a04u +#define CYREG_B1_P5_U0_PLD_IT2 0x40011a08u +#define CYREG_B1_P5_U0_PLD_IT3 0x40011a0cu +#define CYREG_B1_P5_U0_PLD_IT4 0x40011a10u +#define CYREG_B1_P5_U0_PLD_IT5 0x40011a14u +#define CYREG_B1_P5_U0_PLD_IT6 0x40011a18u +#define CYREG_B1_P5_U0_PLD_IT7 0x40011a1cu +#define CYREG_B1_P5_U0_PLD_IT8 0x40011a20u +#define CYREG_B1_P5_U0_PLD_IT9 0x40011a24u +#define CYREG_B1_P5_U0_PLD_IT10 0x40011a28u +#define CYREG_B1_P5_U0_PLD_IT11 0x40011a2cu +#define CYREG_B1_P5_U0_PLD_ORT0 0x40011a30u +#define CYREG_B1_P5_U0_PLD_ORT1 0x40011a32u +#define CYREG_B1_P5_U0_PLD_ORT2 0x40011a34u +#define CYREG_B1_P5_U0_PLD_ORT3 0x40011a36u +#define CYREG_B1_P5_U0_MC_CFG_CEN_CONST 0x40011a38u +#define CYREG_B1_P5_U0_MC_CFG_XORFB 0x40011a3au +#define CYREG_B1_P5_U0_MC_CFG_SET_RESET 0x40011a3cu +#define CYREG_B1_P5_U0_MC_CFG_BYPASS 0x40011a3eu +#define CYREG_B1_P5_U0_CFG0 0x40011a40u +#define CYREG_B1_P5_U0_CFG1 0x40011a41u +#define CYREG_B1_P5_U0_CFG2 0x40011a42u +#define CYREG_B1_P5_U0_CFG3 0x40011a43u +#define CYREG_B1_P5_U0_CFG4 0x40011a44u +#define CYREG_B1_P5_U0_CFG5 0x40011a45u +#define CYREG_B1_P5_U0_CFG6 0x40011a46u +#define CYREG_B1_P5_U0_CFG7 0x40011a47u +#define CYREG_B1_P5_U0_CFG8 0x40011a48u +#define CYREG_B1_P5_U0_CFG9 0x40011a49u +#define CYREG_B1_P5_U0_CFG10 0x40011a4au +#define CYREG_B1_P5_U0_CFG11 0x40011a4bu +#define CYREG_B1_P5_U0_CFG12 0x40011a4cu +#define CYREG_B1_P5_U0_CFG13 0x40011a4du +#define CYREG_B1_P5_U0_CFG14 0x40011a4eu +#define CYREG_B1_P5_U0_CFG15 0x40011a4fu +#define CYREG_B1_P5_U0_CFG16 0x40011a50u +#define CYREG_B1_P5_U0_CFG17 0x40011a51u +#define CYREG_B1_P5_U0_CFG18 0x40011a52u +#define CYREG_B1_P5_U0_CFG19 0x40011a53u +#define CYREG_B1_P5_U0_CFG20 0x40011a54u +#define CYREG_B1_P5_U0_CFG21 0x40011a55u +#define CYREG_B1_P5_U0_CFG22 0x40011a56u +#define CYREG_B1_P5_U0_CFG23 0x40011a57u +#define CYREG_B1_P5_U0_CFG24 0x40011a58u +#define CYREG_B1_P5_U0_CFG25 0x40011a59u +#define CYREG_B1_P5_U0_CFG26 0x40011a5au +#define CYREG_B1_P5_U0_CFG27 0x40011a5bu +#define CYREG_B1_P5_U0_CFG28 0x40011a5cu +#define CYREG_B1_P5_U0_CFG29 0x40011a5du +#define CYREG_B1_P5_U0_CFG30 0x40011a5eu +#define CYREG_B1_P5_U0_CFG31 0x40011a5fu +#define CYREG_B1_P5_U0_DCFG0 0x40011a60u +#define CYREG_B1_P5_U0_DCFG1 0x40011a62u +#define CYREG_B1_P5_U0_DCFG2 0x40011a64u +#define CYREG_B1_P5_U0_DCFG3 0x40011a66u +#define CYREG_B1_P5_U0_DCFG4 0x40011a68u +#define CYREG_B1_P5_U0_DCFG5 0x40011a6au +#define CYREG_B1_P5_U0_DCFG6 0x40011a6cu +#define CYREG_B1_P5_U0_DCFG7 0x40011a6eu +#define CYDEV_UCFG_B1_P5_U1_BASE 0x40011a80u +#define CYDEV_UCFG_B1_P5_U1_SIZE 0x00000070u +#define CYREG_B1_P5_U1_PLD_IT0 0x40011a80u +#define CYREG_B1_P5_U1_PLD_IT1 0x40011a84u +#define CYREG_B1_P5_U1_PLD_IT2 0x40011a88u +#define CYREG_B1_P5_U1_PLD_IT3 0x40011a8cu +#define CYREG_B1_P5_U1_PLD_IT4 0x40011a90u +#define CYREG_B1_P5_U1_PLD_IT5 0x40011a94u +#define CYREG_B1_P5_U1_PLD_IT6 0x40011a98u +#define CYREG_B1_P5_U1_PLD_IT7 0x40011a9cu +#define CYREG_B1_P5_U1_PLD_IT8 0x40011aa0u +#define CYREG_B1_P5_U1_PLD_IT9 0x40011aa4u +#define CYREG_B1_P5_U1_PLD_IT10 0x40011aa8u +#define CYREG_B1_P5_U1_PLD_IT11 0x40011aacu +#define CYREG_B1_P5_U1_PLD_ORT0 0x40011ab0u +#define CYREG_B1_P5_U1_PLD_ORT1 0x40011ab2u +#define CYREG_B1_P5_U1_PLD_ORT2 0x40011ab4u +#define CYREG_B1_P5_U1_PLD_ORT3 0x40011ab6u +#define CYREG_B1_P5_U1_MC_CFG_CEN_CONST 0x40011ab8u +#define CYREG_B1_P5_U1_MC_CFG_XORFB 0x40011abau +#define CYREG_B1_P5_U1_MC_CFG_SET_RESET 0x40011abcu +#define CYREG_B1_P5_U1_MC_CFG_BYPASS 0x40011abeu +#define CYREG_B1_P5_U1_CFG0 0x40011ac0u +#define CYREG_B1_P5_U1_CFG1 0x40011ac1u +#define CYREG_B1_P5_U1_CFG2 0x40011ac2u +#define CYREG_B1_P5_U1_CFG3 0x40011ac3u +#define CYREG_B1_P5_U1_CFG4 0x40011ac4u +#define CYREG_B1_P5_U1_CFG5 0x40011ac5u +#define CYREG_B1_P5_U1_CFG6 0x40011ac6u +#define CYREG_B1_P5_U1_CFG7 0x40011ac7u +#define CYREG_B1_P5_U1_CFG8 0x40011ac8u +#define CYREG_B1_P5_U1_CFG9 0x40011ac9u +#define CYREG_B1_P5_U1_CFG10 0x40011acau +#define CYREG_B1_P5_U1_CFG11 0x40011acbu +#define CYREG_B1_P5_U1_CFG12 0x40011accu +#define CYREG_B1_P5_U1_CFG13 0x40011acdu +#define CYREG_B1_P5_U1_CFG14 0x40011aceu +#define CYREG_B1_P5_U1_CFG15 0x40011acfu +#define CYREG_B1_P5_U1_CFG16 0x40011ad0u +#define CYREG_B1_P5_U1_CFG17 0x40011ad1u +#define CYREG_B1_P5_U1_CFG18 0x40011ad2u +#define CYREG_B1_P5_U1_CFG19 0x40011ad3u +#define CYREG_B1_P5_U1_CFG20 0x40011ad4u +#define CYREG_B1_P5_U1_CFG21 0x40011ad5u +#define CYREG_B1_P5_U1_CFG22 0x40011ad6u +#define CYREG_B1_P5_U1_CFG23 0x40011ad7u +#define CYREG_B1_P5_U1_CFG24 0x40011ad8u +#define CYREG_B1_P5_U1_CFG25 0x40011ad9u +#define CYREG_B1_P5_U1_CFG26 0x40011adau +#define CYREG_B1_P5_U1_CFG27 0x40011adbu +#define CYREG_B1_P5_U1_CFG28 0x40011adcu +#define CYREG_B1_P5_U1_CFG29 0x40011addu +#define CYREG_B1_P5_U1_CFG30 0x40011adeu +#define CYREG_B1_P5_U1_CFG31 0x40011adfu +#define CYREG_B1_P5_U1_DCFG0 0x40011ae0u +#define CYREG_B1_P5_U1_DCFG1 0x40011ae2u +#define CYREG_B1_P5_U1_DCFG2 0x40011ae4u +#define CYREG_B1_P5_U1_DCFG3 0x40011ae6u +#define CYREG_B1_P5_U1_DCFG4 0x40011ae8u +#define CYREG_B1_P5_U1_DCFG5 0x40011aeau +#define CYREG_B1_P5_U1_DCFG6 0x40011aecu +#define CYREG_B1_P5_U1_DCFG7 0x40011aeeu +#define CYDEV_UCFG_B1_P5_ROUTE_BASE 0x40011b00u +#define CYDEV_UCFG_B1_P5_ROUTE_SIZE 0x000000efu +#define CYDEV_UCFG_DSI0_BASE 0x40014000u +#define CYDEV_UCFG_DSI0_SIZE 0x000000efu +#define CYDEV_UCFG_DSI1_BASE 0x40014100u +#define CYDEV_UCFG_DSI1_SIZE 0x000000efu +#define CYDEV_UCFG_DSI2_BASE 0x40014200u +#define CYDEV_UCFG_DSI2_SIZE 0x000000efu +#define CYDEV_UCFG_DSI3_BASE 0x40014300u +#define CYDEV_UCFG_DSI3_SIZE 0x000000efu +#define CYDEV_UCFG_DSI4_BASE 0x40014400u +#define CYDEV_UCFG_DSI4_SIZE 0x000000efu +#define CYDEV_UCFG_DSI5_BASE 0x40014500u +#define CYDEV_UCFG_DSI5_SIZE 0x000000efu +#define CYDEV_UCFG_DSI6_BASE 0x40014600u +#define CYDEV_UCFG_DSI6_SIZE 0x000000efu +#define CYDEV_UCFG_DSI7_BASE 0x40014700u +#define CYDEV_UCFG_DSI7_SIZE 0x000000efu +#define CYDEV_UCFG_DSI8_BASE 0x40014800u +#define CYDEV_UCFG_DSI8_SIZE 0x000000efu +#define CYDEV_UCFG_DSI9_BASE 0x40014900u +#define CYDEV_UCFG_DSI9_SIZE 0x000000efu +#define CYDEV_UCFG_DSI12_BASE 0x40014c00u +#define CYDEV_UCFG_DSI12_SIZE 0x000000efu +#define CYDEV_UCFG_DSI13_BASE 0x40014d00u +#define CYDEV_UCFG_DSI13_SIZE 0x000000efu +#define CYDEV_UCFG_BCTL0_BASE 0x40015000u +#define CYDEV_UCFG_BCTL0_SIZE 0x00000010u +#define CYREG_BCTL0_MDCLK_EN 0x40015000u +#define CYREG_BCTL0_MBCLK_EN 0x40015001u +#define CYREG_BCTL0_WAIT_CFG 0x40015002u +#define CYREG_BCTL0_BANK_CTL 0x40015003u +#define CYREG_BCTL0_UDB_TEST_3 0x40015007u +#define CYREG_BCTL0_DCLK_EN0 0x40015008u +#define CYREG_BCTL0_BCLK_EN0 0x40015009u +#define CYREG_BCTL0_DCLK_EN1 0x4001500au +#define CYREG_BCTL0_BCLK_EN1 0x4001500bu +#define CYREG_BCTL0_DCLK_EN2 0x4001500cu +#define CYREG_BCTL0_BCLK_EN2 0x4001500du +#define CYREG_BCTL0_DCLK_EN3 0x4001500eu +#define CYREG_BCTL0_BCLK_EN3 0x4001500fu +#define CYDEV_UCFG_BCTL1_BASE 0x40015010u +#define CYDEV_UCFG_BCTL1_SIZE 0x00000010u +#define CYREG_BCTL1_MDCLK_EN 0x40015010u +#define CYREG_BCTL1_MBCLK_EN 0x40015011u +#define CYREG_BCTL1_WAIT_CFG 0x40015012u +#define CYREG_BCTL1_BANK_CTL 0x40015013u +#define CYREG_BCTL1_UDB_TEST_3 0x40015017u +#define CYREG_BCTL1_DCLK_EN0 0x40015018u +#define CYREG_BCTL1_BCLK_EN0 0x40015019u +#define CYREG_BCTL1_DCLK_EN1 0x4001501au +#define CYREG_BCTL1_BCLK_EN1 0x4001501bu +#define CYREG_BCTL1_DCLK_EN2 0x4001501cu +#define CYREG_BCTL1_BCLK_EN2 0x4001501du +#define CYREG_BCTL1_DCLK_EN3 0x4001501eu +#define CYREG_BCTL1_BCLK_EN3 0x4001501fu +#define CYDEV_IDMUX_BASE 0x40015100u +#define CYDEV_IDMUX_SIZE 0x00000016u +#define CYREG_IDMUX_IRQ_CTL0 0x40015100u +#define CYREG_IDMUX_IRQ_CTL1 0x40015101u +#define CYREG_IDMUX_IRQ_CTL2 0x40015102u +#define CYREG_IDMUX_IRQ_CTL3 0x40015103u +#define CYREG_IDMUX_IRQ_CTL4 0x40015104u +#define CYREG_IDMUX_IRQ_CTL5 0x40015105u +#define CYREG_IDMUX_IRQ_CTL6 0x40015106u +#define CYREG_IDMUX_IRQ_CTL7 0x40015107u +#define CYREG_IDMUX_DRQ_CTL0 0x40015110u +#define CYREG_IDMUX_DRQ_CTL1 0x40015111u +#define CYREG_IDMUX_DRQ_CTL2 0x40015112u +#define CYREG_IDMUX_DRQ_CTL3 0x40015113u +#define CYREG_IDMUX_DRQ_CTL4 0x40015114u +#define CYREG_IDMUX_DRQ_CTL5 0x40015115u +#define CYDEV_CACHERAM_BASE 0x40030000u +#define CYDEV_CACHERAM_SIZE 0x00000400u +#define CYREG_CACHERAM_DATA_MBASE 0x40030000u +#define CYREG_CACHERAM_DATA_MSIZE 0x00000400u +#define CYDEV_SFR_BASE 0x40050100u +#define CYDEV_SFR_SIZE 0x000000fbu +#define CYREG_SFR_GPIO0 0x40050180u +#define CYREG_SFR_GPIRD0 0x40050189u +#define CYREG_SFR_GPIO0_SEL 0x4005018au +#define CYREG_SFR_GPIO1 0x40050190u +#define CYREG_SFR_GPIRD1 0x40050191u +#define CYREG_SFR_GPIO2 0x40050198u +#define CYREG_SFR_GPIRD2 0x40050199u +#define CYREG_SFR_GPIO2_SEL 0x4005019au +#define CYREG_SFR_GPIO1_SEL 0x400501a2u +#define CYREG_SFR_GPIO3 0x400501b0u +#define CYREG_SFR_GPIRD3 0x400501b1u +#define CYREG_SFR_GPIO3_SEL 0x400501b2u +#define CYREG_SFR_GPIO4 0x400501c0u +#define CYREG_SFR_GPIRD4 0x400501c1u +#define CYREG_SFR_GPIO4_SEL 0x400501c2u +#define CYREG_SFR_GPIO5 0x400501c8u +#define CYREG_SFR_GPIRD5 0x400501c9u +#define CYREG_SFR_GPIO5_SEL 0x400501cau +#define CYREG_SFR_GPIO6 0x400501d8u +#define CYREG_SFR_GPIRD6 0x400501d9u +#define CYREG_SFR_GPIO6_SEL 0x400501dau +#define CYREG_SFR_GPIO12 0x400501e8u +#define CYREG_SFR_GPIRD12 0x400501e9u +#define CYREG_SFR_GPIO12_SEL 0x400501f2u +#define CYREG_SFR_GPIO15 0x400501f8u +#define CYREG_SFR_GPIRD15 0x400501f9u +#define CYREG_SFR_GPIO15_SEL 0x400501fau +#define CYDEV_P3BA_BASE 0x40050300u +#define CYDEV_P3BA_SIZE 0x0000002bu +#define CYREG_P3BA_Y_START 0x40050300u +#define CYREG_P3BA_YROLL 0x40050301u +#define CYREG_P3BA_YCFG 0x40050302u +#define CYREG_P3BA_X_START1 0x40050303u +#define CYREG_P3BA_X_START2 0x40050304u +#define CYREG_P3BA_XROLL1 0x40050305u +#define CYREG_P3BA_XROLL2 0x40050306u +#define CYREG_P3BA_XINC 0x40050307u +#define CYREG_P3BA_XCFG 0x40050308u +#define CYREG_P3BA_OFFSETADDR1 0x40050309u +#define CYREG_P3BA_OFFSETADDR2 0x4005030au +#define CYREG_P3BA_OFFSETADDR3 0x4005030bu +#define CYREG_P3BA_ABSADDR1 0x4005030cu +#define CYREG_P3BA_ABSADDR2 0x4005030du +#define CYREG_P3BA_ABSADDR3 0x4005030eu +#define CYREG_P3BA_ABSADDR4 0x4005030fu +#define CYREG_P3BA_DATCFG1 0x40050310u +#define CYREG_P3BA_DATCFG2 0x40050311u +#define CYREG_P3BA_CMP_RSLT1 0x40050314u +#define CYREG_P3BA_CMP_RSLT2 0x40050315u +#define CYREG_P3BA_CMP_RSLT3 0x40050316u +#define CYREG_P3BA_CMP_RSLT4 0x40050317u +#define CYREG_P3BA_DATA_REG1 0x40050318u +#define CYREG_P3BA_DATA_REG2 0x40050319u +#define CYREG_P3BA_DATA_REG3 0x4005031au +#define CYREG_P3BA_DATA_REG4 0x4005031bu +#define CYREG_P3BA_EXP_DATA1 0x4005031cu +#define CYREG_P3BA_EXP_DATA2 0x4005031du +#define CYREG_P3BA_EXP_DATA3 0x4005031eu +#define CYREG_P3BA_EXP_DATA4 0x4005031fu +#define CYREG_P3BA_MSTR_HRDATA1 0x40050320u +#define CYREG_P3BA_MSTR_HRDATA2 0x40050321u +#define CYREG_P3BA_MSTR_HRDATA3 0x40050322u +#define CYREG_P3BA_MSTR_HRDATA4 0x40050323u +#define CYREG_P3BA_BIST_EN 0x40050324u +#define CYREG_P3BA_PHUB_MASTER_SSR 0x40050325u +#define CYREG_P3BA_SEQCFG1 0x40050326u +#define CYREG_P3BA_SEQCFG2 0x40050327u +#define CYREG_P3BA_Y_CURR 0x40050328u +#define CYREG_P3BA_X_CURR1 0x40050329u +#define CYREG_P3BA_X_CURR2 0x4005032au +#define CYDEV_PANTHER_BASE 0x40080000u +#define CYDEV_PANTHER_SIZE 0x00000020u +#define CYREG_PANTHER_STCALIB_CFG 0x40080000u +#define CYREG_PANTHER_WAITPIPE 0x40080004u +#define CYREG_PANTHER_TRACE_CFG 0x40080008u +#define CYREG_PANTHER_DBG_CFG 0x4008000cu +#define CYREG_PANTHER_CM3_LCKRST_STAT 0x40080018u +#define CYREG_PANTHER_DEVICE_ID 0x4008001cu +#define CYDEV_FLSECC_BASE 0x48000000u +#define CYDEV_FLSECC_SIZE 0x00008000u +#define CYREG_FLSECC_DATA_MBASE 0x48000000u +#define CYREG_FLSECC_DATA_MSIZE 0x00008000u +#define CYDEV_FLSHID_BASE 0x49000000u +#define CYDEV_FLSHID_SIZE 0x00000200u +#define CYREG_FLSHID_RSVD_MBASE 0x49000000u +#define CYREG_FLSHID_RSVD_MSIZE 0x00000080u +#define CYREG_FLSHID_CUST_MDATA_MBASE 0x49000080u +#define CYREG_FLSHID_CUST_MDATA_MSIZE 0x00000080u +#define CYDEV_FLSHID_CUST_TABLES_BASE 0x49000100u +#define CYDEV_FLSHID_CUST_TABLES_SIZE 0x00000040u +#define CYREG_FLSHID_CUST_TABLES_Y_LOC 0x49000100u +#define CYREG_FLSHID_CUST_TABLES_X_LOC 0x49000101u +#define CYREG_FLSHID_CUST_TABLES_WAFER_NUM 0x49000102u +#define CYREG_FLSHID_CUST_TABLES_LOT_LSB 0x49000103u +#define CYREG_FLSHID_CUST_TABLES_LOT_MSB 0x49000104u +#define CYREG_FLSHID_CUST_TABLES_WRK_WK 0x49000105u +#define CYREG_FLSHID_CUST_TABLES_FAB_YR 0x49000106u +#define CYREG_FLSHID_CUST_TABLES_MINOR 0x49000107u +#define CYREG_FLSHID_CUST_TABLES_IMO_3MHZ 0x49000108u +#define CYREG_FLSHID_CUST_TABLES_IMO_6MHZ 0x49000109u +#define CYREG_FLSHID_CUST_TABLES_IMO_12MHZ 0x4900010au +#define CYREG_FLSHID_CUST_TABLES_IMO_24MHZ 0x4900010bu +#define CYREG_FLSHID_CUST_TABLES_IMO_67MHZ 0x4900010cu +#define CYREG_FLSHID_CUST_TABLES_IMO_80MHZ 0x4900010du +#define CYREG_FLSHID_CUST_TABLES_IMO_92MHZ 0x4900010eu +#define CYREG_FLSHID_CUST_TABLES_IMO_USB 0x4900010fu +#define CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS 0x49000110u +#define CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS 0x49000111u +#define CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS 0x49000112u +#define CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS 0x49000113u +#define CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS 0x49000114u +#define CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS 0x49000115u +#define CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS 0x49000116u +#define CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS 0x49000117u +#define CYREG_FLSHID_CUST_TABLES_DEC_M1 0x49000118u +#define CYREG_FLSHID_CUST_TABLES_DEC_M2 0x49000119u +#define CYREG_FLSHID_CUST_TABLES_DEC_M3 0x4900011au +#define CYREG_FLSHID_CUST_TABLES_DEC_M4 0x4900011bu +#define CYREG_FLSHID_CUST_TABLES_DEC_M5 0x4900011cu +#define CYREG_FLSHID_CUST_TABLES_DEC_M6 0x4900011du +#define CYREG_FLSHID_CUST_TABLES_DEC_M7 0x4900011eu +#define CYREG_FLSHID_CUST_TABLES_DEC_M8 0x4900011fu +#define CYREG_FLSHID_CUST_TABLES_DAC0_M1 0x49000120u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M2 0x49000121u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M3 0x49000122u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M4 0x49000123u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M5 0x49000124u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M6 0x49000125u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M7 0x49000126u +#define CYREG_FLSHID_CUST_TABLES_DAC0_M8 0x49000127u +#define CYREG_FLSHID_CUST_TABLES_DAC2_M1 0x49000128u +#define CYREG_FLSHID_CUST_TABLES_DAC2_M2 0x49000129u +#define CYREG_FLSHID_CUST_TABLES_DAC2_M3 0x4900012au +#define CYREG_FLSHID_CUST_TABLES_DAC2_M4 0x4900012bu +#define CYREG_FLSHID_CUST_TABLES_DAC2_M5 0x4900012cu +#define CYREG_FLSHID_CUST_TABLES_DAC2_M6 0x4900012du +#define CYREG_FLSHID_CUST_TABLES_DAC2_M7 0x4900012eu +#define CYREG_FLSHID_CUST_TABLES_DAC2_M8 0x4900012fu +#define CYREG_FLSHID_CUST_TABLES_DAC1_M1 0x49000130u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M2 0x49000131u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M3 0x49000132u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M4 0x49000133u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M5 0x49000134u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M6 0x49000135u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M7 0x49000136u +#define CYREG_FLSHID_CUST_TABLES_DAC1_M8 0x49000137u +#define CYREG_FLSHID_CUST_TABLES_DAC3_M1 0x49000138u +#define CYREG_FLSHID_CUST_TABLES_DAC3_M2 0x49000139u +#define CYREG_FLSHID_CUST_TABLES_DAC3_M3 0x4900013au +#define CYREG_FLSHID_CUST_TABLES_DAC3_M4 0x4900013bu +#define CYREG_FLSHID_CUST_TABLES_DAC3_M5 0x4900013cu +#define CYREG_FLSHID_CUST_TABLES_DAC3_M6 0x4900013du +#define CYREG_FLSHID_CUST_TABLES_DAC3_M7 0x4900013eu +#define CYREG_FLSHID_CUST_TABLES_DAC3_M8 0x4900013fu +#define CYDEV_FLSHID_MFG_CFG_BASE 0x49000180u +#define CYDEV_FLSHID_MFG_CFG_SIZE 0x00000080u +#define CYREG_FLSHID_MFG_CFG_IMO_TR1 0x49000188u +#define CYREG_FLSHID_MFG_CFG_CMP0_TR0 0x490001acu +#define CYREG_FLSHID_MFG_CFG_CMP1_TR0 0x490001aeu +#define CYREG_FLSHID_MFG_CFG_CMP2_TR0 0x490001b0u +#define CYREG_FLSHID_MFG_CFG_CMP3_TR0 0x490001b2u +#define CYREG_FLSHID_MFG_CFG_CMP0_TR1 0x490001b4u +#define CYREG_FLSHID_MFG_CFG_CMP1_TR1 0x490001b6u +#define CYREG_FLSHID_MFG_CFG_CMP2_TR1 0x490001b8u +#define CYREG_FLSHID_MFG_CFG_CMP3_TR1 0x490001bau +#define CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM 0x490001ceu +#define CYDEV_EXTMEM_BASE 0x60000000u +#define CYDEV_EXTMEM_SIZE 0x00800000u +#define CYREG_EXTMEM_DATA_MBASE 0x60000000u +#define CYREG_EXTMEM_DATA_MSIZE 0x00800000u +#define CYDEV_ITM_BASE 0xe0000000u +#define CYDEV_ITM_SIZE 0x00001000u +#define CYREG_ITM_TRACE_EN 0xe0000e00u +#define CYREG_ITM_TRACE_PRIVILEGE 0xe0000e40u +#define CYREG_ITM_TRACE_CTRL 0xe0000e80u +#define CYREG_ITM_LOCK_ACCESS 0xe0000fb0u +#define CYREG_ITM_LOCK_STATUS 0xe0000fb4u +#define CYREG_ITM_PID4 0xe0000fd0u +#define CYREG_ITM_PID5 0xe0000fd4u +#define CYREG_ITM_PID6 0xe0000fd8u +#define CYREG_ITM_PID7 0xe0000fdcu +#define CYREG_ITM_PID0 0xe0000fe0u +#define CYREG_ITM_PID1 0xe0000fe4u +#define CYREG_ITM_PID2 0xe0000fe8u +#define CYREG_ITM_PID3 0xe0000fecu +#define CYREG_ITM_CID0 0xe0000ff0u +#define CYREG_ITM_CID1 0xe0000ff4u +#define CYREG_ITM_CID2 0xe0000ff8u +#define CYREG_ITM_CID3 0xe0000ffcu +#define CYDEV_DWT_BASE 0xe0001000u +#define CYDEV_DWT_SIZE 0x0000005cu +#define CYREG_DWT_CTRL 0xe0001000u +#define CYREG_DWT_CYCLE_COUNT 0xe0001004u +#define CYREG_DWT_CPI_COUNT 0xe0001008u +#define CYREG_DWT_EXC_OVHD_COUNT 0xe000100cu +#define CYREG_DWT_SLEEP_COUNT 0xe0001010u +#define CYREG_DWT_LSU_COUNT 0xe0001014u +#define CYREG_DWT_FOLD_COUNT 0xe0001018u +#define CYREG_DWT_PC_SAMPLE 0xe000101cu +#define CYREG_DWT_COMP_0 0xe0001020u +#define CYREG_DWT_MASK_0 0xe0001024u +#define CYREG_DWT_FUNCTION_0 0xe0001028u +#define CYREG_DWT_COMP_1 0xe0001030u +#define CYREG_DWT_MASK_1 0xe0001034u +#define CYREG_DWT_FUNCTION_1 0xe0001038u +#define CYREG_DWT_COMP_2 0xe0001040u +#define CYREG_DWT_MASK_2 0xe0001044u +#define CYREG_DWT_FUNCTION_2 0xe0001048u +#define CYREG_DWT_COMP_3 0xe0001050u +#define CYREG_DWT_MASK_3 0xe0001054u +#define CYREG_DWT_FUNCTION_3 0xe0001058u +#define CYDEV_FPB_BASE 0xe0002000u +#define CYDEV_FPB_SIZE 0x00001000u +#define CYREG_FPB_CTRL 0xe0002000u +#define CYREG_FPB_REMAP 0xe0002004u +#define CYREG_FPB_FP_COMP_0 0xe0002008u +#define CYREG_FPB_FP_COMP_1 0xe000200cu +#define CYREG_FPB_FP_COMP_2 0xe0002010u +#define CYREG_FPB_FP_COMP_3 0xe0002014u +#define CYREG_FPB_FP_COMP_4 0xe0002018u +#define CYREG_FPB_FP_COMP_5 0xe000201cu +#define CYREG_FPB_FP_COMP_6 0xe0002020u +#define CYREG_FPB_FP_COMP_7 0xe0002024u +#define CYREG_FPB_PID4 0xe0002fd0u +#define CYREG_FPB_PID5 0xe0002fd4u +#define CYREG_FPB_PID6 0xe0002fd8u +#define CYREG_FPB_PID7 0xe0002fdcu +#define CYREG_FPB_PID0 0xe0002fe0u +#define CYREG_FPB_PID1 0xe0002fe4u +#define CYREG_FPB_PID2 0xe0002fe8u +#define CYREG_FPB_PID3 0xe0002fecu +#define CYREG_FPB_CID0 0xe0002ff0u +#define CYREG_FPB_CID1 0xe0002ff4u +#define CYREG_FPB_CID2 0xe0002ff8u +#define CYREG_FPB_CID3 0xe0002ffcu +#define CYDEV_NVIC_BASE 0xe000e000u +#define CYDEV_NVIC_SIZE 0x00000d3cu +#define CYREG_NVIC_INT_CTL_TYPE 0xe000e004u +#define CYREG_NVIC_SYSTICK_CTL 0xe000e010u +#define CYREG_NVIC_SYSTICK_RELOAD 0xe000e014u +#define CYREG_NVIC_SYSTICK_CURRENT 0xe000e018u +#define CYREG_NVIC_SYSTICK_CAL 0xe000e01cu +#define CYREG_NVIC_SETENA0 0xe000e100u +#define CYREG_NVIC_CLRENA0 0xe000e180u +#define CYREG_NVIC_SETPEND0 0xe000e200u +#define CYREG_NVIC_CLRPEND0 0xe000e280u +#define CYREG_NVIC_ACTIVE0 0xe000e300u +#define CYREG_NVIC_PRI_0 0xe000e400u +#define CYREG_NVIC_PRI_1 0xe000e401u +#define CYREG_NVIC_PRI_2 0xe000e402u +#define CYREG_NVIC_PRI_3 0xe000e403u +#define CYREG_NVIC_PRI_4 0xe000e404u +#define CYREG_NVIC_PRI_5 0xe000e405u +#define CYREG_NVIC_PRI_6 0xe000e406u +#define CYREG_NVIC_PRI_7 0xe000e407u +#define CYREG_NVIC_PRI_8 0xe000e408u +#define CYREG_NVIC_PRI_9 0xe000e409u +#define CYREG_NVIC_PRI_10 0xe000e40au +#define CYREG_NVIC_PRI_11 0xe000e40bu +#define CYREG_NVIC_PRI_12 0xe000e40cu +#define CYREG_NVIC_PRI_13 0xe000e40du +#define CYREG_NVIC_PRI_14 0xe000e40eu +#define CYREG_NVIC_PRI_15 0xe000e40fu +#define CYREG_NVIC_PRI_16 0xe000e410u +#define CYREG_NVIC_PRI_17 0xe000e411u +#define CYREG_NVIC_PRI_18 0xe000e412u +#define CYREG_NVIC_PRI_19 0xe000e413u +#define CYREG_NVIC_PRI_20 0xe000e414u +#define CYREG_NVIC_PRI_21 0xe000e415u +#define CYREG_NVIC_PRI_22 0xe000e416u +#define CYREG_NVIC_PRI_23 0xe000e417u +#define CYREG_NVIC_PRI_24 0xe000e418u +#define CYREG_NVIC_PRI_25 0xe000e419u +#define CYREG_NVIC_PRI_26 0xe000e41au +#define CYREG_NVIC_PRI_27 0xe000e41bu +#define CYREG_NVIC_PRI_28 0xe000e41cu +#define CYREG_NVIC_PRI_29 0xe000e41du +#define CYREG_NVIC_PRI_30 0xe000e41eu +#define CYREG_NVIC_PRI_31 0xe000e41fu +#define CYREG_NVIC_CPUID_BASE 0xe000ed00u +#define CYREG_NVIC_INTR_CTRL_STATE 0xe000ed04u +#define CYREG_NVIC_VECT_OFFSET 0xe000ed08u +#define CYREG_NVIC_APPLN_INTR 0xe000ed0cu +#define CYREG_NVIC_SYSTEM_CONTROL 0xe000ed10u +#define CYREG_NVIC_CFG_CONTROL 0xe000ed14u +#define CYREG_NVIC_SYS_PRIO_HANDLER_4_7 0xe000ed18u +#define CYREG_NVIC_SYS_PRIO_HANDLER_8_11 0xe000ed1cu +#define CYREG_NVIC_SYS_PRIO_HANDLER_12_15 0xe000ed20u +#define CYREG_NVIC_SYS_HANDLER_CSR 0xe000ed24u +#define CYREG_NVIC_MEMMAN_FAULT_STATUS 0xe000ed28u +#define CYREG_NVIC_BUS_FAULT_STATUS 0xe000ed29u +#define CYREG_NVIC_USAGE_FAULT_STATUS 0xe000ed2au +#define CYREG_NVIC_HARD_FAULT_STATUS 0xe000ed2cu +#define CYREG_NVIC_DEBUG_FAULT_STATUS 0xe000ed30u +#define CYREG_NVIC_MEMMAN_FAULT_ADD 0xe000ed34u +#define CYREG_NVIC_BUS_FAULT_ADD 0xe000ed38u +#define CYDEV_CORE_DBG_BASE 0xe000edf0u +#define CYDEV_CORE_DBG_SIZE 0x00000010u +#define CYREG_CORE_DBG_DBG_HLT_CS 0xe000edf0u +#define CYREG_CORE_DBG_DBG_REG_SEL 0xe000edf4u +#define CYREG_CORE_DBG_DBG_REG_DATA 0xe000edf8u +#define CYREG_CORE_DBG_EXC_MON_CTL 0xe000edfcu +#define CYDEV_TPIU_BASE 0xe0040000u +#define CYDEV_TPIU_SIZE 0x00001000u +#define CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ 0xe0040000u +#define CYREG_TPIU_CURRENT_SYNC_PRT_SZ 0xe0040004u +#define CYREG_TPIU_ASYNC_CLK_PRESCALER 0xe0040010u +#define CYREG_TPIU_PROTOCOL 0xe00400f0u +#define CYREG_TPIU_FORM_FLUSH_STAT 0xe0040300u +#define CYREG_TPIU_FORM_FLUSH_CTRL 0xe0040304u +#define CYREG_TPIU_TRIGGER 0xe0040ee8u +#define CYREG_TPIU_ITETMDATA 0xe0040eecu +#define CYREG_TPIU_ITATBCTR2 0xe0040ef0u +#define CYREG_TPIU_ITATBCTR0 0xe0040ef8u +#define CYREG_TPIU_ITITMDATA 0xe0040efcu +#define CYREG_TPIU_ITCTRL 0xe0040f00u +#define CYREG_TPIU_DEVID 0xe0040fc8u +#define CYREG_TPIU_DEVTYPE 0xe0040fccu +#define CYREG_TPIU_PID4 0xe0040fd0u +#define CYREG_TPIU_PID5 0xe0040fd4u +#define CYREG_TPIU_PID6 0xe0040fd8u +#define CYREG_TPIU_PID7 0xe0040fdcu +#define CYREG_TPIU_PID0 0xe0040fe0u +#define CYREG_TPIU_PID1 0xe0040fe4u +#define CYREG_TPIU_PID2 0xe0040fe8u +#define CYREG_TPIU_PID3 0xe0040fecu +#define CYREG_TPIU_CID0 0xe0040ff0u +#define CYREG_TPIU_CID1 0xe0040ff4u +#define CYREG_TPIU_CID2 0xe0040ff8u +#define CYREG_TPIU_CID3 0xe0040ffcu +#define CYDEV_ETM_BASE 0xe0041000u +#define CYDEV_ETM_SIZE 0x00001000u +#define CYREG_ETM_CTL 0xe0041000u +#define CYREG_ETM_CFG_CODE 0xe0041004u +#define CYREG_ETM_TRIG_EVENT 0xe0041008u +#define CYREG_ETM_STATUS 0xe0041010u +#define CYREG_ETM_SYS_CFG 0xe0041014u +#define CYREG_ETM_TRACE_ENB_EVENT 0xe0041020u +#define CYREG_ETM_TRACE_EN_CTRL1 0xe0041024u +#define CYREG_ETM_FIFOFULL_LEVEL 0xe004102cu +#define CYREG_ETM_SYNC_FREQ 0xe00411e0u +#define CYREG_ETM_ETM_ID 0xe00411e4u +#define CYREG_ETM_CFG_CODE_EXT 0xe00411e8u +#define CYREG_ETM_TR_SS_EMBICE_CTRL 0xe00411f0u +#define CYREG_ETM_CS_TRACE_ID 0xe0041200u +#define CYREG_ETM_OS_LOCK_ACCESS 0xe0041300u +#define CYREG_ETM_OS_LOCK_STATUS 0xe0041304u +#define CYREG_ETM_PDSR 0xe0041314u +#define CYREG_ETM_ITMISCIN 0xe0041ee0u +#define CYREG_ETM_ITTRIGOUT 0xe0041ee8u +#define CYREG_ETM_ITATBCTR2 0xe0041ef0u +#define CYREG_ETM_ITATBCTR0 0xe0041ef8u +#define CYREG_ETM_INT_MODE_CTRL 0xe0041f00u +#define CYREG_ETM_CLM_TAG_SET 0xe0041fa0u +#define CYREG_ETM_CLM_TAG_CLR 0xe0041fa4u +#define CYREG_ETM_LOCK_ACCESS 0xe0041fb0u +#define CYREG_ETM_LOCK_STATUS 0xe0041fb4u +#define CYREG_ETM_AUTH_STATUS 0xe0041fb8u +#define CYREG_ETM_DEV_TYPE 0xe0041fccu +#define CYREG_ETM_PID4 0xe0041fd0u +#define CYREG_ETM_PID5 0xe0041fd4u +#define CYREG_ETM_PID6 0xe0041fd8u +#define CYREG_ETM_PID7 0xe0041fdcu +#define CYREG_ETM_PID0 0xe0041fe0u +#define CYREG_ETM_PID1 0xe0041fe4u +#define CYREG_ETM_PID2 0xe0041fe8u +#define CYREG_ETM_PID3 0xe0041fecu +#define CYREG_ETM_CID0 0xe0041ff0u +#define CYREG_ETM_CID1 0xe0041ff4u +#define CYREG_ETM_CID2 0xe0041ff8u +#define CYREG_ETM_CID3 0xe0041ffcu +#define CYDEV_ROM_TABLE_BASE 0xe00ff000u +#define CYDEV_ROM_TABLE_SIZE 0x00001000u +#define CYREG_ROM_TABLE_NVIC 0xe00ff000u +#define CYREG_ROM_TABLE_DWT 0xe00ff004u +#define CYREG_ROM_TABLE_FPB 0xe00ff008u +#define CYREG_ROM_TABLE_ITM 0xe00ff00cu +#define CYREG_ROM_TABLE_TPIU 0xe00ff010u +#define CYREG_ROM_TABLE_ETM 0xe00ff014u +#define CYREG_ROM_TABLE_END 0xe00ff018u +#define CYREG_ROM_TABLE_MEMTYPE 0xe00fffccu +#define CYREG_ROM_TABLE_PID4 0xe00fffd0u +#define CYREG_ROM_TABLE_PID5 0xe00fffd4u +#define CYREG_ROM_TABLE_PID6 0xe00fffd8u +#define CYREG_ROM_TABLE_PID7 0xe00fffdcu +#define CYREG_ROM_TABLE_PID0 0xe00fffe0u +#define CYREG_ROM_TABLE_PID1 0xe00fffe4u +#define CYREG_ROM_TABLE_PID2 0xe00fffe8u +#define CYREG_ROM_TABLE_PID3 0xe00fffecu +#define CYREG_ROM_TABLE_CID0 0xe00ffff0u +#define CYREG_ROM_TABLE_CID1 0xe00ffff4u +#define CYREG_ROM_TABLE_CID2 0xe00ffff8u +#define CYREG_ROM_TABLE_CID3 0xe00ffffcu +#define CYDEV_FLS_SIZE CYDEV_FLASH_SIZE +#define CYDEV_ECC_BASE CYDEV_FLSECC_BASE +#define CYDEV_FLS_SECTOR_SIZE 0x00010000u +#define CYDEV_FLS_ROW_SIZE 0x00000100u +#define CYDEV_ECC_SECTOR_SIZE 0x00002000u +#define CYDEV_ECC_ROW_SIZE 0x00000020u +#define CYDEV_EEPROM_SECTOR_SIZE 0x00000400u +#define CYDEV_EEPROM_ROW_SIZE 0x00000010u +#define CYDEV_PERIPH_BASE CYDEV_CLKDIST_BASE +#define CYCLK_LD_DISABLE 0x00000004u +#define CYCLK_LD_SYNC_EN 0x00000002u +#define CYCLK_LD_LOAD 0x00000001u +#define CYCLK_PIPE 0x00000080u +#define CYCLK_SSS 0x00000040u +#define CYCLK_EARLY 0x00000020u +#define CYCLK_DUTY 0x00000010u +#define CYCLK_SYNC 0x00000008u +#define CYCLK_SRC_SEL_CLK_SYNC_D 0 +#define CYCLK_SRC_SEL_SYNC_DIG 0 +#define CYCLK_SRC_SEL_IMO 1 +#define CYCLK_SRC_SEL_XTAL_MHZ 2 +#define CYCLK_SRC_SEL_XTALM 2 +#define CYCLK_SRC_SEL_ILO 3 +#define CYCLK_SRC_SEL_PLL 4 +#define CYCLK_SRC_SEL_XTAL_KHZ 5 +#define CYCLK_SRC_SEL_XTALK 5 +#define CYCLK_SRC_SEL_DSI_G 6 +#define CYCLK_SRC_SEL_DSI_D 7 +#define CYCLK_SRC_SEL_CLK_SYNC_A 0 +#define CYCLK_SRC_SEL_DSI_A 7 +#endif /* CYDEVICE_TRM_H */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu.inc new file mode 100644 index 0000000..5240bd6 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu.inc @@ -0,0 +1,5356 @@ +/******************************************************************************* +* FILENAME: cydevicegnu.inc +* OBSOLETE: Do not use this file. Use the _trm version instead. +* PSoC Creator 2.2 Component Pack 6 +* +* DESCRIPTION: +* This file provides all of the address values for the entire PSoC device. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +.set CYDEV_FLASH_BASE, 0x00000000 +.set CYDEV_FLASH_SIZE, 0x00040000 +.set CYDEV_FLASH_DATA_MBASE, 0x00000000 +.set CYDEV_FLASH_DATA_MSIZE, 0x00040000 +.set CYDEV_SRAM_BASE, 0x1fff8000 +.set CYDEV_SRAM_SIZE, 0x00010000 +.set CYDEV_SRAM_CODE64K_MBASE, 0x1fff8000 +.set CYDEV_SRAM_CODE64K_MSIZE, 0x00004000 +.set CYDEV_SRAM_CODE32K_MBASE, 0x1fffc000 +.set CYDEV_SRAM_CODE32K_MSIZE, 0x00002000 +.set CYDEV_SRAM_CODE16K_MBASE, 0x1fffe000 +.set CYDEV_SRAM_CODE16K_MSIZE, 0x00001000 +.set CYDEV_SRAM_CODE_MBASE, 0x1fff8000 +.set CYDEV_SRAM_CODE_MSIZE, 0x00008000 +.set CYDEV_SRAM_DATA_MBASE, 0x20000000 +.set CYDEV_SRAM_DATA_MSIZE, 0x00008000 +.set CYDEV_SRAM_DATA16K_MBASE, 0x20001000 +.set CYDEV_SRAM_DATA16K_MSIZE, 0x00001000 +.set CYDEV_SRAM_DATA32K_MBASE, 0x20002000 +.set CYDEV_SRAM_DATA32K_MSIZE, 0x00002000 +.set CYDEV_SRAM_DATA64K_MBASE, 0x20004000 +.set CYDEV_SRAM_DATA64K_MSIZE, 0x00004000 +.set CYDEV_DMA_BASE, 0x20008000 +.set CYDEV_DMA_SIZE, 0x00008000 +.set CYDEV_DMA_SRAM64K_MBASE, 0x20008000 +.set CYDEV_DMA_SRAM64K_MSIZE, 0x00004000 +.set CYDEV_DMA_SRAM32K_MBASE, 0x2000c000 +.set CYDEV_DMA_SRAM32K_MSIZE, 0x00002000 +.set CYDEV_DMA_SRAM16K_MBASE, 0x2000e000 +.set CYDEV_DMA_SRAM16K_MSIZE, 0x00001000 +.set CYDEV_DMA_SRAM_MBASE, 0x2000f000 +.set CYDEV_DMA_SRAM_MSIZE, 0x00001000 +.set CYDEV_CLKDIST_BASE, 0x40004000 +.set CYDEV_CLKDIST_SIZE, 0x00000110 +.set CYDEV_CLKDIST_CR, 0x40004000 +.set CYDEV_CLKDIST_LD, 0x40004001 +.set CYDEV_CLKDIST_WRK0, 0x40004002 +.set CYDEV_CLKDIST_WRK1, 0x40004003 +.set CYDEV_CLKDIST_MSTR0, 0x40004004 +.set CYDEV_CLKDIST_MSTR1, 0x40004005 +.set CYDEV_CLKDIST_BCFG0, 0x40004006 +.set CYDEV_CLKDIST_BCFG1, 0x40004007 +.set CYDEV_CLKDIST_BCFG2, 0x40004008 +.set CYDEV_CLKDIST_UCFG, 0x40004009 +.set CYDEV_CLKDIST_DLY0, 0x4000400a +.set CYDEV_CLKDIST_DLY1, 0x4000400b +.set CYDEV_CLKDIST_DMASK, 0x40004010 +.set CYDEV_CLKDIST_AMASK, 0x40004014 +.set CYDEV_CLKDIST_DCFG0_BASE, 0x40004080 +.set CYDEV_CLKDIST_DCFG0_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG0_CFG0, 0x40004080 +.set CYDEV_CLKDIST_DCFG0_CFG1, 0x40004081 +.set CYDEV_CLKDIST_DCFG0_CFG2, 0x40004082 +.set CYDEV_CLKDIST_DCFG1_BASE, 0x40004084 +.set CYDEV_CLKDIST_DCFG1_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG1_CFG0, 0x40004084 +.set CYDEV_CLKDIST_DCFG1_CFG1, 0x40004085 +.set CYDEV_CLKDIST_DCFG1_CFG2, 0x40004086 +.set CYDEV_CLKDIST_DCFG2_BASE, 0x40004088 +.set CYDEV_CLKDIST_DCFG2_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG2_CFG0, 0x40004088 +.set CYDEV_CLKDIST_DCFG2_CFG1, 0x40004089 +.set CYDEV_CLKDIST_DCFG2_CFG2, 0x4000408a +.set CYDEV_CLKDIST_DCFG3_BASE, 0x4000408c +.set CYDEV_CLKDIST_DCFG3_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG3_CFG0, 0x4000408c +.set CYDEV_CLKDIST_DCFG3_CFG1, 0x4000408d +.set CYDEV_CLKDIST_DCFG3_CFG2, 0x4000408e +.set CYDEV_CLKDIST_DCFG4_BASE, 0x40004090 +.set CYDEV_CLKDIST_DCFG4_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG4_CFG0, 0x40004090 +.set CYDEV_CLKDIST_DCFG4_CFG1, 0x40004091 +.set CYDEV_CLKDIST_DCFG4_CFG2, 0x40004092 +.set CYDEV_CLKDIST_DCFG5_BASE, 0x40004094 +.set CYDEV_CLKDIST_DCFG5_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG5_CFG0, 0x40004094 +.set CYDEV_CLKDIST_DCFG5_CFG1, 0x40004095 +.set CYDEV_CLKDIST_DCFG5_CFG2, 0x40004096 +.set CYDEV_CLKDIST_DCFG6_BASE, 0x40004098 +.set CYDEV_CLKDIST_DCFG6_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG6_CFG0, 0x40004098 +.set CYDEV_CLKDIST_DCFG6_CFG1, 0x40004099 +.set CYDEV_CLKDIST_DCFG6_CFG2, 0x4000409a +.set CYDEV_CLKDIST_DCFG7_BASE, 0x4000409c +.set CYDEV_CLKDIST_DCFG7_SIZE, 0x00000003 +.set CYDEV_CLKDIST_DCFG7_CFG0, 0x4000409c +.set CYDEV_CLKDIST_DCFG7_CFG1, 0x4000409d +.set CYDEV_CLKDIST_DCFG7_CFG2, 0x4000409e +.set CYDEV_CLKDIST_ACFG0_BASE, 0x40004100 +.set CYDEV_CLKDIST_ACFG0_SIZE, 0x00000004 +.set CYDEV_CLKDIST_ACFG0_CFG0, 0x40004100 +.set CYDEV_CLKDIST_ACFG0_CFG1, 0x40004101 +.set CYDEV_CLKDIST_ACFG0_CFG2, 0x40004102 +.set CYDEV_CLKDIST_ACFG0_CFG3, 0x40004103 +.set CYDEV_CLKDIST_ACFG1_BASE, 0x40004104 +.set CYDEV_CLKDIST_ACFG1_SIZE, 0x00000004 +.set CYDEV_CLKDIST_ACFG1_CFG0, 0x40004104 +.set CYDEV_CLKDIST_ACFG1_CFG1, 0x40004105 +.set CYDEV_CLKDIST_ACFG1_CFG2, 0x40004106 +.set CYDEV_CLKDIST_ACFG1_CFG3, 0x40004107 +.set CYDEV_CLKDIST_ACFG2_BASE, 0x40004108 +.set CYDEV_CLKDIST_ACFG2_SIZE, 0x00000004 +.set CYDEV_CLKDIST_ACFG2_CFG0, 0x40004108 +.set CYDEV_CLKDIST_ACFG2_CFG1, 0x40004109 +.set CYDEV_CLKDIST_ACFG2_CFG2, 0x4000410a +.set CYDEV_CLKDIST_ACFG2_CFG3, 0x4000410b +.set CYDEV_CLKDIST_ACFG3_BASE, 0x4000410c +.set CYDEV_CLKDIST_ACFG3_SIZE, 0x00000004 +.set CYDEV_CLKDIST_ACFG3_CFG0, 0x4000410c +.set CYDEV_CLKDIST_ACFG3_CFG1, 0x4000410d +.set CYDEV_CLKDIST_ACFG3_CFG2, 0x4000410e +.set CYDEV_CLKDIST_ACFG3_CFG3, 0x4000410f +.set CYDEV_FASTCLK_BASE, 0x40004200 +.set CYDEV_FASTCLK_SIZE, 0x00000026 +.set CYDEV_FASTCLK_IMO_BASE, 0x40004200 +.set CYDEV_FASTCLK_IMO_SIZE, 0x00000001 +.set CYDEV_FASTCLK_IMO_CR, 0x40004200 +.set CYDEV_FASTCLK_XMHZ_BASE, 0x40004210 +.set CYDEV_FASTCLK_XMHZ_SIZE, 0x00000004 +.set CYDEV_FASTCLK_XMHZ_CSR, 0x40004210 +.set CYDEV_FASTCLK_XMHZ_CFG0, 0x40004212 +.set CYDEV_FASTCLK_XMHZ_CFG1, 0x40004213 +.set CYDEV_FASTCLK_PLL_BASE, 0x40004220 +.set CYDEV_FASTCLK_PLL_SIZE, 0x00000006 +.set CYDEV_FASTCLK_PLL_CFG0, 0x40004220 +.set CYDEV_FASTCLK_PLL_CFG1, 0x40004221 +.set CYDEV_FASTCLK_PLL_P, 0x40004222 +.set CYDEV_FASTCLK_PLL_Q, 0x40004223 +.set CYDEV_FASTCLK_PLL_SR, 0x40004225 +.set CYDEV_SLOWCLK_BASE, 0x40004300 +.set CYDEV_SLOWCLK_SIZE, 0x0000000b +.set CYDEV_SLOWCLK_ILO_BASE, 0x40004300 +.set CYDEV_SLOWCLK_ILO_SIZE, 0x00000002 +.set CYDEV_SLOWCLK_ILO_CR0, 0x40004300 +.set CYDEV_SLOWCLK_ILO_CR1, 0x40004301 +.set CYDEV_SLOWCLK_X32_BASE, 0x40004308 +.set CYDEV_SLOWCLK_X32_SIZE, 0x00000003 +.set CYDEV_SLOWCLK_X32_CR, 0x40004308 +.set CYDEV_SLOWCLK_X32_CFG, 0x40004309 +.set CYDEV_SLOWCLK_X32_TST, 0x4000430a +.set CYDEV_BOOST_BASE, 0x40004320 +.set CYDEV_BOOST_SIZE, 0x00000007 +.set CYDEV_BOOST_CR0, 0x40004320 +.set CYDEV_BOOST_CR1, 0x40004321 +.set CYDEV_BOOST_CR2, 0x40004322 +.set CYDEV_BOOST_CR3, 0x40004323 +.set CYDEV_BOOST_SR, 0x40004324 +.set CYDEV_BOOST_CR4, 0x40004325 +.set CYDEV_BOOST_SR2, 0x40004326 +.set CYDEV_PWRSYS_BASE, 0x40004330 +.set CYDEV_PWRSYS_SIZE, 0x00000002 +.set CYDEV_PWRSYS_CR0, 0x40004330 +.set CYDEV_PWRSYS_CR1, 0x40004331 +.set CYDEV_PM_BASE, 0x40004380 +.set CYDEV_PM_SIZE, 0x00000057 +.set CYDEV_PM_TW_CFG0, 0x40004380 +.set CYDEV_PM_TW_CFG1, 0x40004381 +.set CYDEV_PM_TW_CFG2, 0x40004382 +.set CYDEV_PM_WDT_CFG, 0x40004383 +.set CYDEV_PM_WDT_CR, 0x40004384 +.set CYDEV_PM_INT_SR, 0x40004390 +.set CYDEV_PM_MODE_CFG0, 0x40004391 +.set CYDEV_PM_MODE_CFG1, 0x40004392 +.set CYDEV_PM_MODE_CSR, 0x40004393 +.set CYDEV_PM_USB_CR0, 0x40004394 +.set CYDEV_PM_WAKEUP_CFG0, 0x40004398 +.set CYDEV_PM_WAKEUP_CFG1, 0x40004399 +.set CYDEV_PM_WAKEUP_CFG2, 0x4000439a +.set CYDEV_PM_ACT_BASE, 0x400043a0 +.set CYDEV_PM_ACT_SIZE, 0x0000000e +.set CYDEV_PM_ACT_CFG0, 0x400043a0 +.set CYDEV_PM_ACT_CFG1, 0x400043a1 +.set CYDEV_PM_ACT_CFG2, 0x400043a2 +.set CYDEV_PM_ACT_CFG3, 0x400043a3 +.set CYDEV_PM_ACT_CFG4, 0x400043a4 +.set CYDEV_PM_ACT_CFG5, 0x400043a5 +.set CYDEV_PM_ACT_CFG6, 0x400043a6 +.set CYDEV_PM_ACT_CFG7, 0x400043a7 +.set CYDEV_PM_ACT_CFG8, 0x400043a8 +.set CYDEV_PM_ACT_CFG9, 0x400043a9 +.set CYDEV_PM_ACT_CFG10, 0x400043aa +.set CYDEV_PM_ACT_CFG11, 0x400043ab +.set CYDEV_PM_ACT_CFG12, 0x400043ac +.set CYDEV_PM_ACT_CFG13, 0x400043ad +.set CYDEV_PM_STBY_BASE, 0x400043b0 +.set CYDEV_PM_STBY_SIZE, 0x0000000e +.set CYDEV_PM_STBY_CFG0, 0x400043b0 +.set CYDEV_PM_STBY_CFG1, 0x400043b1 +.set CYDEV_PM_STBY_CFG2, 0x400043b2 +.set CYDEV_PM_STBY_CFG3, 0x400043b3 +.set CYDEV_PM_STBY_CFG4, 0x400043b4 +.set CYDEV_PM_STBY_CFG5, 0x400043b5 +.set CYDEV_PM_STBY_CFG6, 0x400043b6 +.set CYDEV_PM_STBY_CFG7, 0x400043b7 +.set CYDEV_PM_STBY_CFG8, 0x400043b8 +.set CYDEV_PM_STBY_CFG9, 0x400043b9 +.set CYDEV_PM_STBY_CFG10, 0x400043ba +.set CYDEV_PM_STBY_CFG11, 0x400043bb +.set CYDEV_PM_STBY_CFG12, 0x400043bc +.set CYDEV_PM_STBY_CFG13, 0x400043bd +.set CYDEV_PM_AVAIL_BASE, 0x400043c0 +.set CYDEV_PM_AVAIL_SIZE, 0x00000017 +.set CYDEV_PM_AVAIL_CR0, 0x400043c0 +.set CYDEV_PM_AVAIL_CR1, 0x400043c1 +.set CYDEV_PM_AVAIL_CR2, 0x400043c2 +.set CYDEV_PM_AVAIL_CR3, 0x400043c3 +.set CYDEV_PM_AVAIL_CR4, 0x400043c4 +.set CYDEV_PM_AVAIL_CR5, 0x400043c5 +.set CYDEV_PM_AVAIL_CR6, 0x400043c6 +.set CYDEV_PM_AVAIL_SR0, 0x400043d0 +.set CYDEV_PM_AVAIL_SR1, 0x400043d1 +.set CYDEV_PM_AVAIL_SR2, 0x400043d2 +.set CYDEV_PM_AVAIL_SR3, 0x400043d3 +.set CYDEV_PM_AVAIL_SR4, 0x400043d4 +.set CYDEV_PM_AVAIL_SR5, 0x400043d5 +.set CYDEV_PM_AVAIL_SR6, 0x400043d6 +.set CYDEV_PICU_BASE, 0x40004500 +.set CYDEV_PICU_SIZE, 0x000000b0 +.set CYDEV_PICU_INTTYPE_BASE, 0x40004500 +.set CYDEV_PICU_INTTYPE_SIZE, 0x00000080 +.set CYDEV_PICU_INTTYPE_PICU0_BASE, 0x40004500 +.set CYDEV_PICU_INTTYPE_PICU0_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE0, 0x40004500 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE1, 0x40004501 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE2, 0x40004502 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE3, 0x40004503 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE4, 0x40004504 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE5, 0x40004505 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE6, 0x40004506 +.set CYDEV_PICU_INTTYPE_PICU0_INTTYPE7, 0x40004507 +.set CYDEV_PICU_INTTYPE_PICU1_BASE, 0x40004508 +.set CYDEV_PICU_INTTYPE_PICU1_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE0, 0x40004508 +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE1, 0x40004509 +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE2, 0x4000450a +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE3, 0x4000450b +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE4, 0x4000450c +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE5, 0x4000450d +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE6, 0x4000450e +.set CYDEV_PICU_INTTYPE_PICU1_INTTYPE7, 0x4000450f +.set CYDEV_PICU_INTTYPE_PICU2_BASE, 0x40004510 +.set CYDEV_PICU_INTTYPE_PICU2_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE0, 0x40004510 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE1, 0x40004511 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE2, 0x40004512 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE3, 0x40004513 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE4, 0x40004514 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE5, 0x40004515 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE6, 0x40004516 +.set CYDEV_PICU_INTTYPE_PICU2_INTTYPE7, 0x40004517 +.set CYDEV_PICU_INTTYPE_PICU3_BASE, 0x40004518 +.set CYDEV_PICU_INTTYPE_PICU3_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE0, 0x40004518 +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE1, 0x40004519 +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE2, 0x4000451a +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE3, 0x4000451b +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE4, 0x4000451c +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE5, 0x4000451d +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE6, 0x4000451e +.set CYDEV_PICU_INTTYPE_PICU3_INTTYPE7, 0x4000451f +.set CYDEV_PICU_INTTYPE_PICU4_BASE, 0x40004520 +.set CYDEV_PICU_INTTYPE_PICU4_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE0, 0x40004520 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE1, 0x40004521 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE2, 0x40004522 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE3, 0x40004523 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE4, 0x40004524 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE5, 0x40004525 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE6, 0x40004526 +.set CYDEV_PICU_INTTYPE_PICU4_INTTYPE7, 0x40004527 +.set CYDEV_PICU_INTTYPE_PICU5_BASE, 0x40004528 +.set CYDEV_PICU_INTTYPE_PICU5_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE0, 0x40004528 +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE1, 0x40004529 +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE2, 0x4000452a +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE3, 0x4000452b +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE4, 0x4000452c +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE5, 0x4000452d +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE6, 0x4000452e +.set CYDEV_PICU_INTTYPE_PICU5_INTTYPE7, 0x4000452f +.set CYDEV_PICU_INTTYPE_PICU6_BASE, 0x40004530 +.set CYDEV_PICU_INTTYPE_PICU6_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE0, 0x40004530 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE1, 0x40004531 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE2, 0x40004532 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE3, 0x40004533 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE4, 0x40004534 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE5, 0x40004535 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE6, 0x40004536 +.set CYDEV_PICU_INTTYPE_PICU6_INTTYPE7, 0x40004537 +.set CYDEV_PICU_INTTYPE_PICU12_BASE, 0x40004560 +.set CYDEV_PICU_INTTYPE_PICU12_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE0, 0x40004560 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE1, 0x40004561 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE2, 0x40004562 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE3, 0x40004563 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE4, 0x40004564 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE5, 0x40004565 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE6, 0x40004566 +.set CYDEV_PICU_INTTYPE_PICU12_INTTYPE7, 0x40004567 +.set CYDEV_PICU_INTTYPE_PICU15_BASE, 0x40004578 +.set CYDEV_PICU_INTTYPE_PICU15_SIZE, 0x00000008 +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE0, 0x40004578 +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE1, 0x40004579 +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE2, 0x4000457a +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE3, 0x4000457b +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE4, 0x4000457c +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE5, 0x4000457d +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE6, 0x4000457e +.set CYDEV_PICU_INTTYPE_PICU15_INTTYPE7, 0x4000457f +.set CYDEV_PICU_STAT_BASE, 0x40004580 +.set CYDEV_PICU_STAT_SIZE, 0x00000010 +.set CYDEV_PICU_STAT_PICU0_BASE, 0x40004580 +.set CYDEV_PICU_STAT_PICU0_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU0_INTSTAT, 0x40004580 +.set CYDEV_PICU_STAT_PICU1_BASE, 0x40004581 +.set CYDEV_PICU_STAT_PICU1_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU1_INTSTAT, 0x40004581 +.set CYDEV_PICU_STAT_PICU2_BASE, 0x40004582 +.set CYDEV_PICU_STAT_PICU2_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU2_INTSTAT, 0x40004582 +.set CYDEV_PICU_STAT_PICU3_BASE, 0x40004583 +.set CYDEV_PICU_STAT_PICU3_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU3_INTSTAT, 0x40004583 +.set CYDEV_PICU_STAT_PICU4_BASE, 0x40004584 +.set CYDEV_PICU_STAT_PICU4_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU4_INTSTAT, 0x40004584 +.set CYDEV_PICU_STAT_PICU5_BASE, 0x40004585 +.set CYDEV_PICU_STAT_PICU5_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU5_INTSTAT, 0x40004585 +.set CYDEV_PICU_STAT_PICU6_BASE, 0x40004586 +.set CYDEV_PICU_STAT_PICU6_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU6_INTSTAT, 0x40004586 +.set CYDEV_PICU_STAT_PICU12_BASE, 0x4000458c +.set CYDEV_PICU_STAT_PICU12_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU12_INTSTAT, 0x4000458c +.set CYDEV_PICU_STAT_PICU15_BASE, 0x4000458f +.set CYDEV_PICU_STAT_PICU15_SIZE, 0x00000001 +.set CYDEV_PICU_STAT_PICU15_INTSTAT, 0x4000458f +.set CYDEV_PICU_SNAP_BASE, 0x40004590 +.set CYDEV_PICU_SNAP_SIZE, 0x00000010 +.set CYDEV_PICU_SNAP_PICU0_BASE, 0x40004590 +.set CYDEV_PICU_SNAP_PICU0_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU0_SNAP, 0x40004590 +.set CYDEV_PICU_SNAP_PICU1_BASE, 0x40004591 +.set CYDEV_PICU_SNAP_PICU1_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU1_SNAP, 0x40004591 +.set CYDEV_PICU_SNAP_PICU2_BASE, 0x40004592 +.set CYDEV_PICU_SNAP_PICU2_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU2_SNAP, 0x40004592 +.set CYDEV_PICU_SNAP_PICU3_BASE, 0x40004593 +.set CYDEV_PICU_SNAP_PICU3_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU3_SNAP, 0x40004593 +.set CYDEV_PICU_SNAP_PICU4_BASE, 0x40004594 +.set CYDEV_PICU_SNAP_PICU4_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU4_SNAP, 0x40004594 +.set CYDEV_PICU_SNAP_PICU5_BASE, 0x40004595 +.set CYDEV_PICU_SNAP_PICU5_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU5_SNAP, 0x40004595 +.set CYDEV_PICU_SNAP_PICU6_BASE, 0x40004596 +.set CYDEV_PICU_SNAP_PICU6_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU6_SNAP, 0x40004596 +.set CYDEV_PICU_SNAP_PICU12_BASE, 0x4000459c +.set CYDEV_PICU_SNAP_PICU12_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU12_SNAP, 0x4000459c +.set CYDEV_PICU_SNAP_PICU_15_BASE, 0x4000459f +.set CYDEV_PICU_SNAP_PICU_15_SIZE, 0x00000001 +.set CYDEV_PICU_SNAP_PICU_15_SNAP_15, 0x4000459f +.set CYDEV_PICU_DISABLE_COR_BASE, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_SIZE, 0x00000010 +.set CYDEV_PICU_DISABLE_COR_PICU0_BASE, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_PICU0_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_PICU1_BASE, 0x400045a1 +.set CYDEV_PICU_DISABLE_COR_PICU1_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR, 0x400045a1 +.set CYDEV_PICU_DISABLE_COR_PICU2_BASE, 0x400045a2 +.set CYDEV_PICU_DISABLE_COR_PICU2_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR, 0x400045a2 +.set CYDEV_PICU_DISABLE_COR_PICU3_BASE, 0x400045a3 +.set CYDEV_PICU_DISABLE_COR_PICU3_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR, 0x400045a3 +.set CYDEV_PICU_DISABLE_COR_PICU4_BASE, 0x400045a4 +.set CYDEV_PICU_DISABLE_COR_PICU4_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR, 0x400045a4 +.set CYDEV_PICU_DISABLE_COR_PICU5_BASE, 0x400045a5 +.set CYDEV_PICU_DISABLE_COR_PICU5_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR, 0x400045a5 +.set CYDEV_PICU_DISABLE_COR_PICU6_BASE, 0x400045a6 +.set CYDEV_PICU_DISABLE_COR_PICU6_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR, 0x400045a6 +.set CYDEV_PICU_DISABLE_COR_PICU12_BASE, 0x400045ac +.set CYDEV_PICU_DISABLE_COR_PICU12_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR, 0x400045ac +.set CYDEV_PICU_DISABLE_COR_PICU15_BASE, 0x400045af +.set CYDEV_PICU_DISABLE_COR_PICU15_SIZE, 0x00000001 +.set CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR, 0x400045af +.set CYDEV_MFGCFG_BASE, 0x40004600 +.set CYDEV_MFGCFG_SIZE, 0x000000ed +.set CYDEV_MFGCFG_ANAIF_BASE, 0x40004600 +.set CYDEV_MFGCFG_ANAIF_SIZE, 0x00000038 +.set CYDEV_MFGCFG_ANAIF_DAC0_BASE, 0x40004608 +.set CYDEV_MFGCFG_ANAIF_DAC0_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_DAC0_TR, 0x40004608 +.set CYDEV_MFGCFG_ANAIF_DAC1_BASE, 0x40004609 +.set CYDEV_MFGCFG_ANAIF_DAC1_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_DAC1_TR, 0x40004609 +.set CYDEV_MFGCFG_ANAIF_DAC2_BASE, 0x4000460a +.set CYDEV_MFGCFG_ANAIF_DAC2_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_DAC2_TR, 0x4000460a +.set CYDEV_MFGCFG_ANAIF_DAC3_BASE, 0x4000460b +.set CYDEV_MFGCFG_ANAIF_DAC3_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_DAC3_TR, 0x4000460b +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE, 0x40004610 +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0, 0x40004610 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE, 0x40004611 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0, 0x40004611 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE, 0x40004612 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0, 0x40004612 +.set CYDEV_MFGCFG_ANAIF_SAR0_BASE, 0x40004614 +.set CYDEV_MFGCFG_ANAIF_SAR0_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_SAR0_TR0, 0x40004614 +.set CYDEV_MFGCFG_ANAIF_SAR1_BASE, 0x40004616 +.set CYDEV_MFGCFG_ANAIF_SAR1_SIZE, 0x00000001 +.set CYDEV_MFGCFG_ANAIF_SAR1_TR0, 0x40004616 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_BASE, 0x40004620 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_TR0, 0x40004620 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_TR1, 0x40004621 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_BASE, 0x40004622 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_TR0, 0x40004622 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_TR1, 0x40004623 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_BASE, 0x40004624 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_TR0, 0x40004624 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_TR1, 0x40004625 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_BASE, 0x40004626 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_TR0, 0x40004626 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_TR1, 0x40004627 +.set CYDEV_MFGCFG_ANAIF_CMP0_BASE, 0x40004630 +.set CYDEV_MFGCFG_ANAIF_CMP0_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_CMP0_TR0, 0x40004630 +.set CYDEV_MFGCFG_ANAIF_CMP0_TR1, 0x40004631 +.set CYDEV_MFGCFG_ANAIF_CMP1_BASE, 0x40004632 +.set CYDEV_MFGCFG_ANAIF_CMP1_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_CMP1_TR0, 0x40004632 +.set CYDEV_MFGCFG_ANAIF_CMP1_TR1, 0x40004633 +.set CYDEV_MFGCFG_ANAIF_CMP2_BASE, 0x40004634 +.set CYDEV_MFGCFG_ANAIF_CMP2_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_CMP2_TR0, 0x40004634 +.set CYDEV_MFGCFG_ANAIF_CMP2_TR1, 0x40004635 +.set CYDEV_MFGCFG_ANAIF_CMP3_BASE, 0x40004636 +.set CYDEV_MFGCFG_ANAIF_CMP3_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ANAIF_CMP3_TR0, 0x40004636 +.set CYDEV_MFGCFG_ANAIF_CMP3_TR1, 0x40004637 +.set CYDEV_MFGCFG_PWRSYS_BASE, 0x40004680 +.set CYDEV_MFGCFG_PWRSYS_SIZE, 0x0000000b +.set CYDEV_MFGCFG_PWRSYS_HIB_TR0, 0x40004680 +.set CYDEV_MFGCFG_PWRSYS_HIB_TR1, 0x40004681 +.set CYDEV_MFGCFG_PWRSYS_I2C_TR, 0x40004682 +.set CYDEV_MFGCFG_PWRSYS_SLP_TR, 0x40004683 +.set CYDEV_MFGCFG_PWRSYS_BUZZ_TR, 0x40004684 +.set CYDEV_MFGCFG_PWRSYS_WAKE_TR0, 0x40004685 +.set CYDEV_MFGCFG_PWRSYS_WAKE_TR1, 0x40004686 +.set CYDEV_MFGCFG_PWRSYS_BREF_TR, 0x40004687 +.set CYDEV_MFGCFG_PWRSYS_BG_TR, 0x40004688 +.set CYDEV_MFGCFG_PWRSYS_WAKE_TR2, 0x40004689 +.set CYDEV_MFGCFG_PWRSYS_WAKE_TR3, 0x4000468a +.set CYDEV_MFGCFG_ILO_BASE, 0x40004690 +.set CYDEV_MFGCFG_ILO_SIZE, 0x00000002 +.set CYDEV_MFGCFG_ILO_TR0, 0x40004690 +.set CYDEV_MFGCFG_ILO_TR1, 0x40004691 +.set CYDEV_MFGCFG_X32_BASE, 0x40004698 +.set CYDEV_MFGCFG_X32_SIZE, 0x00000001 +.set CYDEV_MFGCFG_X32_TR, 0x40004698 +.set CYDEV_MFGCFG_IMO_BASE, 0x400046a0 +.set CYDEV_MFGCFG_IMO_SIZE, 0x00000005 +.set CYDEV_MFGCFG_IMO_TR0, 0x400046a0 +.set CYDEV_MFGCFG_IMO_TR1, 0x400046a1 +.set CYDEV_MFGCFG_IMO_GAIN, 0x400046a2 +.set CYDEV_MFGCFG_IMO_C36M, 0x400046a3 +.set CYDEV_MFGCFG_IMO_TR2, 0x400046a4 +.set CYDEV_MFGCFG_XMHZ_BASE, 0x400046a8 +.set CYDEV_MFGCFG_XMHZ_SIZE, 0x00000001 +.set CYDEV_MFGCFG_XMHZ_TR, 0x400046a8 +.set CYDEV_MFGCFG_DLY, 0x400046c0 +.set CYDEV_MFGCFG_MLOGIC_BASE, 0x400046e0 +.set CYDEV_MFGCFG_MLOGIC_SIZE, 0x0000000d +.set CYDEV_MFGCFG_MLOGIC_DMPSTR, 0x400046e2 +.set CYDEV_MFGCFG_MLOGIC_SEG_BASE, 0x400046e4 +.set CYDEV_MFGCFG_MLOGIC_SEG_SIZE, 0x00000002 +.set CYDEV_MFGCFG_MLOGIC_SEG_CR, 0x400046e4 +.set CYDEV_MFGCFG_MLOGIC_SEG_CFG0, 0x400046e5 +.set CYDEV_MFGCFG_MLOGIC_DEBUG, 0x400046e8 +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE, 0x400046ea +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE, 0x00000001 +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR, 0x400046ea +.set CYDEV_MFGCFG_MLOGIC_REV_ID, 0x400046ec +.set CYDEV_RESET_BASE, 0x400046f0 +.set CYDEV_RESET_SIZE, 0x0000000f +.set CYDEV_RESET_IPOR_CR0, 0x400046f0 +.set CYDEV_RESET_IPOR_CR1, 0x400046f1 +.set CYDEV_RESET_IPOR_CR2, 0x400046f2 +.set CYDEV_RESET_IPOR_CR3, 0x400046f3 +.set CYDEV_RESET_CR0, 0x400046f4 +.set CYDEV_RESET_CR1, 0x400046f5 +.set CYDEV_RESET_CR2, 0x400046f6 +.set CYDEV_RESET_CR3, 0x400046f7 +.set CYDEV_RESET_CR4, 0x400046f8 +.set CYDEV_RESET_CR5, 0x400046f9 +.set CYDEV_RESET_SR0, 0x400046fa +.set CYDEV_RESET_SR1, 0x400046fb +.set CYDEV_RESET_SR2, 0x400046fc +.set CYDEV_RESET_SR3, 0x400046fd +.set CYDEV_RESET_TR, 0x400046fe +.set CYDEV_SPC_BASE, 0x40004700 +.set CYDEV_SPC_SIZE, 0x00000100 +.set CYDEV_SPC_FM_EE_CR, 0x40004700 +.set CYDEV_SPC_FM_EE_WAKE_CNT, 0x40004701 +.set CYDEV_SPC_EE_SCR, 0x40004702 +.set CYDEV_SPC_EE_ERR, 0x40004703 +.set CYDEV_SPC_CPU_DATA, 0x40004720 +.set CYDEV_SPC_DMA_DATA, 0x40004721 +.set CYDEV_SPC_SR, 0x40004722 +.set CYDEV_SPC_CR, 0x40004723 +.set CYDEV_SPC_DMM_MAP_BASE, 0x40004780 +.set CYDEV_SPC_DMM_MAP_SIZE, 0x00000080 +.set CYDEV_SPC_DMM_MAP_SRAM_MBASE, 0x40004780 +.set CYDEV_SPC_DMM_MAP_SRAM_MSIZE, 0x00000080 +.set CYDEV_CACHE_BASE, 0x40004800 +.set CYDEV_CACHE_SIZE, 0x0000009c +.set CYDEV_CACHE_CC_CTL, 0x40004800 +.set CYDEV_CACHE_ECC_CORR, 0x40004880 +.set CYDEV_CACHE_ECC_ERR, 0x40004888 +.set CYDEV_CACHE_FLASH_ERR, 0x40004890 +.set CYDEV_CACHE_HITMISS, 0x40004898 +.set CYDEV_I2C_BASE, 0x40004900 +.set CYDEV_I2C_SIZE, 0x000000e1 +.set CYDEV_I2C_XCFG, 0x400049c8 +.set CYDEV_I2C_ADR, 0x400049ca +.set CYDEV_I2C_CFG, 0x400049d6 +.set CYDEV_I2C_CSR, 0x400049d7 +.set CYDEV_I2C_D, 0x400049d8 +.set CYDEV_I2C_MCSR, 0x400049d9 +.set CYDEV_I2C_CLK_DIV1, 0x400049db +.set CYDEV_I2C_CLK_DIV2, 0x400049dc +.set CYDEV_I2C_TMOUT_CSR, 0x400049dd +.set CYDEV_I2C_TMOUT_SR, 0x400049de +.set CYDEV_I2C_TMOUT_CFG0, 0x400049df +.set CYDEV_I2C_TMOUT_CFG1, 0x400049e0 +.set CYDEV_DEC_BASE, 0x40004e00 +.set CYDEV_DEC_SIZE, 0x00000015 +.set CYDEV_DEC_CR, 0x40004e00 +.set CYDEV_DEC_SR, 0x40004e01 +.set CYDEV_DEC_SHIFT1, 0x40004e02 +.set CYDEV_DEC_SHIFT2, 0x40004e03 +.set CYDEV_DEC_DR2, 0x40004e04 +.set CYDEV_DEC_DR2H, 0x40004e05 +.set CYDEV_DEC_DR1, 0x40004e06 +.set CYDEV_DEC_OCOR, 0x40004e08 +.set CYDEV_DEC_OCORM, 0x40004e09 +.set CYDEV_DEC_OCORH, 0x40004e0a +.set CYDEV_DEC_GCOR, 0x40004e0c +.set CYDEV_DEC_GCORH, 0x40004e0d +.set CYDEV_DEC_GVAL, 0x40004e0e +.set CYDEV_DEC_OUTSAMP, 0x40004e10 +.set CYDEV_DEC_OUTSAMPM, 0x40004e11 +.set CYDEV_DEC_OUTSAMPH, 0x40004e12 +.set CYDEV_DEC_OUTSAMPS, 0x40004e13 +.set CYDEV_DEC_COHER, 0x40004e14 +.set CYDEV_TMR0_BASE, 0x40004f00 +.set CYDEV_TMR0_SIZE, 0x0000000c +.set CYDEV_TMR0_CFG0, 0x40004f00 +.set CYDEV_TMR0_CFG1, 0x40004f01 +.set CYDEV_TMR0_CFG2, 0x40004f02 +.set CYDEV_TMR0_SR0, 0x40004f03 +.set CYDEV_TMR0_PER0, 0x40004f04 +.set CYDEV_TMR0_PER1, 0x40004f05 +.set CYDEV_TMR0_CNT_CMP0, 0x40004f06 +.set CYDEV_TMR0_CNT_CMP1, 0x40004f07 +.set CYDEV_TMR0_CAP0, 0x40004f08 +.set CYDEV_TMR0_CAP1, 0x40004f09 +.set CYDEV_TMR0_RT0, 0x40004f0a +.set CYDEV_TMR0_RT1, 0x40004f0b +.set CYDEV_TMR1_BASE, 0x40004f0c +.set CYDEV_TMR1_SIZE, 0x0000000c +.set CYDEV_TMR1_CFG0, 0x40004f0c +.set CYDEV_TMR1_CFG1, 0x40004f0d +.set CYDEV_TMR1_CFG2, 0x40004f0e +.set CYDEV_TMR1_SR0, 0x40004f0f +.set CYDEV_TMR1_PER0, 0x40004f10 +.set CYDEV_TMR1_PER1, 0x40004f11 +.set CYDEV_TMR1_CNT_CMP0, 0x40004f12 +.set CYDEV_TMR1_CNT_CMP1, 0x40004f13 +.set CYDEV_TMR1_CAP0, 0x40004f14 +.set CYDEV_TMR1_CAP1, 0x40004f15 +.set CYDEV_TMR1_RT0, 0x40004f16 +.set CYDEV_TMR1_RT1, 0x40004f17 +.set CYDEV_TMR2_BASE, 0x40004f18 +.set CYDEV_TMR2_SIZE, 0x0000000c +.set CYDEV_TMR2_CFG0, 0x40004f18 +.set CYDEV_TMR2_CFG1, 0x40004f19 +.set CYDEV_TMR2_CFG2, 0x40004f1a +.set CYDEV_TMR2_SR0, 0x40004f1b +.set CYDEV_TMR2_PER0, 0x40004f1c +.set CYDEV_TMR2_PER1, 0x40004f1d +.set CYDEV_TMR2_CNT_CMP0, 0x40004f1e +.set CYDEV_TMR2_CNT_CMP1, 0x40004f1f +.set CYDEV_TMR2_CAP0, 0x40004f20 +.set CYDEV_TMR2_CAP1, 0x40004f21 +.set CYDEV_TMR2_RT0, 0x40004f22 +.set CYDEV_TMR2_RT1, 0x40004f23 +.set CYDEV_TMR3_BASE, 0x40004f24 +.set CYDEV_TMR3_SIZE, 0x0000000c +.set CYDEV_TMR3_CFG0, 0x40004f24 +.set CYDEV_TMR3_CFG1, 0x40004f25 +.set CYDEV_TMR3_CFG2, 0x40004f26 +.set CYDEV_TMR3_SR0, 0x40004f27 +.set CYDEV_TMR3_PER0, 0x40004f28 +.set CYDEV_TMR3_PER1, 0x40004f29 +.set CYDEV_TMR3_CNT_CMP0, 0x40004f2a +.set CYDEV_TMR3_CNT_CMP1, 0x40004f2b +.set CYDEV_TMR3_CAP0, 0x40004f2c +.set CYDEV_TMR3_CAP1, 0x40004f2d +.set CYDEV_TMR3_RT0, 0x40004f2e +.set CYDEV_TMR3_RT1, 0x40004f2f +.set CYDEV_IO_BASE, 0x40005000 +.set CYDEV_IO_SIZE, 0x00000200 +.set CYDEV_IO_PC_BASE, 0x40005000 +.set CYDEV_IO_PC_SIZE, 0x00000080 +.set CYDEV_IO_PC_PRT0_BASE, 0x40005000 +.set CYDEV_IO_PC_PRT0_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT0_PC0, 0x40005000 +.set CYDEV_IO_PC_PRT0_PC1, 0x40005001 +.set CYDEV_IO_PC_PRT0_PC2, 0x40005002 +.set CYDEV_IO_PC_PRT0_PC3, 0x40005003 +.set CYDEV_IO_PC_PRT0_PC4, 0x40005004 +.set CYDEV_IO_PC_PRT0_PC5, 0x40005005 +.set CYDEV_IO_PC_PRT0_PC6, 0x40005006 +.set CYDEV_IO_PC_PRT0_PC7, 0x40005007 +.set CYDEV_IO_PC_PRT1_BASE, 0x40005008 +.set CYDEV_IO_PC_PRT1_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT1_PC0, 0x40005008 +.set CYDEV_IO_PC_PRT1_PC1, 0x40005009 +.set CYDEV_IO_PC_PRT1_PC2, 0x4000500a +.set CYDEV_IO_PC_PRT1_PC3, 0x4000500b +.set CYDEV_IO_PC_PRT1_PC4, 0x4000500c +.set CYDEV_IO_PC_PRT1_PC5, 0x4000500d +.set CYDEV_IO_PC_PRT1_PC6, 0x4000500e +.set CYDEV_IO_PC_PRT1_PC7, 0x4000500f +.set CYDEV_IO_PC_PRT2_BASE, 0x40005010 +.set CYDEV_IO_PC_PRT2_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT2_PC0, 0x40005010 +.set CYDEV_IO_PC_PRT2_PC1, 0x40005011 +.set CYDEV_IO_PC_PRT2_PC2, 0x40005012 +.set CYDEV_IO_PC_PRT2_PC3, 0x40005013 +.set CYDEV_IO_PC_PRT2_PC4, 0x40005014 +.set CYDEV_IO_PC_PRT2_PC5, 0x40005015 +.set CYDEV_IO_PC_PRT2_PC6, 0x40005016 +.set CYDEV_IO_PC_PRT2_PC7, 0x40005017 +.set CYDEV_IO_PC_PRT3_BASE, 0x40005018 +.set CYDEV_IO_PC_PRT3_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT3_PC0, 0x40005018 +.set CYDEV_IO_PC_PRT3_PC1, 0x40005019 +.set CYDEV_IO_PC_PRT3_PC2, 0x4000501a +.set CYDEV_IO_PC_PRT3_PC3, 0x4000501b +.set CYDEV_IO_PC_PRT3_PC4, 0x4000501c +.set CYDEV_IO_PC_PRT3_PC5, 0x4000501d +.set CYDEV_IO_PC_PRT3_PC6, 0x4000501e +.set CYDEV_IO_PC_PRT3_PC7, 0x4000501f +.set CYDEV_IO_PC_PRT4_BASE, 0x40005020 +.set CYDEV_IO_PC_PRT4_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT4_PC0, 0x40005020 +.set CYDEV_IO_PC_PRT4_PC1, 0x40005021 +.set CYDEV_IO_PC_PRT4_PC2, 0x40005022 +.set CYDEV_IO_PC_PRT4_PC3, 0x40005023 +.set CYDEV_IO_PC_PRT4_PC4, 0x40005024 +.set CYDEV_IO_PC_PRT4_PC5, 0x40005025 +.set CYDEV_IO_PC_PRT4_PC6, 0x40005026 +.set CYDEV_IO_PC_PRT4_PC7, 0x40005027 +.set CYDEV_IO_PC_PRT5_BASE, 0x40005028 +.set CYDEV_IO_PC_PRT5_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT5_PC0, 0x40005028 +.set CYDEV_IO_PC_PRT5_PC1, 0x40005029 +.set CYDEV_IO_PC_PRT5_PC2, 0x4000502a +.set CYDEV_IO_PC_PRT5_PC3, 0x4000502b +.set CYDEV_IO_PC_PRT5_PC4, 0x4000502c +.set CYDEV_IO_PC_PRT5_PC5, 0x4000502d +.set CYDEV_IO_PC_PRT5_PC6, 0x4000502e +.set CYDEV_IO_PC_PRT5_PC7, 0x4000502f +.set CYDEV_IO_PC_PRT6_BASE, 0x40005030 +.set CYDEV_IO_PC_PRT6_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT6_PC0, 0x40005030 +.set CYDEV_IO_PC_PRT6_PC1, 0x40005031 +.set CYDEV_IO_PC_PRT6_PC2, 0x40005032 +.set CYDEV_IO_PC_PRT6_PC3, 0x40005033 +.set CYDEV_IO_PC_PRT6_PC4, 0x40005034 +.set CYDEV_IO_PC_PRT6_PC5, 0x40005035 +.set CYDEV_IO_PC_PRT6_PC6, 0x40005036 +.set CYDEV_IO_PC_PRT6_PC7, 0x40005037 +.set CYDEV_IO_PC_PRT12_BASE, 0x40005060 +.set CYDEV_IO_PC_PRT12_SIZE, 0x00000008 +.set CYDEV_IO_PC_PRT12_PC0, 0x40005060 +.set CYDEV_IO_PC_PRT12_PC1, 0x40005061 +.set CYDEV_IO_PC_PRT12_PC2, 0x40005062 +.set CYDEV_IO_PC_PRT12_PC3, 0x40005063 +.set CYDEV_IO_PC_PRT12_PC4, 0x40005064 +.set CYDEV_IO_PC_PRT12_PC5, 0x40005065 +.set CYDEV_IO_PC_PRT12_PC6, 0x40005066 +.set CYDEV_IO_PC_PRT12_PC7, 0x40005067 +.set CYDEV_IO_PC_PRT15_BASE, 0x40005078 +.set CYDEV_IO_PC_PRT15_SIZE, 0x00000006 +.set CYDEV_IO_PC_PRT15_PC0, 0x40005078 +.set CYDEV_IO_PC_PRT15_PC1, 0x40005079 +.set CYDEV_IO_PC_PRT15_PC2, 0x4000507a +.set CYDEV_IO_PC_PRT15_PC3, 0x4000507b +.set CYDEV_IO_PC_PRT15_PC4, 0x4000507c +.set CYDEV_IO_PC_PRT15_PC5, 0x4000507d +.set CYDEV_IO_PC_PRT15_7_6_BASE, 0x4000507e +.set CYDEV_IO_PC_PRT15_7_6_SIZE, 0x00000002 +.set CYDEV_IO_PC_PRT15_7_6_PC0, 0x4000507e +.set CYDEV_IO_PC_PRT15_7_6_PC1, 0x4000507f +.set CYDEV_IO_DR_BASE, 0x40005080 +.set CYDEV_IO_DR_SIZE, 0x00000010 +.set CYDEV_IO_DR_PRT0_BASE, 0x40005080 +.set CYDEV_IO_DR_PRT0_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT0_DR_ALIAS, 0x40005080 +.set CYDEV_IO_DR_PRT1_BASE, 0x40005081 +.set CYDEV_IO_DR_PRT1_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT1_DR_ALIAS, 0x40005081 +.set CYDEV_IO_DR_PRT2_BASE, 0x40005082 +.set CYDEV_IO_DR_PRT2_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT2_DR_ALIAS, 0x40005082 +.set CYDEV_IO_DR_PRT3_BASE, 0x40005083 +.set CYDEV_IO_DR_PRT3_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT3_DR_ALIAS, 0x40005083 +.set CYDEV_IO_DR_PRT4_BASE, 0x40005084 +.set CYDEV_IO_DR_PRT4_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT4_DR_ALIAS, 0x40005084 +.set CYDEV_IO_DR_PRT5_BASE, 0x40005085 +.set CYDEV_IO_DR_PRT5_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT5_DR_ALIAS, 0x40005085 +.set CYDEV_IO_DR_PRT6_BASE, 0x40005086 +.set CYDEV_IO_DR_PRT6_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT6_DR_ALIAS, 0x40005086 +.set CYDEV_IO_DR_PRT12_BASE, 0x4000508c +.set CYDEV_IO_DR_PRT12_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT12_DR_ALIAS, 0x4000508c +.set CYDEV_IO_DR_PRT15_BASE, 0x4000508f +.set CYDEV_IO_DR_PRT15_SIZE, 0x00000001 +.set CYDEV_IO_DR_PRT15_DR_15_ALIAS, 0x4000508f +.set CYDEV_IO_PS_BASE, 0x40005090 +.set CYDEV_IO_PS_SIZE, 0x00000010 +.set CYDEV_IO_PS_PRT0_BASE, 0x40005090 +.set CYDEV_IO_PS_PRT0_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT0_PS_ALIAS, 0x40005090 +.set CYDEV_IO_PS_PRT1_BASE, 0x40005091 +.set CYDEV_IO_PS_PRT1_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT1_PS_ALIAS, 0x40005091 +.set CYDEV_IO_PS_PRT2_BASE, 0x40005092 +.set CYDEV_IO_PS_PRT2_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT2_PS_ALIAS, 0x40005092 +.set CYDEV_IO_PS_PRT3_BASE, 0x40005093 +.set CYDEV_IO_PS_PRT3_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT3_PS_ALIAS, 0x40005093 +.set CYDEV_IO_PS_PRT4_BASE, 0x40005094 +.set CYDEV_IO_PS_PRT4_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT4_PS_ALIAS, 0x40005094 +.set CYDEV_IO_PS_PRT5_BASE, 0x40005095 +.set CYDEV_IO_PS_PRT5_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT5_PS_ALIAS, 0x40005095 +.set CYDEV_IO_PS_PRT6_BASE, 0x40005096 +.set CYDEV_IO_PS_PRT6_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT6_PS_ALIAS, 0x40005096 +.set CYDEV_IO_PS_PRT12_BASE, 0x4000509c +.set CYDEV_IO_PS_PRT12_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT12_PS_ALIAS, 0x4000509c +.set CYDEV_IO_PS_PRT15_BASE, 0x4000509f +.set CYDEV_IO_PS_PRT15_SIZE, 0x00000001 +.set CYDEV_IO_PS_PRT15_PS15_ALIAS, 0x4000509f +.set CYDEV_IO_PRT_BASE, 0x40005100 +.set CYDEV_IO_PRT_SIZE, 0x00000100 +.set CYDEV_IO_PRT_PRT0_BASE, 0x40005100 +.set CYDEV_IO_PRT_PRT0_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT0_DR, 0x40005100 +.set CYDEV_IO_PRT_PRT0_PS, 0x40005101 +.set CYDEV_IO_PRT_PRT0_DM0, 0x40005102 +.set CYDEV_IO_PRT_PRT0_DM1, 0x40005103 +.set CYDEV_IO_PRT_PRT0_DM2, 0x40005104 +.set CYDEV_IO_PRT_PRT0_SLW, 0x40005105 +.set CYDEV_IO_PRT_PRT0_BYP, 0x40005106 +.set CYDEV_IO_PRT_PRT0_BIE, 0x40005107 +.set CYDEV_IO_PRT_PRT0_INP_DIS, 0x40005108 +.set CYDEV_IO_PRT_PRT0_CTL, 0x40005109 +.set CYDEV_IO_PRT_PRT0_PRT, 0x4000510a +.set CYDEV_IO_PRT_PRT0_BIT_MASK, 0x4000510b +.set CYDEV_IO_PRT_PRT0_AMUX, 0x4000510c +.set CYDEV_IO_PRT_PRT0_AG, 0x4000510d +.set CYDEV_IO_PRT_PRT0_LCD_COM_SEG, 0x4000510e +.set CYDEV_IO_PRT_PRT0_LCD_EN, 0x4000510f +.set CYDEV_IO_PRT_PRT1_BASE, 0x40005110 +.set CYDEV_IO_PRT_PRT1_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT1_DR, 0x40005110 +.set CYDEV_IO_PRT_PRT1_PS, 0x40005111 +.set CYDEV_IO_PRT_PRT1_DM0, 0x40005112 +.set CYDEV_IO_PRT_PRT1_DM1, 0x40005113 +.set CYDEV_IO_PRT_PRT1_DM2, 0x40005114 +.set CYDEV_IO_PRT_PRT1_SLW, 0x40005115 +.set CYDEV_IO_PRT_PRT1_BYP, 0x40005116 +.set CYDEV_IO_PRT_PRT1_BIE, 0x40005117 +.set CYDEV_IO_PRT_PRT1_INP_DIS, 0x40005118 +.set CYDEV_IO_PRT_PRT1_CTL, 0x40005119 +.set CYDEV_IO_PRT_PRT1_PRT, 0x4000511a +.set CYDEV_IO_PRT_PRT1_BIT_MASK, 0x4000511b +.set CYDEV_IO_PRT_PRT1_AMUX, 0x4000511c +.set CYDEV_IO_PRT_PRT1_AG, 0x4000511d +.set CYDEV_IO_PRT_PRT1_LCD_COM_SEG, 0x4000511e +.set CYDEV_IO_PRT_PRT1_LCD_EN, 0x4000511f +.set CYDEV_IO_PRT_PRT2_BASE, 0x40005120 +.set CYDEV_IO_PRT_PRT2_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT2_DR, 0x40005120 +.set CYDEV_IO_PRT_PRT2_PS, 0x40005121 +.set CYDEV_IO_PRT_PRT2_DM0, 0x40005122 +.set CYDEV_IO_PRT_PRT2_DM1, 0x40005123 +.set CYDEV_IO_PRT_PRT2_DM2, 0x40005124 +.set CYDEV_IO_PRT_PRT2_SLW, 0x40005125 +.set CYDEV_IO_PRT_PRT2_BYP, 0x40005126 +.set CYDEV_IO_PRT_PRT2_BIE, 0x40005127 +.set CYDEV_IO_PRT_PRT2_INP_DIS, 0x40005128 +.set CYDEV_IO_PRT_PRT2_CTL, 0x40005129 +.set CYDEV_IO_PRT_PRT2_PRT, 0x4000512a +.set CYDEV_IO_PRT_PRT2_BIT_MASK, 0x4000512b +.set CYDEV_IO_PRT_PRT2_AMUX, 0x4000512c +.set CYDEV_IO_PRT_PRT2_AG, 0x4000512d +.set CYDEV_IO_PRT_PRT2_LCD_COM_SEG, 0x4000512e +.set CYDEV_IO_PRT_PRT2_LCD_EN, 0x4000512f +.set CYDEV_IO_PRT_PRT3_BASE, 0x40005130 +.set CYDEV_IO_PRT_PRT3_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT3_DR, 0x40005130 +.set CYDEV_IO_PRT_PRT3_PS, 0x40005131 +.set CYDEV_IO_PRT_PRT3_DM0, 0x40005132 +.set CYDEV_IO_PRT_PRT3_DM1, 0x40005133 +.set CYDEV_IO_PRT_PRT3_DM2, 0x40005134 +.set CYDEV_IO_PRT_PRT3_SLW, 0x40005135 +.set CYDEV_IO_PRT_PRT3_BYP, 0x40005136 +.set CYDEV_IO_PRT_PRT3_BIE, 0x40005137 +.set CYDEV_IO_PRT_PRT3_INP_DIS, 0x40005138 +.set CYDEV_IO_PRT_PRT3_CTL, 0x40005139 +.set CYDEV_IO_PRT_PRT3_PRT, 0x4000513a +.set CYDEV_IO_PRT_PRT3_BIT_MASK, 0x4000513b +.set CYDEV_IO_PRT_PRT3_AMUX, 0x4000513c +.set CYDEV_IO_PRT_PRT3_AG, 0x4000513d +.set CYDEV_IO_PRT_PRT3_LCD_COM_SEG, 0x4000513e +.set CYDEV_IO_PRT_PRT3_LCD_EN, 0x4000513f +.set CYDEV_IO_PRT_PRT4_BASE, 0x40005140 +.set CYDEV_IO_PRT_PRT4_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT4_DR, 0x40005140 +.set CYDEV_IO_PRT_PRT4_PS, 0x40005141 +.set CYDEV_IO_PRT_PRT4_DM0, 0x40005142 +.set CYDEV_IO_PRT_PRT4_DM1, 0x40005143 +.set CYDEV_IO_PRT_PRT4_DM2, 0x40005144 +.set CYDEV_IO_PRT_PRT4_SLW, 0x40005145 +.set CYDEV_IO_PRT_PRT4_BYP, 0x40005146 +.set CYDEV_IO_PRT_PRT4_BIE, 0x40005147 +.set CYDEV_IO_PRT_PRT4_INP_DIS, 0x40005148 +.set CYDEV_IO_PRT_PRT4_CTL, 0x40005149 +.set CYDEV_IO_PRT_PRT4_PRT, 0x4000514a +.set CYDEV_IO_PRT_PRT4_BIT_MASK, 0x4000514b +.set CYDEV_IO_PRT_PRT4_AMUX, 0x4000514c +.set CYDEV_IO_PRT_PRT4_AG, 0x4000514d +.set CYDEV_IO_PRT_PRT4_LCD_COM_SEG, 0x4000514e +.set CYDEV_IO_PRT_PRT4_LCD_EN, 0x4000514f +.set CYDEV_IO_PRT_PRT5_BASE, 0x40005150 +.set CYDEV_IO_PRT_PRT5_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT5_DR, 0x40005150 +.set CYDEV_IO_PRT_PRT5_PS, 0x40005151 +.set CYDEV_IO_PRT_PRT5_DM0, 0x40005152 +.set CYDEV_IO_PRT_PRT5_DM1, 0x40005153 +.set CYDEV_IO_PRT_PRT5_DM2, 0x40005154 +.set CYDEV_IO_PRT_PRT5_SLW, 0x40005155 +.set CYDEV_IO_PRT_PRT5_BYP, 0x40005156 +.set CYDEV_IO_PRT_PRT5_BIE, 0x40005157 +.set CYDEV_IO_PRT_PRT5_INP_DIS, 0x40005158 +.set CYDEV_IO_PRT_PRT5_CTL, 0x40005159 +.set CYDEV_IO_PRT_PRT5_PRT, 0x4000515a +.set CYDEV_IO_PRT_PRT5_BIT_MASK, 0x4000515b +.set CYDEV_IO_PRT_PRT5_AMUX, 0x4000515c +.set CYDEV_IO_PRT_PRT5_AG, 0x4000515d +.set CYDEV_IO_PRT_PRT5_LCD_COM_SEG, 0x4000515e +.set CYDEV_IO_PRT_PRT5_LCD_EN, 0x4000515f +.set CYDEV_IO_PRT_PRT6_BASE, 0x40005160 +.set CYDEV_IO_PRT_PRT6_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT6_DR, 0x40005160 +.set CYDEV_IO_PRT_PRT6_PS, 0x40005161 +.set CYDEV_IO_PRT_PRT6_DM0, 0x40005162 +.set CYDEV_IO_PRT_PRT6_DM1, 0x40005163 +.set CYDEV_IO_PRT_PRT6_DM2, 0x40005164 +.set CYDEV_IO_PRT_PRT6_SLW, 0x40005165 +.set CYDEV_IO_PRT_PRT6_BYP, 0x40005166 +.set CYDEV_IO_PRT_PRT6_BIE, 0x40005167 +.set CYDEV_IO_PRT_PRT6_INP_DIS, 0x40005168 +.set CYDEV_IO_PRT_PRT6_CTL, 0x40005169 +.set CYDEV_IO_PRT_PRT6_PRT, 0x4000516a +.set CYDEV_IO_PRT_PRT6_BIT_MASK, 0x4000516b +.set CYDEV_IO_PRT_PRT6_AMUX, 0x4000516c +.set CYDEV_IO_PRT_PRT6_AG, 0x4000516d +.set CYDEV_IO_PRT_PRT6_LCD_COM_SEG, 0x4000516e +.set CYDEV_IO_PRT_PRT6_LCD_EN, 0x4000516f +.set CYDEV_IO_PRT_PRT12_BASE, 0x400051c0 +.set CYDEV_IO_PRT_PRT12_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT12_DR, 0x400051c0 +.set CYDEV_IO_PRT_PRT12_PS, 0x400051c1 +.set CYDEV_IO_PRT_PRT12_DM0, 0x400051c2 +.set CYDEV_IO_PRT_PRT12_DM1, 0x400051c3 +.set CYDEV_IO_PRT_PRT12_DM2, 0x400051c4 +.set CYDEV_IO_PRT_PRT12_SLW, 0x400051c5 +.set CYDEV_IO_PRT_PRT12_BYP, 0x400051c6 +.set CYDEV_IO_PRT_PRT12_BIE, 0x400051c7 +.set CYDEV_IO_PRT_PRT12_INP_DIS, 0x400051c8 +.set CYDEV_IO_PRT_PRT12_SIO_HYST_EN, 0x400051c9 +.set CYDEV_IO_PRT_PRT12_PRT, 0x400051ca +.set CYDEV_IO_PRT_PRT12_BIT_MASK, 0x400051cb +.set CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ, 0x400051cc +.set CYDEV_IO_PRT_PRT12_AG, 0x400051cd +.set CYDEV_IO_PRT_PRT12_SIO_CFG, 0x400051ce +.set CYDEV_IO_PRT_PRT12_SIO_DIFF, 0x400051cf +.set CYDEV_IO_PRT_PRT15_BASE, 0x400051f0 +.set CYDEV_IO_PRT_PRT15_SIZE, 0x00000010 +.set CYDEV_IO_PRT_PRT15_DR, 0x400051f0 +.set CYDEV_IO_PRT_PRT15_PS, 0x400051f1 +.set CYDEV_IO_PRT_PRT15_DM0, 0x400051f2 +.set CYDEV_IO_PRT_PRT15_DM1, 0x400051f3 +.set CYDEV_IO_PRT_PRT15_DM2, 0x400051f4 +.set CYDEV_IO_PRT_PRT15_SLW, 0x400051f5 +.set CYDEV_IO_PRT_PRT15_BYP, 0x400051f6 +.set CYDEV_IO_PRT_PRT15_BIE, 0x400051f7 +.set CYDEV_IO_PRT_PRT15_INP_DIS, 0x400051f8 +.set CYDEV_IO_PRT_PRT15_CTL, 0x400051f9 +.set CYDEV_IO_PRT_PRT15_PRT, 0x400051fa +.set CYDEV_IO_PRT_PRT15_BIT_MASK, 0x400051fb +.set CYDEV_IO_PRT_PRT15_AMUX, 0x400051fc +.set CYDEV_IO_PRT_PRT15_AG, 0x400051fd +.set CYDEV_IO_PRT_PRT15_LCD_COM_SEG, 0x400051fe +.set CYDEV_IO_PRT_PRT15_LCD_EN, 0x400051ff +.set CYDEV_PRTDSI_BASE, 0x40005200 +.set CYDEV_PRTDSI_SIZE, 0x0000007f +.set CYDEV_PRTDSI_PRT0_BASE, 0x40005200 +.set CYDEV_PRTDSI_PRT0_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT0_OUT_SEL0, 0x40005200 +.set CYDEV_PRTDSI_PRT0_OUT_SEL1, 0x40005201 +.set CYDEV_PRTDSI_PRT0_OE_SEL0, 0x40005202 +.set CYDEV_PRTDSI_PRT0_OE_SEL1, 0x40005203 +.set CYDEV_PRTDSI_PRT0_DBL_SYNC_IN, 0x40005204 +.set CYDEV_PRTDSI_PRT0_SYNC_OUT, 0x40005205 +.set CYDEV_PRTDSI_PRT0_CAPS_SEL, 0x40005206 +.set CYDEV_PRTDSI_PRT1_BASE, 0x40005208 +.set CYDEV_PRTDSI_PRT1_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT1_OUT_SEL0, 0x40005208 +.set CYDEV_PRTDSI_PRT1_OUT_SEL1, 0x40005209 +.set CYDEV_PRTDSI_PRT1_OE_SEL0, 0x4000520a +.set CYDEV_PRTDSI_PRT1_OE_SEL1, 0x4000520b +.set CYDEV_PRTDSI_PRT1_DBL_SYNC_IN, 0x4000520c +.set CYDEV_PRTDSI_PRT1_SYNC_OUT, 0x4000520d +.set CYDEV_PRTDSI_PRT1_CAPS_SEL, 0x4000520e +.set CYDEV_PRTDSI_PRT2_BASE, 0x40005210 +.set CYDEV_PRTDSI_PRT2_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT2_OUT_SEL0, 0x40005210 +.set CYDEV_PRTDSI_PRT2_OUT_SEL1, 0x40005211 +.set CYDEV_PRTDSI_PRT2_OE_SEL0, 0x40005212 +.set CYDEV_PRTDSI_PRT2_OE_SEL1, 0x40005213 +.set CYDEV_PRTDSI_PRT2_DBL_SYNC_IN, 0x40005214 +.set CYDEV_PRTDSI_PRT2_SYNC_OUT, 0x40005215 +.set CYDEV_PRTDSI_PRT2_CAPS_SEL, 0x40005216 +.set CYDEV_PRTDSI_PRT3_BASE, 0x40005218 +.set CYDEV_PRTDSI_PRT3_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT3_OUT_SEL0, 0x40005218 +.set CYDEV_PRTDSI_PRT3_OUT_SEL1, 0x40005219 +.set CYDEV_PRTDSI_PRT3_OE_SEL0, 0x4000521a +.set CYDEV_PRTDSI_PRT3_OE_SEL1, 0x4000521b +.set CYDEV_PRTDSI_PRT3_DBL_SYNC_IN, 0x4000521c +.set CYDEV_PRTDSI_PRT3_SYNC_OUT, 0x4000521d +.set CYDEV_PRTDSI_PRT3_CAPS_SEL, 0x4000521e +.set CYDEV_PRTDSI_PRT4_BASE, 0x40005220 +.set CYDEV_PRTDSI_PRT4_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT4_OUT_SEL0, 0x40005220 +.set CYDEV_PRTDSI_PRT4_OUT_SEL1, 0x40005221 +.set CYDEV_PRTDSI_PRT4_OE_SEL0, 0x40005222 +.set CYDEV_PRTDSI_PRT4_OE_SEL1, 0x40005223 +.set CYDEV_PRTDSI_PRT4_DBL_SYNC_IN, 0x40005224 +.set CYDEV_PRTDSI_PRT4_SYNC_OUT, 0x40005225 +.set CYDEV_PRTDSI_PRT4_CAPS_SEL, 0x40005226 +.set CYDEV_PRTDSI_PRT5_BASE, 0x40005228 +.set CYDEV_PRTDSI_PRT5_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT5_OUT_SEL0, 0x40005228 +.set CYDEV_PRTDSI_PRT5_OUT_SEL1, 0x40005229 +.set CYDEV_PRTDSI_PRT5_OE_SEL0, 0x4000522a +.set CYDEV_PRTDSI_PRT5_OE_SEL1, 0x4000522b +.set CYDEV_PRTDSI_PRT5_DBL_SYNC_IN, 0x4000522c +.set CYDEV_PRTDSI_PRT5_SYNC_OUT, 0x4000522d +.set CYDEV_PRTDSI_PRT5_CAPS_SEL, 0x4000522e +.set CYDEV_PRTDSI_PRT6_BASE, 0x40005230 +.set CYDEV_PRTDSI_PRT6_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT6_OUT_SEL0, 0x40005230 +.set CYDEV_PRTDSI_PRT6_OUT_SEL1, 0x40005231 +.set CYDEV_PRTDSI_PRT6_OE_SEL0, 0x40005232 +.set CYDEV_PRTDSI_PRT6_OE_SEL1, 0x40005233 +.set CYDEV_PRTDSI_PRT6_DBL_SYNC_IN, 0x40005234 +.set CYDEV_PRTDSI_PRT6_SYNC_OUT, 0x40005235 +.set CYDEV_PRTDSI_PRT6_CAPS_SEL, 0x40005236 +.set CYDEV_PRTDSI_PRT12_BASE, 0x40005260 +.set CYDEV_PRTDSI_PRT12_SIZE, 0x00000006 +.set CYDEV_PRTDSI_PRT12_OUT_SEL0, 0x40005260 +.set CYDEV_PRTDSI_PRT12_OUT_SEL1, 0x40005261 +.set CYDEV_PRTDSI_PRT12_OE_SEL0, 0x40005262 +.set CYDEV_PRTDSI_PRT12_OE_SEL1, 0x40005263 +.set CYDEV_PRTDSI_PRT12_DBL_SYNC_IN, 0x40005264 +.set CYDEV_PRTDSI_PRT12_SYNC_OUT, 0x40005265 +.set CYDEV_PRTDSI_PRT15_BASE, 0x40005278 +.set CYDEV_PRTDSI_PRT15_SIZE, 0x00000007 +.set CYDEV_PRTDSI_PRT15_OUT_SEL0, 0x40005278 +.set CYDEV_PRTDSI_PRT15_OUT_SEL1, 0x40005279 +.set CYDEV_PRTDSI_PRT15_OE_SEL0, 0x4000527a +.set CYDEV_PRTDSI_PRT15_OE_SEL1, 0x4000527b +.set CYDEV_PRTDSI_PRT15_DBL_SYNC_IN, 0x4000527c +.set CYDEV_PRTDSI_PRT15_SYNC_OUT, 0x4000527d +.set CYDEV_PRTDSI_PRT15_CAPS_SEL, 0x4000527e +.set CYDEV_EMIF_BASE, 0x40005400 +.set CYDEV_EMIF_SIZE, 0x00000007 +.set CYDEV_EMIF_NO_UDB, 0x40005400 +.set CYDEV_EMIF_RP_WAIT_STATES, 0x40005401 +.set CYDEV_EMIF_MEM_DWN, 0x40005402 +.set CYDEV_EMIF_MEMCLK_DIV, 0x40005403 +.set CYDEV_EMIF_CLOCK_EN, 0x40005404 +.set CYDEV_EMIF_EM_TYPE, 0x40005405 +.set CYDEV_EMIF_WP_WAIT_STATES, 0x40005406 +.set CYDEV_ANAIF_BASE, 0x40005800 +.set CYDEV_ANAIF_SIZE, 0x000003a9 +.set CYDEV_ANAIF_CFG_BASE, 0x40005800 +.set CYDEV_ANAIF_CFG_SIZE, 0x0000010f +.set CYDEV_ANAIF_CFG_SC0_BASE, 0x40005800 +.set CYDEV_ANAIF_CFG_SC0_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_SC0_CR0, 0x40005800 +.set CYDEV_ANAIF_CFG_SC0_CR1, 0x40005801 +.set CYDEV_ANAIF_CFG_SC0_CR2, 0x40005802 +.set CYDEV_ANAIF_CFG_SC1_BASE, 0x40005804 +.set CYDEV_ANAIF_CFG_SC1_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_SC1_CR0, 0x40005804 +.set CYDEV_ANAIF_CFG_SC1_CR1, 0x40005805 +.set CYDEV_ANAIF_CFG_SC1_CR2, 0x40005806 +.set CYDEV_ANAIF_CFG_SC2_BASE, 0x40005808 +.set CYDEV_ANAIF_CFG_SC2_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_SC2_CR0, 0x40005808 +.set CYDEV_ANAIF_CFG_SC2_CR1, 0x40005809 +.set CYDEV_ANAIF_CFG_SC2_CR2, 0x4000580a +.set CYDEV_ANAIF_CFG_SC3_BASE, 0x4000580c +.set CYDEV_ANAIF_CFG_SC3_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_SC3_CR0, 0x4000580c +.set CYDEV_ANAIF_CFG_SC3_CR1, 0x4000580d +.set CYDEV_ANAIF_CFG_SC3_CR2, 0x4000580e +.set CYDEV_ANAIF_CFG_DAC0_BASE, 0x40005820 +.set CYDEV_ANAIF_CFG_DAC0_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_DAC0_CR0, 0x40005820 +.set CYDEV_ANAIF_CFG_DAC0_CR1, 0x40005821 +.set CYDEV_ANAIF_CFG_DAC0_TST, 0x40005822 +.set CYDEV_ANAIF_CFG_DAC1_BASE, 0x40005824 +.set CYDEV_ANAIF_CFG_DAC1_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_DAC1_CR0, 0x40005824 +.set CYDEV_ANAIF_CFG_DAC1_CR1, 0x40005825 +.set CYDEV_ANAIF_CFG_DAC1_TST, 0x40005826 +.set CYDEV_ANAIF_CFG_DAC2_BASE, 0x40005828 +.set CYDEV_ANAIF_CFG_DAC2_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_DAC2_CR0, 0x40005828 +.set CYDEV_ANAIF_CFG_DAC2_CR1, 0x40005829 +.set CYDEV_ANAIF_CFG_DAC2_TST, 0x4000582a +.set CYDEV_ANAIF_CFG_DAC3_BASE, 0x4000582c +.set CYDEV_ANAIF_CFG_DAC3_SIZE, 0x00000003 +.set CYDEV_ANAIF_CFG_DAC3_CR0, 0x4000582c +.set CYDEV_ANAIF_CFG_DAC3_CR1, 0x4000582d +.set CYDEV_ANAIF_CFG_DAC3_TST, 0x4000582e +.set CYDEV_ANAIF_CFG_CMP0_BASE, 0x40005840 +.set CYDEV_ANAIF_CFG_CMP0_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_CMP0_CR, 0x40005840 +.set CYDEV_ANAIF_CFG_CMP1_BASE, 0x40005841 +.set CYDEV_ANAIF_CFG_CMP1_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_CMP1_CR, 0x40005841 +.set CYDEV_ANAIF_CFG_CMP2_BASE, 0x40005842 +.set CYDEV_ANAIF_CFG_CMP2_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_CMP2_CR, 0x40005842 +.set CYDEV_ANAIF_CFG_CMP3_BASE, 0x40005843 +.set CYDEV_ANAIF_CFG_CMP3_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_CMP3_CR, 0x40005843 +.set CYDEV_ANAIF_CFG_LUT0_BASE, 0x40005848 +.set CYDEV_ANAIF_CFG_LUT0_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LUT0_CR, 0x40005848 +.set CYDEV_ANAIF_CFG_LUT0_MX, 0x40005849 +.set CYDEV_ANAIF_CFG_LUT1_BASE, 0x4000584a +.set CYDEV_ANAIF_CFG_LUT1_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LUT1_CR, 0x4000584a +.set CYDEV_ANAIF_CFG_LUT1_MX, 0x4000584b +.set CYDEV_ANAIF_CFG_LUT2_BASE, 0x4000584c +.set CYDEV_ANAIF_CFG_LUT2_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LUT2_CR, 0x4000584c +.set CYDEV_ANAIF_CFG_LUT2_MX, 0x4000584d +.set CYDEV_ANAIF_CFG_LUT3_BASE, 0x4000584e +.set CYDEV_ANAIF_CFG_LUT3_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LUT3_CR, 0x4000584e +.set CYDEV_ANAIF_CFG_LUT3_MX, 0x4000584f +.set CYDEV_ANAIF_CFG_OPAMP0_BASE, 0x40005858 +.set CYDEV_ANAIF_CFG_OPAMP0_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_OPAMP0_CR, 0x40005858 +.set CYDEV_ANAIF_CFG_OPAMP0_RSVD, 0x40005859 +.set CYDEV_ANAIF_CFG_OPAMP1_BASE, 0x4000585a +.set CYDEV_ANAIF_CFG_OPAMP1_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_OPAMP1_CR, 0x4000585a +.set CYDEV_ANAIF_CFG_OPAMP1_RSVD, 0x4000585b +.set CYDEV_ANAIF_CFG_OPAMP2_BASE, 0x4000585c +.set CYDEV_ANAIF_CFG_OPAMP2_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_OPAMP2_CR, 0x4000585c +.set CYDEV_ANAIF_CFG_OPAMP2_RSVD, 0x4000585d +.set CYDEV_ANAIF_CFG_OPAMP3_BASE, 0x4000585e +.set CYDEV_ANAIF_CFG_OPAMP3_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_OPAMP3_CR, 0x4000585e +.set CYDEV_ANAIF_CFG_OPAMP3_RSVD, 0x4000585f +.set CYDEV_ANAIF_CFG_LCDDAC_BASE, 0x40005868 +.set CYDEV_ANAIF_CFG_LCDDAC_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LCDDAC_CR0, 0x40005868 +.set CYDEV_ANAIF_CFG_LCDDAC_CR1, 0x40005869 +.set CYDEV_ANAIF_CFG_LCDDRV_BASE, 0x4000586a +.set CYDEV_ANAIF_CFG_LCDDRV_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_LCDDRV_CR, 0x4000586a +.set CYDEV_ANAIF_CFG_LCDTMR_BASE, 0x4000586b +.set CYDEV_ANAIF_CFG_LCDTMR_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_LCDTMR_CFG, 0x4000586b +.set CYDEV_ANAIF_CFG_BG_BASE, 0x4000586c +.set CYDEV_ANAIF_CFG_BG_SIZE, 0x00000004 +.set CYDEV_ANAIF_CFG_BG_CR0, 0x4000586c +.set CYDEV_ANAIF_CFG_BG_RSVD, 0x4000586d +.set CYDEV_ANAIF_CFG_BG_DFT0, 0x4000586e +.set CYDEV_ANAIF_CFG_BG_DFT1, 0x4000586f +.set CYDEV_ANAIF_CFG_CAPSL_BASE, 0x40005870 +.set CYDEV_ANAIF_CFG_CAPSL_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_CAPSL_CFG0, 0x40005870 +.set CYDEV_ANAIF_CFG_CAPSL_CFG1, 0x40005871 +.set CYDEV_ANAIF_CFG_CAPSR_BASE, 0x40005872 +.set CYDEV_ANAIF_CFG_CAPSR_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_CAPSR_CFG0, 0x40005872 +.set CYDEV_ANAIF_CFG_CAPSR_CFG1, 0x40005873 +.set CYDEV_ANAIF_CFG_PUMP_BASE, 0x40005876 +.set CYDEV_ANAIF_CFG_PUMP_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_PUMP_CR0, 0x40005876 +.set CYDEV_ANAIF_CFG_PUMP_CR1, 0x40005877 +.set CYDEV_ANAIF_CFG_LPF0_BASE, 0x40005878 +.set CYDEV_ANAIF_CFG_LPF0_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LPF0_CR0, 0x40005878 +.set CYDEV_ANAIF_CFG_LPF0_RSVD, 0x40005879 +.set CYDEV_ANAIF_CFG_LPF1_BASE, 0x4000587a +.set CYDEV_ANAIF_CFG_LPF1_SIZE, 0x00000002 +.set CYDEV_ANAIF_CFG_LPF1_CR0, 0x4000587a +.set CYDEV_ANAIF_CFG_LPF1_RSVD, 0x4000587b +.set CYDEV_ANAIF_CFG_MISC_BASE, 0x4000587c +.set CYDEV_ANAIF_CFG_MISC_SIZE, 0x00000001 +.set CYDEV_ANAIF_CFG_MISC_CR0, 0x4000587c +.set CYDEV_ANAIF_CFG_DSM0_BASE, 0x40005880 +.set CYDEV_ANAIF_CFG_DSM0_SIZE, 0x00000020 +.set CYDEV_ANAIF_CFG_DSM0_CR0, 0x40005880 +.set CYDEV_ANAIF_CFG_DSM0_CR1, 0x40005881 +.set CYDEV_ANAIF_CFG_DSM0_CR2, 0x40005882 +.set CYDEV_ANAIF_CFG_DSM0_CR3, 0x40005883 +.set CYDEV_ANAIF_CFG_DSM0_CR4, 0x40005884 +.set CYDEV_ANAIF_CFG_DSM0_CR5, 0x40005885 +.set CYDEV_ANAIF_CFG_DSM0_CR6, 0x40005886 +.set CYDEV_ANAIF_CFG_DSM0_CR7, 0x40005887 +.set CYDEV_ANAIF_CFG_DSM0_CR8, 0x40005888 +.set CYDEV_ANAIF_CFG_DSM0_CR9, 0x40005889 +.set CYDEV_ANAIF_CFG_DSM0_CR10, 0x4000588a +.set CYDEV_ANAIF_CFG_DSM0_CR11, 0x4000588b +.set CYDEV_ANAIF_CFG_DSM0_CR12, 0x4000588c +.set CYDEV_ANAIF_CFG_DSM0_CR13, 0x4000588d +.set CYDEV_ANAIF_CFG_DSM0_CR14, 0x4000588e +.set CYDEV_ANAIF_CFG_DSM0_CR15, 0x4000588f +.set CYDEV_ANAIF_CFG_DSM0_CR16, 0x40005890 +.set CYDEV_ANAIF_CFG_DSM0_CR17, 0x40005891 +.set CYDEV_ANAIF_CFG_DSM0_REF0, 0x40005892 +.set CYDEV_ANAIF_CFG_DSM0_REF1, 0x40005893 +.set CYDEV_ANAIF_CFG_DSM0_REF2, 0x40005894 +.set CYDEV_ANAIF_CFG_DSM0_REF3, 0x40005895 +.set CYDEV_ANAIF_CFG_DSM0_DEM0, 0x40005896 +.set CYDEV_ANAIF_CFG_DSM0_DEM1, 0x40005897 +.set CYDEV_ANAIF_CFG_DSM0_TST0, 0x40005898 +.set CYDEV_ANAIF_CFG_DSM0_TST1, 0x40005899 +.set CYDEV_ANAIF_CFG_DSM0_BUF0, 0x4000589a +.set CYDEV_ANAIF_CFG_DSM0_BUF1, 0x4000589b +.set CYDEV_ANAIF_CFG_DSM0_BUF2, 0x4000589c +.set CYDEV_ANAIF_CFG_DSM0_BUF3, 0x4000589d +.set CYDEV_ANAIF_CFG_DSM0_MISC, 0x4000589e +.set CYDEV_ANAIF_CFG_DSM0_RSVD1, 0x4000589f +.set CYDEV_ANAIF_CFG_SAR0_BASE, 0x40005900 +.set CYDEV_ANAIF_CFG_SAR0_SIZE, 0x00000007 +.set CYDEV_ANAIF_CFG_SAR0_CSR0, 0x40005900 +.set CYDEV_ANAIF_CFG_SAR0_CSR1, 0x40005901 +.set CYDEV_ANAIF_CFG_SAR0_CSR2, 0x40005902 +.set CYDEV_ANAIF_CFG_SAR0_CSR3, 0x40005903 +.set CYDEV_ANAIF_CFG_SAR0_CSR4, 0x40005904 +.set CYDEV_ANAIF_CFG_SAR0_CSR5, 0x40005905 +.set CYDEV_ANAIF_CFG_SAR0_CSR6, 0x40005906 +.set CYDEV_ANAIF_CFG_SAR1_BASE, 0x40005908 +.set CYDEV_ANAIF_CFG_SAR1_SIZE, 0x00000007 +.set CYDEV_ANAIF_CFG_SAR1_CSR0, 0x40005908 +.set CYDEV_ANAIF_CFG_SAR1_CSR1, 0x40005909 +.set CYDEV_ANAIF_CFG_SAR1_CSR2, 0x4000590a +.set CYDEV_ANAIF_CFG_SAR1_CSR3, 0x4000590b +.set CYDEV_ANAIF_CFG_SAR1_CSR4, 0x4000590c +.set CYDEV_ANAIF_CFG_SAR1_CSR5, 0x4000590d +.set CYDEV_ANAIF_CFG_SAR1_CSR6, 0x4000590e +.set CYDEV_ANAIF_RT_BASE, 0x40005a00 +.set CYDEV_ANAIF_RT_SIZE, 0x00000162 +.set CYDEV_ANAIF_RT_SC0_BASE, 0x40005a00 +.set CYDEV_ANAIF_RT_SC0_SIZE, 0x0000000d +.set CYDEV_ANAIF_RT_SC0_SW0, 0x40005a00 +.set CYDEV_ANAIF_RT_SC0_SW2, 0x40005a02 +.set CYDEV_ANAIF_RT_SC0_SW3, 0x40005a03 +.set CYDEV_ANAIF_RT_SC0_SW4, 0x40005a04 +.set CYDEV_ANAIF_RT_SC0_SW6, 0x40005a06 +.set CYDEV_ANAIF_RT_SC0_SW7, 0x40005a07 +.set CYDEV_ANAIF_RT_SC0_SW8, 0x40005a08 +.set CYDEV_ANAIF_RT_SC0_SW10, 0x40005a0a +.set CYDEV_ANAIF_RT_SC0_CLK, 0x40005a0b +.set CYDEV_ANAIF_RT_SC0_BST, 0x40005a0c +.set CYDEV_ANAIF_RT_SC1_BASE, 0x40005a10 +.set CYDEV_ANAIF_RT_SC1_SIZE, 0x0000000d +.set CYDEV_ANAIF_RT_SC1_SW0, 0x40005a10 +.set CYDEV_ANAIF_RT_SC1_SW2, 0x40005a12 +.set CYDEV_ANAIF_RT_SC1_SW3, 0x40005a13 +.set CYDEV_ANAIF_RT_SC1_SW4, 0x40005a14 +.set CYDEV_ANAIF_RT_SC1_SW6, 0x40005a16 +.set CYDEV_ANAIF_RT_SC1_SW7, 0x40005a17 +.set CYDEV_ANAIF_RT_SC1_SW8, 0x40005a18 +.set CYDEV_ANAIF_RT_SC1_SW10, 0x40005a1a +.set CYDEV_ANAIF_RT_SC1_CLK, 0x40005a1b +.set CYDEV_ANAIF_RT_SC1_BST, 0x40005a1c +.set CYDEV_ANAIF_RT_SC2_BASE, 0x40005a20 +.set CYDEV_ANAIF_RT_SC2_SIZE, 0x0000000d +.set CYDEV_ANAIF_RT_SC2_SW0, 0x40005a20 +.set CYDEV_ANAIF_RT_SC2_SW2, 0x40005a22 +.set CYDEV_ANAIF_RT_SC2_SW3, 0x40005a23 +.set CYDEV_ANAIF_RT_SC2_SW4, 0x40005a24 +.set CYDEV_ANAIF_RT_SC2_SW6, 0x40005a26 +.set CYDEV_ANAIF_RT_SC2_SW7, 0x40005a27 +.set CYDEV_ANAIF_RT_SC2_SW8, 0x40005a28 +.set CYDEV_ANAIF_RT_SC2_SW10, 0x40005a2a +.set CYDEV_ANAIF_RT_SC2_CLK, 0x40005a2b +.set CYDEV_ANAIF_RT_SC2_BST, 0x40005a2c +.set CYDEV_ANAIF_RT_SC3_BASE, 0x40005a30 +.set CYDEV_ANAIF_RT_SC3_SIZE, 0x0000000d +.set CYDEV_ANAIF_RT_SC3_SW0, 0x40005a30 +.set CYDEV_ANAIF_RT_SC3_SW2, 0x40005a32 +.set CYDEV_ANAIF_RT_SC3_SW3, 0x40005a33 +.set CYDEV_ANAIF_RT_SC3_SW4, 0x40005a34 +.set CYDEV_ANAIF_RT_SC3_SW6, 0x40005a36 +.set CYDEV_ANAIF_RT_SC3_SW7, 0x40005a37 +.set CYDEV_ANAIF_RT_SC3_SW8, 0x40005a38 +.set CYDEV_ANAIF_RT_SC3_SW10, 0x40005a3a +.set CYDEV_ANAIF_RT_SC3_CLK, 0x40005a3b +.set CYDEV_ANAIF_RT_SC3_BST, 0x40005a3c +.set CYDEV_ANAIF_RT_DAC0_BASE, 0x40005a80 +.set CYDEV_ANAIF_RT_DAC0_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DAC0_SW0, 0x40005a80 +.set CYDEV_ANAIF_RT_DAC0_SW2, 0x40005a82 +.set CYDEV_ANAIF_RT_DAC0_SW3, 0x40005a83 +.set CYDEV_ANAIF_RT_DAC0_SW4, 0x40005a84 +.set CYDEV_ANAIF_RT_DAC0_STROBE, 0x40005a87 +.set CYDEV_ANAIF_RT_DAC1_BASE, 0x40005a88 +.set CYDEV_ANAIF_RT_DAC1_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DAC1_SW0, 0x40005a88 +.set CYDEV_ANAIF_RT_DAC1_SW2, 0x40005a8a +.set CYDEV_ANAIF_RT_DAC1_SW3, 0x40005a8b +.set CYDEV_ANAIF_RT_DAC1_SW4, 0x40005a8c +.set CYDEV_ANAIF_RT_DAC1_STROBE, 0x40005a8f +.set CYDEV_ANAIF_RT_DAC2_BASE, 0x40005a90 +.set CYDEV_ANAIF_RT_DAC2_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DAC2_SW0, 0x40005a90 +.set CYDEV_ANAIF_RT_DAC2_SW2, 0x40005a92 +.set CYDEV_ANAIF_RT_DAC2_SW3, 0x40005a93 +.set CYDEV_ANAIF_RT_DAC2_SW4, 0x40005a94 +.set CYDEV_ANAIF_RT_DAC2_STROBE, 0x40005a97 +.set CYDEV_ANAIF_RT_DAC3_BASE, 0x40005a98 +.set CYDEV_ANAIF_RT_DAC3_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DAC3_SW0, 0x40005a98 +.set CYDEV_ANAIF_RT_DAC3_SW2, 0x40005a9a +.set CYDEV_ANAIF_RT_DAC3_SW3, 0x40005a9b +.set CYDEV_ANAIF_RT_DAC3_SW4, 0x40005a9c +.set CYDEV_ANAIF_RT_DAC3_STROBE, 0x40005a9f +.set CYDEV_ANAIF_RT_CMP0_BASE, 0x40005ac0 +.set CYDEV_ANAIF_RT_CMP0_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_CMP0_SW0, 0x40005ac0 +.set CYDEV_ANAIF_RT_CMP0_SW2, 0x40005ac2 +.set CYDEV_ANAIF_RT_CMP0_SW3, 0x40005ac3 +.set CYDEV_ANAIF_RT_CMP0_SW4, 0x40005ac4 +.set CYDEV_ANAIF_RT_CMP0_SW6, 0x40005ac6 +.set CYDEV_ANAIF_RT_CMP0_CLK, 0x40005ac7 +.set CYDEV_ANAIF_RT_CMP1_BASE, 0x40005ac8 +.set CYDEV_ANAIF_RT_CMP1_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_CMP1_SW0, 0x40005ac8 +.set CYDEV_ANAIF_RT_CMP1_SW2, 0x40005aca +.set CYDEV_ANAIF_RT_CMP1_SW3, 0x40005acb +.set CYDEV_ANAIF_RT_CMP1_SW4, 0x40005acc +.set CYDEV_ANAIF_RT_CMP1_SW6, 0x40005ace +.set CYDEV_ANAIF_RT_CMP1_CLK, 0x40005acf +.set CYDEV_ANAIF_RT_CMP2_BASE, 0x40005ad0 +.set CYDEV_ANAIF_RT_CMP2_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_CMP2_SW0, 0x40005ad0 +.set CYDEV_ANAIF_RT_CMP2_SW2, 0x40005ad2 +.set CYDEV_ANAIF_RT_CMP2_SW3, 0x40005ad3 +.set CYDEV_ANAIF_RT_CMP2_SW4, 0x40005ad4 +.set CYDEV_ANAIF_RT_CMP2_SW6, 0x40005ad6 +.set CYDEV_ANAIF_RT_CMP2_CLK, 0x40005ad7 +.set CYDEV_ANAIF_RT_CMP3_BASE, 0x40005ad8 +.set CYDEV_ANAIF_RT_CMP3_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_CMP3_SW0, 0x40005ad8 +.set CYDEV_ANAIF_RT_CMP3_SW2, 0x40005ada +.set CYDEV_ANAIF_RT_CMP3_SW3, 0x40005adb +.set CYDEV_ANAIF_RT_CMP3_SW4, 0x40005adc +.set CYDEV_ANAIF_RT_CMP3_SW6, 0x40005ade +.set CYDEV_ANAIF_RT_CMP3_CLK, 0x40005adf +.set CYDEV_ANAIF_RT_DSM0_BASE, 0x40005b00 +.set CYDEV_ANAIF_RT_DSM0_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_DSM0_SW0, 0x40005b00 +.set CYDEV_ANAIF_RT_DSM0_SW2, 0x40005b02 +.set CYDEV_ANAIF_RT_DSM0_SW3, 0x40005b03 +.set CYDEV_ANAIF_RT_DSM0_SW4, 0x40005b04 +.set CYDEV_ANAIF_RT_DSM0_SW6, 0x40005b06 +.set CYDEV_ANAIF_RT_DSM0_CLK, 0x40005b07 +.set CYDEV_ANAIF_RT_SAR0_BASE, 0x40005b20 +.set CYDEV_ANAIF_RT_SAR0_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_SAR0_SW0, 0x40005b20 +.set CYDEV_ANAIF_RT_SAR0_SW2, 0x40005b22 +.set CYDEV_ANAIF_RT_SAR0_SW3, 0x40005b23 +.set CYDEV_ANAIF_RT_SAR0_SW4, 0x40005b24 +.set CYDEV_ANAIF_RT_SAR0_SW6, 0x40005b26 +.set CYDEV_ANAIF_RT_SAR0_CLK, 0x40005b27 +.set CYDEV_ANAIF_RT_SAR1_BASE, 0x40005b28 +.set CYDEV_ANAIF_RT_SAR1_SIZE, 0x00000008 +.set CYDEV_ANAIF_RT_SAR1_SW0, 0x40005b28 +.set CYDEV_ANAIF_RT_SAR1_SW2, 0x40005b2a +.set CYDEV_ANAIF_RT_SAR1_SW3, 0x40005b2b +.set CYDEV_ANAIF_RT_SAR1_SW4, 0x40005b2c +.set CYDEV_ANAIF_RT_SAR1_SW6, 0x40005b2e +.set CYDEV_ANAIF_RT_SAR1_CLK, 0x40005b2f +.set CYDEV_ANAIF_RT_OPAMP0_BASE, 0x40005b40 +.set CYDEV_ANAIF_RT_OPAMP0_SIZE, 0x00000002 +.set CYDEV_ANAIF_RT_OPAMP0_MX, 0x40005b40 +.set CYDEV_ANAIF_RT_OPAMP0_SW, 0x40005b41 +.set CYDEV_ANAIF_RT_OPAMP1_BASE, 0x40005b42 +.set CYDEV_ANAIF_RT_OPAMP1_SIZE, 0x00000002 +.set CYDEV_ANAIF_RT_OPAMP1_MX, 0x40005b42 +.set CYDEV_ANAIF_RT_OPAMP1_SW, 0x40005b43 +.set CYDEV_ANAIF_RT_OPAMP2_BASE, 0x40005b44 +.set CYDEV_ANAIF_RT_OPAMP2_SIZE, 0x00000002 +.set CYDEV_ANAIF_RT_OPAMP2_MX, 0x40005b44 +.set CYDEV_ANAIF_RT_OPAMP2_SW, 0x40005b45 +.set CYDEV_ANAIF_RT_OPAMP3_BASE, 0x40005b46 +.set CYDEV_ANAIF_RT_OPAMP3_SIZE, 0x00000002 +.set CYDEV_ANAIF_RT_OPAMP3_MX, 0x40005b46 +.set CYDEV_ANAIF_RT_OPAMP3_SW, 0x40005b47 +.set CYDEV_ANAIF_RT_LCDDAC_BASE, 0x40005b50 +.set CYDEV_ANAIF_RT_LCDDAC_SIZE, 0x00000005 +.set CYDEV_ANAIF_RT_LCDDAC_SW0, 0x40005b50 +.set CYDEV_ANAIF_RT_LCDDAC_SW1, 0x40005b51 +.set CYDEV_ANAIF_RT_LCDDAC_SW2, 0x40005b52 +.set CYDEV_ANAIF_RT_LCDDAC_SW3, 0x40005b53 +.set CYDEV_ANAIF_RT_LCDDAC_SW4, 0x40005b54 +.set CYDEV_ANAIF_RT_SC_BASE, 0x40005b56 +.set CYDEV_ANAIF_RT_SC_SIZE, 0x00000001 +.set CYDEV_ANAIF_RT_SC_MISC, 0x40005b56 +.set CYDEV_ANAIF_RT_BUS_BASE, 0x40005b58 +.set CYDEV_ANAIF_RT_BUS_SIZE, 0x00000004 +.set CYDEV_ANAIF_RT_BUS_SW0, 0x40005b58 +.set CYDEV_ANAIF_RT_BUS_SW2, 0x40005b5a +.set CYDEV_ANAIF_RT_BUS_SW3, 0x40005b5b +.set CYDEV_ANAIF_RT_DFT_BASE, 0x40005b5c +.set CYDEV_ANAIF_RT_DFT_SIZE, 0x00000006 +.set CYDEV_ANAIF_RT_DFT_CR0, 0x40005b5c +.set CYDEV_ANAIF_RT_DFT_CR1, 0x40005b5d +.set CYDEV_ANAIF_RT_DFT_CR2, 0x40005b5e +.set CYDEV_ANAIF_RT_DFT_CR3, 0x40005b5f +.set CYDEV_ANAIF_RT_DFT_CR4, 0x40005b60 +.set CYDEV_ANAIF_RT_DFT_CR5, 0x40005b61 +.set CYDEV_ANAIF_WRK_BASE, 0x40005b80 +.set CYDEV_ANAIF_WRK_SIZE, 0x00000029 +.set CYDEV_ANAIF_WRK_DAC0_BASE, 0x40005b80 +.set CYDEV_ANAIF_WRK_DAC0_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_DAC0_D, 0x40005b80 +.set CYDEV_ANAIF_WRK_DAC1_BASE, 0x40005b81 +.set CYDEV_ANAIF_WRK_DAC1_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_DAC1_D, 0x40005b81 +.set CYDEV_ANAIF_WRK_DAC2_BASE, 0x40005b82 +.set CYDEV_ANAIF_WRK_DAC2_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_DAC2_D, 0x40005b82 +.set CYDEV_ANAIF_WRK_DAC3_BASE, 0x40005b83 +.set CYDEV_ANAIF_WRK_DAC3_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_DAC3_D, 0x40005b83 +.set CYDEV_ANAIF_WRK_DSM0_BASE, 0x40005b88 +.set CYDEV_ANAIF_WRK_DSM0_SIZE, 0x00000002 +.set CYDEV_ANAIF_WRK_DSM0_OUT0, 0x40005b88 +.set CYDEV_ANAIF_WRK_DSM0_OUT1, 0x40005b89 +.set CYDEV_ANAIF_WRK_LUT_BASE, 0x40005b90 +.set CYDEV_ANAIF_WRK_LUT_SIZE, 0x00000005 +.set CYDEV_ANAIF_WRK_LUT_SR, 0x40005b90 +.set CYDEV_ANAIF_WRK_LUT_WRK1, 0x40005b91 +.set CYDEV_ANAIF_WRK_LUT_MSK, 0x40005b92 +.set CYDEV_ANAIF_WRK_LUT_CLK, 0x40005b93 +.set CYDEV_ANAIF_WRK_LUT_CPTR, 0x40005b94 +.set CYDEV_ANAIF_WRK_CMP_BASE, 0x40005b96 +.set CYDEV_ANAIF_WRK_CMP_SIZE, 0x00000002 +.set CYDEV_ANAIF_WRK_CMP_WRK, 0x40005b96 +.set CYDEV_ANAIF_WRK_CMP_TST, 0x40005b97 +.set CYDEV_ANAIF_WRK_SC_BASE, 0x40005b98 +.set CYDEV_ANAIF_WRK_SC_SIZE, 0x00000005 +.set CYDEV_ANAIF_WRK_SC_SR, 0x40005b98 +.set CYDEV_ANAIF_WRK_SC_WRK1, 0x40005b99 +.set CYDEV_ANAIF_WRK_SC_MSK, 0x40005b9a +.set CYDEV_ANAIF_WRK_SC_CMPINV, 0x40005b9b +.set CYDEV_ANAIF_WRK_SC_CPTR, 0x40005b9c +.set CYDEV_ANAIF_WRK_SAR0_BASE, 0x40005ba0 +.set CYDEV_ANAIF_WRK_SAR0_SIZE, 0x00000002 +.set CYDEV_ANAIF_WRK_SAR0_WRK0, 0x40005ba0 +.set CYDEV_ANAIF_WRK_SAR0_WRK1, 0x40005ba1 +.set CYDEV_ANAIF_WRK_SAR1_BASE, 0x40005ba2 +.set CYDEV_ANAIF_WRK_SAR1_SIZE, 0x00000002 +.set CYDEV_ANAIF_WRK_SAR1_WRK0, 0x40005ba2 +.set CYDEV_ANAIF_WRK_SAR1_WRK1, 0x40005ba3 +.set CYDEV_ANAIF_WRK_SARS_BASE, 0x40005ba8 +.set CYDEV_ANAIF_WRK_SARS_SIZE, 0x00000001 +.set CYDEV_ANAIF_WRK_SARS_SOF, 0x40005ba8 +.set CYDEV_USB_BASE, 0x40006000 +.set CYDEV_USB_SIZE, 0x00000300 +.set CYDEV_USB_EP0_DR0, 0x40006000 +.set CYDEV_USB_EP0_DR1, 0x40006001 +.set CYDEV_USB_EP0_DR2, 0x40006002 +.set CYDEV_USB_EP0_DR3, 0x40006003 +.set CYDEV_USB_EP0_DR4, 0x40006004 +.set CYDEV_USB_EP0_DR5, 0x40006005 +.set CYDEV_USB_EP0_DR6, 0x40006006 +.set CYDEV_USB_EP0_DR7, 0x40006007 +.set CYDEV_USB_CR0, 0x40006008 +.set CYDEV_USB_CR1, 0x40006009 +.set CYDEV_USB_SIE_EP_INT_EN, 0x4000600a +.set CYDEV_USB_SIE_EP_INT_SR, 0x4000600b +.set CYDEV_USB_SIE_EP1_BASE, 0x4000600c +.set CYDEV_USB_SIE_EP1_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP1_CNT0, 0x4000600c +.set CYDEV_USB_SIE_EP1_CNT1, 0x4000600d +.set CYDEV_USB_SIE_EP1_CR0, 0x4000600e +.set CYDEV_USB_USBIO_CR0, 0x40006010 +.set CYDEV_USB_USBIO_CR1, 0x40006012 +.set CYDEV_USB_DYN_RECONFIG, 0x40006014 +.set CYDEV_USB_SOF0, 0x40006018 +.set CYDEV_USB_SOF1, 0x40006019 +.set CYDEV_USB_SIE_EP2_BASE, 0x4000601c +.set CYDEV_USB_SIE_EP2_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP2_CNT0, 0x4000601c +.set CYDEV_USB_SIE_EP2_CNT1, 0x4000601d +.set CYDEV_USB_SIE_EP2_CR0, 0x4000601e +.set CYDEV_USB_EP0_CR, 0x40006028 +.set CYDEV_USB_EP0_CNT, 0x40006029 +.set CYDEV_USB_SIE_EP3_BASE, 0x4000602c +.set CYDEV_USB_SIE_EP3_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP3_CNT0, 0x4000602c +.set CYDEV_USB_SIE_EP3_CNT1, 0x4000602d +.set CYDEV_USB_SIE_EP3_CR0, 0x4000602e +.set CYDEV_USB_SIE_EP4_BASE, 0x4000603c +.set CYDEV_USB_SIE_EP4_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP4_CNT0, 0x4000603c +.set CYDEV_USB_SIE_EP4_CNT1, 0x4000603d +.set CYDEV_USB_SIE_EP4_CR0, 0x4000603e +.set CYDEV_USB_SIE_EP5_BASE, 0x4000604c +.set CYDEV_USB_SIE_EP5_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP5_CNT0, 0x4000604c +.set CYDEV_USB_SIE_EP5_CNT1, 0x4000604d +.set CYDEV_USB_SIE_EP5_CR0, 0x4000604e +.set CYDEV_USB_SIE_EP6_BASE, 0x4000605c +.set CYDEV_USB_SIE_EP6_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP6_CNT0, 0x4000605c +.set CYDEV_USB_SIE_EP6_CNT1, 0x4000605d +.set CYDEV_USB_SIE_EP6_CR0, 0x4000605e +.set CYDEV_USB_SIE_EP7_BASE, 0x4000606c +.set CYDEV_USB_SIE_EP7_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP7_CNT0, 0x4000606c +.set CYDEV_USB_SIE_EP7_CNT1, 0x4000606d +.set CYDEV_USB_SIE_EP7_CR0, 0x4000606e +.set CYDEV_USB_SIE_EP8_BASE, 0x4000607c +.set CYDEV_USB_SIE_EP8_SIZE, 0x00000003 +.set CYDEV_USB_SIE_EP8_CNT0, 0x4000607c +.set CYDEV_USB_SIE_EP8_CNT1, 0x4000607d +.set CYDEV_USB_SIE_EP8_CR0, 0x4000607e +.set CYDEV_USB_ARB_EP1_BASE, 0x40006080 +.set CYDEV_USB_ARB_EP1_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP1_CFG, 0x40006080 +.set CYDEV_USB_ARB_EP1_INT_EN, 0x40006081 +.set CYDEV_USB_ARB_EP1_SR, 0x40006082 +.set CYDEV_USB_ARB_RW1_BASE, 0x40006084 +.set CYDEV_USB_ARB_RW1_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW1_WA, 0x40006084 +.set CYDEV_USB_ARB_RW1_WA_MSB, 0x40006085 +.set CYDEV_USB_ARB_RW1_RA, 0x40006086 +.set CYDEV_USB_ARB_RW1_RA_MSB, 0x40006087 +.set CYDEV_USB_ARB_RW1_DR, 0x40006088 +.set CYDEV_USB_BUF_SIZE, 0x4000608c +.set CYDEV_USB_EP_ACTIVE, 0x4000608e +.set CYDEV_USB_EP_TYPE, 0x4000608f +.set CYDEV_USB_ARB_EP2_BASE, 0x40006090 +.set CYDEV_USB_ARB_EP2_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP2_CFG, 0x40006090 +.set CYDEV_USB_ARB_EP2_INT_EN, 0x40006091 +.set CYDEV_USB_ARB_EP2_SR, 0x40006092 +.set CYDEV_USB_ARB_RW2_BASE, 0x40006094 +.set CYDEV_USB_ARB_RW2_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW2_WA, 0x40006094 +.set CYDEV_USB_ARB_RW2_WA_MSB, 0x40006095 +.set CYDEV_USB_ARB_RW2_RA, 0x40006096 +.set CYDEV_USB_ARB_RW2_RA_MSB, 0x40006097 +.set CYDEV_USB_ARB_RW2_DR, 0x40006098 +.set CYDEV_USB_ARB_CFG, 0x4000609c +.set CYDEV_USB_USB_CLK_EN, 0x4000609d +.set CYDEV_USB_ARB_INT_EN, 0x4000609e +.set CYDEV_USB_ARB_INT_SR, 0x4000609f +.set CYDEV_USB_ARB_EP3_BASE, 0x400060a0 +.set CYDEV_USB_ARB_EP3_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP3_CFG, 0x400060a0 +.set CYDEV_USB_ARB_EP3_INT_EN, 0x400060a1 +.set CYDEV_USB_ARB_EP3_SR, 0x400060a2 +.set CYDEV_USB_ARB_RW3_BASE, 0x400060a4 +.set CYDEV_USB_ARB_RW3_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW3_WA, 0x400060a4 +.set CYDEV_USB_ARB_RW3_WA_MSB, 0x400060a5 +.set CYDEV_USB_ARB_RW3_RA, 0x400060a6 +.set CYDEV_USB_ARB_RW3_RA_MSB, 0x400060a7 +.set CYDEV_USB_ARB_RW3_DR, 0x400060a8 +.set CYDEV_USB_CWA, 0x400060ac +.set CYDEV_USB_CWA_MSB, 0x400060ad +.set CYDEV_USB_ARB_EP4_BASE, 0x400060b0 +.set CYDEV_USB_ARB_EP4_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP4_CFG, 0x400060b0 +.set CYDEV_USB_ARB_EP4_INT_EN, 0x400060b1 +.set CYDEV_USB_ARB_EP4_SR, 0x400060b2 +.set CYDEV_USB_ARB_RW4_BASE, 0x400060b4 +.set CYDEV_USB_ARB_RW4_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW4_WA, 0x400060b4 +.set CYDEV_USB_ARB_RW4_WA_MSB, 0x400060b5 +.set CYDEV_USB_ARB_RW4_RA, 0x400060b6 +.set CYDEV_USB_ARB_RW4_RA_MSB, 0x400060b7 +.set CYDEV_USB_ARB_RW4_DR, 0x400060b8 +.set CYDEV_USB_DMA_THRES, 0x400060bc +.set CYDEV_USB_DMA_THRES_MSB, 0x400060bd +.set CYDEV_USB_ARB_EP5_BASE, 0x400060c0 +.set CYDEV_USB_ARB_EP5_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP5_CFG, 0x400060c0 +.set CYDEV_USB_ARB_EP5_INT_EN, 0x400060c1 +.set CYDEV_USB_ARB_EP5_SR, 0x400060c2 +.set CYDEV_USB_ARB_RW5_BASE, 0x400060c4 +.set CYDEV_USB_ARB_RW5_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW5_WA, 0x400060c4 +.set CYDEV_USB_ARB_RW5_WA_MSB, 0x400060c5 +.set CYDEV_USB_ARB_RW5_RA, 0x400060c6 +.set CYDEV_USB_ARB_RW5_RA_MSB, 0x400060c7 +.set CYDEV_USB_ARB_RW5_DR, 0x400060c8 +.set CYDEV_USB_BUS_RST_CNT, 0x400060cc +.set CYDEV_USB_ARB_EP6_BASE, 0x400060d0 +.set CYDEV_USB_ARB_EP6_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP6_CFG, 0x400060d0 +.set CYDEV_USB_ARB_EP6_INT_EN, 0x400060d1 +.set CYDEV_USB_ARB_EP6_SR, 0x400060d2 +.set CYDEV_USB_ARB_RW6_BASE, 0x400060d4 +.set CYDEV_USB_ARB_RW6_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW6_WA, 0x400060d4 +.set CYDEV_USB_ARB_RW6_WA_MSB, 0x400060d5 +.set CYDEV_USB_ARB_RW6_RA, 0x400060d6 +.set CYDEV_USB_ARB_RW6_RA_MSB, 0x400060d7 +.set CYDEV_USB_ARB_RW6_DR, 0x400060d8 +.set CYDEV_USB_ARB_EP7_BASE, 0x400060e0 +.set CYDEV_USB_ARB_EP7_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP7_CFG, 0x400060e0 +.set CYDEV_USB_ARB_EP7_INT_EN, 0x400060e1 +.set CYDEV_USB_ARB_EP7_SR, 0x400060e2 +.set CYDEV_USB_ARB_RW7_BASE, 0x400060e4 +.set CYDEV_USB_ARB_RW7_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW7_WA, 0x400060e4 +.set CYDEV_USB_ARB_RW7_WA_MSB, 0x400060e5 +.set CYDEV_USB_ARB_RW7_RA, 0x400060e6 +.set CYDEV_USB_ARB_RW7_RA_MSB, 0x400060e7 +.set CYDEV_USB_ARB_RW7_DR, 0x400060e8 +.set CYDEV_USB_ARB_EP8_BASE, 0x400060f0 +.set CYDEV_USB_ARB_EP8_SIZE, 0x00000003 +.set CYDEV_USB_ARB_EP8_CFG, 0x400060f0 +.set CYDEV_USB_ARB_EP8_INT_EN, 0x400060f1 +.set CYDEV_USB_ARB_EP8_SR, 0x400060f2 +.set CYDEV_USB_ARB_RW8_BASE, 0x400060f4 +.set CYDEV_USB_ARB_RW8_SIZE, 0x00000005 +.set CYDEV_USB_ARB_RW8_WA, 0x400060f4 +.set CYDEV_USB_ARB_RW8_WA_MSB, 0x400060f5 +.set CYDEV_USB_ARB_RW8_RA, 0x400060f6 +.set CYDEV_USB_ARB_RW8_RA_MSB, 0x400060f7 +.set CYDEV_USB_ARB_RW8_DR, 0x400060f8 +.set CYDEV_USB_MEM_BASE, 0x40006100 +.set CYDEV_USB_MEM_SIZE, 0x00000200 +.set CYDEV_USB_MEM_DATA_MBASE, 0x40006100 +.set CYDEV_USB_MEM_DATA_MSIZE, 0x00000200 +.set CYDEV_UWRK_BASE, 0x40006400 +.set CYDEV_UWRK_SIZE, 0x00000b60 +.set CYDEV_UWRK_UWRK8_BASE, 0x40006400 +.set CYDEV_UWRK_UWRK8_SIZE, 0x000003b0 +.set CYDEV_UWRK_UWRK8_B0_BASE, 0x40006400 +.set CYDEV_UWRK_UWRK8_B0_SIZE, 0x000000b0 +.set CYDEV_UWRK_UWRK8_B0_UDB00_A0, 0x40006400 +.set CYDEV_UWRK_UWRK8_B0_UDB01_A0, 0x40006401 +.set CYDEV_UWRK_UWRK8_B0_UDB02_A0, 0x40006402 +.set CYDEV_UWRK_UWRK8_B0_UDB03_A0, 0x40006403 +.set CYDEV_UWRK_UWRK8_B0_UDB04_A0, 0x40006404 +.set CYDEV_UWRK_UWRK8_B0_UDB05_A0, 0x40006405 +.set CYDEV_UWRK_UWRK8_B0_UDB06_A0, 0x40006406 +.set CYDEV_UWRK_UWRK8_B0_UDB07_A0, 0x40006407 +.set CYDEV_UWRK_UWRK8_B0_UDB08_A0, 0x40006408 +.set CYDEV_UWRK_UWRK8_B0_UDB09_A0, 0x40006409 +.set CYDEV_UWRK_UWRK8_B0_UDB10_A0, 0x4000640a +.set CYDEV_UWRK_UWRK8_B0_UDB11_A0, 0x4000640b +.set CYDEV_UWRK_UWRK8_B0_UDB12_A0, 0x4000640c +.set CYDEV_UWRK_UWRK8_B0_UDB13_A0, 0x4000640d +.set CYDEV_UWRK_UWRK8_B0_UDB14_A0, 0x4000640e +.set CYDEV_UWRK_UWRK8_B0_UDB15_A0, 0x4000640f +.set CYDEV_UWRK_UWRK8_B0_UDB00_A1, 0x40006410 +.set CYDEV_UWRK_UWRK8_B0_UDB01_A1, 0x40006411 +.set CYDEV_UWRK_UWRK8_B0_UDB02_A1, 0x40006412 +.set CYDEV_UWRK_UWRK8_B0_UDB03_A1, 0x40006413 +.set CYDEV_UWRK_UWRK8_B0_UDB04_A1, 0x40006414 +.set CYDEV_UWRK_UWRK8_B0_UDB05_A1, 0x40006415 +.set CYDEV_UWRK_UWRK8_B0_UDB06_A1, 0x40006416 +.set CYDEV_UWRK_UWRK8_B0_UDB07_A1, 0x40006417 +.set CYDEV_UWRK_UWRK8_B0_UDB08_A1, 0x40006418 +.set CYDEV_UWRK_UWRK8_B0_UDB09_A1, 0x40006419 +.set CYDEV_UWRK_UWRK8_B0_UDB10_A1, 0x4000641a +.set CYDEV_UWRK_UWRK8_B0_UDB11_A1, 0x4000641b +.set CYDEV_UWRK_UWRK8_B0_UDB12_A1, 0x4000641c +.set CYDEV_UWRK_UWRK8_B0_UDB13_A1, 0x4000641d +.set CYDEV_UWRK_UWRK8_B0_UDB14_A1, 0x4000641e +.set CYDEV_UWRK_UWRK8_B0_UDB15_A1, 0x4000641f +.set CYDEV_UWRK_UWRK8_B0_UDB00_D0, 0x40006420 +.set CYDEV_UWRK_UWRK8_B0_UDB01_D0, 0x40006421 +.set CYDEV_UWRK_UWRK8_B0_UDB02_D0, 0x40006422 +.set CYDEV_UWRK_UWRK8_B0_UDB03_D0, 0x40006423 +.set CYDEV_UWRK_UWRK8_B0_UDB04_D0, 0x40006424 +.set CYDEV_UWRK_UWRK8_B0_UDB05_D0, 0x40006425 +.set CYDEV_UWRK_UWRK8_B0_UDB06_D0, 0x40006426 +.set CYDEV_UWRK_UWRK8_B0_UDB07_D0, 0x40006427 +.set CYDEV_UWRK_UWRK8_B0_UDB08_D0, 0x40006428 +.set CYDEV_UWRK_UWRK8_B0_UDB09_D0, 0x40006429 +.set CYDEV_UWRK_UWRK8_B0_UDB10_D0, 0x4000642a +.set CYDEV_UWRK_UWRK8_B0_UDB11_D0, 0x4000642b +.set CYDEV_UWRK_UWRK8_B0_UDB12_D0, 0x4000642c +.set CYDEV_UWRK_UWRK8_B0_UDB13_D0, 0x4000642d +.set CYDEV_UWRK_UWRK8_B0_UDB14_D0, 0x4000642e +.set CYDEV_UWRK_UWRK8_B0_UDB15_D0, 0x4000642f +.set CYDEV_UWRK_UWRK8_B0_UDB00_D1, 0x40006430 +.set CYDEV_UWRK_UWRK8_B0_UDB01_D1, 0x40006431 +.set CYDEV_UWRK_UWRK8_B0_UDB02_D1, 0x40006432 +.set CYDEV_UWRK_UWRK8_B0_UDB03_D1, 0x40006433 +.set CYDEV_UWRK_UWRK8_B0_UDB04_D1, 0x40006434 +.set CYDEV_UWRK_UWRK8_B0_UDB05_D1, 0x40006435 +.set CYDEV_UWRK_UWRK8_B0_UDB06_D1, 0x40006436 +.set CYDEV_UWRK_UWRK8_B0_UDB07_D1, 0x40006437 +.set CYDEV_UWRK_UWRK8_B0_UDB08_D1, 0x40006438 +.set CYDEV_UWRK_UWRK8_B0_UDB09_D1, 0x40006439 +.set CYDEV_UWRK_UWRK8_B0_UDB10_D1, 0x4000643a +.set CYDEV_UWRK_UWRK8_B0_UDB11_D1, 0x4000643b +.set CYDEV_UWRK_UWRK8_B0_UDB12_D1, 0x4000643c +.set CYDEV_UWRK_UWRK8_B0_UDB13_D1, 0x4000643d +.set CYDEV_UWRK_UWRK8_B0_UDB14_D1, 0x4000643e +.set CYDEV_UWRK_UWRK8_B0_UDB15_D1, 0x4000643f +.set CYDEV_UWRK_UWRK8_B0_UDB00_F0, 0x40006440 +.set CYDEV_UWRK_UWRK8_B0_UDB01_F0, 0x40006441 +.set CYDEV_UWRK_UWRK8_B0_UDB02_F0, 0x40006442 +.set CYDEV_UWRK_UWRK8_B0_UDB03_F0, 0x40006443 +.set CYDEV_UWRK_UWRK8_B0_UDB04_F0, 0x40006444 +.set CYDEV_UWRK_UWRK8_B0_UDB05_F0, 0x40006445 +.set CYDEV_UWRK_UWRK8_B0_UDB06_F0, 0x40006446 +.set CYDEV_UWRK_UWRK8_B0_UDB07_F0, 0x40006447 +.set CYDEV_UWRK_UWRK8_B0_UDB08_F0, 0x40006448 +.set CYDEV_UWRK_UWRK8_B0_UDB09_F0, 0x40006449 +.set CYDEV_UWRK_UWRK8_B0_UDB10_F0, 0x4000644a +.set CYDEV_UWRK_UWRK8_B0_UDB11_F0, 0x4000644b +.set CYDEV_UWRK_UWRK8_B0_UDB12_F0, 0x4000644c +.set CYDEV_UWRK_UWRK8_B0_UDB13_F0, 0x4000644d +.set CYDEV_UWRK_UWRK8_B0_UDB14_F0, 0x4000644e +.set CYDEV_UWRK_UWRK8_B0_UDB15_F0, 0x4000644f +.set CYDEV_UWRK_UWRK8_B0_UDB00_F1, 0x40006450 +.set CYDEV_UWRK_UWRK8_B0_UDB01_F1, 0x40006451 +.set CYDEV_UWRK_UWRK8_B0_UDB02_F1, 0x40006452 +.set CYDEV_UWRK_UWRK8_B0_UDB03_F1, 0x40006453 +.set CYDEV_UWRK_UWRK8_B0_UDB04_F1, 0x40006454 +.set CYDEV_UWRK_UWRK8_B0_UDB05_F1, 0x40006455 +.set CYDEV_UWRK_UWRK8_B0_UDB06_F1, 0x40006456 +.set CYDEV_UWRK_UWRK8_B0_UDB07_F1, 0x40006457 +.set CYDEV_UWRK_UWRK8_B0_UDB08_F1, 0x40006458 +.set CYDEV_UWRK_UWRK8_B0_UDB09_F1, 0x40006459 +.set CYDEV_UWRK_UWRK8_B0_UDB10_F1, 0x4000645a +.set CYDEV_UWRK_UWRK8_B0_UDB11_F1, 0x4000645b +.set CYDEV_UWRK_UWRK8_B0_UDB12_F1, 0x4000645c +.set CYDEV_UWRK_UWRK8_B0_UDB13_F1, 0x4000645d +.set CYDEV_UWRK_UWRK8_B0_UDB14_F1, 0x4000645e +.set CYDEV_UWRK_UWRK8_B0_UDB15_F1, 0x4000645f +.set CYDEV_UWRK_UWRK8_B0_UDB00_ST, 0x40006460 +.set CYDEV_UWRK_UWRK8_B0_UDB01_ST, 0x40006461 +.set CYDEV_UWRK_UWRK8_B0_UDB02_ST, 0x40006462 +.set CYDEV_UWRK_UWRK8_B0_UDB03_ST, 0x40006463 +.set CYDEV_UWRK_UWRK8_B0_UDB04_ST, 0x40006464 +.set CYDEV_UWRK_UWRK8_B0_UDB05_ST, 0x40006465 +.set CYDEV_UWRK_UWRK8_B0_UDB06_ST, 0x40006466 +.set CYDEV_UWRK_UWRK8_B0_UDB07_ST, 0x40006467 +.set CYDEV_UWRK_UWRK8_B0_UDB08_ST, 0x40006468 +.set CYDEV_UWRK_UWRK8_B0_UDB09_ST, 0x40006469 +.set CYDEV_UWRK_UWRK8_B0_UDB10_ST, 0x4000646a +.set CYDEV_UWRK_UWRK8_B0_UDB11_ST, 0x4000646b +.set CYDEV_UWRK_UWRK8_B0_UDB12_ST, 0x4000646c +.set CYDEV_UWRK_UWRK8_B0_UDB13_ST, 0x4000646d +.set CYDEV_UWRK_UWRK8_B0_UDB14_ST, 0x4000646e +.set CYDEV_UWRK_UWRK8_B0_UDB15_ST, 0x4000646f +.set CYDEV_UWRK_UWRK8_B0_UDB00_CTL, 0x40006470 +.set CYDEV_UWRK_UWRK8_B0_UDB01_CTL, 0x40006471 +.set CYDEV_UWRK_UWRK8_B0_UDB02_CTL, 0x40006472 +.set CYDEV_UWRK_UWRK8_B0_UDB03_CTL, 0x40006473 +.set CYDEV_UWRK_UWRK8_B0_UDB04_CTL, 0x40006474 +.set CYDEV_UWRK_UWRK8_B0_UDB05_CTL, 0x40006475 +.set CYDEV_UWRK_UWRK8_B0_UDB06_CTL, 0x40006476 +.set CYDEV_UWRK_UWRK8_B0_UDB07_CTL, 0x40006477 +.set CYDEV_UWRK_UWRK8_B0_UDB08_CTL, 0x40006478 +.set CYDEV_UWRK_UWRK8_B0_UDB09_CTL, 0x40006479 +.set CYDEV_UWRK_UWRK8_B0_UDB10_CTL, 0x4000647a +.set CYDEV_UWRK_UWRK8_B0_UDB11_CTL, 0x4000647b +.set CYDEV_UWRK_UWRK8_B0_UDB12_CTL, 0x4000647c +.set CYDEV_UWRK_UWRK8_B0_UDB13_CTL, 0x4000647d +.set CYDEV_UWRK_UWRK8_B0_UDB14_CTL, 0x4000647e +.set CYDEV_UWRK_UWRK8_B0_UDB15_CTL, 0x4000647f +.set CYDEV_UWRK_UWRK8_B0_UDB00_MSK, 0x40006480 +.set CYDEV_UWRK_UWRK8_B0_UDB01_MSK, 0x40006481 +.set CYDEV_UWRK_UWRK8_B0_UDB02_MSK, 0x40006482 +.set CYDEV_UWRK_UWRK8_B0_UDB03_MSK, 0x40006483 +.set CYDEV_UWRK_UWRK8_B0_UDB04_MSK, 0x40006484 +.set CYDEV_UWRK_UWRK8_B0_UDB05_MSK, 0x40006485 +.set CYDEV_UWRK_UWRK8_B0_UDB06_MSK, 0x40006486 +.set CYDEV_UWRK_UWRK8_B0_UDB07_MSK, 0x40006487 +.set CYDEV_UWRK_UWRK8_B0_UDB08_MSK, 0x40006488 +.set CYDEV_UWRK_UWRK8_B0_UDB09_MSK, 0x40006489 +.set CYDEV_UWRK_UWRK8_B0_UDB10_MSK, 0x4000648a +.set CYDEV_UWRK_UWRK8_B0_UDB11_MSK, 0x4000648b +.set CYDEV_UWRK_UWRK8_B0_UDB12_MSK, 0x4000648c +.set CYDEV_UWRK_UWRK8_B0_UDB13_MSK, 0x4000648d +.set CYDEV_UWRK_UWRK8_B0_UDB14_MSK, 0x4000648e +.set CYDEV_UWRK_UWRK8_B0_UDB15_MSK, 0x4000648f +.set CYDEV_UWRK_UWRK8_B0_UDB00_ACTL, 0x40006490 +.set CYDEV_UWRK_UWRK8_B0_UDB01_ACTL, 0x40006491 +.set CYDEV_UWRK_UWRK8_B0_UDB02_ACTL, 0x40006492 +.set CYDEV_UWRK_UWRK8_B0_UDB03_ACTL, 0x40006493 +.set CYDEV_UWRK_UWRK8_B0_UDB04_ACTL, 0x40006494 +.set CYDEV_UWRK_UWRK8_B0_UDB05_ACTL, 0x40006495 +.set CYDEV_UWRK_UWRK8_B0_UDB06_ACTL, 0x40006496 +.set CYDEV_UWRK_UWRK8_B0_UDB07_ACTL, 0x40006497 +.set CYDEV_UWRK_UWRK8_B0_UDB08_ACTL, 0x40006498 +.set CYDEV_UWRK_UWRK8_B0_UDB09_ACTL, 0x40006499 +.set CYDEV_UWRK_UWRK8_B0_UDB10_ACTL, 0x4000649a +.set CYDEV_UWRK_UWRK8_B0_UDB11_ACTL, 0x4000649b +.set CYDEV_UWRK_UWRK8_B0_UDB12_ACTL, 0x4000649c +.set CYDEV_UWRK_UWRK8_B0_UDB13_ACTL, 0x4000649d +.set CYDEV_UWRK_UWRK8_B0_UDB14_ACTL, 0x4000649e +.set CYDEV_UWRK_UWRK8_B0_UDB15_ACTL, 0x4000649f +.set CYDEV_UWRK_UWRK8_B0_UDB00_MC, 0x400064a0 +.set CYDEV_UWRK_UWRK8_B0_UDB01_MC, 0x400064a1 +.set CYDEV_UWRK_UWRK8_B0_UDB02_MC, 0x400064a2 +.set CYDEV_UWRK_UWRK8_B0_UDB03_MC, 0x400064a3 +.set CYDEV_UWRK_UWRK8_B0_UDB04_MC, 0x400064a4 +.set CYDEV_UWRK_UWRK8_B0_UDB05_MC, 0x400064a5 +.set CYDEV_UWRK_UWRK8_B0_UDB06_MC, 0x400064a6 +.set CYDEV_UWRK_UWRK8_B0_UDB07_MC, 0x400064a7 +.set CYDEV_UWRK_UWRK8_B0_UDB08_MC, 0x400064a8 +.set CYDEV_UWRK_UWRK8_B0_UDB09_MC, 0x400064a9 +.set CYDEV_UWRK_UWRK8_B0_UDB10_MC, 0x400064aa +.set CYDEV_UWRK_UWRK8_B0_UDB11_MC, 0x400064ab +.set CYDEV_UWRK_UWRK8_B0_UDB12_MC, 0x400064ac +.set CYDEV_UWRK_UWRK8_B0_UDB13_MC, 0x400064ad +.set CYDEV_UWRK_UWRK8_B0_UDB14_MC, 0x400064ae +.set CYDEV_UWRK_UWRK8_B0_UDB15_MC, 0x400064af +.set CYDEV_UWRK_UWRK8_B1_BASE, 0x40006500 +.set CYDEV_UWRK_UWRK8_B1_SIZE, 0x000000b0 +.set CYDEV_UWRK_UWRK8_B1_UDB04_A0, 0x40006504 +.set CYDEV_UWRK_UWRK8_B1_UDB05_A0, 0x40006505 +.set CYDEV_UWRK_UWRK8_B1_UDB06_A0, 0x40006506 +.set CYDEV_UWRK_UWRK8_B1_UDB07_A0, 0x40006507 +.set CYDEV_UWRK_UWRK8_B1_UDB08_A0, 0x40006508 +.set CYDEV_UWRK_UWRK8_B1_UDB09_A0, 0x40006509 +.set CYDEV_UWRK_UWRK8_B1_UDB10_A0, 0x4000650a +.set CYDEV_UWRK_UWRK8_B1_UDB11_A0, 0x4000650b +.set CYDEV_UWRK_UWRK8_B1_UDB04_A1, 0x40006514 +.set CYDEV_UWRK_UWRK8_B1_UDB05_A1, 0x40006515 +.set CYDEV_UWRK_UWRK8_B1_UDB06_A1, 0x40006516 +.set CYDEV_UWRK_UWRK8_B1_UDB07_A1, 0x40006517 +.set CYDEV_UWRK_UWRK8_B1_UDB08_A1, 0x40006518 +.set CYDEV_UWRK_UWRK8_B1_UDB09_A1, 0x40006519 +.set CYDEV_UWRK_UWRK8_B1_UDB10_A1, 0x4000651a +.set CYDEV_UWRK_UWRK8_B1_UDB11_A1, 0x4000651b +.set CYDEV_UWRK_UWRK8_B1_UDB04_D0, 0x40006524 +.set CYDEV_UWRK_UWRK8_B1_UDB05_D0, 0x40006525 +.set CYDEV_UWRK_UWRK8_B1_UDB06_D0, 0x40006526 +.set CYDEV_UWRK_UWRK8_B1_UDB07_D0, 0x40006527 +.set CYDEV_UWRK_UWRK8_B1_UDB08_D0, 0x40006528 +.set CYDEV_UWRK_UWRK8_B1_UDB09_D0, 0x40006529 +.set CYDEV_UWRK_UWRK8_B1_UDB10_D0, 0x4000652a +.set CYDEV_UWRK_UWRK8_B1_UDB11_D0, 0x4000652b +.set CYDEV_UWRK_UWRK8_B1_UDB04_D1, 0x40006534 +.set CYDEV_UWRK_UWRK8_B1_UDB05_D1, 0x40006535 +.set CYDEV_UWRK_UWRK8_B1_UDB06_D1, 0x40006536 +.set CYDEV_UWRK_UWRK8_B1_UDB07_D1, 0x40006537 +.set CYDEV_UWRK_UWRK8_B1_UDB08_D1, 0x40006538 +.set CYDEV_UWRK_UWRK8_B1_UDB09_D1, 0x40006539 +.set CYDEV_UWRK_UWRK8_B1_UDB10_D1, 0x4000653a +.set CYDEV_UWRK_UWRK8_B1_UDB11_D1, 0x4000653b +.set CYDEV_UWRK_UWRK8_B1_UDB04_F0, 0x40006544 +.set CYDEV_UWRK_UWRK8_B1_UDB05_F0, 0x40006545 +.set CYDEV_UWRK_UWRK8_B1_UDB06_F0, 0x40006546 +.set CYDEV_UWRK_UWRK8_B1_UDB07_F0, 0x40006547 +.set CYDEV_UWRK_UWRK8_B1_UDB08_F0, 0x40006548 +.set CYDEV_UWRK_UWRK8_B1_UDB09_F0, 0x40006549 +.set CYDEV_UWRK_UWRK8_B1_UDB10_F0, 0x4000654a +.set CYDEV_UWRK_UWRK8_B1_UDB11_F0, 0x4000654b +.set CYDEV_UWRK_UWRK8_B1_UDB04_F1, 0x40006554 +.set CYDEV_UWRK_UWRK8_B1_UDB05_F1, 0x40006555 +.set CYDEV_UWRK_UWRK8_B1_UDB06_F1, 0x40006556 +.set CYDEV_UWRK_UWRK8_B1_UDB07_F1, 0x40006557 +.set CYDEV_UWRK_UWRK8_B1_UDB08_F1, 0x40006558 +.set CYDEV_UWRK_UWRK8_B1_UDB09_F1, 0x40006559 +.set CYDEV_UWRK_UWRK8_B1_UDB10_F1, 0x4000655a +.set CYDEV_UWRK_UWRK8_B1_UDB11_F1, 0x4000655b +.set CYDEV_UWRK_UWRK8_B1_UDB04_ST, 0x40006564 +.set CYDEV_UWRK_UWRK8_B1_UDB05_ST, 0x40006565 +.set CYDEV_UWRK_UWRK8_B1_UDB06_ST, 0x40006566 +.set CYDEV_UWRK_UWRK8_B1_UDB07_ST, 0x40006567 +.set CYDEV_UWRK_UWRK8_B1_UDB08_ST, 0x40006568 +.set CYDEV_UWRK_UWRK8_B1_UDB09_ST, 0x40006569 +.set CYDEV_UWRK_UWRK8_B1_UDB10_ST, 0x4000656a +.set CYDEV_UWRK_UWRK8_B1_UDB11_ST, 0x4000656b +.set CYDEV_UWRK_UWRK8_B1_UDB04_CTL, 0x40006574 +.set CYDEV_UWRK_UWRK8_B1_UDB05_CTL, 0x40006575 +.set CYDEV_UWRK_UWRK8_B1_UDB06_CTL, 0x40006576 +.set CYDEV_UWRK_UWRK8_B1_UDB07_CTL, 0x40006577 +.set CYDEV_UWRK_UWRK8_B1_UDB08_CTL, 0x40006578 +.set CYDEV_UWRK_UWRK8_B1_UDB09_CTL, 0x40006579 +.set CYDEV_UWRK_UWRK8_B1_UDB10_CTL, 0x4000657a +.set CYDEV_UWRK_UWRK8_B1_UDB11_CTL, 0x4000657b +.set CYDEV_UWRK_UWRK8_B1_UDB04_MSK, 0x40006584 +.set CYDEV_UWRK_UWRK8_B1_UDB05_MSK, 0x40006585 +.set CYDEV_UWRK_UWRK8_B1_UDB06_MSK, 0x40006586 +.set CYDEV_UWRK_UWRK8_B1_UDB07_MSK, 0x40006587 +.set CYDEV_UWRK_UWRK8_B1_UDB08_MSK, 0x40006588 +.set CYDEV_UWRK_UWRK8_B1_UDB09_MSK, 0x40006589 +.set CYDEV_UWRK_UWRK8_B1_UDB10_MSK, 0x4000658a +.set CYDEV_UWRK_UWRK8_B1_UDB11_MSK, 0x4000658b +.set CYDEV_UWRK_UWRK8_B1_UDB04_ACTL, 0x40006594 +.set CYDEV_UWRK_UWRK8_B1_UDB05_ACTL, 0x40006595 +.set CYDEV_UWRK_UWRK8_B1_UDB06_ACTL, 0x40006596 +.set CYDEV_UWRK_UWRK8_B1_UDB07_ACTL, 0x40006597 +.set CYDEV_UWRK_UWRK8_B1_UDB08_ACTL, 0x40006598 +.set CYDEV_UWRK_UWRK8_B1_UDB09_ACTL, 0x40006599 +.set CYDEV_UWRK_UWRK8_B1_UDB10_ACTL, 0x4000659a +.set CYDEV_UWRK_UWRK8_B1_UDB11_ACTL, 0x4000659b +.set CYDEV_UWRK_UWRK8_B1_UDB04_MC, 0x400065a4 +.set CYDEV_UWRK_UWRK8_B1_UDB05_MC, 0x400065a5 +.set CYDEV_UWRK_UWRK8_B1_UDB06_MC, 0x400065a6 +.set CYDEV_UWRK_UWRK8_B1_UDB07_MC, 0x400065a7 +.set CYDEV_UWRK_UWRK8_B1_UDB08_MC, 0x400065a8 +.set CYDEV_UWRK_UWRK8_B1_UDB09_MC, 0x400065a9 +.set CYDEV_UWRK_UWRK8_B1_UDB10_MC, 0x400065aa +.set CYDEV_UWRK_UWRK8_B1_UDB11_MC, 0x400065ab +.set CYDEV_UWRK_UWRK16_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_SIZE, 0x00000760 +.set CYDEV_UWRK_UWRK16_CAT_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_SIZE, 0x00000760 +.set CYDEV_UWRK_UWRK16_CAT_B0_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_B0_SIZE, 0x00000160 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1, 0x40006802 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1, 0x40006804 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1, 0x40006806 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1, 0x40006808 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1, 0x4000680a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1, 0x4000680c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1, 0x4000680e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1, 0x40006810 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1, 0x40006812 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1, 0x40006814 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1, 0x40006816 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1, 0x40006818 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1, 0x4000681a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1, 0x4000681c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1, 0x4000681e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1, 0x40006840 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1, 0x40006842 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1, 0x40006844 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1, 0x40006846 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1, 0x40006848 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1, 0x4000684a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1, 0x4000684c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1, 0x4000684e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1, 0x40006850 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1, 0x40006852 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1, 0x40006854 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1, 0x40006856 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1, 0x40006858 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1, 0x4000685a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1, 0x4000685c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1, 0x4000685e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1, 0x40006880 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1, 0x40006882 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1, 0x40006884 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1, 0x40006886 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1, 0x40006888 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1, 0x4000688a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1, 0x4000688c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1, 0x4000688e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1, 0x40006890 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1, 0x40006892 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1, 0x40006894 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1, 0x40006896 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1, 0x40006898 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1, 0x4000689a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1, 0x4000689c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1, 0x4000689e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL, 0x400068c0 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL, 0x400068c2 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL, 0x400068c4 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL, 0x400068c6 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL, 0x400068c8 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL, 0x400068ca +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL, 0x400068cc +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL, 0x400068ce +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL, 0x400068d0 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL, 0x400068d2 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL, 0x400068d4 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL, 0x400068d6 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL, 0x400068d8 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL, 0x400068da +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL, 0x400068dc +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL, 0x400068de +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL, 0x40006900 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL, 0x40006902 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL, 0x40006904 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL, 0x40006906 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL, 0x40006908 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL, 0x4000690a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL, 0x4000690c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL, 0x4000690e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL, 0x40006910 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL, 0x40006912 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL, 0x40006914 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL, 0x40006916 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL, 0x40006918 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL, 0x4000691a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL, 0x4000691c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL, 0x4000691e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00, 0x40006940 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00, 0x40006942 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00, 0x40006944 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00, 0x40006946 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00, 0x40006948 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00, 0x4000694a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00, 0x4000694c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00, 0x4000694e +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00, 0x40006950 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00, 0x40006952 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00, 0x40006954 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00, 0x40006956 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00, 0x40006958 +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00, 0x4000695a +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00, 0x4000695c +.set CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00, 0x4000695e +.set CYDEV_UWRK_UWRK16_CAT_B1_BASE, 0x40006a00 +.set CYDEV_UWRK_UWRK16_CAT_B1_SIZE, 0x00000160 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1, 0x40006a08 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1, 0x40006a0a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1, 0x40006a0c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1, 0x40006a0e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1, 0x40006a10 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1, 0x40006a12 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1, 0x40006a14 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1, 0x40006a16 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1, 0x40006a48 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1, 0x40006a4a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1, 0x40006a4c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1, 0x40006a4e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1, 0x40006a50 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1, 0x40006a52 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1, 0x40006a54 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1, 0x40006a56 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1, 0x40006a88 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1, 0x40006a8a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1, 0x40006a8c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1, 0x40006a8e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1, 0x40006a90 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1, 0x40006a92 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1, 0x40006a94 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1, 0x40006a96 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL, 0x40006ac8 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL, 0x40006aca +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL, 0x40006acc +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL, 0x40006ace +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL, 0x40006ad0 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL, 0x40006ad2 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL, 0x40006ad4 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL, 0x40006ad6 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL, 0x40006b08 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL, 0x40006b0a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL, 0x40006b0c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL, 0x40006b0e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL, 0x40006b10 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL, 0x40006b12 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL, 0x40006b14 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL, 0x40006b16 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00, 0x40006b48 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00, 0x40006b4a +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00, 0x40006b4c +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00, 0x40006b4e +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00, 0x40006b50 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00, 0x40006b52 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00, 0x40006b54 +.set CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00, 0x40006b56 +.set CYDEV_UWRK_UWRK16_DEF_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_SIZE, 0x0000075e +.set CYDEV_UWRK_UWRK16_DEF_B0_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_B0_SIZE, 0x0000015e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0, 0x40006802 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0, 0x40006804 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0, 0x40006806 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0, 0x40006808 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0, 0x4000680a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0, 0x4000680c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0, 0x4000680e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0, 0x40006810 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0, 0x40006812 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0, 0x40006814 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0, 0x40006816 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0, 0x40006818 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0, 0x4000681a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0, 0x4000681c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1, 0x40006820 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1, 0x40006822 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1, 0x40006824 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1, 0x40006826 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1, 0x40006828 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1, 0x4000682a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1, 0x4000682c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1, 0x4000682e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1, 0x40006830 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1, 0x40006832 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1, 0x40006834 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1, 0x40006836 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1, 0x40006838 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1, 0x4000683a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1, 0x4000683c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0, 0x40006840 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0, 0x40006842 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0, 0x40006844 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0, 0x40006846 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0, 0x40006848 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0, 0x4000684a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0, 0x4000684c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0, 0x4000684e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0, 0x40006850 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0, 0x40006852 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0, 0x40006854 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0, 0x40006856 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0, 0x40006858 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0, 0x4000685a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0, 0x4000685c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1, 0x40006860 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1, 0x40006862 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1, 0x40006864 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1, 0x40006866 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1, 0x40006868 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1, 0x4000686a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1, 0x4000686c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1, 0x4000686e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1, 0x40006870 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1, 0x40006872 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1, 0x40006874 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1, 0x40006876 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1, 0x40006878 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1, 0x4000687a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1, 0x4000687c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0, 0x40006880 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0, 0x40006882 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0, 0x40006884 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0, 0x40006886 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0, 0x40006888 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0, 0x4000688a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0, 0x4000688c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0, 0x4000688e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0, 0x40006890 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0, 0x40006892 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0, 0x40006894 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0, 0x40006896 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0, 0x40006898 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0, 0x4000689a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0, 0x4000689c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1, 0x400068a0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1, 0x400068a2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1, 0x400068a4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1, 0x400068a6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1, 0x400068a8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1, 0x400068aa +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1, 0x400068ac +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1, 0x400068ae +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1, 0x400068b0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1, 0x400068b2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1, 0x400068b4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1, 0x400068b6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1, 0x400068b8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1, 0x400068ba +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1, 0x400068bc +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST, 0x400068c0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST, 0x400068c2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST, 0x400068c4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST, 0x400068c6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST, 0x400068c8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST, 0x400068ca +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST, 0x400068cc +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST, 0x400068ce +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST, 0x400068d0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST, 0x400068d2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST, 0x400068d4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST, 0x400068d6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST, 0x400068d8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST, 0x400068da +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST, 0x400068dc +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL, 0x400068e0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL, 0x400068e2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL, 0x400068e4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL, 0x400068e6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL, 0x400068e8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL, 0x400068ea +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL, 0x400068ec +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL, 0x400068ee +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL, 0x400068f0 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL, 0x400068f2 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL, 0x400068f4 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL, 0x400068f6 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL, 0x400068f8 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL, 0x400068fa +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL, 0x400068fc +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK, 0x40006900 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK, 0x40006902 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK, 0x40006904 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK, 0x40006906 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK, 0x40006908 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK, 0x4000690a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK, 0x4000690c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK, 0x4000690e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK, 0x40006910 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK, 0x40006912 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK, 0x40006914 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK, 0x40006916 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK, 0x40006918 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK, 0x4000691a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK, 0x4000691c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL, 0x40006920 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL, 0x40006922 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL, 0x40006924 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL, 0x40006926 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL, 0x40006928 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL, 0x4000692a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL, 0x4000692c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL, 0x4000692e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL, 0x40006930 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL, 0x40006932 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL, 0x40006934 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL, 0x40006936 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL, 0x40006938 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL, 0x4000693a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL, 0x4000693c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC, 0x40006940 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC, 0x40006942 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC, 0x40006944 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC, 0x40006946 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC, 0x40006948 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC, 0x4000694a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC, 0x4000694c +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC, 0x4000694e +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC, 0x40006950 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC, 0x40006952 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC, 0x40006954 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC, 0x40006956 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC, 0x40006958 +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC, 0x4000695a +.set CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC, 0x4000695c +.set CYDEV_UWRK_UWRK16_DEF_B1_BASE, 0x40006a00 +.set CYDEV_UWRK_UWRK16_DEF_B1_SIZE, 0x0000015e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0, 0x40006a08 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0, 0x40006a0a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0, 0x40006a0c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0, 0x40006a0e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0, 0x40006a10 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0, 0x40006a12 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0, 0x40006a14 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0, 0x40006a16 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1, 0x40006a28 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1, 0x40006a2a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1, 0x40006a2c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1, 0x40006a2e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1, 0x40006a30 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1, 0x40006a32 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1, 0x40006a34 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1, 0x40006a36 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0, 0x40006a48 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0, 0x40006a4a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0, 0x40006a4c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0, 0x40006a4e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0, 0x40006a50 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0, 0x40006a52 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0, 0x40006a54 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0, 0x40006a56 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1, 0x40006a68 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1, 0x40006a6a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1, 0x40006a6c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1, 0x40006a6e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1, 0x40006a70 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1, 0x40006a72 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1, 0x40006a74 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1, 0x40006a76 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0, 0x40006a88 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0, 0x40006a8a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0, 0x40006a8c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0, 0x40006a8e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0, 0x40006a90 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0, 0x40006a92 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0, 0x40006a94 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0, 0x40006a96 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1, 0x40006aa8 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1, 0x40006aaa +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1, 0x40006aac +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1, 0x40006aae +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1, 0x40006ab0 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1, 0x40006ab2 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1, 0x40006ab4 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1, 0x40006ab6 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST, 0x40006ac8 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST, 0x40006aca +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST, 0x40006acc +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST, 0x40006ace +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST, 0x40006ad0 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST, 0x40006ad2 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST, 0x40006ad4 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST, 0x40006ad6 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL, 0x40006ae8 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL, 0x40006aea +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL, 0x40006aec +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL, 0x40006aee +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL, 0x40006af0 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL, 0x40006af2 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL, 0x40006af4 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL, 0x40006af6 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK, 0x40006b08 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK, 0x40006b0a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK, 0x40006b0c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK, 0x40006b0e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK, 0x40006b10 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK, 0x40006b12 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK, 0x40006b14 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK, 0x40006b16 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL, 0x40006b28 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL, 0x40006b2a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL, 0x40006b2c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL, 0x40006b2e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL, 0x40006b30 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL, 0x40006b32 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL, 0x40006b34 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL, 0x40006b36 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC, 0x40006b48 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC, 0x40006b4a +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC, 0x40006b4c +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC, 0x40006b4e +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC, 0x40006b50 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC, 0x40006b52 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC, 0x40006b54 +.set CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC, 0x40006b56 +.set CYDEV_PHUB_BASE, 0x40007000 +.set CYDEV_PHUB_SIZE, 0x00000c00 +.set CYDEV_PHUB_CFG, 0x40007000 +.set CYDEV_PHUB_ERR, 0x40007004 +.set CYDEV_PHUB_ERR_ADR, 0x40007008 +.set CYDEV_PHUB_CH0_BASE, 0x40007010 +.set CYDEV_PHUB_CH0_SIZE, 0x0000000c +.set CYDEV_PHUB_CH0_BASIC_CFG, 0x40007010 +.set CYDEV_PHUB_CH0_ACTION, 0x40007014 +.set CYDEV_PHUB_CH0_BASIC_STATUS, 0x40007018 +.set CYDEV_PHUB_CH1_BASE, 0x40007020 +.set CYDEV_PHUB_CH1_SIZE, 0x0000000c +.set CYDEV_PHUB_CH1_BASIC_CFG, 0x40007020 +.set CYDEV_PHUB_CH1_ACTION, 0x40007024 +.set CYDEV_PHUB_CH1_BASIC_STATUS, 0x40007028 +.set CYDEV_PHUB_CH2_BASE, 0x40007030 +.set CYDEV_PHUB_CH2_SIZE, 0x0000000c +.set CYDEV_PHUB_CH2_BASIC_CFG, 0x40007030 +.set CYDEV_PHUB_CH2_ACTION, 0x40007034 +.set CYDEV_PHUB_CH2_BASIC_STATUS, 0x40007038 +.set CYDEV_PHUB_CH3_BASE, 0x40007040 +.set CYDEV_PHUB_CH3_SIZE, 0x0000000c +.set CYDEV_PHUB_CH3_BASIC_CFG, 0x40007040 +.set CYDEV_PHUB_CH3_ACTION, 0x40007044 +.set CYDEV_PHUB_CH3_BASIC_STATUS, 0x40007048 +.set CYDEV_PHUB_CH4_BASE, 0x40007050 +.set CYDEV_PHUB_CH4_SIZE, 0x0000000c +.set CYDEV_PHUB_CH4_BASIC_CFG, 0x40007050 +.set CYDEV_PHUB_CH4_ACTION, 0x40007054 +.set CYDEV_PHUB_CH4_BASIC_STATUS, 0x40007058 +.set CYDEV_PHUB_CH5_BASE, 0x40007060 +.set CYDEV_PHUB_CH5_SIZE, 0x0000000c +.set CYDEV_PHUB_CH5_BASIC_CFG, 0x40007060 +.set CYDEV_PHUB_CH5_ACTION, 0x40007064 +.set CYDEV_PHUB_CH5_BASIC_STATUS, 0x40007068 +.set CYDEV_PHUB_CH6_BASE, 0x40007070 +.set CYDEV_PHUB_CH6_SIZE, 0x0000000c +.set CYDEV_PHUB_CH6_BASIC_CFG, 0x40007070 +.set CYDEV_PHUB_CH6_ACTION, 0x40007074 +.set CYDEV_PHUB_CH6_BASIC_STATUS, 0x40007078 +.set CYDEV_PHUB_CH7_BASE, 0x40007080 +.set CYDEV_PHUB_CH7_SIZE, 0x0000000c +.set CYDEV_PHUB_CH7_BASIC_CFG, 0x40007080 +.set CYDEV_PHUB_CH7_ACTION, 0x40007084 +.set CYDEV_PHUB_CH7_BASIC_STATUS, 0x40007088 +.set CYDEV_PHUB_CH8_BASE, 0x40007090 +.set CYDEV_PHUB_CH8_SIZE, 0x0000000c +.set CYDEV_PHUB_CH8_BASIC_CFG, 0x40007090 +.set CYDEV_PHUB_CH8_ACTION, 0x40007094 +.set CYDEV_PHUB_CH8_BASIC_STATUS, 0x40007098 +.set CYDEV_PHUB_CH9_BASE, 0x400070a0 +.set CYDEV_PHUB_CH9_SIZE, 0x0000000c +.set CYDEV_PHUB_CH9_BASIC_CFG, 0x400070a0 +.set CYDEV_PHUB_CH9_ACTION, 0x400070a4 +.set CYDEV_PHUB_CH9_BASIC_STATUS, 0x400070a8 +.set CYDEV_PHUB_CH10_BASE, 0x400070b0 +.set CYDEV_PHUB_CH10_SIZE, 0x0000000c +.set CYDEV_PHUB_CH10_BASIC_CFG, 0x400070b0 +.set CYDEV_PHUB_CH10_ACTION, 0x400070b4 +.set CYDEV_PHUB_CH10_BASIC_STATUS, 0x400070b8 +.set CYDEV_PHUB_CH11_BASE, 0x400070c0 +.set CYDEV_PHUB_CH11_SIZE, 0x0000000c +.set CYDEV_PHUB_CH11_BASIC_CFG, 0x400070c0 +.set CYDEV_PHUB_CH11_ACTION, 0x400070c4 +.set CYDEV_PHUB_CH11_BASIC_STATUS, 0x400070c8 +.set CYDEV_PHUB_CH12_BASE, 0x400070d0 +.set CYDEV_PHUB_CH12_SIZE, 0x0000000c +.set CYDEV_PHUB_CH12_BASIC_CFG, 0x400070d0 +.set CYDEV_PHUB_CH12_ACTION, 0x400070d4 +.set CYDEV_PHUB_CH12_BASIC_STATUS, 0x400070d8 +.set CYDEV_PHUB_CH13_BASE, 0x400070e0 +.set CYDEV_PHUB_CH13_SIZE, 0x0000000c +.set CYDEV_PHUB_CH13_BASIC_CFG, 0x400070e0 +.set CYDEV_PHUB_CH13_ACTION, 0x400070e4 +.set CYDEV_PHUB_CH13_BASIC_STATUS, 0x400070e8 +.set CYDEV_PHUB_CH14_BASE, 0x400070f0 +.set CYDEV_PHUB_CH14_SIZE, 0x0000000c +.set CYDEV_PHUB_CH14_BASIC_CFG, 0x400070f0 +.set CYDEV_PHUB_CH14_ACTION, 0x400070f4 +.set CYDEV_PHUB_CH14_BASIC_STATUS, 0x400070f8 +.set CYDEV_PHUB_CH15_BASE, 0x40007100 +.set CYDEV_PHUB_CH15_SIZE, 0x0000000c +.set CYDEV_PHUB_CH15_BASIC_CFG, 0x40007100 +.set CYDEV_PHUB_CH15_ACTION, 0x40007104 +.set CYDEV_PHUB_CH15_BASIC_STATUS, 0x40007108 +.set CYDEV_PHUB_CH16_BASE, 0x40007110 +.set CYDEV_PHUB_CH16_SIZE, 0x0000000c +.set CYDEV_PHUB_CH16_BASIC_CFG, 0x40007110 +.set CYDEV_PHUB_CH16_ACTION, 0x40007114 +.set CYDEV_PHUB_CH16_BASIC_STATUS, 0x40007118 +.set CYDEV_PHUB_CH17_BASE, 0x40007120 +.set CYDEV_PHUB_CH17_SIZE, 0x0000000c +.set CYDEV_PHUB_CH17_BASIC_CFG, 0x40007120 +.set CYDEV_PHUB_CH17_ACTION, 0x40007124 +.set CYDEV_PHUB_CH17_BASIC_STATUS, 0x40007128 +.set CYDEV_PHUB_CH18_BASE, 0x40007130 +.set CYDEV_PHUB_CH18_SIZE, 0x0000000c +.set CYDEV_PHUB_CH18_BASIC_CFG, 0x40007130 +.set CYDEV_PHUB_CH18_ACTION, 0x40007134 +.set CYDEV_PHUB_CH18_BASIC_STATUS, 0x40007138 +.set CYDEV_PHUB_CH19_BASE, 0x40007140 +.set CYDEV_PHUB_CH19_SIZE, 0x0000000c +.set CYDEV_PHUB_CH19_BASIC_CFG, 0x40007140 +.set CYDEV_PHUB_CH19_ACTION, 0x40007144 +.set CYDEV_PHUB_CH19_BASIC_STATUS, 0x40007148 +.set CYDEV_PHUB_CH20_BASE, 0x40007150 +.set CYDEV_PHUB_CH20_SIZE, 0x0000000c +.set CYDEV_PHUB_CH20_BASIC_CFG, 0x40007150 +.set CYDEV_PHUB_CH20_ACTION, 0x40007154 +.set CYDEV_PHUB_CH20_BASIC_STATUS, 0x40007158 +.set CYDEV_PHUB_CH21_BASE, 0x40007160 +.set CYDEV_PHUB_CH21_SIZE, 0x0000000c +.set CYDEV_PHUB_CH21_BASIC_CFG, 0x40007160 +.set CYDEV_PHUB_CH21_ACTION, 0x40007164 +.set CYDEV_PHUB_CH21_BASIC_STATUS, 0x40007168 +.set CYDEV_PHUB_CH22_BASE, 0x40007170 +.set CYDEV_PHUB_CH22_SIZE, 0x0000000c +.set CYDEV_PHUB_CH22_BASIC_CFG, 0x40007170 +.set CYDEV_PHUB_CH22_ACTION, 0x40007174 +.set CYDEV_PHUB_CH22_BASIC_STATUS, 0x40007178 +.set CYDEV_PHUB_CH23_BASE, 0x40007180 +.set CYDEV_PHUB_CH23_SIZE, 0x0000000c +.set CYDEV_PHUB_CH23_BASIC_CFG, 0x40007180 +.set CYDEV_PHUB_CH23_ACTION, 0x40007184 +.set CYDEV_PHUB_CH23_BASIC_STATUS, 0x40007188 +.set CYDEV_PHUB_CFGMEM0_BASE, 0x40007600 +.set CYDEV_PHUB_CFGMEM0_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM0_CFG0, 0x40007600 +.set CYDEV_PHUB_CFGMEM0_CFG1, 0x40007604 +.set CYDEV_PHUB_CFGMEM1_BASE, 0x40007608 +.set CYDEV_PHUB_CFGMEM1_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM1_CFG0, 0x40007608 +.set CYDEV_PHUB_CFGMEM1_CFG1, 0x4000760c +.set CYDEV_PHUB_CFGMEM2_BASE, 0x40007610 +.set CYDEV_PHUB_CFGMEM2_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM2_CFG0, 0x40007610 +.set CYDEV_PHUB_CFGMEM2_CFG1, 0x40007614 +.set CYDEV_PHUB_CFGMEM3_BASE, 0x40007618 +.set CYDEV_PHUB_CFGMEM3_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM3_CFG0, 0x40007618 +.set CYDEV_PHUB_CFGMEM3_CFG1, 0x4000761c +.set CYDEV_PHUB_CFGMEM4_BASE, 0x40007620 +.set CYDEV_PHUB_CFGMEM4_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM4_CFG0, 0x40007620 +.set CYDEV_PHUB_CFGMEM4_CFG1, 0x40007624 +.set CYDEV_PHUB_CFGMEM5_BASE, 0x40007628 +.set CYDEV_PHUB_CFGMEM5_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM5_CFG0, 0x40007628 +.set CYDEV_PHUB_CFGMEM5_CFG1, 0x4000762c +.set CYDEV_PHUB_CFGMEM6_BASE, 0x40007630 +.set CYDEV_PHUB_CFGMEM6_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM6_CFG0, 0x40007630 +.set CYDEV_PHUB_CFGMEM6_CFG1, 0x40007634 +.set CYDEV_PHUB_CFGMEM7_BASE, 0x40007638 +.set CYDEV_PHUB_CFGMEM7_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM7_CFG0, 0x40007638 +.set CYDEV_PHUB_CFGMEM7_CFG1, 0x4000763c +.set CYDEV_PHUB_CFGMEM8_BASE, 0x40007640 +.set CYDEV_PHUB_CFGMEM8_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM8_CFG0, 0x40007640 +.set CYDEV_PHUB_CFGMEM8_CFG1, 0x40007644 +.set CYDEV_PHUB_CFGMEM9_BASE, 0x40007648 +.set CYDEV_PHUB_CFGMEM9_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM9_CFG0, 0x40007648 +.set CYDEV_PHUB_CFGMEM9_CFG1, 0x4000764c +.set CYDEV_PHUB_CFGMEM10_BASE, 0x40007650 +.set CYDEV_PHUB_CFGMEM10_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM10_CFG0, 0x40007650 +.set CYDEV_PHUB_CFGMEM10_CFG1, 0x40007654 +.set CYDEV_PHUB_CFGMEM11_BASE, 0x40007658 +.set CYDEV_PHUB_CFGMEM11_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM11_CFG0, 0x40007658 +.set CYDEV_PHUB_CFGMEM11_CFG1, 0x4000765c +.set CYDEV_PHUB_CFGMEM12_BASE, 0x40007660 +.set CYDEV_PHUB_CFGMEM12_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM12_CFG0, 0x40007660 +.set CYDEV_PHUB_CFGMEM12_CFG1, 0x40007664 +.set CYDEV_PHUB_CFGMEM13_BASE, 0x40007668 +.set CYDEV_PHUB_CFGMEM13_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM13_CFG0, 0x40007668 +.set CYDEV_PHUB_CFGMEM13_CFG1, 0x4000766c +.set CYDEV_PHUB_CFGMEM14_BASE, 0x40007670 +.set CYDEV_PHUB_CFGMEM14_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM14_CFG0, 0x40007670 +.set CYDEV_PHUB_CFGMEM14_CFG1, 0x40007674 +.set CYDEV_PHUB_CFGMEM15_BASE, 0x40007678 +.set CYDEV_PHUB_CFGMEM15_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM15_CFG0, 0x40007678 +.set CYDEV_PHUB_CFGMEM15_CFG1, 0x4000767c +.set CYDEV_PHUB_CFGMEM16_BASE, 0x40007680 +.set CYDEV_PHUB_CFGMEM16_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM16_CFG0, 0x40007680 +.set CYDEV_PHUB_CFGMEM16_CFG1, 0x40007684 +.set CYDEV_PHUB_CFGMEM17_BASE, 0x40007688 +.set CYDEV_PHUB_CFGMEM17_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM17_CFG0, 0x40007688 +.set CYDEV_PHUB_CFGMEM17_CFG1, 0x4000768c +.set CYDEV_PHUB_CFGMEM18_BASE, 0x40007690 +.set CYDEV_PHUB_CFGMEM18_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM18_CFG0, 0x40007690 +.set CYDEV_PHUB_CFGMEM18_CFG1, 0x40007694 +.set CYDEV_PHUB_CFGMEM19_BASE, 0x40007698 +.set CYDEV_PHUB_CFGMEM19_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM19_CFG0, 0x40007698 +.set CYDEV_PHUB_CFGMEM19_CFG1, 0x4000769c +.set CYDEV_PHUB_CFGMEM20_BASE, 0x400076a0 +.set CYDEV_PHUB_CFGMEM20_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM20_CFG0, 0x400076a0 +.set CYDEV_PHUB_CFGMEM20_CFG1, 0x400076a4 +.set CYDEV_PHUB_CFGMEM21_BASE, 0x400076a8 +.set CYDEV_PHUB_CFGMEM21_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM21_CFG0, 0x400076a8 +.set CYDEV_PHUB_CFGMEM21_CFG1, 0x400076ac +.set CYDEV_PHUB_CFGMEM22_BASE, 0x400076b0 +.set CYDEV_PHUB_CFGMEM22_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM22_CFG0, 0x400076b0 +.set CYDEV_PHUB_CFGMEM22_CFG1, 0x400076b4 +.set CYDEV_PHUB_CFGMEM23_BASE, 0x400076b8 +.set CYDEV_PHUB_CFGMEM23_SIZE, 0x00000008 +.set CYDEV_PHUB_CFGMEM23_CFG0, 0x400076b8 +.set CYDEV_PHUB_CFGMEM23_CFG1, 0x400076bc +.set CYDEV_PHUB_TDMEM0_BASE, 0x40007800 +.set CYDEV_PHUB_TDMEM0_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM0_ORIG_TD0, 0x40007800 +.set CYDEV_PHUB_TDMEM0_ORIG_TD1, 0x40007804 +.set CYDEV_PHUB_TDMEM1_BASE, 0x40007808 +.set CYDEV_PHUB_TDMEM1_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM1_ORIG_TD0, 0x40007808 +.set CYDEV_PHUB_TDMEM1_ORIG_TD1, 0x4000780c +.set CYDEV_PHUB_TDMEM2_BASE, 0x40007810 +.set CYDEV_PHUB_TDMEM2_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM2_ORIG_TD0, 0x40007810 +.set CYDEV_PHUB_TDMEM2_ORIG_TD1, 0x40007814 +.set CYDEV_PHUB_TDMEM3_BASE, 0x40007818 +.set CYDEV_PHUB_TDMEM3_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM3_ORIG_TD0, 0x40007818 +.set CYDEV_PHUB_TDMEM3_ORIG_TD1, 0x4000781c +.set CYDEV_PHUB_TDMEM4_BASE, 0x40007820 +.set CYDEV_PHUB_TDMEM4_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM4_ORIG_TD0, 0x40007820 +.set CYDEV_PHUB_TDMEM4_ORIG_TD1, 0x40007824 +.set CYDEV_PHUB_TDMEM5_BASE, 0x40007828 +.set CYDEV_PHUB_TDMEM5_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM5_ORIG_TD0, 0x40007828 +.set CYDEV_PHUB_TDMEM5_ORIG_TD1, 0x4000782c +.set CYDEV_PHUB_TDMEM6_BASE, 0x40007830 +.set CYDEV_PHUB_TDMEM6_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM6_ORIG_TD0, 0x40007830 +.set CYDEV_PHUB_TDMEM6_ORIG_TD1, 0x40007834 +.set CYDEV_PHUB_TDMEM7_BASE, 0x40007838 +.set CYDEV_PHUB_TDMEM7_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM7_ORIG_TD0, 0x40007838 +.set CYDEV_PHUB_TDMEM7_ORIG_TD1, 0x4000783c +.set CYDEV_PHUB_TDMEM8_BASE, 0x40007840 +.set CYDEV_PHUB_TDMEM8_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM8_ORIG_TD0, 0x40007840 +.set CYDEV_PHUB_TDMEM8_ORIG_TD1, 0x40007844 +.set CYDEV_PHUB_TDMEM9_BASE, 0x40007848 +.set CYDEV_PHUB_TDMEM9_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM9_ORIG_TD0, 0x40007848 +.set CYDEV_PHUB_TDMEM9_ORIG_TD1, 0x4000784c +.set CYDEV_PHUB_TDMEM10_BASE, 0x40007850 +.set CYDEV_PHUB_TDMEM10_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM10_ORIG_TD0, 0x40007850 +.set CYDEV_PHUB_TDMEM10_ORIG_TD1, 0x40007854 +.set CYDEV_PHUB_TDMEM11_BASE, 0x40007858 +.set CYDEV_PHUB_TDMEM11_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM11_ORIG_TD0, 0x40007858 +.set CYDEV_PHUB_TDMEM11_ORIG_TD1, 0x4000785c +.set CYDEV_PHUB_TDMEM12_BASE, 0x40007860 +.set CYDEV_PHUB_TDMEM12_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM12_ORIG_TD0, 0x40007860 +.set CYDEV_PHUB_TDMEM12_ORIG_TD1, 0x40007864 +.set CYDEV_PHUB_TDMEM13_BASE, 0x40007868 +.set CYDEV_PHUB_TDMEM13_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM13_ORIG_TD0, 0x40007868 +.set CYDEV_PHUB_TDMEM13_ORIG_TD1, 0x4000786c +.set CYDEV_PHUB_TDMEM14_BASE, 0x40007870 +.set CYDEV_PHUB_TDMEM14_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM14_ORIG_TD0, 0x40007870 +.set CYDEV_PHUB_TDMEM14_ORIG_TD1, 0x40007874 +.set CYDEV_PHUB_TDMEM15_BASE, 0x40007878 +.set CYDEV_PHUB_TDMEM15_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM15_ORIG_TD0, 0x40007878 +.set CYDEV_PHUB_TDMEM15_ORIG_TD1, 0x4000787c +.set CYDEV_PHUB_TDMEM16_BASE, 0x40007880 +.set CYDEV_PHUB_TDMEM16_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM16_ORIG_TD0, 0x40007880 +.set CYDEV_PHUB_TDMEM16_ORIG_TD1, 0x40007884 +.set CYDEV_PHUB_TDMEM17_BASE, 0x40007888 +.set CYDEV_PHUB_TDMEM17_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM17_ORIG_TD0, 0x40007888 +.set CYDEV_PHUB_TDMEM17_ORIG_TD1, 0x4000788c +.set CYDEV_PHUB_TDMEM18_BASE, 0x40007890 +.set CYDEV_PHUB_TDMEM18_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM18_ORIG_TD0, 0x40007890 +.set CYDEV_PHUB_TDMEM18_ORIG_TD1, 0x40007894 +.set CYDEV_PHUB_TDMEM19_BASE, 0x40007898 +.set CYDEV_PHUB_TDMEM19_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM19_ORIG_TD0, 0x40007898 +.set CYDEV_PHUB_TDMEM19_ORIG_TD1, 0x4000789c +.set CYDEV_PHUB_TDMEM20_BASE, 0x400078a0 +.set CYDEV_PHUB_TDMEM20_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM20_ORIG_TD0, 0x400078a0 +.set CYDEV_PHUB_TDMEM20_ORIG_TD1, 0x400078a4 +.set CYDEV_PHUB_TDMEM21_BASE, 0x400078a8 +.set CYDEV_PHUB_TDMEM21_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM21_ORIG_TD0, 0x400078a8 +.set CYDEV_PHUB_TDMEM21_ORIG_TD1, 0x400078ac +.set CYDEV_PHUB_TDMEM22_BASE, 0x400078b0 +.set CYDEV_PHUB_TDMEM22_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM22_ORIG_TD0, 0x400078b0 +.set CYDEV_PHUB_TDMEM22_ORIG_TD1, 0x400078b4 +.set CYDEV_PHUB_TDMEM23_BASE, 0x400078b8 +.set CYDEV_PHUB_TDMEM23_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM23_ORIG_TD0, 0x400078b8 +.set CYDEV_PHUB_TDMEM23_ORIG_TD1, 0x400078bc +.set CYDEV_PHUB_TDMEM24_BASE, 0x400078c0 +.set CYDEV_PHUB_TDMEM24_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM24_ORIG_TD0, 0x400078c0 +.set CYDEV_PHUB_TDMEM24_ORIG_TD1, 0x400078c4 +.set CYDEV_PHUB_TDMEM25_BASE, 0x400078c8 +.set CYDEV_PHUB_TDMEM25_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM25_ORIG_TD0, 0x400078c8 +.set CYDEV_PHUB_TDMEM25_ORIG_TD1, 0x400078cc +.set CYDEV_PHUB_TDMEM26_BASE, 0x400078d0 +.set CYDEV_PHUB_TDMEM26_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM26_ORIG_TD0, 0x400078d0 +.set CYDEV_PHUB_TDMEM26_ORIG_TD1, 0x400078d4 +.set CYDEV_PHUB_TDMEM27_BASE, 0x400078d8 +.set CYDEV_PHUB_TDMEM27_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM27_ORIG_TD0, 0x400078d8 +.set CYDEV_PHUB_TDMEM27_ORIG_TD1, 0x400078dc +.set CYDEV_PHUB_TDMEM28_BASE, 0x400078e0 +.set CYDEV_PHUB_TDMEM28_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM28_ORIG_TD0, 0x400078e0 +.set CYDEV_PHUB_TDMEM28_ORIG_TD1, 0x400078e4 +.set CYDEV_PHUB_TDMEM29_BASE, 0x400078e8 +.set CYDEV_PHUB_TDMEM29_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM29_ORIG_TD0, 0x400078e8 +.set CYDEV_PHUB_TDMEM29_ORIG_TD1, 0x400078ec +.set CYDEV_PHUB_TDMEM30_BASE, 0x400078f0 +.set CYDEV_PHUB_TDMEM30_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM30_ORIG_TD0, 0x400078f0 +.set CYDEV_PHUB_TDMEM30_ORIG_TD1, 0x400078f4 +.set CYDEV_PHUB_TDMEM31_BASE, 0x400078f8 +.set CYDEV_PHUB_TDMEM31_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM31_ORIG_TD0, 0x400078f8 +.set CYDEV_PHUB_TDMEM31_ORIG_TD1, 0x400078fc +.set CYDEV_PHUB_TDMEM32_BASE, 0x40007900 +.set CYDEV_PHUB_TDMEM32_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM32_ORIG_TD0, 0x40007900 +.set CYDEV_PHUB_TDMEM32_ORIG_TD1, 0x40007904 +.set CYDEV_PHUB_TDMEM33_BASE, 0x40007908 +.set CYDEV_PHUB_TDMEM33_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM33_ORIG_TD0, 0x40007908 +.set CYDEV_PHUB_TDMEM33_ORIG_TD1, 0x4000790c +.set CYDEV_PHUB_TDMEM34_BASE, 0x40007910 +.set CYDEV_PHUB_TDMEM34_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM34_ORIG_TD0, 0x40007910 +.set CYDEV_PHUB_TDMEM34_ORIG_TD1, 0x40007914 +.set CYDEV_PHUB_TDMEM35_BASE, 0x40007918 +.set CYDEV_PHUB_TDMEM35_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM35_ORIG_TD0, 0x40007918 +.set CYDEV_PHUB_TDMEM35_ORIG_TD1, 0x4000791c +.set CYDEV_PHUB_TDMEM36_BASE, 0x40007920 +.set CYDEV_PHUB_TDMEM36_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM36_ORIG_TD0, 0x40007920 +.set CYDEV_PHUB_TDMEM36_ORIG_TD1, 0x40007924 +.set CYDEV_PHUB_TDMEM37_BASE, 0x40007928 +.set CYDEV_PHUB_TDMEM37_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM37_ORIG_TD0, 0x40007928 +.set CYDEV_PHUB_TDMEM37_ORIG_TD1, 0x4000792c +.set CYDEV_PHUB_TDMEM38_BASE, 0x40007930 +.set CYDEV_PHUB_TDMEM38_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM38_ORIG_TD0, 0x40007930 +.set CYDEV_PHUB_TDMEM38_ORIG_TD1, 0x40007934 +.set CYDEV_PHUB_TDMEM39_BASE, 0x40007938 +.set CYDEV_PHUB_TDMEM39_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM39_ORIG_TD0, 0x40007938 +.set CYDEV_PHUB_TDMEM39_ORIG_TD1, 0x4000793c +.set CYDEV_PHUB_TDMEM40_BASE, 0x40007940 +.set CYDEV_PHUB_TDMEM40_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM40_ORIG_TD0, 0x40007940 +.set CYDEV_PHUB_TDMEM40_ORIG_TD1, 0x40007944 +.set CYDEV_PHUB_TDMEM41_BASE, 0x40007948 +.set CYDEV_PHUB_TDMEM41_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM41_ORIG_TD0, 0x40007948 +.set CYDEV_PHUB_TDMEM41_ORIG_TD1, 0x4000794c +.set CYDEV_PHUB_TDMEM42_BASE, 0x40007950 +.set CYDEV_PHUB_TDMEM42_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM42_ORIG_TD0, 0x40007950 +.set CYDEV_PHUB_TDMEM42_ORIG_TD1, 0x40007954 +.set CYDEV_PHUB_TDMEM43_BASE, 0x40007958 +.set CYDEV_PHUB_TDMEM43_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM43_ORIG_TD0, 0x40007958 +.set CYDEV_PHUB_TDMEM43_ORIG_TD1, 0x4000795c +.set CYDEV_PHUB_TDMEM44_BASE, 0x40007960 +.set CYDEV_PHUB_TDMEM44_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM44_ORIG_TD0, 0x40007960 +.set CYDEV_PHUB_TDMEM44_ORIG_TD1, 0x40007964 +.set CYDEV_PHUB_TDMEM45_BASE, 0x40007968 +.set CYDEV_PHUB_TDMEM45_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM45_ORIG_TD0, 0x40007968 +.set CYDEV_PHUB_TDMEM45_ORIG_TD1, 0x4000796c +.set CYDEV_PHUB_TDMEM46_BASE, 0x40007970 +.set CYDEV_PHUB_TDMEM46_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM46_ORIG_TD0, 0x40007970 +.set CYDEV_PHUB_TDMEM46_ORIG_TD1, 0x40007974 +.set CYDEV_PHUB_TDMEM47_BASE, 0x40007978 +.set CYDEV_PHUB_TDMEM47_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM47_ORIG_TD0, 0x40007978 +.set CYDEV_PHUB_TDMEM47_ORIG_TD1, 0x4000797c +.set CYDEV_PHUB_TDMEM48_BASE, 0x40007980 +.set CYDEV_PHUB_TDMEM48_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM48_ORIG_TD0, 0x40007980 +.set CYDEV_PHUB_TDMEM48_ORIG_TD1, 0x40007984 +.set CYDEV_PHUB_TDMEM49_BASE, 0x40007988 +.set CYDEV_PHUB_TDMEM49_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM49_ORIG_TD0, 0x40007988 +.set CYDEV_PHUB_TDMEM49_ORIG_TD1, 0x4000798c +.set CYDEV_PHUB_TDMEM50_BASE, 0x40007990 +.set CYDEV_PHUB_TDMEM50_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM50_ORIG_TD0, 0x40007990 +.set CYDEV_PHUB_TDMEM50_ORIG_TD1, 0x40007994 +.set CYDEV_PHUB_TDMEM51_BASE, 0x40007998 +.set CYDEV_PHUB_TDMEM51_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM51_ORIG_TD0, 0x40007998 +.set CYDEV_PHUB_TDMEM51_ORIG_TD1, 0x4000799c +.set CYDEV_PHUB_TDMEM52_BASE, 0x400079a0 +.set CYDEV_PHUB_TDMEM52_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM52_ORIG_TD0, 0x400079a0 +.set CYDEV_PHUB_TDMEM52_ORIG_TD1, 0x400079a4 +.set CYDEV_PHUB_TDMEM53_BASE, 0x400079a8 +.set CYDEV_PHUB_TDMEM53_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM53_ORIG_TD0, 0x400079a8 +.set CYDEV_PHUB_TDMEM53_ORIG_TD1, 0x400079ac +.set CYDEV_PHUB_TDMEM54_BASE, 0x400079b0 +.set CYDEV_PHUB_TDMEM54_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM54_ORIG_TD0, 0x400079b0 +.set CYDEV_PHUB_TDMEM54_ORIG_TD1, 0x400079b4 +.set CYDEV_PHUB_TDMEM55_BASE, 0x400079b8 +.set CYDEV_PHUB_TDMEM55_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM55_ORIG_TD0, 0x400079b8 +.set CYDEV_PHUB_TDMEM55_ORIG_TD1, 0x400079bc +.set CYDEV_PHUB_TDMEM56_BASE, 0x400079c0 +.set CYDEV_PHUB_TDMEM56_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM56_ORIG_TD0, 0x400079c0 +.set CYDEV_PHUB_TDMEM56_ORIG_TD1, 0x400079c4 +.set CYDEV_PHUB_TDMEM57_BASE, 0x400079c8 +.set CYDEV_PHUB_TDMEM57_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM57_ORIG_TD0, 0x400079c8 +.set CYDEV_PHUB_TDMEM57_ORIG_TD1, 0x400079cc +.set CYDEV_PHUB_TDMEM58_BASE, 0x400079d0 +.set CYDEV_PHUB_TDMEM58_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM58_ORIG_TD0, 0x400079d0 +.set CYDEV_PHUB_TDMEM58_ORIG_TD1, 0x400079d4 +.set CYDEV_PHUB_TDMEM59_BASE, 0x400079d8 +.set CYDEV_PHUB_TDMEM59_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM59_ORIG_TD0, 0x400079d8 +.set CYDEV_PHUB_TDMEM59_ORIG_TD1, 0x400079dc +.set CYDEV_PHUB_TDMEM60_BASE, 0x400079e0 +.set CYDEV_PHUB_TDMEM60_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM60_ORIG_TD0, 0x400079e0 +.set CYDEV_PHUB_TDMEM60_ORIG_TD1, 0x400079e4 +.set CYDEV_PHUB_TDMEM61_BASE, 0x400079e8 +.set CYDEV_PHUB_TDMEM61_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM61_ORIG_TD0, 0x400079e8 +.set CYDEV_PHUB_TDMEM61_ORIG_TD1, 0x400079ec +.set CYDEV_PHUB_TDMEM62_BASE, 0x400079f0 +.set CYDEV_PHUB_TDMEM62_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM62_ORIG_TD0, 0x400079f0 +.set CYDEV_PHUB_TDMEM62_ORIG_TD1, 0x400079f4 +.set CYDEV_PHUB_TDMEM63_BASE, 0x400079f8 +.set CYDEV_PHUB_TDMEM63_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM63_ORIG_TD0, 0x400079f8 +.set CYDEV_PHUB_TDMEM63_ORIG_TD1, 0x400079fc +.set CYDEV_PHUB_TDMEM64_BASE, 0x40007a00 +.set CYDEV_PHUB_TDMEM64_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM64_ORIG_TD0, 0x40007a00 +.set CYDEV_PHUB_TDMEM64_ORIG_TD1, 0x40007a04 +.set CYDEV_PHUB_TDMEM65_BASE, 0x40007a08 +.set CYDEV_PHUB_TDMEM65_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM65_ORIG_TD0, 0x40007a08 +.set CYDEV_PHUB_TDMEM65_ORIG_TD1, 0x40007a0c +.set CYDEV_PHUB_TDMEM66_BASE, 0x40007a10 +.set CYDEV_PHUB_TDMEM66_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM66_ORIG_TD0, 0x40007a10 +.set CYDEV_PHUB_TDMEM66_ORIG_TD1, 0x40007a14 +.set CYDEV_PHUB_TDMEM67_BASE, 0x40007a18 +.set CYDEV_PHUB_TDMEM67_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM67_ORIG_TD0, 0x40007a18 +.set CYDEV_PHUB_TDMEM67_ORIG_TD1, 0x40007a1c +.set CYDEV_PHUB_TDMEM68_BASE, 0x40007a20 +.set CYDEV_PHUB_TDMEM68_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM68_ORIG_TD0, 0x40007a20 +.set CYDEV_PHUB_TDMEM68_ORIG_TD1, 0x40007a24 +.set CYDEV_PHUB_TDMEM69_BASE, 0x40007a28 +.set CYDEV_PHUB_TDMEM69_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM69_ORIG_TD0, 0x40007a28 +.set CYDEV_PHUB_TDMEM69_ORIG_TD1, 0x40007a2c +.set CYDEV_PHUB_TDMEM70_BASE, 0x40007a30 +.set CYDEV_PHUB_TDMEM70_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM70_ORIG_TD0, 0x40007a30 +.set CYDEV_PHUB_TDMEM70_ORIG_TD1, 0x40007a34 +.set CYDEV_PHUB_TDMEM71_BASE, 0x40007a38 +.set CYDEV_PHUB_TDMEM71_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM71_ORIG_TD0, 0x40007a38 +.set CYDEV_PHUB_TDMEM71_ORIG_TD1, 0x40007a3c +.set CYDEV_PHUB_TDMEM72_BASE, 0x40007a40 +.set CYDEV_PHUB_TDMEM72_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM72_ORIG_TD0, 0x40007a40 +.set CYDEV_PHUB_TDMEM72_ORIG_TD1, 0x40007a44 +.set CYDEV_PHUB_TDMEM73_BASE, 0x40007a48 +.set CYDEV_PHUB_TDMEM73_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM73_ORIG_TD0, 0x40007a48 +.set CYDEV_PHUB_TDMEM73_ORIG_TD1, 0x40007a4c +.set CYDEV_PHUB_TDMEM74_BASE, 0x40007a50 +.set CYDEV_PHUB_TDMEM74_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM74_ORIG_TD0, 0x40007a50 +.set CYDEV_PHUB_TDMEM74_ORIG_TD1, 0x40007a54 +.set CYDEV_PHUB_TDMEM75_BASE, 0x40007a58 +.set CYDEV_PHUB_TDMEM75_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM75_ORIG_TD0, 0x40007a58 +.set CYDEV_PHUB_TDMEM75_ORIG_TD1, 0x40007a5c +.set CYDEV_PHUB_TDMEM76_BASE, 0x40007a60 +.set CYDEV_PHUB_TDMEM76_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM76_ORIG_TD0, 0x40007a60 +.set CYDEV_PHUB_TDMEM76_ORIG_TD1, 0x40007a64 +.set CYDEV_PHUB_TDMEM77_BASE, 0x40007a68 +.set CYDEV_PHUB_TDMEM77_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM77_ORIG_TD0, 0x40007a68 +.set CYDEV_PHUB_TDMEM77_ORIG_TD1, 0x40007a6c +.set CYDEV_PHUB_TDMEM78_BASE, 0x40007a70 +.set CYDEV_PHUB_TDMEM78_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM78_ORIG_TD0, 0x40007a70 +.set CYDEV_PHUB_TDMEM78_ORIG_TD1, 0x40007a74 +.set CYDEV_PHUB_TDMEM79_BASE, 0x40007a78 +.set CYDEV_PHUB_TDMEM79_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM79_ORIG_TD0, 0x40007a78 +.set CYDEV_PHUB_TDMEM79_ORIG_TD1, 0x40007a7c +.set CYDEV_PHUB_TDMEM80_BASE, 0x40007a80 +.set CYDEV_PHUB_TDMEM80_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM80_ORIG_TD0, 0x40007a80 +.set CYDEV_PHUB_TDMEM80_ORIG_TD1, 0x40007a84 +.set CYDEV_PHUB_TDMEM81_BASE, 0x40007a88 +.set CYDEV_PHUB_TDMEM81_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM81_ORIG_TD0, 0x40007a88 +.set CYDEV_PHUB_TDMEM81_ORIG_TD1, 0x40007a8c +.set CYDEV_PHUB_TDMEM82_BASE, 0x40007a90 +.set CYDEV_PHUB_TDMEM82_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM82_ORIG_TD0, 0x40007a90 +.set CYDEV_PHUB_TDMEM82_ORIG_TD1, 0x40007a94 +.set CYDEV_PHUB_TDMEM83_BASE, 0x40007a98 +.set CYDEV_PHUB_TDMEM83_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM83_ORIG_TD0, 0x40007a98 +.set CYDEV_PHUB_TDMEM83_ORIG_TD1, 0x40007a9c +.set CYDEV_PHUB_TDMEM84_BASE, 0x40007aa0 +.set CYDEV_PHUB_TDMEM84_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM84_ORIG_TD0, 0x40007aa0 +.set CYDEV_PHUB_TDMEM84_ORIG_TD1, 0x40007aa4 +.set CYDEV_PHUB_TDMEM85_BASE, 0x40007aa8 +.set CYDEV_PHUB_TDMEM85_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM85_ORIG_TD0, 0x40007aa8 +.set CYDEV_PHUB_TDMEM85_ORIG_TD1, 0x40007aac +.set CYDEV_PHUB_TDMEM86_BASE, 0x40007ab0 +.set CYDEV_PHUB_TDMEM86_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM86_ORIG_TD0, 0x40007ab0 +.set CYDEV_PHUB_TDMEM86_ORIG_TD1, 0x40007ab4 +.set CYDEV_PHUB_TDMEM87_BASE, 0x40007ab8 +.set CYDEV_PHUB_TDMEM87_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM87_ORIG_TD0, 0x40007ab8 +.set CYDEV_PHUB_TDMEM87_ORIG_TD1, 0x40007abc +.set CYDEV_PHUB_TDMEM88_BASE, 0x40007ac0 +.set CYDEV_PHUB_TDMEM88_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM88_ORIG_TD0, 0x40007ac0 +.set CYDEV_PHUB_TDMEM88_ORIG_TD1, 0x40007ac4 +.set CYDEV_PHUB_TDMEM89_BASE, 0x40007ac8 +.set CYDEV_PHUB_TDMEM89_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM89_ORIG_TD0, 0x40007ac8 +.set CYDEV_PHUB_TDMEM89_ORIG_TD1, 0x40007acc +.set CYDEV_PHUB_TDMEM90_BASE, 0x40007ad0 +.set CYDEV_PHUB_TDMEM90_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM90_ORIG_TD0, 0x40007ad0 +.set CYDEV_PHUB_TDMEM90_ORIG_TD1, 0x40007ad4 +.set CYDEV_PHUB_TDMEM91_BASE, 0x40007ad8 +.set CYDEV_PHUB_TDMEM91_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM91_ORIG_TD0, 0x40007ad8 +.set CYDEV_PHUB_TDMEM91_ORIG_TD1, 0x40007adc +.set CYDEV_PHUB_TDMEM92_BASE, 0x40007ae0 +.set CYDEV_PHUB_TDMEM92_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM92_ORIG_TD0, 0x40007ae0 +.set CYDEV_PHUB_TDMEM92_ORIG_TD1, 0x40007ae4 +.set CYDEV_PHUB_TDMEM93_BASE, 0x40007ae8 +.set CYDEV_PHUB_TDMEM93_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM93_ORIG_TD0, 0x40007ae8 +.set CYDEV_PHUB_TDMEM93_ORIG_TD1, 0x40007aec +.set CYDEV_PHUB_TDMEM94_BASE, 0x40007af0 +.set CYDEV_PHUB_TDMEM94_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM94_ORIG_TD0, 0x40007af0 +.set CYDEV_PHUB_TDMEM94_ORIG_TD1, 0x40007af4 +.set CYDEV_PHUB_TDMEM95_BASE, 0x40007af8 +.set CYDEV_PHUB_TDMEM95_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM95_ORIG_TD0, 0x40007af8 +.set CYDEV_PHUB_TDMEM95_ORIG_TD1, 0x40007afc +.set CYDEV_PHUB_TDMEM96_BASE, 0x40007b00 +.set CYDEV_PHUB_TDMEM96_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM96_ORIG_TD0, 0x40007b00 +.set CYDEV_PHUB_TDMEM96_ORIG_TD1, 0x40007b04 +.set CYDEV_PHUB_TDMEM97_BASE, 0x40007b08 +.set CYDEV_PHUB_TDMEM97_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM97_ORIG_TD0, 0x40007b08 +.set CYDEV_PHUB_TDMEM97_ORIG_TD1, 0x40007b0c +.set CYDEV_PHUB_TDMEM98_BASE, 0x40007b10 +.set CYDEV_PHUB_TDMEM98_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM98_ORIG_TD0, 0x40007b10 +.set CYDEV_PHUB_TDMEM98_ORIG_TD1, 0x40007b14 +.set CYDEV_PHUB_TDMEM99_BASE, 0x40007b18 +.set CYDEV_PHUB_TDMEM99_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM99_ORIG_TD0, 0x40007b18 +.set CYDEV_PHUB_TDMEM99_ORIG_TD1, 0x40007b1c +.set CYDEV_PHUB_TDMEM100_BASE, 0x40007b20 +.set CYDEV_PHUB_TDMEM100_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM100_ORIG_TD0, 0x40007b20 +.set CYDEV_PHUB_TDMEM100_ORIG_TD1, 0x40007b24 +.set CYDEV_PHUB_TDMEM101_BASE, 0x40007b28 +.set CYDEV_PHUB_TDMEM101_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM101_ORIG_TD0, 0x40007b28 +.set CYDEV_PHUB_TDMEM101_ORIG_TD1, 0x40007b2c +.set CYDEV_PHUB_TDMEM102_BASE, 0x40007b30 +.set CYDEV_PHUB_TDMEM102_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM102_ORIG_TD0, 0x40007b30 +.set CYDEV_PHUB_TDMEM102_ORIG_TD1, 0x40007b34 +.set CYDEV_PHUB_TDMEM103_BASE, 0x40007b38 +.set CYDEV_PHUB_TDMEM103_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM103_ORIG_TD0, 0x40007b38 +.set CYDEV_PHUB_TDMEM103_ORIG_TD1, 0x40007b3c +.set CYDEV_PHUB_TDMEM104_BASE, 0x40007b40 +.set CYDEV_PHUB_TDMEM104_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM104_ORIG_TD0, 0x40007b40 +.set CYDEV_PHUB_TDMEM104_ORIG_TD1, 0x40007b44 +.set CYDEV_PHUB_TDMEM105_BASE, 0x40007b48 +.set CYDEV_PHUB_TDMEM105_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM105_ORIG_TD0, 0x40007b48 +.set CYDEV_PHUB_TDMEM105_ORIG_TD1, 0x40007b4c +.set CYDEV_PHUB_TDMEM106_BASE, 0x40007b50 +.set CYDEV_PHUB_TDMEM106_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM106_ORIG_TD0, 0x40007b50 +.set CYDEV_PHUB_TDMEM106_ORIG_TD1, 0x40007b54 +.set CYDEV_PHUB_TDMEM107_BASE, 0x40007b58 +.set CYDEV_PHUB_TDMEM107_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM107_ORIG_TD0, 0x40007b58 +.set CYDEV_PHUB_TDMEM107_ORIG_TD1, 0x40007b5c +.set CYDEV_PHUB_TDMEM108_BASE, 0x40007b60 +.set CYDEV_PHUB_TDMEM108_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM108_ORIG_TD0, 0x40007b60 +.set CYDEV_PHUB_TDMEM108_ORIG_TD1, 0x40007b64 +.set CYDEV_PHUB_TDMEM109_BASE, 0x40007b68 +.set CYDEV_PHUB_TDMEM109_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM109_ORIG_TD0, 0x40007b68 +.set CYDEV_PHUB_TDMEM109_ORIG_TD1, 0x40007b6c +.set CYDEV_PHUB_TDMEM110_BASE, 0x40007b70 +.set CYDEV_PHUB_TDMEM110_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM110_ORIG_TD0, 0x40007b70 +.set CYDEV_PHUB_TDMEM110_ORIG_TD1, 0x40007b74 +.set CYDEV_PHUB_TDMEM111_BASE, 0x40007b78 +.set CYDEV_PHUB_TDMEM111_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM111_ORIG_TD0, 0x40007b78 +.set CYDEV_PHUB_TDMEM111_ORIG_TD1, 0x40007b7c +.set CYDEV_PHUB_TDMEM112_BASE, 0x40007b80 +.set CYDEV_PHUB_TDMEM112_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM112_ORIG_TD0, 0x40007b80 +.set CYDEV_PHUB_TDMEM112_ORIG_TD1, 0x40007b84 +.set CYDEV_PHUB_TDMEM113_BASE, 0x40007b88 +.set CYDEV_PHUB_TDMEM113_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM113_ORIG_TD0, 0x40007b88 +.set CYDEV_PHUB_TDMEM113_ORIG_TD1, 0x40007b8c +.set CYDEV_PHUB_TDMEM114_BASE, 0x40007b90 +.set CYDEV_PHUB_TDMEM114_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM114_ORIG_TD0, 0x40007b90 +.set CYDEV_PHUB_TDMEM114_ORIG_TD1, 0x40007b94 +.set CYDEV_PHUB_TDMEM115_BASE, 0x40007b98 +.set CYDEV_PHUB_TDMEM115_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM115_ORIG_TD0, 0x40007b98 +.set CYDEV_PHUB_TDMEM115_ORIG_TD1, 0x40007b9c +.set CYDEV_PHUB_TDMEM116_BASE, 0x40007ba0 +.set CYDEV_PHUB_TDMEM116_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM116_ORIG_TD0, 0x40007ba0 +.set CYDEV_PHUB_TDMEM116_ORIG_TD1, 0x40007ba4 +.set CYDEV_PHUB_TDMEM117_BASE, 0x40007ba8 +.set CYDEV_PHUB_TDMEM117_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM117_ORIG_TD0, 0x40007ba8 +.set CYDEV_PHUB_TDMEM117_ORIG_TD1, 0x40007bac +.set CYDEV_PHUB_TDMEM118_BASE, 0x40007bb0 +.set CYDEV_PHUB_TDMEM118_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM118_ORIG_TD0, 0x40007bb0 +.set CYDEV_PHUB_TDMEM118_ORIG_TD1, 0x40007bb4 +.set CYDEV_PHUB_TDMEM119_BASE, 0x40007bb8 +.set CYDEV_PHUB_TDMEM119_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM119_ORIG_TD0, 0x40007bb8 +.set CYDEV_PHUB_TDMEM119_ORIG_TD1, 0x40007bbc +.set CYDEV_PHUB_TDMEM120_BASE, 0x40007bc0 +.set CYDEV_PHUB_TDMEM120_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM120_ORIG_TD0, 0x40007bc0 +.set CYDEV_PHUB_TDMEM120_ORIG_TD1, 0x40007bc4 +.set CYDEV_PHUB_TDMEM121_BASE, 0x40007bc8 +.set CYDEV_PHUB_TDMEM121_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM121_ORIG_TD0, 0x40007bc8 +.set CYDEV_PHUB_TDMEM121_ORIG_TD1, 0x40007bcc +.set CYDEV_PHUB_TDMEM122_BASE, 0x40007bd0 +.set CYDEV_PHUB_TDMEM122_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM122_ORIG_TD0, 0x40007bd0 +.set CYDEV_PHUB_TDMEM122_ORIG_TD1, 0x40007bd4 +.set CYDEV_PHUB_TDMEM123_BASE, 0x40007bd8 +.set CYDEV_PHUB_TDMEM123_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM123_ORIG_TD0, 0x40007bd8 +.set CYDEV_PHUB_TDMEM123_ORIG_TD1, 0x40007bdc +.set CYDEV_PHUB_TDMEM124_BASE, 0x40007be0 +.set CYDEV_PHUB_TDMEM124_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM124_ORIG_TD0, 0x40007be0 +.set CYDEV_PHUB_TDMEM124_ORIG_TD1, 0x40007be4 +.set CYDEV_PHUB_TDMEM125_BASE, 0x40007be8 +.set CYDEV_PHUB_TDMEM125_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM125_ORIG_TD0, 0x40007be8 +.set CYDEV_PHUB_TDMEM125_ORIG_TD1, 0x40007bec +.set CYDEV_PHUB_TDMEM126_BASE, 0x40007bf0 +.set CYDEV_PHUB_TDMEM126_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM126_ORIG_TD0, 0x40007bf0 +.set CYDEV_PHUB_TDMEM126_ORIG_TD1, 0x40007bf4 +.set CYDEV_PHUB_TDMEM127_BASE, 0x40007bf8 +.set CYDEV_PHUB_TDMEM127_SIZE, 0x00000008 +.set CYDEV_PHUB_TDMEM127_ORIG_TD0, 0x40007bf8 +.set CYDEV_PHUB_TDMEM127_ORIG_TD1, 0x40007bfc +.set CYDEV_EE_BASE, 0x40008000 +.set CYDEV_EE_SIZE, 0x00000800 +.set CYDEV_EE_DATA_MBASE, 0x40008000 +.set CYDEV_EE_DATA_MSIZE, 0x00000800 +.set CYDEV_CAN0_BASE, 0x4000a000 +.set CYDEV_CAN0_SIZE, 0x000002a0 +.set CYDEV_CAN0_CSR_BASE, 0x4000a000 +.set CYDEV_CAN0_CSR_SIZE, 0x00000018 +.set CYDEV_CAN0_CSR_INT_SR, 0x4000a000 +.set CYDEV_CAN0_CSR_INT_EN, 0x4000a004 +.set CYDEV_CAN0_CSR_BUF_SR, 0x4000a008 +.set CYDEV_CAN0_CSR_ERR_SR, 0x4000a00c +.set CYDEV_CAN0_CSR_CMD, 0x4000a010 +.set CYDEV_CAN0_CSR_CFG, 0x4000a014 +.set CYDEV_CAN0_TX0_BASE, 0x4000a020 +.set CYDEV_CAN0_TX0_SIZE, 0x00000010 +.set CYDEV_CAN0_TX0_CMD, 0x4000a020 +.set CYDEV_CAN0_TX0_ID, 0x4000a024 +.set CYDEV_CAN0_TX0_DH, 0x4000a028 +.set CYDEV_CAN0_TX0_DL, 0x4000a02c +.set CYDEV_CAN0_TX1_BASE, 0x4000a030 +.set CYDEV_CAN0_TX1_SIZE, 0x00000010 +.set CYDEV_CAN0_TX1_CMD, 0x4000a030 +.set CYDEV_CAN0_TX1_ID, 0x4000a034 +.set CYDEV_CAN0_TX1_DH, 0x4000a038 +.set CYDEV_CAN0_TX1_DL, 0x4000a03c +.set CYDEV_CAN0_TX2_BASE, 0x4000a040 +.set CYDEV_CAN0_TX2_SIZE, 0x00000010 +.set CYDEV_CAN0_TX2_CMD, 0x4000a040 +.set CYDEV_CAN0_TX2_ID, 0x4000a044 +.set CYDEV_CAN0_TX2_DH, 0x4000a048 +.set CYDEV_CAN0_TX2_DL, 0x4000a04c +.set CYDEV_CAN0_TX3_BASE, 0x4000a050 +.set CYDEV_CAN0_TX3_SIZE, 0x00000010 +.set CYDEV_CAN0_TX3_CMD, 0x4000a050 +.set CYDEV_CAN0_TX3_ID, 0x4000a054 +.set CYDEV_CAN0_TX3_DH, 0x4000a058 +.set CYDEV_CAN0_TX3_DL, 0x4000a05c +.set CYDEV_CAN0_TX4_BASE, 0x4000a060 +.set CYDEV_CAN0_TX4_SIZE, 0x00000010 +.set CYDEV_CAN0_TX4_CMD, 0x4000a060 +.set CYDEV_CAN0_TX4_ID, 0x4000a064 +.set CYDEV_CAN0_TX4_DH, 0x4000a068 +.set CYDEV_CAN0_TX4_DL, 0x4000a06c +.set CYDEV_CAN0_TX5_BASE, 0x4000a070 +.set CYDEV_CAN0_TX5_SIZE, 0x00000010 +.set CYDEV_CAN0_TX5_CMD, 0x4000a070 +.set CYDEV_CAN0_TX5_ID, 0x4000a074 +.set CYDEV_CAN0_TX5_DH, 0x4000a078 +.set CYDEV_CAN0_TX5_DL, 0x4000a07c +.set CYDEV_CAN0_TX6_BASE, 0x4000a080 +.set CYDEV_CAN0_TX6_SIZE, 0x00000010 +.set CYDEV_CAN0_TX6_CMD, 0x4000a080 +.set CYDEV_CAN0_TX6_ID, 0x4000a084 +.set CYDEV_CAN0_TX6_DH, 0x4000a088 +.set CYDEV_CAN0_TX6_DL, 0x4000a08c +.set CYDEV_CAN0_TX7_BASE, 0x4000a090 +.set CYDEV_CAN0_TX7_SIZE, 0x00000010 +.set CYDEV_CAN0_TX7_CMD, 0x4000a090 +.set CYDEV_CAN0_TX7_ID, 0x4000a094 +.set CYDEV_CAN0_TX7_DH, 0x4000a098 +.set CYDEV_CAN0_TX7_DL, 0x4000a09c +.set CYDEV_CAN0_RX0_BASE, 0x4000a0a0 +.set CYDEV_CAN0_RX0_SIZE, 0x00000020 +.set CYDEV_CAN0_RX0_CMD, 0x4000a0a0 +.set CYDEV_CAN0_RX0_ID, 0x4000a0a4 +.set CYDEV_CAN0_RX0_DH, 0x4000a0a8 +.set CYDEV_CAN0_RX0_DL, 0x4000a0ac +.set CYDEV_CAN0_RX0_AMR, 0x4000a0b0 +.set CYDEV_CAN0_RX0_ACR, 0x4000a0b4 +.set CYDEV_CAN0_RX0_AMRD, 0x4000a0b8 +.set CYDEV_CAN0_RX0_ACRD, 0x4000a0bc +.set CYDEV_CAN0_RX1_BASE, 0x4000a0c0 +.set CYDEV_CAN0_RX1_SIZE, 0x00000020 +.set CYDEV_CAN0_RX1_CMD, 0x4000a0c0 +.set CYDEV_CAN0_RX1_ID, 0x4000a0c4 +.set CYDEV_CAN0_RX1_DH, 0x4000a0c8 +.set CYDEV_CAN0_RX1_DL, 0x4000a0cc +.set CYDEV_CAN0_RX1_AMR, 0x4000a0d0 +.set CYDEV_CAN0_RX1_ACR, 0x4000a0d4 +.set CYDEV_CAN0_RX1_AMRD, 0x4000a0d8 +.set CYDEV_CAN0_RX1_ACRD, 0x4000a0dc +.set CYDEV_CAN0_RX2_BASE, 0x4000a0e0 +.set CYDEV_CAN0_RX2_SIZE, 0x00000020 +.set CYDEV_CAN0_RX2_CMD, 0x4000a0e0 +.set CYDEV_CAN0_RX2_ID, 0x4000a0e4 +.set CYDEV_CAN0_RX2_DH, 0x4000a0e8 +.set CYDEV_CAN0_RX2_DL, 0x4000a0ec +.set CYDEV_CAN0_RX2_AMR, 0x4000a0f0 +.set CYDEV_CAN0_RX2_ACR, 0x4000a0f4 +.set CYDEV_CAN0_RX2_AMRD, 0x4000a0f8 +.set CYDEV_CAN0_RX2_ACRD, 0x4000a0fc +.set CYDEV_CAN0_RX3_BASE, 0x4000a100 +.set CYDEV_CAN0_RX3_SIZE, 0x00000020 +.set CYDEV_CAN0_RX3_CMD, 0x4000a100 +.set CYDEV_CAN0_RX3_ID, 0x4000a104 +.set CYDEV_CAN0_RX3_DH, 0x4000a108 +.set CYDEV_CAN0_RX3_DL, 0x4000a10c +.set CYDEV_CAN0_RX3_AMR, 0x4000a110 +.set CYDEV_CAN0_RX3_ACR, 0x4000a114 +.set CYDEV_CAN0_RX3_AMRD, 0x4000a118 +.set CYDEV_CAN0_RX3_ACRD, 0x4000a11c +.set CYDEV_CAN0_RX4_BASE, 0x4000a120 +.set CYDEV_CAN0_RX4_SIZE, 0x00000020 +.set CYDEV_CAN0_RX4_CMD, 0x4000a120 +.set CYDEV_CAN0_RX4_ID, 0x4000a124 +.set CYDEV_CAN0_RX4_DH, 0x4000a128 +.set CYDEV_CAN0_RX4_DL, 0x4000a12c +.set CYDEV_CAN0_RX4_AMR, 0x4000a130 +.set CYDEV_CAN0_RX4_ACR, 0x4000a134 +.set CYDEV_CAN0_RX4_AMRD, 0x4000a138 +.set CYDEV_CAN0_RX4_ACRD, 0x4000a13c +.set CYDEV_CAN0_RX5_BASE, 0x4000a140 +.set CYDEV_CAN0_RX5_SIZE, 0x00000020 +.set CYDEV_CAN0_RX5_CMD, 0x4000a140 +.set CYDEV_CAN0_RX5_ID, 0x4000a144 +.set CYDEV_CAN0_RX5_DH, 0x4000a148 +.set CYDEV_CAN0_RX5_DL, 0x4000a14c +.set CYDEV_CAN0_RX5_AMR, 0x4000a150 +.set CYDEV_CAN0_RX5_ACR, 0x4000a154 +.set CYDEV_CAN0_RX5_AMRD, 0x4000a158 +.set CYDEV_CAN0_RX5_ACRD, 0x4000a15c +.set CYDEV_CAN0_RX6_BASE, 0x4000a160 +.set CYDEV_CAN0_RX6_SIZE, 0x00000020 +.set CYDEV_CAN0_RX6_CMD, 0x4000a160 +.set CYDEV_CAN0_RX6_ID, 0x4000a164 +.set CYDEV_CAN0_RX6_DH, 0x4000a168 +.set CYDEV_CAN0_RX6_DL, 0x4000a16c +.set CYDEV_CAN0_RX6_AMR, 0x4000a170 +.set CYDEV_CAN0_RX6_ACR, 0x4000a174 +.set CYDEV_CAN0_RX6_AMRD, 0x4000a178 +.set CYDEV_CAN0_RX6_ACRD, 0x4000a17c +.set CYDEV_CAN0_RX7_BASE, 0x4000a180 +.set CYDEV_CAN0_RX7_SIZE, 0x00000020 +.set CYDEV_CAN0_RX7_CMD, 0x4000a180 +.set CYDEV_CAN0_RX7_ID, 0x4000a184 +.set CYDEV_CAN0_RX7_DH, 0x4000a188 +.set CYDEV_CAN0_RX7_DL, 0x4000a18c +.set CYDEV_CAN0_RX7_AMR, 0x4000a190 +.set CYDEV_CAN0_RX7_ACR, 0x4000a194 +.set CYDEV_CAN0_RX7_AMRD, 0x4000a198 +.set CYDEV_CAN0_RX7_ACRD, 0x4000a19c +.set CYDEV_CAN0_RX8_BASE, 0x4000a1a0 +.set CYDEV_CAN0_RX8_SIZE, 0x00000020 +.set CYDEV_CAN0_RX8_CMD, 0x4000a1a0 +.set CYDEV_CAN0_RX8_ID, 0x4000a1a4 +.set CYDEV_CAN0_RX8_DH, 0x4000a1a8 +.set CYDEV_CAN0_RX8_DL, 0x4000a1ac +.set CYDEV_CAN0_RX8_AMR, 0x4000a1b0 +.set CYDEV_CAN0_RX8_ACR, 0x4000a1b4 +.set CYDEV_CAN0_RX8_AMRD, 0x4000a1b8 +.set CYDEV_CAN0_RX8_ACRD, 0x4000a1bc +.set CYDEV_CAN0_RX9_BASE, 0x4000a1c0 +.set CYDEV_CAN0_RX9_SIZE, 0x00000020 +.set CYDEV_CAN0_RX9_CMD, 0x4000a1c0 +.set CYDEV_CAN0_RX9_ID, 0x4000a1c4 +.set CYDEV_CAN0_RX9_DH, 0x4000a1c8 +.set CYDEV_CAN0_RX9_DL, 0x4000a1cc +.set CYDEV_CAN0_RX9_AMR, 0x4000a1d0 +.set CYDEV_CAN0_RX9_ACR, 0x4000a1d4 +.set CYDEV_CAN0_RX9_AMRD, 0x4000a1d8 +.set CYDEV_CAN0_RX9_ACRD, 0x4000a1dc +.set CYDEV_CAN0_RX10_BASE, 0x4000a1e0 +.set CYDEV_CAN0_RX10_SIZE, 0x00000020 +.set CYDEV_CAN0_RX10_CMD, 0x4000a1e0 +.set CYDEV_CAN0_RX10_ID, 0x4000a1e4 +.set CYDEV_CAN0_RX10_DH, 0x4000a1e8 +.set CYDEV_CAN0_RX10_DL, 0x4000a1ec +.set CYDEV_CAN0_RX10_AMR, 0x4000a1f0 +.set CYDEV_CAN0_RX10_ACR, 0x4000a1f4 +.set CYDEV_CAN0_RX10_AMRD, 0x4000a1f8 +.set CYDEV_CAN0_RX10_ACRD, 0x4000a1fc +.set CYDEV_CAN0_RX11_BASE, 0x4000a200 +.set CYDEV_CAN0_RX11_SIZE, 0x00000020 +.set CYDEV_CAN0_RX11_CMD, 0x4000a200 +.set CYDEV_CAN0_RX11_ID, 0x4000a204 +.set CYDEV_CAN0_RX11_DH, 0x4000a208 +.set CYDEV_CAN0_RX11_DL, 0x4000a20c +.set CYDEV_CAN0_RX11_AMR, 0x4000a210 +.set CYDEV_CAN0_RX11_ACR, 0x4000a214 +.set CYDEV_CAN0_RX11_AMRD, 0x4000a218 +.set CYDEV_CAN0_RX11_ACRD, 0x4000a21c +.set CYDEV_CAN0_RX12_BASE, 0x4000a220 +.set CYDEV_CAN0_RX12_SIZE, 0x00000020 +.set CYDEV_CAN0_RX12_CMD, 0x4000a220 +.set CYDEV_CAN0_RX12_ID, 0x4000a224 +.set CYDEV_CAN0_RX12_DH, 0x4000a228 +.set CYDEV_CAN0_RX12_DL, 0x4000a22c +.set CYDEV_CAN0_RX12_AMR, 0x4000a230 +.set CYDEV_CAN0_RX12_ACR, 0x4000a234 +.set CYDEV_CAN0_RX12_AMRD, 0x4000a238 +.set CYDEV_CAN0_RX12_ACRD, 0x4000a23c +.set CYDEV_CAN0_RX13_BASE, 0x4000a240 +.set CYDEV_CAN0_RX13_SIZE, 0x00000020 +.set CYDEV_CAN0_RX13_CMD, 0x4000a240 +.set CYDEV_CAN0_RX13_ID, 0x4000a244 +.set CYDEV_CAN0_RX13_DH, 0x4000a248 +.set CYDEV_CAN0_RX13_DL, 0x4000a24c +.set CYDEV_CAN0_RX13_AMR, 0x4000a250 +.set CYDEV_CAN0_RX13_ACR, 0x4000a254 +.set CYDEV_CAN0_RX13_AMRD, 0x4000a258 +.set CYDEV_CAN0_RX13_ACRD, 0x4000a25c +.set CYDEV_CAN0_RX14_BASE, 0x4000a260 +.set CYDEV_CAN0_RX14_SIZE, 0x00000020 +.set CYDEV_CAN0_RX14_CMD, 0x4000a260 +.set CYDEV_CAN0_RX14_ID, 0x4000a264 +.set CYDEV_CAN0_RX14_DH, 0x4000a268 +.set CYDEV_CAN0_RX14_DL, 0x4000a26c +.set CYDEV_CAN0_RX14_AMR, 0x4000a270 +.set CYDEV_CAN0_RX14_ACR, 0x4000a274 +.set CYDEV_CAN0_RX14_AMRD, 0x4000a278 +.set CYDEV_CAN0_RX14_ACRD, 0x4000a27c +.set CYDEV_CAN0_RX15_BASE, 0x4000a280 +.set CYDEV_CAN0_RX15_SIZE, 0x00000020 +.set CYDEV_CAN0_RX15_CMD, 0x4000a280 +.set CYDEV_CAN0_RX15_ID, 0x4000a284 +.set CYDEV_CAN0_RX15_DH, 0x4000a288 +.set CYDEV_CAN0_RX15_DL, 0x4000a28c +.set CYDEV_CAN0_RX15_AMR, 0x4000a290 +.set CYDEV_CAN0_RX15_ACR, 0x4000a294 +.set CYDEV_CAN0_RX15_AMRD, 0x4000a298 +.set CYDEV_CAN0_RX15_ACRD, 0x4000a29c +.set CYDEV_DFB0_BASE, 0x4000c000 +.set CYDEV_DFB0_SIZE, 0x000007b5 +.set CYDEV_DFB0_DPA_SRAM_BASE, 0x4000c000 +.set CYDEV_DFB0_DPA_SRAM_SIZE, 0x00000200 +.set CYDEV_DFB0_DPA_SRAM_DATA_MBASE, 0x4000c000 +.set CYDEV_DFB0_DPA_SRAM_DATA_MSIZE, 0x00000200 +.set CYDEV_DFB0_DPB_SRAM_BASE, 0x4000c200 +.set CYDEV_DFB0_DPB_SRAM_SIZE, 0x00000200 +.set CYDEV_DFB0_DPB_SRAM_DATA_MBASE, 0x4000c200 +.set CYDEV_DFB0_DPB_SRAM_DATA_MSIZE, 0x00000200 +.set CYDEV_DFB0_CSA_SRAM_BASE, 0x4000c400 +.set CYDEV_DFB0_CSA_SRAM_SIZE, 0x00000100 +.set CYDEV_DFB0_CSA_SRAM_DATA_MBASE, 0x4000c400 +.set CYDEV_DFB0_CSA_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_CSB_SRAM_BASE, 0x4000c500 +.set CYDEV_DFB0_CSB_SRAM_SIZE, 0x00000100 +.set CYDEV_DFB0_CSB_SRAM_DATA_MBASE, 0x4000c500 +.set CYDEV_DFB0_CSB_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_FSM_SRAM_BASE, 0x4000c600 +.set CYDEV_DFB0_FSM_SRAM_SIZE, 0x00000100 +.set CYDEV_DFB0_FSM_SRAM_DATA_MBASE, 0x4000c600 +.set CYDEV_DFB0_FSM_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_ACU_SRAM_BASE, 0x4000c700 +.set CYDEV_DFB0_ACU_SRAM_SIZE, 0x00000040 +.set CYDEV_DFB0_ACU_SRAM_DATA_MBASE, 0x4000c700 +.set CYDEV_DFB0_ACU_SRAM_DATA_MSIZE, 0x00000040 +.set CYDEV_DFB0_CR, 0x4000c780 +.set CYDEV_DFB0_SR, 0x4000c784 +.set CYDEV_DFB0_RAM_EN, 0x4000c788 +.set CYDEV_DFB0_RAM_DIR, 0x4000c78c +.set CYDEV_DFB0_SEMA, 0x4000c790 +.set CYDEV_DFB0_DSI_CTRL, 0x4000c794 +.set CYDEV_DFB0_INT_CTRL, 0x4000c798 +.set CYDEV_DFB0_DMA_CTRL, 0x4000c79c +.set CYDEV_DFB0_STAGEA, 0x4000c7a0 +.set CYDEV_DFB0_STAGEAM, 0x4000c7a1 +.set CYDEV_DFB0_STAGEAH, 0x4000c7a2 +.set CYDEV_DFB0_STAGEB, 0x4000c7a4 +.set CYDEV_DFB0_STAGEBM, 0x4000c7a5 +.set CYDEV_DFB0_STAGEBH, 0x4000c7a6 +.set CYDEV_DFB0_HOLDA, 0x4000c7a8 +.set CYDEV_DFB0_HOLDAM, 0x4000c7a9 +.set CYDEV_DFB0_HOLDAH, 0x4000c7aa +.set CYDEV_DFB0_HOLDAS, 0x4000c7ab +.set CYDEV_DFB0_HOLDB, 0x4000c7ac +.set CYDEV_DFB0_HOLDBM, 0x4000c7ad +.set CYDEV_DFB0_HOLDBH, 0x4000c7ae +.set CYDEV_DFB0_HOLDBS, 0x4000c7af +.set CYDEV_DFB0_COHER, 0x4000c7b0 +.set CYDEV_DFB0_DALIGN, 0x4000c7b4 +.set CYDEV_UCFG_BASE, 0x40010000 +.set CYDEV_UCFG_SIZE, 0x00005040 +.set CYDEV_UCFG_B0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_SIZE, 0x00000fef +.set CYDEV_UCFG_B0_P0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_P0_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P0_U0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_P0_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT0, 0x40010000 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT1, 0x40010004 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT2, 0x40010008 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT3, 0x4001000c +.set CYDEV_UCFG_B0_P0_U0_PLD_IT4, 0x40010010 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT5, 0x40010014 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT6, 0x40010018 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT7, 0x4001001c +.set CYDEV_UCFG_B0_P0_U0_PLD_IT8, 0x40010020 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT9, 0x40010024 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT10, 0x40010028 +.set CYDEV_UCFG_B0_P0_U0_PLD_IT11, 0x4001002c +.set CYDEV_UCFG_B0_P0_U0_PLD_ORT0, 0x40010030 +.set CYDEV_UCFG_B0_P0_U0_PLD_ORT1, 0x40010032 +.set CYDEV_UCFG_B0_P0_U0_PLD_ORT2, 0x40010034 +.set CYDEV_UCFG_B0_P0_U0_PLD_ORT3, 0x40010036 +.set CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST, 0x40010038 +.set CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB, 0x4001003a +.set CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET, 0x4001003c +.set CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS, 0x4001003e +.set CYDEV_UCFG_B0_P0_U0_CFG0, 0x40010040 +.set CYDEV_UCFG_B0_P0_U0_CFG1, 0x40010041 +.set CYDEV_UCFG_B0_P0_U0_CFG2, 0x40010042 +.set CYDEV_UCFG_B0_P0_U0_CFG3, 0x40010043 +.set CYDEV_UCFG_B0_P0_U0_CFG4, 0x40010044 +.set CYDEV_UCFG_B0_P0_U0_CFG5, 0x40010045 +.set CYDEV_UCFG_B0_P0_U0_CFG6, 0x40010046 +.set CYDEV_UCFG_B0_P0_U0_CFG7, 0x40010047 +.set CYDEV_UCFG_B0_P0_U0_CFG8, 0x40010048 +.set CYDEV_UCFG_B0_P0_U0_CFG9, 0x40010049 +.set CYDEV_UCFG_B0_P0_U0_CFG10, 0x4001004a +.set CYDEV_UCFG_B0_P0_U0_CFG11, 0x4001004b +.set CYDEV_UCFG_B0_P0_U0_CFG12, 0x4001004c +.set CYDEV_UCFG_B0_P0_U0_CFG13, 0x4001004d +.set CYDEV_UCFG_B0_P0_U0_CFG14, 0x4001004e +.set CYDEV_UCFG_B0_P0_U0_CFG15, 0x4001004f +.set CYDEV_UCFG_B0_P0_U0_CFG16, 0x40010050 +.set CYDEV_UCFG_B0_P0_U0_CFG17, 0x40010051 +.set CYDEV_UCFG_B0_P0_U0_CFG18, 0x40010052 +.set CYDEV_UCFG_B0_P0_U0_CFG19, 0x40010053 +.set CYDEV_UCFG_B0_P0_U0_CFG20, 0x40010054 +.set CYDEV_UCFG_B0_P0_U0_CFG21, 0x40010055 +.set CYDEV_UCFG_B0_P0_U0_CFG22, 0x40010056 +.set CYDEV_UCFG_B0_P0_U0_CFG23, 0x40010057 +.set CYDEV_UCFG_B0_P0_U0_CFG24, 0x40010058 +.set CYDEV_UCFG_B0_P0_U0_CFG25, 0x40010059 +.set CYDEV_UCFG_B0_P0_U0_CFG26, 0x4001005a +.set CYDEV_UCFG_B0_P0_U0_CFG27, 0x4001005b +.set CYDEV_UCFG_B0_P0_U0_CFG28, 0x4001005c +.set CYDEV_UCFG_B0_P0_U0_CFG29, 0x4001005d +.set CYDEV_UCFG_B0_P0_U0_CFG30, 0x4001005e +.set CYDEV_UCFG_B0_P0_U0_CFG31, 0x4001005f +.set CYDEV_UCFG_B0_P0_U0_DCFG0, 0x40010060 +.set CYDEV_UCFG_B0_P0_U0_DCFG1, 0x40010062 +.set CYDEV_UCFG_B0_P0_U0_DCFG2, 0x40010064 +.set CYDEV_UCFG_B0_P0_U0_DCFG3, 0x40010066 +.set CYDEV_UCFG_B0_P0_U0_DCFG4, 0x40010068 +.set CYDEV_UCFG_B0_P0_U0_DCFG5, 0x4001006a +.set CYDEV_UCFG_B0_P0_U0_DCFG6, 0x4001006c +.set CYDEV_UCFG_B0_P0_U0_DCFG7, 0x4001006e +.set CYDEV_UCFG_B0_P0_U1_BASE, 0x40010080 +.set CYDEV_UCFG_B0_P0_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT0, 0x40010080 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT1, 0x40010084 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT2, 0x40010088 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT3, 0x4001008c +.set CYDEV_UCFG_B0_P0_U1_PLD_IT4, 0x40010090 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT5, 0x40010094 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT6, 0x40010098 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT7, 0x4001009c +.set CYDEV_UCFG_B0_P0_U1_PLD_IT8, 0x400100a0 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT9, 0x400100a4 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT10, 0x400100a8 +.set CYDEV_UCFG_B0_P0_U1_PLD_IT11, 0x400100ac +.set CYDEV_UCFG_B0_P0_U1_PLD_ORT0, 0x400100b0 +.set CYDEV_UCFG_B0_P0_U1_PLD_ORT1, 0x400100b2 +.set CYDEV_UCFG_B0_P0_U1_PLD_ORT2, 0x400100b4 +.set CYDEV_UCFG_B0_P0_U1_PLD_ORT3, 0x400100b6 +.set CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST, 0x400100b8 +.set CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB, 0x400100ba +.set CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET, 0x400100bc +.set CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS, 0x400100be +.set CYDEV_UCFG_B0_P0_U1_CFG0, 0x400100c0 +.set CYDEV_UCFG_B0_P0_U1_CFG1, 0x400100c1 +.set CYDEV_UCFG_B0_P0_U1_CFG2, 0x400100c2 +.set CYDEV_UCFG_B0_P0_U1_CFG3, 0x400100c3 +.set CYDEV_UCFG_B0_P0_U1_CFG4, 0x400100c4 +.set CYDEV_UCFG_B0_P0_U1_CFG5, 0x400100c5 +.set CYDEV_UCFG_B0_P0_U1_CFG6, 0x400100c6 +.set CYDEV_UCFG_B0_P0_U1_CFG7, 0x400100c7 +.set CYDEV_UCFG_B0_P0_U1_CFG8, 0x400100c8 +.set CYDEV_UCFG_B0_P0_U1_CFG9, 0x400100c9 +.set CYDEV_UCFG_B0_P0_U1_CFG10, 0x400100ca +.set CYDEV_UCFG_B0_P0_U1_CFG11, 0x400100cb +.set CYDEV_UCFG_B0_P0_U1_CFG12, 0x400100cc +.set CYDEV_UCFG_B0_P0_U1_CFG13, 0x400100cd +.set CYDEV_UCFG_B0_P0_U1_CFG14, 0x400100ce +.set CYDEV_UCFG_B0_P0_U1_CFG15, 0x400100cf +.set CYDEV_UCFG_B0_P0_U1_CFG16, 0x400100d0 +.set CYDEV_UCFG_B0_P0_U1_CFG17, 0x400100d1 +.set CYDEV_UCFG_B0_P0_U1_CFG18, 0x400100d2 +.set CYDEV_UCFG_B0_P0_U1_CFG19, 0x400100d3 +.set CYDEV_UCFG_B0_P0_U1_CFG20, 0x400100d4 +.set CYDEV_UCFG_B0_P0_U1_CFG21, 0x400100d5 +.set CYDEV_UCFG_B0_P0_U1_CFG22, 0x400100d6 +.set CYDEV_UCFG_B0_P0_U1_CFG23, 0x400100d7 +.set CYDEV_UCFG_B0_P0_U1_CFG24, 0x400100d8 +.set CYDEV_UCFG_B0_P0_U1_CFG25, 0x400100d9 +.set CYDEV_UCFG_B0_P0_U1_CFG26, 0x400100da +.set CYDEV_UCFG_B0_P0_U1_CFG27, 0x400100db +.set CYDEV_UCFG_B0_P0_U1_CFG28, 0x400100dc +.set CYDEV_UCFG_B0_P0_U1_CFG29, 0x400100dd +.set CYDEV_UCFG_B0_P0_U1_CFG30, 0x400100de +.set CYDEV_UCFG_B0_P0_U1_CFG31, 0x400100df +.set CYDEV_UCFG_B0_P0_U1_DCFG0, 0x400100e0 +.set CYDEV_UCFG_B0_P0_U1_DCFG1, 0x400100e2 +.set CYDEV_UCFG_B0_P0_U1_DCFG2, 0x400100e4 +.set CYDEV_UCFG_B0_P0_U1_DCFG3, 0x400100e6 +.set CYDEV_UCFG_B0_P0_U1_DCFG4, 0x400100e8 +.set CYDEV_UCFG_B0_P0_U1_DCFG5, 0x400100ea +.set CYDEV_UCFG_B0_P0_U1_DCFG6, 0x400100ec +.set CYDEV_UCFG_B0_P0_U1_DCFG7, 0x400100ee +.set CYDEV_UCFG_B0_P0_ROUTE_BASE, 0x40010100 +.set CYDEV_UCFG_B0_P0_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P1_BASE, 0x40010200 +.set CYDEV_UCFG_B0_P1_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P1_U0_BASE, 0x40010200 +.set CYDEV_UCFG_B0_P1_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT0, 0x40010200 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT1, 0x40010204 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT2, 0x40010208 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT3, 0x4001020c +.set CYDEV_UCFG_B0_P1_U0_PLD_IT4, 0x40010210 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT5, 0x40010214 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT6, 0x40010218 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT7, 0x4001021c +.set CYDEV_UCFG_B0_P1_U0_PLD_IT8, 0x40010220 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT9, 0x40010224 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT10, 0x40010228 +.set CYDEV_UCFG_B0_P1_U0_PLD_IT11, 0x4001022c +.set CYDEV_UCFG_B0_P1_U0_PLD_ORT0, 0x40010230 +.set CYDEV_UCFG_B0_P1_U0_PLD_ORT1, 0x40010232 +.set CYDEV_UCFG_B0_P1_U0_PLD_ORT2, 0x40010234 +.set CYDEV_UCFG_B0_P1_U0_PLD_ORT3, 0x40010236 +.set CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST, 0x40010238 +.set CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB, 0x4001023a +.set CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET, 0x4001023c +.set CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS, 0x4001023e +.set CYDEV_UCFG_B0_P1_U0_CFG0, 0x40010240 +.set CYDEV_UCFG_B0_P1_U0_CFG1, 0x40010241 +.set CYDEV_UCFG_B0_P1_U0_CFG2, 0x40010242 +.set CYDEV_UCFG_B0_P1_U0_CFG3, 0x40010243 +.set CYDEV_UCFG_B0_P1_U0_CFG4, 0x40010244 +.set CYDEV_UCFG_B0_P1_U0_CFG5, 0x40010245 +.set CYDEV_UCFG_B0_P1_U0_CFG6, 0x40010246 +.set CYDEV_UCFG_B0_P1_U0_CFG7, 0x40010247 +.set CYDEV_UCFG_B0_P1_U0_CFG8, 0x40010248 +.set CYDEV_UCFG_B0_P1_U0_CFG9, 0x40010249 +.set CYDEV_UCFG_B0_P1_U0_CFG10, 0x4001024a +.set CYDEV_UCFG_B0_P1_U0_CFG11, 0x4001024b +.set CYDEV_UCFG_B0_P1_U0_CFG12, 0x4001024c +.set CYDEV_UCFG_B0_P1_U0_CFG13, 0x4001024d +.set CYDEV_UCFG_B0_P1_U0_CFG14, 0x4001024e +.set CYDEV_UCFG_B0_P1_U0_CFG15, 0x4001024f +.set CYDEV_UCFG_B0_P1_U0_CFG16, 0x40010250 +.set CYDEV_UCFG_B0_P1_U0_CFG17, 0x40010251 +.set CYDEV_UCFG_B0_P1_U0_CFG18, 0x40010252 +.set CYDEV_UCFG_B0_P1_U0_CFG19, 0x40010253 +.set CYDEV_UCFG_B0_P1_U0_CFG20, 0x40010254 +.set CYDEV_UCFG_B0_P1_U0_CFG21, 0x40010255 +.set CYDEV_UCFG_B0_P1_U0_CFG22, 0x40010256 +.set CYDEV_UCFG_B0_P1_U0_CFG23, 0x40010257 +.set CYDEV_UCFG_B0_P1_U0_CFG24, 0x40010258 +.set CYDEV_UCFG_B0_P1_U0_CFG25, 0x40010259 +.set CYDEV_UCFG_B0_P1_U0_CFG26, 0x4001025a +.set CYDEV_UCFG_B0_P1_U0_CFG27, 0x4001025b +.set CYDEV_UCFG_B0_P1_U0_CFG28, 0x4001025c +.set CYDEV_UCFG_B0_P1_U0_CFG29, 0x4001025d +.set CYDEV_UCFG_B0_P1_U0_CFG30, 0x4001025e +.set CYDEV_UCFG_B0_P1_U0_CFG31, 0x4001025f +.set CYDEV_UCFG_B0_P1_U0_DCFG0, 0x40010260 +.set CYDEV_UCFG_B0_P1_U0_DCFG1, 0x40010262 +.set CYDEV_UCFG_B0_P1_U0_DCFG2, 0x40010264 +.set CYDEV_UCFG_B0_P1_U0_DCFG3, 0x40010266 +.set CYDEV_UCFG_B0_P1_U0_DCFG4, 0x40010268 +.set CYDEV_UCFG_B0_P1_U0_DCFG5, 0x4001026a +.set CYDEV_UCFG_B0_P1_U0_DCFG6, 0x4001026c +.set CYDEV_UCFG_B0_P1_U0_DCFG7, 0x4001026e +.set CYDEV_UCFG_B0_P1_U1_BASE, 0x40010280 +.set CYDEV_UCFG_B0_P1_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT0, 0x40010280 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT1, 0x40010284 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT2, 0x40010288 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT3, 0x4001028c +.set CYDEV_UCFG_B0_P1_U1_PLD_IT4, 0x40010290 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT5, 0x40010294 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT6, 0x40010298 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT7, 0x4001029c +.set CYDEV_UCFG_B0_P1_U1_PLD_IT8, 0x400102a0 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT9, 0x400102a4 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT10, 0x400102a8 +.set CYDEV_UCFG_B0_P1_U1_PLD_IT11, 0x400102ac +.set CYDEV_UCFG_B0_P1_U1_PLD_ORT0, 0x400102b0 +.set CYDEV_UCFG_B0_P1_U1_PLD_ORT1, 0x400102b2 +.set CYDEV_UCFG_B0_P1_U1_PLD_ORT2, 0x400102b4 +.set CYDEV_UCFG_B0_P1_U1_PLD_ORT3, 0x400102b6 +.set CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST, 0x400102b8 +.set CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB, 0x400102ba +.set CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET, 0x400102bc +.set CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS, 0x400102be +.set CYDEV_UCFG_B0_P1_U1_CFG0, 0x400102c0 +.set CYDEV_UCFG_B0_P1_U1_CFG1, 0x400102c1 +.set CYDEV_UCFG_B0_P1_U1_CFG2, 0x400102c2 +.set CYDEV_UCFG_B0_P1_U1_CFG3, 0x400102c3 +.set CYDEV_UCFG_B0_P1_U1_CFG4, 0x400102c4 +.set CYDEV_UCFG_B0_P1_U1_CFG5, 0x400102c5 +.set CYDEV_UCFG_B0_P1_U1_CFG6, 0x400102c6 +.set CYDEV_UCFG_B0_P1_U1_CFG7, 0x400102c7 +.set CYDEV_UCFG_B0_P1_U1_CFG8, 0x400102c8 +.set CYDEV_UCFG_B0_P1_U1_CFG9, 0x400102c9 +.set CYDEV_UCFG_B0_P1_U1_CFG10, 0x400102ca +.set CYDEV_UCFG_B0_P1_U1_CFG11, 0x400102cb +.set CYDEV_UCFG_B0_P1_U1_CFG12, 0x400102cc +.set CYDEV_UCFG_B0_P1_U1_CFG13, 0x400102cd +.set CYDEV_UCFG_B0_P1_U1_CFG14, 0x400102ce +.set CYDEV_UCFG_B0_P1_U1_CFG15, 0x400102cf +.set CYDEV_UCFG_B0_P1_U1_CFG16, 0x400102d0 +.set CYDEV_UCFG_B0_P1_U1_CFG17, 0x400102d1 +.set CYDEV_UCFG_B0_P1_U1_CFG18, 0x400102d2 +.set CYDEV_UCFG_B0_P1_U1_CFG19, 0x400102d3 +.set CYDEV_UCFG_B0_P1_U1_CFG20, 0x400102d4 +.set CYDEV_UCFG_B0_P1_U1_CFG21, 0x400102d5 +.set CYDEV_UCFG_B0_P1_U1_CFG22, 0x400102d6 +.set CYDEV_UCFG_B0_P1_U1_CFG23, 0x400102d7 +.set CYDEV_UCFG_B0_P1_U1_CFG24, 0x400102d8 +.set CYDEV_UCFG_B0_P1_U1_CFG25, 0x400102d9 +.set CYDEV_UCFG_B0_P1_U1_CFG26, 0x400102da +.set CYDEV_UCFG_B0_P1_U1_CFG27, 0x400102db +.set CYDEV_UCFG_B0_P1_U1_CFG28, 0x400102dc +.set CYDEV_UCFG_B0_P1_U1_CFG29, 0x400102dd +.set CYDEV_UCFG_B0_P1_U1_CFG30, 0x400102de +.set CYDEV_UCFG_B0_P1_U1_CFG31, 0x400102df +.set CYDEV_UCFG_B0_P1_U1_DCFG0, 0x400102e0 +.set CYDEV_UCFG_B0_P1_U1_DCFG1, 0x400102e2 +.set CYDEV_UCFG_B0_P1_U1_DCFG2, 0x400102e4 +.set CYDEV_UCFG_B0_P1_U1_DCFG3, 0x400102e6 +.set CYDEV_UCFG_B0_P1_U1_DCFG4, 0x400102e8 +.set CYDEV_UCFG_B0_P1_U1_DCFG5, 0x400102ea +.set CYDEV_UCFG_B0_P1_U1_DCFG6, 0x400102ec +.set CYDEV_UCFG_B0_P1_U1_DCFG7, 0x400102ee +.set CYDEV_UCFG_B0_P1_ROUTE_BASE, 0x40010300 +.set CYDEV_UCFG_B0_P1_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P2_BASE, 0x40010400 +.set CYDEV_UCFG_B0_P2_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P2_U0_BASE, 0x40010400 +.set CYDEV_UCFG_B0_P2_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT0, 0x40010400 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT1, 0x40010404 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT2, 0x40010408 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT3, 0x4001040c +.set CYDEV_UCFG_B0_P2_U0_PLD_IT4, 0x40010410 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT5, 0x40010414 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT6, 0x40010418 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT7, 0x4001041c +.set CYDEV_UCFG_B0_P2_U0_PLD_IT8, 0x40010420 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT9, 0x40010424 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT10, 0x40010428 +.set CYDEV_UCFG_B0_P2_U0_PLD_IT11, 0x4001042c +.set CYDEV_UCFG_B0_P2_U0_PLD_ORT0, 0x40010430 +.set CYDEV_UCFG_B0_P2_U0_PLD_ORT1, 0x40010432 +.set CYDEV_UCFG_B0_P2_U0_PLD_ORT2, 0x40010434 +.set CYDEV_UCFG_B0_P2_U0_PLD_ORT3, 0x40010436 +.set CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST, 0x40010438 +.set CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB, 0x4001043a +.set CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET, 0x4001043c +.set CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS, 0x4001043e +.set CYDEV_UCFG_B0_P2_U0_CFG0, 0x40010440 +.set CYDEV_UCFG_B0_P2_U0_CFG1, 0x40010441 +.set CYDEV_UCFG_B0_P2_U0_CFG2, 0x40010442 +.set CYDEV_UCFG_B0_P2_U0_CFG3, 0x40010443 +.set CYDEV_UCFG_B0_P2_U0_CFG4, 0x40010444 +.set CYDEV_UCFG_B0_P2_U0_CFG5, 0x40010445 +.set CYDEV_UCFG_B0_P2_U0_CFG6, 0x40010446 +.set CYDEV_UCFG_B0_P2_U0_CFG7, 0x40010447 +.set CYDEV_UCFG_B0_P2_U0_CFG8, 0x40010448 +.set CYDEV_UCFG_B0_P2_U0_CFG9, 0x40010449 +.set CYDEV_UCFG_B0_P2_U0_CFG10, 0x4001044a +.set CYDEV_UCFG_B0_P2_U0_CFG11, 0x4001044b +.set CYDEV_UCFG_B0_P2_U0_CFG12, 0x4001044c +.set CYDEV_UCFG_B0_P2_U0_CFG13, 0x4001044d +.set CYDEV_UCFG_B0_P2_U0_CFG14, 0x4001044e +.set CYDEV_UCFG_B0_P2_U0_CFG15, 0x4001044f +.set CYDEV_UCFG_B0_P2_U0_CFG16, 0x40010450 +.set CYDEV_UCFG_B0_P2_U0_CFG17, 0x40010451 +.set CYDEV_UCFG_B0_P2_U0_CFG18, 0x40010452 +.set CYDEV_UCFG_B0_P2_U0_CFG19, 0x40010453 +.set CYDEV_UCFG_B0_P2_U0_CFG20, 0x40010454 +.set CYDEV_UCFG_B0_P2_U0_CFG21, 0x40010455 +.set CYDEV_UCFG_B0_P2_U0_CFG22, 0x40010456 +.set CYDEV_UCFG_B0_P2_U0_CFG23, 0x40010457 +.set CYDEV_UCFG_B0_P2_U0_CFG24, 0x40010458 +.set CYDEV_UCFG_B0_P2_U0_CFG25, 0x40010459 +.set CYDEV_UCFG_B0_P2_U0_CFG26, 0x4001045a +.set CYDEV_UCFG_B0_P2_U0_CFG27, 0x4001045b +.set CYDEV_UCFG_B0_P2_U0_CFG28, 0x4001045c +.set CYDEV_UCFG_B0_P2_U0_CFG29, 0x4001045d +.set CYDEV_UCFG_B0_P2_U0_CFG30, 0x4001045e +.set CYDEV_UCFG_B0_P2_U0_CFG31, 0x4001045f +.set CYDEV_UCFG_B0_P2_U0_DCFG0, 0x40010460 +.set CYDEV_UCFG_B0_P2_U0_DCFG1, 0x40010462 +.set CYDEV_UCFG_B0_P2_U0_DCFG2, 0x40010464 +.set CYDEV_UCFG_B0_P2_U0_DCFG3, 0x40010466 +.set CYDEV_UCFG_B0_P2_U0_DCFG4, 0x40010468 +.set CYDEV_UCFG_B0_P2_U0_DCFG5, 0x4001046a +.set CYDEV_UCFG_B0_P2_U0_DCFG6, 0x4001046c +.set CYDEV_UCFG_B0_P2_U0_DCFG7, 0x4001046e +.set CYDEV_UCFG_B0_P2_U1_BASE, 0x40010480 +.set CYDEV_UCFG_B0_P2_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT0, 0x40010480 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT1, 0x40010484 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT2, 0x40010488 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT3, 0x4001048c +.set CYDEV_UCFG_B0_P2_U1_PLD_IT4, 0x40010490 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT5, 0x40010494 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT6, 0x40010498 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT7, 0x4001049c +.set CYDEV_UCFG_B0_P2_U1_PLD_IT8, 0x400104a0 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT9, 0x400104a4 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT10, 0x400104a8 +.set CYDEV_UCFG_B0_P2_U1_PLD_IT11, 0x400104ac +.set CYDEV_UCFG_B0_P2_U1_PLD_ORT0, 0x400104b0 +.set CYDEV_UCFG_B0_P2_U1_PLD_ORT1, 0x400104b2 +.set CYDEV_UCFG_B0_P2_U1_PLD_ORT2, 0x400104b4 +.set CYDEV_UCFG_B0_P2_U1_PLD_ORT3, 0x400104b6 +.set CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST, 0x400104b8 +.set CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB, 0x400104ba +.set CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET, 0x400104bc +.set CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS, 0x400104be +.set CYDEV_UCFG_B0_P2_U1_CFG0, 0x400104c0 +.set CYDEV_UCFG_B0_P2_U1_CFG1, 0x400104c1 +.set CYDEV_UCFG_B0_P2_U1_CFG2, 0x400104c2 +.set CYDEV_UCFG_B0_P2_U1_CFG3, 0x400104c3 +.set CYDEV_UCFG_B0_P2_U1_CFG4, 0x400104c4 +.set CYDEV_UCFG_B0_P2_U1_CFG5, 0x400104c5 +.set CYDEV_UCFG_B0_P2_U1_CFG6, 0x400104c6 +.set CYDEV_UCFG_B0_P2_U1_CFG7, 0x400104c7 +.set CYDEV_UCFG_B0_P2_U1_CFG8, 0x400104c8 +.set CYDEV_UCFG_B0_P2_U1_CFG9, 0x400104c9 +.set CYDEV_UCFG_B0_P2_U1_CFG10, 0x400104ca +.set CYDEV_UCFG_B0_P2_U1_CFG11, 0x400104cb +.set CYDEV_UCFG_B0_P2_U1_CFG12, 0x400104cc +.set CYDEV_UCFG_B0_P2_U1_CFG13, 0x400104cd +.set CYDEV_UCFG_B0_P2_U1_CFG14, 0x400104ce +.set CYDEV_UCFG_B0_P2_U1_CFG15, 0x400104cf +.set CYDEV_UCFG_B0_P2_U1_CFG16, 0x400104d0 +.set CYDEV_UCFG_B0_P2_U1_CFG17, 0x400104d1 +.set CYDEV_UCFG_B0_P2_U1_CFG18, 0x400104d2 +.set CYDEV_UCFG_B0_P2_U1_CFG19, 0x400104d3 +.set CYDEV_UCFG_B0_P2_U1_CFG20, 0x400104d4 +.set CYDEV_UCFG_B0_P2_U1_CFG21, 0x400104d5 +.set CYDEV_UCFG_B0_P2_U1_CFG22, 0x400104d6 +.set CYDEV_UCFG_B0_P2_U1_CFG23, 0x400104d7 +.set CYDEV_UCFG_B0_P2_U1_CFG24, 0x400104d8 +.set CYDEV_UCFG_B0_P2_U1_CFG25, 0x400104d9 +.set CYDEV_UCFG_B0_P2_U1_CFG26, 0x400104da +.set CYDEV_UCFG_B0_P2_U1_CFG27, 0x400104db +.set CYDEV_UCFG_B0_P2_U1_CFG28, 0x400104dc +.set CYDEV_UCFG_B0_P2_U1_CFG29, 0x400104dd +.set CYDEV_UCFG_B0_P2_U1_CFG30, 0x400104de +.set CYDEV_UCFG_B0_P2_U1_CFG31, 0x400104df +.set CYDEV_UCFG_B0_P2_U1_DCFG0, 0x400104e0 +.set CYDEV_UCFG_B0_P2_U1_DCFG1, 0x400104e2 +.set CYDEV_UCFG_B0_P2_U1_DCFG2, 0x400104e4 +.set CYDEV_UCFG_B0_P2_U1_DCFG3, 0x400104e6 +.set CYDEV_UCFG_B0_P2_U1_DCFG4, 0x400104e8 +.set CYDEV_UCFG_B0_P2_U1_DCFG5, 0x400104ea +.set CYDEV_UCFG_B0_P2_U1_DCFG6, 0x400104ec +.set CYDEV_UCFG_B0_P2_U1_DCFG7, 0x400104ee +.set CYDEV_UCFG_B0_P2_ROUTE_BASE, 0x40010500 +.set CYDEV_UCFG_B0_P2_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P3_BASE, 0x40010600 +.set CYDEV_UCFG_B0_P3_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P3_U0_BASE, 0x40010600 +.set CYDEV_UCFG_B0_P3_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT0, 0x40010600 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT1, 0x40010604 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT2, 0x40010608 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT3, 0x4001060c +.set CYDEV_UCFG_B0_P3_U0_PLD_IT4, 0x40010610 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT5, 0x40010614 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT6, 0x40010618 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT7, 0x4001061c +.set CYDEV_UCFG_B0_P3_U0_PLD_IT8, 0x40010620 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT9, 0x40010624 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT10, 0x40010628 +.set CYDEV_UCFG_B0_P3_U0_PLD_IT11, 0x4001062c +.set CYDEV_UCFG_B0_P3_U0_PLD_ORT0, 0x40010630 +.set CYDEV_UCFG_B0_P3_U0_PLD_ORT1, 0x40010632 +.set CYDEV_UCFG_B0_P3_U0_PLD_ORT2, 0x40010634 +.set CYDEV_UCFG_B0_P3_U0_PLD_ORT3, 0x40010636 +.set CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST, 0x40010638 +.set CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB, 0x4001063a +.set CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET, 0x4001063c +.set CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS, 0x4001063e +.set CYDEV_UCFG_B0_P3_U0_CFG0, 0x40010640 +.set CYDEV_UCFG_B0_P3_U0_CFG1, 0x40010641 +.set CYDEV_UCFG_B0_P3_U0_CFG2, 0x40010642 +.set CYDEV_UCFG_B0_P3_U0_CFG3, 0x40010643 +.set CYDEV_UCFG_B0_P3_U0_CFG4, 0x40010644 +.set CYDEV_UCFG_B0_P3_U0_CFG5, 0x40010645 +.set CYDEV_UCFG_B0_P3_U0_CFG6, 0x40010646 +.set CYDEV_UCFG_B0_P3_U0_CFG7, 0x40010647 +.set CYDEV_UCFG_B0_P3_U0_CFG8, 0x40010648 +.set CYDEV_UCFG_B0_P3_U0_CFG9, 0x40010649 +.set CYDEV_UCFG_B0_P3_U0_CFG10, 0x4001064a +.set CYDEV_UCFG_B0_P3_U0_CFG11, 0x4001064b +.set CYDEV_UCFG_B0_P3_U0_CFG12, 0x4001064c +.set CYDEV_UCFG_B0_P3_U0_CFG13, 0x4001064d +.set CYDEV_UCFG_B0_P3_U0_CFG14, 0x4001064e +.set CYDEV_UCFG_B0_P3_U0_CFG15, 0x4001064f +.set CYDEV_UCFG_B0_P3_U0_CFG16, 0x40010650 +.set CYDEV_UCFG_B0_P3_U0_CFG17, 0x40010651 +.set CYDEV_UCFG_B0_P3_U0_CFG18, 0x40010652 +.set CYDEV_UCFG_B0_P3_U0_CFG19, 0x40010653 +.set CYDEV_UCFG_B0_P3_U0_CFG20, 0x40010654 +.set CYDEV_UCFG_B0_P3_U0_CFG21, 0x40010655 +.set CYDEV_UCFG_B0_P3_U0_CFG22, 0x40010656 +.set CYDEV_UCFG_B0_P3_U0_CFG23, 0x40010657 +.set CYDEV_UCFG_B0_P3_U0_CFG24, 0x40010658 +.set CYDEV_UCFG_B0_P3_U0_CFG25, 0x40010659 +.set CYDEV_UCFG_B0_P3_U0_CFG26, 0x4001065a +.set CYDEV_UCFG_B0_P3_U0_CFG27, 0x4001065b +.set CYDEV_UCFG_B0_P3_U0_CFG28, 0x4001065c +.set CYDEV_UCFG_B0_P3_U0_CFG29, 0x4001065d +.set CYDEV_UCFG_B0_P3_U0_CFG30, 0x4001065e +.set CYDEV_UCFG_B0_P3_U0_CFG31, 0x4001065f +.set CYDEV_UCFG_B0_P3_U0_DCFG0, 0x40010660 +.set CYDEV_UCFG_B0_P3_U0_DCFG1, 0x40010662 +.set CYDEV_UCFG_B0_P3_U0_DCFG2, 0x40010664 +.set CYDEV_UCFG_B0_P3_U0_DCFG3, 0x40010666 +.set CYDEV_UCFG_B0_P3_U0_DCFG4, 0x40010668 +.set CYDEV_UCFG_B0_P3_U0_DCFG5, 0x4001066a +.set CYDEV_UCFG_B0_P3_U0_DCFG6, 0x4001066c +.set CYDEV_UCFG_B0_P3_U0_DCFG7, 0x4001066e +.set CYDEV_UCFG_B0_P3_U1_BASE, 0x40010680 +.set CYDEV_UCFG_B0_P3_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT0, 0x40010680 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT1, 0x40010684 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT2, 0x40010688 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT3, 0x4001068c +.set CYDEV_UCFG_B0_P3_U1_PLD_IT4, 0x40010690 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT5, 0x40010694 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT6, 0x40010698 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT7, 0x4001069c +.set CYDEV_UCFG_B0_P3_U1_PLD_IT8, 0x400106a0 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT9, 0x400106a4 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT10, 0x400106a8 +.set CYDEV_UCFG_B0_P3_U1_PLD_IT11, 0x400106ac +.set CYDEV_UCFG_B0_P3_U1_PLD_ORT0, 0x400106b0 +.set CYDEV_UCFG_B0_P3_U1_PLD_ORT1, 0x400106b2 +.set CYDEV_UCFG_B0_P3_U1_PLD_ORT2, 0x400106b4 +.set CYDEV_UCFG_B0_P3_U1_PLD_ORT3, 0x400106b6 +.set CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST, 0x400106b8 +.set CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB, 0x400106ba +.set CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET, 0x400106bc +.set CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS, 0x400106be +.set CYDEV_UCFG_B0_P3_U1_CFG0, 0x400106c0 +.set CYDEV_UCFG_B0_P3_U1_CFG1, 0x400106c1 +.set CYDEV_UCFG_B0_P3_U1_CFG2, 0x400106c2 +.set CYDEV_UCFG_B0_P3_U1_CFG3, 0x400106c3 +.set CYDEV_UCFG_B0_P3_U1_CFG4, 0x400106c4 +.set CYDEV_UCFG_B0_P3_U1_CFG5, 0x400106c5 +.set CYDEV_UCFG_B0_P3_U1_CFG6, 0x400106c6 +.set CYDEV_UCFG_B0_P3_U1_CFG7, 0x400106c7 +.set CYDEV_UCFG_B0_P3_U1_CFG8, 0x400106c8 +.set CYDEV_UCFG_B0_P3_U1_CFG9, 0x400106c9 +.set CYDEV_UCFG_B0_P3_U1_CFG10, 0x400106ca +.set CYDEV_UCFG_B0_P3_U1_CFG11, 0x400106cb +.set CYDEV_UCFG_B0_P3_U1_CFG12, 0x400106cc +.set CYDEV_UCFG_B0_P3_U1_CFG13, 0x400106cd +.set CYDEV_UCFG_B0_P3_U1_CFG14, 0x400106ce +.set CYDEV_UCFG_B0_P3_U1_CFG15, 0x400106cf +.set CYDEV_UCFG_B0_P3_U1_CFG16, 0x400106d0 +.set CYDEV_UCFG_B0_P3_U1_CFG17, 0x400106d1 +.set CYDEV_UCFG_B0_P3_U1_CFG18, 0x400106d2 +.set CYDEV_UCFG_B0_P3_U1_CFG19, 0x400106d3 +.set CYDEV_UCFG_B0_P3_U1_CFG20, 0x400106d4 +.set CYDEV_UCFG_B0_P3_U1_CFG21, 0x400106d5 +.set CYDEV_UCFG_B0_P3_U1_CFG22, 0x400106d6 +.set CYDEV_UCFG_B0_P3_U1_CFG23, 0x400106d7 +.set CYDEV_UCFG_B0_P3_U1_CFG24, 0x400106d8 +.set CYDEV_UCFG_B0_P3_U1_CFG25, 0x400106d9 +.set CYDEV_UCFG_B0_P3_U1_CFG26, 0x400106da +.set CYDEV_UCFG_B0_P3_U1_CFG27, 0x400106db +.set CYDEV_UCFG_B0_P3_U1_CFG28, 0x400106dc +.set CYDEV_UCFG_B0_P3_U1_CFG29, 0x400106dd +.set CYDEV_UCFG_B0_P3_U1_CFG30, 0x400106de +.set CYDEV_UCFG_B0_P3_U1_CFG31, 0x400106df +.set CYDEV_UCFG_B0_P3_U1_DCFG0, 0x400106e0 +.set CYDEV_UCFG_B0_P3_U1_DCFG1, 0x400106e2 +.set CYDEV_UCFG_B0_P3_U1_DCFG2, 0x400106e4 +.set CYDEV_UCFG_B0_P3_U1_DCFG3, 0x400106e6 +.set CYDEV_UCFG_B0_P3_U1_DCFG4, 0x400106e8 +.set CYDEV_UCFG_B0_P3_U1_DCFG5, 0x400106ea +.set CYDEV_UCFG_B0_P3_U1_DCFG6, 0x400106ec +.set CYDEV_UCFG_B0_P3_U1_DCFG7, 0x400106ee +.set CYDEV_UCFG_B0_P3_ROUTE_BASE, 0x40010700 +.set CYDEV_UCFG_B0_P3_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P4_BASE, 0x40010800 +.set CYDEV_UCFG_B0_P4_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P4_U0_BASE, 0x40010800 +.set CYDEV_UCFG_B0_P4_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT0, 0x40010800 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT1, 0x40010804 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT2, 0x40010808 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT3, 0x4001080c +.set CYDEV_UCFG_B0_P4_U0_PLD_IT4, 0x40010810 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT5, 0x40010814 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT6, 0x40010818 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT7, 0x4001081c +.set CYDEV_UCFG_B0_P4_U0_PLD_IT8, 0x40010820 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT9, 0x40010824 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT10, 0x40010828 +.set CYDEV_UCFG_B0_P4_U0_PLD_IT11, 0x4001082c +.set CYDEV_UCFG_B0_P4_U0_PLD_ORT0, 0x40010830 +.set CYDEV_UCFG_B0_P4_U0_PLD_ORT1, 0x40010832 +.set CYDEV_UCFG_B0_P4_U0_PLD_ORT2, 0x40010834 +.set CYDEV_UCFG_B0_P4_U0_PLD_ORT3, 0x40010836 +.set CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST, 0x40010838 +.set CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB, 0x4001083a +.set CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET, 0x4001083c +.set CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS, 0x4001083e +.set CYDEV_UCFG_B0_P4_U0_CFG0, 0x40010840 +.set CYDEV_UCFG_B0_P4_U0_CFG1, 0x40010841 +.set CYDEV_UCFG_B0_P4_U0_CFG2, 0x40010842 +.set CYDEV_UCFG_B0_P4_U0_CFG3, 0x40010843 +.set CYDEV_UCFG_B0_P4_U0_CFG4, 0x40010844 +.set CYDEV_UCFG_B0_P4_U0_CFG5, 0x40010845 +.set CYDEV_UCFG_B0_P4_U0_CFG6, 0x40010846 +.set CYDEV_UCFG_B0_P4_U0_CFG7, 0x40010847 +.set CYDEV_UCFG_B0_P4_U0_CFG8, 0x40010848 +.set CYDEV_UCFG_B0_P4_U0_CFG9, 0x40010849 +.set CYDEV_UCFG_B0_P4_U0_CFG10, 0x4001084a +.set CYDEV_UCFG_B0_P4_U0_CFG11, 0x4001084b +.set CYDEV_UCFG_B0_P4_U0_CFG12, 0x4001084c +.set CYDEV_UCFG_B0_P4_U0_CFG13, 0x4001084d +.set CYDEV_UCFG_B0_P4_U0_CFG14, 0x4001084e +.set CYDEV_UCFG_B0_P4_U0_CFG15, 0x4001084f +.set CYDEV_UCFG_B0_P4_U0_CFG16, 0x40010850 +.set CYDEV_UCFG_B0_P4_U0_CFG17, 0x40010851 +.set CYDEV_UCFG_B0_P4_U0_CFG18, 0x40010852 +.set CYDEV_UCFG_B0_P4_U0_CFG19, 0x40010853 +.set CYDEV_UCFG_B0_P4_U0_CFG20, 0x40010854 +.set CYDEV_UCFG_B0_P4_U0_CFG21, 0x40010855 +.set CYDEV_UCFG_B0_P4_U0_CFG22, 0x40010856 +.set CYDEV_UCFG_B0_P4_U0_CFG23, 0x40010857 +.set CYDEV_UCFG_B0_P4_U0_CFG24, 0x40010858 +.set CYDEV_UCFG_B0_P4_U0_CFG25, 0x40010859 +.set CYDEV_UCFG_B0_P4_U0_CFG26, 0x4001085a +.set CYDEV_UCFG_B0_P4_U0_CFG27, 0x4001085b +.set CYDEV_UCFG_B0_P4_U0_CFG28, 0x4001085c +.set CYDEV_UCFG_B0_P4_U0_CFG29, 0x4001085d +.set CYDEV_UCFG_B0_P4_U0_CFG30, 0x4001085e +.set CYDEV_UCFG_B0_P4_U0_CFG31, 0x4001085f +.set CYDEV_UCFG_B0_P4_U0_DCFG0, 0x40010860 +.set CYDEV_UCFG_B0_P4_U0_DCFG1, 0x40010862 +.set CYDEV_UCFG_B0_P4_U0_DCFG2, 0x40010864 +.set CYDEV_UCFG_B0_P4_U0_DCFG3, 0x40010866 +.set CYDEV_UCFG_B0_P4_U0_DCFG4, 0x40010868 +.set CYDEV_UCFG_B0_P4_U0_DCFG5, 0x4001086a +.set CYDEV_UCFG_B0_P4_U0_DCFG6, 0x4001086c +.set CYDEV_UCFG_B0_P4_U0_DCFG7, 0x4001086e +.set CYDEV_UCFG_B0_P4_U1_BASE, 0x40010880 +.set CYDEV_UCFG_B0_P4_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT0, 0x40010880 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT1, 0x40010884 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT2, 0x40010888 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT3, 0x4001088c +.set CYDEV_UCFG_B0_P4_U1_PLD_IT4, 0x40010890 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT5, 0x40010894 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT6, 0x40010898 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT7, 0x4001089c +.set CYDEV_UCFG_B0_P4_U1_PLD_IT8, 0x400108a0 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT9, 0x400108a4 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT10, 0x400108a8 +.set CYDEV_UCFG_B0_P4_U1_PLD_IT11, 0x400108ac +.set CYDEV_UCFG_B0_P4_U1_PLD_ORT0, 0x400108b0 +.set CYDEV_UCFG_B0_P4_U1_PLD_ORT1, 0x400108b2 +.set CYDEV_UCFG_B0_P4_U1_PLD_ORT2, 0x400108b4 +.set CYDEV_UCFG_B0_P4_U1_PLD_ORT3, 0x400108b6 +.set CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST, 0x400108b8 +.set CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB, 0x400108ba +.set CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET, 0x400108bc +.set CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS, 0x400108be +.set CYDEV_UCFG_B0_P4_U1_CFG0, 0x400108c0 +.set CYDEV_UCFG_B0_P4_U1_CFG1, 0x400108c1 +.set CYDEV_UCFG_B0_P4_U1_CFG2, 0x400108c2 +.set CYDEV_UCFG_B0_P4_U1_CFG3, 0x400108c3 +.set CYDEV_UCFG_B0_P4_U1_CFG4, 0x400108c4 +.set CYDEV_UCFG_B0_P4_U1_CFG5, 0x400108c5 +.set CYDEV_UCFG_B0_P4_U1_CFG6, 0x400108c6 +.set CYDEV_UCFG_B0_P4_U1_CFG7, 0x400108c7 +.set CYDEV_UCFG_B0_P4_U1_CFG8, 0x400108c8 +.set CYDEV_UCFG_B0_P4_U1_CFG9, 0x400108c9 +.set CYDEV_UCFG_B0_P4_U1_CFG10, 0x400108ca +.set CYDEV_UCFG_B0_P4_U1_CFG11, 0x400108cb +.set CYDEV_UCFG_B0_P4_U1_CFG12, 0x400108cc +.set CYDEV_UCFG_B0_P4_U1_CFG13, 0x400108cd +.set CYDEV_UCFG_B0_P4_U1_CFG14, 0x400108ce +.set CYDEV_UCFG_B0_P4_U1_CFG15, 0x400108cf +.set CYDEV_UCFG_B0_P4_U1_CFG16, 0x400108d0 +.set CYDEV_UCFG_B0_P4_U1_CFG17, 0x400108d1 +.set CYDEV_UCFG_B0_P4_U1_CFG18, 0x400108d2 +.set CYDEV_UCFG_B0_P4_U1_CFG19, 0x400108d3 +.set CYDEV_UCFG_B0_P4_U1_CFG20, 0x400108d4 +.set CYDEV_UCFG_B0_P4_U1_CFG21, 0x400108d5 +.set CYDEV_UCFG_B0_P4_U1_CFG22, 0x400108d6 +.set CYDEV_UCFG_B0_P4_U1_CFG23, 0x400108d7 +.set CYDEV_UCFG_B0_P4_U1_CFG24, 0x400108d8 +.set CYDEV_UCFG_B0_P4_U1_CFG25, 0x400108d9 +.set CYDEV_UCFG_B0_P4_U1_CFG26, 0x400108da +.set CYDEV_UCFG_B0_P4_U1_CFG27, 0x400108db +.set CYDEV_UCFG_B0_P4_U1_CFG28, 0x400108dc +.set CYDEV_UCFG_B0_P4_U1_CFG29, 0x400108dd +.set CYDEV_UCFG_B0_P4_U1_CFG30, 0x400108de +.set CYDEV_UCFG_B0_P4_U1_CFG31, 0x400108df +.set CYDEV_UCFG_B0_P4_U1_DCFG0, 0x400108e0 +.set CYDEV_UCFG_B0_P4_U1_DCFG1, 0x400108e2 +.set CYDEV_UCFG_B0_P4_U1_DCFG2, 0x400108e4 +.set CYDEV_UCFG_B0_P4_U1_DCFG3, 0x400108e6 +.set CYDEV_UCFG_B0_P4_U1_DCFG4, 0x400108e8 +.set CYDEV_UCFG_B0_P4_U1_DCFG5, 0x400108ea +.set CYDEV_UCFG_B0_P4_U1_DCFG6, 0x400108ec +.set CYDEV_UCFG_B0_P4_U1_DCFG7, 0x400108ee +.set CYDEV_UCFG_B0_P4_ROUTE_BASE, 0x40010900 +.set CYDEV_UCFG_B0_P4_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P5_BASE, 0x40010a00 +.set CYDEV_UCFG_B0_P5_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P5_U0_BASE, 0x40010a00 +.set CYDEV_UCFG_B0_P5_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT0, 0x40010a00 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT1, 0x40010a04 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT2, 0x40010a08 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT3, 0x40010a0c +.set CYDEV_UCFG_B0_P5_U0_PLD_IT4, 0x40010a10 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT5, 0x40010a14 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT6, 0x40010a18 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT7, 0x40010a1c +.set CYDEV_UCFG_B0_P5_U0_PLD_IT8, 0x40010a20 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT9, 0x40010a24 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT10, 0x40010a28 +.set CYDEV_UCFG_B0_P5_U0_PLD_IT11, 0x40010a2c +.set CYDEV_UCFG_B0_P5_U0_PLD_ORT0, 0x40010a30 +.set CYDEV_UCFG_B0_P5_U0_PLD_ORT1, 0x40010a32 +.set CYDEV_UCFG_B0_P5_U0_PLD_ORT2, 0x40010a34 +.set CYDEV_UCFG_B0_P5_U0_PLD_ORT3, 0x40010a36 +.set CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST, 0x40010a38 +.set CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB, 0x40010a3a +.set CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET, 0x40010a3c +.set CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS, 0x40010a3e +.set CYDEV_UCFG_B0_P5_U0_CFG0, 0x40010a40 +.set CYDEV_UCFG_B0_P5_U0_CFG1, 0x40010a41 +.set CYDEV_UCFG_B0_P5_U0_CFG2, 0x40010a42 +.set CYDEV_UCFG_B0_P5_U0_CFG3, 0x40010a43 +.set CYDEV_UCFG_B0_P5_U0_CFG4, 0x40010a44 +.set CYDEV_UCFG_B0_P5_U0_CFG5, 0x40010a45 +.set CYDEV_UCFG_B0_P5_U0_CFG6, 0x40010a46 +.set CYDEV_UCFG_B0_P5_U0_CFG7, 0x40010a47 +.set CYDEV_UCFG_B0_P5_U0_CFG8, 0x40010a48 +.set CYDEV_UCFG_B0_P5_U0_CFG9, 0x40010a49 +.set CYDEV_UCFG_B0_P5_U0_CFG10, 0x40010a4a +.set CYDEV_UCFG_B0_P5_U0_CFG11, 0x40010a4b +.set CYDEV_UCFG_B0_P5_U0_CFG12, 0x40010a4c +.set CYDEV_UCFG_B0_P5_U0_CFG13, 0x40010a4d +.set CYDEV_UCFG_B0_P5_U0_CFG14, 0x40010a4e +.set CYDEV_UCFG_B0_P5_U0_CFG15, 0x40010a4f +.set CYDEV_UCFG_B0_P5_U0_CFG16, 0x40010a50 +.set CYDEV_UCFG_B0_P5_U0_CFG17, 0x40010a51 +.set CYDEV_UCFG_B0_P5_U0_CFG18, 0x40010a52 +.set CYDEV_UCFG_B0_P5_U0_CFG19, 0x40010a53 +.set CYDEV_UCFG_B0_P5_U0_CFG20, 0x40010a54 +.set CYDEV_UCFG_B0_P5_U0_CFG21, 0x40010a55 +.set CYDEV_UCFG_B0_P5_U0_CFG22, 0x40010a56 +.set CYDEV_UCFG_B0_P5_U0_CFG23, 0x40010a57 +.set CYDEV_UCFG_B0_P5_U0_CFG24, 0x40010a58 +.set CYDEV_UCFG_B0_P5_U0_CFG25, 0x40010a59 +.set CYDEV_UCFG_B0_P5_U0_CFG26, 0x40010a5a +.set CYDEV_UCFG_B0_P5_U0_CFG27, 0x40010a5b +.set CYDEV_UCFG_B0_P5_U0_CFG28, 0x40010a5c +.set CYDEV_UCFG_B0_P5_U0_CFG29, 0x40010a5d +.set CYDEV_UCFG_B0_P5_U0_CFG30, 0x40010a5e +.set CYDEV_UCFG_B0_P5_U0_CFG31, 0x40010a5f +.set CYDEV_UCFG_B0_P5_U0_DCFG0, 0x40010a60 +.set CYDEV_UCFG_B0_P5_U0_DCFG1, 0x40010a62 +.set CYDEV_UCFG_B0_P5_U0_DCFG2, 0x40010a64 +.set CYDEV_UCFG_B0_P5_U0_DCFG3, 0x40010a66 +.set CYDEV_UCFG_B0_P5_U0_DCFG4, 0x40010a68 +.set CYDEV_UCFG_B0_P5_U0_DCFG5, 0x40010a6a +.set CYDEV_UCFG_B0_P5_U0_DCFG6, 0x40010a6c +.set CYDEV_UCFG_B0_P5_U0_DCFG7, 0x40010a6e +.set CYDEV_UCFG_B0_P5_U1_BASE, 0x40010a80 +.set CYDEV_UCFG_B0_P5_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT0, 0x40010a80 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT1, 0x40010a84 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT2, 0x40010a88 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT3, 0x40010a8c +.set CYDEV_UCFG_B0_P5_U1_PLD_IT4, 0x40010a90 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT5, 0x40010a94 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT6, 0x40010a98 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT7, 0x40010a9c +.set CYDEV_UCFG_B0_P5_U1_PLD_IT8, 0x40010aa0 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT9, 0x40010aa4 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT10, 0x40010aa8 +.set CYDEV_UCFG_B0_P5_U1_PLD_IT11, 0x40010aac +.set CYDEV_UCFG_B0_P5_U1_PLD_ORT0, 0x40010ab0 +.set CYDEV_UCFG_B0_P5_U1_PLD_ORT1, 0x40010ab2 +.set CYDEV_UCFG_B0_P5_U1_PLD_ORT2, 0x40010ab4 +.set CYDEV_UCFG_B0_P5_U1_PLD_ORT3, 0x40010ab6 +.set CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST, 0x40010ab8 +.set CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB, 0x40010aba +.set CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET, 0x40010abc +.set CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS, 0x40010abe +.set CYDEV_UCFG_B0_P5_U1_CFG0, 0x40010ac0 +.set CYDEV_UCFG_B0_P5_U1_CFG1, 0x40010ac1 +.set CYDEV_UCFG_B0_P5_U1_CFG2, 0x40010ac2 +.set CYDEV_UCFG_B0_P5_U1_CFG3, 0x40010ac3 +.set CYDEV_UCFG_B0_P5_U1_CFG4, 0x40010ac4 +.set CYDEV_UCFG_B0_P5_U1_CFG5, 0x40010ac5 +.set CYDEV_UCFG_B0_P5_U1_CFG6, 0x40010ac6 +.set CYDEV_UCFG_B0_P5_U1_CFG7, 0x40010ac7 +.set CYDEV_UCFG_B0_P5_U1_CFG8, 0x40010ac8 +.set CYDEV_UCFG_B0_P5_U1_CFG9, 0x40010ac9 +.set CYDEV_UCFG_B0_P5_U1_CFG10, 0x40010aca +.set CYDEV_UCFG_B0_P5_U1_CFG11, 0x40010acb +.set CYDEV_UCFG_B0_P5_U1_CFG12, 0x40010acc +.set CYDEV_UCFG_B0_P5_U1_CFG13, 0x40010acd +.set CYDEV_UCFG_B0_P5_U1_CFG14, 0x40010ace +.set CYDEV_UCFG_B0_P5_U1_CFG15, 0x40010acf +.set CYDEV_UCFG_B0_P5_U1_CFG16, 0x40010ad0 +.set CYDEV_UCFG_B0_P5_U1_CFG17, 0x40010ad1 +.set CYDEV_UCFG_B0_P5_U1_CFG18, 0x40010ad2 +.set CYDEV_UCFG_B0_P5_U1_CFG19, 0x40010ad3 +.set CYDEV_UCFG_B0_P5_U1_CFG20, 0x40010ad4 +.set CYDEV_UCFG_B0_P5_U1_CFG21, 0x40010ad5 +.set CYDEV_UCFG_B0_P5_U1_CFG22, 0x40010ad6 +.set CYDEV_UCFG_B0_P5_U1_CFG23, 0x40010ad7 +.set CYDEV_UCFG_B0_P5_U1_CFG24, 0x40010ad8 +.set CYDEV_UCFG_B0_P5_U1_CFG25, 0x40010ad9 +.set CYDEV_UCFG_B0_P5_U1_CFG26, 0x40010ada +.set CYDEV_UCFG_B0_P5_U1_CFG27, 0x40010adb +.set CYDEV_UCFG_B0_P5_U1_CFG28, 0x40010adc +.set CYDEV_UCFG_B0_P5_U1_CFG29, 0x40010add +.set CYDEV_UCFG_B0_P5_U1_CFG30, 0x40010ade +.set CYDEV_UCFG_B0_P5_U1_CFG31, 0x40010adf +.set CYDEV_UCFG_B0_P5_U1_DCFG0, 0x40010ae0 +.set CYDEV_UCFG_B0_P5_U1_DCFG1, 0x40010ae2 +.set CYDEV_UCFG_B0_P5_U1_DCFG2, 0x40010ae4 +.set CYDEV_UCFG_B0_P5_U1_DCFG3, 0x40010ae6 +.set CYDEV_UCFG_B0_P5_U1_DCFG4, 0x40010ae8 +.set CYDEV_UCFG_B0_P5_U1_DCFG5, 0x40010aea +.set CYDEV_UCFG_B0_P5_U1_DCFG6, 0x40010aec +.set CYDEV_UCFG_B0_P5_U1_DCFG7, 0x40010aee +.set CYDEV_UCFG_B0_P5_ROUTE_BASE, 0x40010b00 +.set CYDEV_UCFG_B0_P5_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P6_BASE, 0x40010c00 +.set CYDEV_UCFG_B0_P6_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P6_U0_BASE, 0x40010c00 +.set CYDEV_UCFG_B0_P6_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT0, 0x40010c00 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT1, 0x40010c04 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT2, 0x40010c08 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT3, 0x40010c0c +.set CYDEV_UCFG_B0_P6_U0_PLD_IT4, 0x40010c10 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT5, 0x40010c14 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT6, 0x40010c18 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT7, 0x40010c1c +.set CYDEV_UCFG_B0_P6_U0_PLD_IT8, 0x40010c20 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT9, 0x40010c24 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT10, 0x40010c28 +.set CYDEV_UCFG_B0_P6_U0_PLD_IT11, 0x40010c2c +.set CYDEV_UCFG_B0_P6_U0_PLD_ORT0, 0x40010c30 +.set CYDEV_UCFG_B0_P6_U0_PLD_ORT1, 0x40010c32 +.set CYDEV_UCFG_B0_P6_U0_PLD_ORT2, 0x40010c34 +.set CYDEV_UCFG_B0_P6_U0_PLD_ORT3, 0x40010c36 +.set CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST, 0x40010c38 +.set CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB, 0x40010c3a +.set CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET, 0x40010c3c +.set CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS, 0x40010c3e +.set CYDEV_UCFG_B0_P6_U0_CFG0, 0x40010c40 +.set CYDEV_UCFG_B0_P6_U0_CFG1, 0x40010c41 +.set CYDEV_UCFG_B0_P6_U0_CFG2, 0x40010c42 +.set CYDEV_UCFG_B0_P6_U0_CFG3, 0x40010c43 +.set CYDEV_UCFG_B0_P6_U0_CFG4, 0x40010c44 +.set CYDEV_UCFG_B0_P6_U0_CFG5, 0x40010c45 +.set CYDEV_UCFG_B0_P6_U0_CFG6, 0x40010c46 +.set CYDEV_UCFG_B0_P6_U0_CFG7, 0x40010c47 +.set CYDEV_UCFG_B0_P6_U0_CFG8, 0x40010c48 +.set CYDEV_UCFG_B0_P6_U0_CFG9, 0x40010c49 +.set CYDEV_UCFG_B0_P6_U0_CFG10, 0x40010c4a +.set CYDEV_UCFG_B0_P6_U0_CFG11, 0x40010c4b +.set CYDEV_UCFG_B0_P6_U0_CFG12, 0x40010c4c +.set CYDEV_UCFG_B0_P6_U0_CFG13, 0x40010c4d +.set CYDEV_UCFG_B0_P6_U0_CFG14, 0x40010c4e +.set CYDEV_UCFG_B0_P6_U0_CFG15, 0x40010c4f +.set CYDEV_UCFG_B0_P6_U0_CFG16, 0x40010c50 +.set CYDEV_UCFG_B0_P6_U0_CFG17, 0x40010c51 +.set CYDEV_UCFG_B0_P6_U0_CFG18, 0x40010c52 +.set CYDEV_UCFG_B0_P6_U0_CFG19, 0x40010c53 +.set CYDEV_UCFG_B0_P6_U0_CFG20, 0x40010c54 +.set CYDEV_UCFG_B0_P6_U0_CFG21, 0x40010c55 +.set CYDEV_UCFG_B0_P6_U0_CFG22, 0x40010c56 +.set CYDEV_UCFG_B0_P6_U0_CFG23, 0x40010c57 +.set CYDEV_UCFG_B0_P6_U0_CFG24, 0x40010c58 +.set CYDEV_UCFG_B0_P6_U0_CFG25, 0x40010c59 +.set CYDEV_UCFG_B0_P6_U0_CFG26, 0x40010c5a +.set CYDEV_UCFG_B0_P6_U0_CFG27, 0x40010c5b +.set CYDEV_UCFG_B0_P6_U0_CFG28, 0x40010c5c +.set CYDEV_UCFG_B0_P6_U0_CFG29, 0x40010c5d +.set CYDEV_UCFG_B0_P6_U0_CFG30, 0x40010c5e +.set CYDEV_UCFG_B0_P6_U0_CFG31, 0x40010c5f +.set CYDEV_UCFG_B0_P6_U0_DCFG0, 0x40010c60 +.set CYDEV_UCFG_B0_P6_U0_DCFG1, 0x40010c62 +.set CYDEV_UCFG_B0_P6_U0_DCFG2, 0x40010c64 +.set CYDEV_UCFG_B0_P6_U0_DCFG3, 0x40010c66 +.set CYDEV_UCFG_B0_P6_U0_DCFG4, 0x40010c68 +.set CYDEV_UCFG_B0_P6_U0_DCFG5, 0x40010c6a +.set CYDEV_UCFG_B0_P6_U0_DCFG6, 0x40010c6c +.set CYDEV_UCFG_B0_P6_U0_DCFG7, 0x40010c6e +.set CYDEV_UCFG_B0_P6_U1_BASE, 0x40010c80 +.set CYDEV_UCFG_B0_P6_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT0, 0x40010c80 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT1, 0x40010c84 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT2, 0x40010c88 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT3, 0x40010c8c +.set CYDEV_UCFG_B0_P6_U1_PLD_IT4, 0x40010c90 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT5, 0x40010c94 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT6, 0x40010c98 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT7, 0x40010c9c +.set CYDEV_UCFG_B0_P6_U1_PLD_IT8, 0x40010ca0 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT9, 0x40010ca4 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT10, 0x40010ca8 +.set CYDEV_UCFG_B0_P6_U1_PLD_IT11, 0x40010cac +.set CYDEV_UCFG_B0_P6_U1_PLD_ORT0, 0x40010cb0 +.set CYDEV_UCFG_B0_P6_U1_PLD_ORT1, 0x40010cb2 +.set CYDEV_UCFG_B0_P6_U1_PLD_ORT2, 0x40010cb4 +.set CYDEV_UCFG_B0_P6_U1_PLD_ORT3, 0x40010cb6 +.set CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST, 0x40010cb8 +.set CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB, 0x40010cba +.set CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET, 0x40010cbc +.set CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS, 0x40010cbe +.set CYDEV_UCFG_B0_P6_U1_CFG0, 0x40010cc0 +.set CYDEV_UCFG_B0_P6_U1_CFG1, 0x40010cc1 +.set CYDEV_UCFG_B0_P6_U1_CFG2, 0x40010cc2 +.set CYDEV_UCFG_B0_P6_U1_CFG3, 0x40010cc3 +.set CYDEV_UCFG_B0_P6_U1_CFG4, 0x40010cc4 +.set CYDEV_UCFG_B0_P6_U1_CFG5, 0x40010cc5 +.set CYDEV_UCFG_B0_P6_U1_CFG6, 0x40010cc6 +.set CYDEV_UCFG_B0_P6_U1_CFG7, 0x40010cc7 +.set CYDEV_UCFG_B0_P6_U1_CFG8, 0x40010cc8 +.set CYDEV_UCFG_B0_P6_U1_CFG9, 0x40010cc9 +.set CYDEV_UCFG_B0_P6_U1_CFG10, 0x40010cca +.set CYDEV_UCFG_B0_P6_U1_CFG11, 0x40010ccb +.set CYDEV_UCFG_B0_P6_U1_CFG12, 0x40010ccc +.set CYDEV_UCFG_B0_P6_U1_CFG13, 0x40010ccd +.set CYDEV_UCFG_B0_P6_U1_CFG14, 0x40010cce +.set CYDEV_UCFG_B0_P6_U1_CFG15, 0x40010ccf +.set CYDEV_UCFG_B0_P6_U1_CFG16, 0x40010cd0 +.set CYDEV_UCFG_B0_P6_U1_CFG17, 0x40010cd1 +.set CYDEV_UCFG_B0_P6_U1_CFG18, 0x40010cd2 +.set CYDEV_UCFG_B0_P6_U1_CFG19, 0x40010cd3 +.set CYDEV_UCFG_B0_P6_U1_CFG20, 0x40010cd4 +.set CYDEV_UCFG_B0_P6_U1_CFG21, 0x40010cd5 +.set CYDEV_UCFG_B0_P6_U1_CFG22, 0x40010cd6 +.set CYDEV_UCFG_B0_P6_U1_CFG23, 0x40010cd7 +.set CYDEV_UCFG_B0_P6_U1_CFG24, 0x40010cd8 +.set CYDEV_UCFG_B0_P6_U1_CFG25, 0x40010cd9 +.set CYDEV_UCFG_B0_P6_U1_CFG26, 0x40010cda +.set CYDEV_UCFG_B0_P6_U1_CFG27, 0x40010cdb +.set CYDEV_UCFG_B0_P6_U1_CFG28, 0x40010cdc +.set CYDEV_UCFG_B0_P6_U1_CFG29, 0x40010cdd +.set CYDEV_UCFG_B0_P6_U1_CFG30, 0x40010cde +.set CYDEV_UCFG_B0_P6_U1_CFG31, 0x40010cdf +.set CYDEV_UCFG_B0_P6_U1_DCFG0, 0x40010ce0 +.set CYDEV_UCFG_B0_P6_U1_DCFG1, 0x40010ce2 +.set CYDEV_UCFG_B0_P6_U1_DCFG2, 0x40010ce4 +.set CYDEV_UCFG_B0_P6_U1_DCFG3, 0x40010ce6 +.set CYDEV_UCFG_B0_P6_U1_DCFG4, 0x40010ce8 +.set CYDEV_UCFG_B0_P6_U1_DCFG5, 0x40010cea +.set CYDEV_UCFG_B0_P6_U1_DCFG6, 0x40010cec +.set CYDEV_UCFG_B0_P6_U1_DCFG7, 0x40010cee +.set CYDEV_UCFG_B0_P6_ROUTE_BASE, 0x40010d00 +.set CYDEV_UCFG_B0_P6_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P7_BASE, 0x40010e00 +.set CYDEV_UCFG_B0_P7_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P7_U0_BASE, 0x40010e00 +.set CYDEV_UCFG_B0_P7_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT0, 0x40010e00 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT1, 0x40010e04 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT2, 0x40010e08 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT3, 0x40010e0c +.set CYDEV_UCFG_B0_P7_U0_PLD_IT4, 0x40010e10 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT5, 0x40010e14 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT6, 0x40010e18 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT7, 0x40010e1c +.set CYDEV_UCFG_B0_P7_U0_PLD_IT8, 0x40010e20 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT9, 0x40010e24 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT10, 0x40010e28 +.set CYDEV_UCFG_B0_P7_U0_PLD_IT11, 0x40010e2c +.set CYDEV_UCFG_B0_P7_U0_PLD_ORT0, 0x40010e30 +.set CYDEV_UCFG_B0_P7_U0_PLD_ORT1, 0x40010e32 +.set CYDEV_UCFG_B0_P7_U0_PLD_ORT2, 0x40010e34 +.set CYDEV_UCFG_B0_P7_U0_PLD_ORT3, 0x40010e36 +.set CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST, 0x40010e38 +.set CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB, 0x40010e3a +.set CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET, 0x40010e3c +.set CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS, 0x40010e3e +.set CYDEV_UCFG_B0_P7_U0_CFG0, 0x40010e40 +.set CYDEV_UCFG_B0_P7_U0_CFG1, 0x40010e41 +.set CYDEV_UCFG_B0_P7_U0_CFG2, 0x40010e42 +.set CYDEV_UCFG_B0_P7_U0_CFG3, 0x40010e43 +.set CYDEV_UCFG_B0_P7_U0_CFG4, 0x40010e44 +.set CYDEV_UCFG_B0_P7_U0_CFG5, 0x40010e45 +.set CYDEV_UCFG_B0_P7_U0_CFG6, 0x40010e46 +.set CYDEV_UCFG_B0_P7_U0_CFG7, 0x40010e47 +.set CYDEV_UCFG_B0_P7_U0_CFG8, 0x40010e48 +.set CYDEV_UCFG_B0_P7_U0_CFG9, 0x40010e49 +.set CYDEV_UCFG_B0_P7_U0_CFG10, 0x40010e4a +.set CYDEV_UCFG_B0_P7_U0_CFG11, 0x40010e4b +.set CYDEV_UCFG_B0_P7_U0_CFG12, 0x40010e4c +.set CYDEV_UCFG_B0_P7_U0_CFG13, 0x40010e4d +.set CYDEV_UCFG_B0_P7_U0_CFG14, 0x40010e4e +.set CYDEV_UCFG_B0_P7_U0_CFG15, 0x40010e4f +.set CYDEV_UCFG_B0_P7_U0_CFG16, 0x40010e50 +.set CYDEV_UCFG_B0_P7_U0_CFG17, 0x40010e51 +.set CYDEV_UCFG_B0_P7_U0_CFG18, 0x40010e52 +.set CYDEV_UCFG_B0_P7_U0_CFG19, 0x40010e53 +.set CYDEV_UCFG_B0_P7_U0_CFG20, 0x40010e54 +.set CYDEV_UCFG_B0_P7_U0_CFG21, 0x40010e55 +.set CYDEV_UCFG_B0_P7_U0_CFG22, 0x40010e56 +.set CYDEV_UCFG_B0_P7_U0_CFG23, 0x40010e57 +.set CYDEV_UCFG_B0_P7_U0_CFG24, 0x40010e58 +.set CYDEV_UCFG_B0_P7_U0_CFG25, 0x40010e59 +.set CYDEV_UCFG_B0_P7_U0_CFG26, 0x40010e5a +.set CYDEV_UCFG_B0_P7_U0_CFG27, 0x40010e5b +.set CYDEV_UCFG_B0_P7_U0_CFG28, 0x40010e5c +.set CYDEV_UCFG_B0_P7_U0_CFG29, 0x40010e5d +.set CYDEV_UCFG_B0_P7_U0_CFG30, 0x40010e5e +.set CYDEV_UCFG_B0_P7_U0_CFG31, 0x40010e5f +.set CYDEV_UCFG_B0_P7_U0_DCFG0, 0x40010e60 +.set CYDEV_UCFG_B0_P7_U0_DCFG1, 0x40010e62 +.set CYDEV_UCFG_B0_P7_U0_DCFG2, 0x40010e64 +.set CYDEV_UCFG_B0_P7_U0_DCFG3, 0x40010e66 +.set CYDEV_UCFG_B0_P7_U0_DCFG4, 0x40010e68 +.set CYDEV_UCFG_B0_P7_U0_DCFG5, 0x40010e6a +.set CYDEV_UCFG_B0_P7_U0_DCFG6, 0x40010e6c +.set CYDEV_UCFG_B0_P7_U0_DCFG7, 0x40010e6e +.set CYDEV_UCFG_B0_P7_U1_BASE, 0x40010e80 +.set CYDEV_UCFG_B0_P7_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT0, 0x40010e80 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT1, 0x40010e84 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT2, 0x40010e88 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT3, 0x40010e8c +.set CYDEV_UCFG_B0_P7_U1_PLD_IT4, 0x40010e90 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT5, 0x40010e94 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT6, 0x40010e98 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT7, 0x40010e9c +.set CYDEV_UCFG_B0_P7_U1_PLD_IT8, 0x40010ea0 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT9, 0x40010ea4 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT10, 0x40010ea8 +.set CYDEV_UCFG_B0_P7_U1_PLD_IT11, 0x40010eac +.set CYDEV_UCFG_B0_P7_U1_PLD_ORT0, 0x40010eb0 +.set CYDEV_UCFG_B0_P7_U1_PLD_ORT1, 0x40010eb2 +.set CYDEV_UCFG_B0_P7_U1_PLD_ORT2, 0x40010eb4 +.set CYDEV_UCFG_B0_P7_U1_PLD_ORT3, 0x40010eb6 +.set CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST, 0x40010eb8 +.set CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB, 0x40010eba +.set CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET, 0x40010ebc +.set CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS, 0x40010ebe +.set CYDEV_UCFG_B0_P7_U1_CFG0, 0x40010ec0 +.set CYDEV_UCFG_B0_P7_U1_CFG1, 0x40010ec1 +.set CYDEV_UCFG_B0_P7_U1_CFG2, 0x40010ec2 +.set CYDEV_UCFG_B0_P7_U1_CFG3, 0x40010ec3 +.set CYDEV_UCFG_B0_P7_U1_CFG4, 0x40010ec4 +.set CYDEV_UCFG_B0_P7_U1_CFG5, 0x40010ec5 +.set CYDEV_UCFG_B0_P7_U1_CFG6, 0x40010ec6 +.set CYDEV_UCFG_B0_P7_U1_CFG7, 0x40010ec7 +.set CYDEV_UCFG_B0_P7_U1_CFG8, 0x40010ec8 +.set CYDEV_UCFG_B0_P7_U1_CFG9, 0x40010ec9 +.set CYDEV_UCFG_B0_P7_U1_CFG10, 0x40010eca +.set CYDEV_UCFG_B0_P7_U1_CFG11, 0x40010ecb +.set CYDEV_UCFG_B0_P7_U1_CFG12, 0x40010ecc +.set CYDEV_UCFG_B0_P7_U1_CFG13, 0x40010ecd +.set CYDEV_UCFG_B0_P7_U1_CFG14, 0x40010ece +.set CYDEV_UCFG_B0_P7_U1_CFG15, 0x40010ecf +.set CYDEV_UCFG_B0_P7_U1_CFG16, 0x40010ed0 +.set CYDEV_UCFG_B0_P7_U1_CFG17, 0x40010ed1 +.set CYDEV_UCFG_B0_P7_U1_CFG18, 0x40010ed2 +.set CYDEV_UCFG_B0_P7_U1_CFG19, 0x40010ed3 +.set CYDEV_UCFG_B0_P7_U1_CFG20, 0x40010ed4 +.set CYDEV_UCFG_B0_P7_U1_CFG21, 0x40010ed5 +.set CYDEV_UCFG_B0_P7_U1_CFG22, 0x40010ed6 +.set CYDEV_UCFG_B0_P7_U1_CFG23, 0x40010ed7 +.set CYDEV_UCFG_B0_P7_U1_CFG24, 0x40010ed8 +.set CYDEV_UCFG_B0_P7_U1_CFG25, 0x40010ed9 +.set CYDEV_UCFG_B0_P7_U1_CFG26, 0x40010eda +.set CYDEV_UCFG_B0_P7_U1_CFG27, 0x40010edb +.set CYDEV_UCFG_B0_P7_U1_CFG28, 0x40010edc +.set CYDEV_UCFG_B0_P7_U1_CFG29, 0x40010edd +.set CYDEV_UCFG_B0_P7_U1_CFG30, 0x40010ede +.set CYDEV_UCFG_B0_P7_U1_CFG31, 0x40010edf +.set CYDEV_UCFG_B0_P7_U1_DCFG0, 0x40010ee0 +.set CYDEV_UCFG_B0_P7_U1_DCFG1, 0x40010ee2 +.set CYDEV_UCFG_B0_P7_U1_DCFG2, 0x40010ee4 +.set CYDEV_UCFG_B0_P7_U1_DCFG3, 0x40010ee6 +.set CYDEV_UCFG_B0_P7_U1_DCFG4, 0x40010ee8 +.set CYDEV_UCFG_B0_P7_U1_DCFG5, 0x40010eea +.set CYDEV_UCFG_B0_P7_U1_DCFG6, 0x40010eec +.set CYDEV_UCFG_B0_P7_U1_DCFG7, 0x40010eee +.set CYDEV_UCFG_B0_P7_ROUTE_BASE, 0x40010f00 +.set CYDEV_UCFG_B0_P7_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_BASE, 0x40011000 +.set CYDEV_UCFG_B1_SIZE, 0x00000fef +.set CYDEV_UCFG_B1_P2_BASE, 0x40011400 +.set CYDEV_UCFG_B1_P2_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P2_U0_BASE, 0x40011400 +.set CYDEV_UCFG_B1_P2_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT0, 0x40011400 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT1, 0x40011404 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT2, 0x40011408 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT3, 0x4001140c +.set CYDEV_UCFG_B1_P2_U0_PLD_IT4, 0x40011410 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT5, 0x40011414 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT6, 0x40011418 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT7, 0x4001141c +.set CYDEV_UCFG_B1_P2_U0_PLD_IT8, 0x40011420 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT9, 0x40011424 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT10, 0x40011428 +.set CYDEV_UCFG_B1_P2_U0_PLD_IT11, 0x4001142c +.set CYDEV_UCFG_B1_P2_U0_PLD_ORT0, 0x40011430 +.set CYDEV_UCFG_B1_P2_U0_PLD_ORT1, 0x40011432 +.set CYDEV_UCFG_B1_P2_U0_PLD_ORT2, 0x40011434 +.set CYDEV_UCFG_B1_P2_U0_PLD_ORT3, 0x40011436 +.set CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST, 0x40011438 +.set CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB, 0x4001143a +.set CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET, 0x4001143c +.set CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS, 0x4001143e +.set CYDEV_UCFG_B1_P2_U0_CFG0, 0x40011440 +.set CYDEV_UCFG_B1_P2_U0_CFG1, 0x40011441 +.set CYDEV_UCFG_B1_P2_U0_CFG2, 0x40011442 +.set CYDEV_UCFG_B1_P2_U0_CFG3, 0x40011443 +.set CYDEV_UCFG_B1_P2_U0_CFG4, 0x40011444 +.set CYDEV_UCFG_B1_P2_U0_CFG5, 0x40011445 +.set CYDEV_UCFG_B1_P2_U0_CFG6, 0x40011446 +.set CYDEV_UCFG_B1_P2_U0_CFG7, 0x40011447 +.set CYDEV_UCFG_B1_P2_U0_CFG8, 0x40011448 +.set CYDEV_UCFG_B1_P2_U0_CFG9, 0x40011449 +.set CYDEV_UCFG_B1_P2_U0_CFG10, 0x4001144a +.set CYDEV_UCFG_B1_P2_U0_CFG11, 0x4001144b +.set CYDEV_UCFG_B1_P2_U0_CFG12, 0x4001144c +.set CYDEV_UCFG_B1_P2_U0_CFG13, 0x4001144d +.set CYDEV_UCFG_B1_P2_U0_CFG14, 0x4001144e +.set CYDEV_UCFG_B1_P2_U0_CFG15, 0x4001144f +.set CYDEV_UCFG_B1_P2_U0_CFG16, 0x40011450 +.set CYDEV_UCFG_B1_P2_U0_CFG17, 0x40011451 +.set CYDEV_UCFG_B1_P2_U0_CFG18, 0x40011452 +.set CYDEV_UCFG_B1_P2_U0_CFG19, 0x40011453 +.set CYDEV_UCFG_B1_P2_U0_CFG20, 0x40011454 +.set CYDEV_UCFG_B1_P2_U0_CFG21, 0x40011455 +.set CYDEV_UCFG_B1_P2_U0_CFG22, 0x40011456 +.set CYDEV_UCFG_B1_P2_U0_CFG23, 0x40011457 +.set CYDEV_UCFG_B1_P2_U0_CFG24, 0x40011458 +.set CYDEV_UCFG_B1_P2_U0_CFG25, 0x40011459 +.set CYDEV_UCFG_B1_P2_U0_CFG26, 0x4001145a +.set CYDEV_UCFG_B1_P2_U0_CFG27, 0x4001145b +.set CYDEV_UCFG_B1_P2_U0_CFG28, 0x4001145c +.set CYDEV_UCFG_B1_P2_U0_CFG29, 0x4001145d +.set CYDEV_UCFG_B1_P2_U0_CFG30, 0x4001145e +.set CYDEV_UCFG_B1_P2_U0_CFG31, 0x4001145f +.set CYDEV_UCFG_B1_P2_U0_DCFG0, 0x40011460 +.set CYDEV_UCFG_B1_P2_U0_DCFG1, 0x40011462 +.set CYDEV_UCFG_B1_P2_U0_DCFG2, 0x40011464 +.set CYDEV_UCFG_B1_P2_U0_DCFG3, 0x40011466 +.set CYDEV_UCFG_B1_P2_U0_DCFG4, 0x40011468 +.set CYDEV_UCFG_B1_P2_U0_DCFG5, 0x4001146a +.set CYDEV_UCFG_B1_P2_U0_DCFG6, 0x4001146c +.set CYDEV_UCFG_B1_P2_U0_DCFG7, 0x4001146e +.set CYDEV_UCFG_B1_P2_U1_BASE, 0x40011480 +.set CYDEV_UCFG_B1_P2_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT0, 0x40011480 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT1, 0x40011484 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT2, 0x40011488 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT3, 0x4001148c +.set CYDEV_UCFG_B1_P2_U1_PLD_IT4, 0x40011490 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT5, 0x40011494 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT6, 0x40011498 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT7, 0x4001149c +.set CYDEV_UCFG_B1_P2_U1_PLD_IT8, 0x400114a0 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT9, 0x400114a4 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT10, 0x400114a8 +.set CYDEV_UCFG_B1_P2_U1_PLD_IT11, 0x400114ac +.set CYDEV_UCFG_B1_P2_U1_PLD_ORT0, 0x400114b0 +.set CYDEV_UCFG_B1_P2_U1_PLD_ORT1, 0x400114b2 +.set CYDEV_UCFG_B1_P2_U1_PLD_ORT2, 0x400114b4 +.set CYDEV_UCFG_B1_P2_U1_PLD_ORT3, 0x400114b6 +.set CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST, 0x400114b8 +.set CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB, 0x400114ba +.set CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET, 0x400114bc +.set CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS, 0x400114be +.set CYDEV_UCFG_B1_P2_U1_CFG0, 0x400114c0 +.set CYDEV_UCFG_B1_P2_U1_CFG1, 0x400114c1 +.set CYDEV_UCFG_B1_P2_U1_CFG2, 0x400114c2 +.set CYDEV_UCFG_B1_P2_U1_CFG3, 0x400114c3 +.set CYDEV_UCFG_B1_P2_U1_CFG4, 0x400114c4 +.set CYDEV_UCFG_B1_P2_U1_CFG5, 0x400114c5 +.set CYDEV_UCFG_B1_P2_U1_CFG6, 0x400114c6 +.set CYDEV_UCFG_B1_P2_U1_CFG7, 0x400114c7 +.set CYDEV_UCFG_B1_P2_U1_CFG8, 0x400114c8 +.set CYDEV_UCFG_B1_P2_U1_CFG9, 0x400114c9 +.set CYDEV_UCFG_B1_P2_U1_CFG10, 0x400114ca +.set CYDEV_UCFG_B1_P2_U1_CFG11, 0x400114cb +.set CYDEV_UCFG_B1_P2_U1_CFG12, 0x400114cc +.set CYDEV_UCFG_B1_P2_U1_CFG13, 0x400114cd +.set CYDEV_UCFG_B1_P2_U1_CFG14, 0x400114ce +.set CYDEV_UCFG_B1_P2_U1_CFG15, 0x400114cf +.set CYDEV_UCFG_B1_P2_U1_CFG16, 0x400114d0 +.set CYDEV_UCFG_B1_P2_U1_CFG17, 0x400114d1 +.set CYDEV_UCFG_B1_P2_U1_CFG18, 0x400114d2 +.set CYDEV_UCFG_B1_P2_U1_CFG19, 0x400114d3 +.set CYDEV_UCFG_B1_P2_U1_CFG20, 0x400114d4 +.set CYDEV_UCFG_B1_P2_U1_CFG21, 0x400114d5 +.set CYDEV_UCFG_B1_P2_U1_CFG22, 0x400114d6 +.set CYDEV_UCFG_B1_P2_U1_CFG23, 0x400114d7 +.set CYDEV_UCFG_B1_P2_U1_CFG24, 0x400114d8 +.set CYDEV_UCFG_B1_P2_U1_CFG25, 0x400114d9 +.set CYDEV_UCFG_B1_P2_U1_CFG26, 0x400114da +.set CYDEV_UCFG_B1_P2_U1_CFG27, 0x400114db +.set CYDEV_UCFG_B1_P2_U1_CFG28, 0x400114dc +.set CYDEV_UCFG_B1_P2_U1_CFG29, 0x400114dd +.set CYDEV_UCFG_B1_P2_U1_CFG30, 0x400114de +.set CYDEV_UCFG_B1_P2_U1_CFG31, 0x400114df +.set CYDEV_UCFG_B1_P2_U1_DCFG0, 0x400114e0 +.set CYDEV_UCFG_B1_P2_U1_DCFG1, 0x400114e2 +.set CYDEV_UCFG_B1_P2_U1_DCFG2, 0x400114e4 +.set CYDEV_UCFG_B1_P2_U1_DCFG3, 0x400114e6 +.set CYDEV_UCFG_B1_P2_U1_DCFG4, 0x400114e8 +.set CYDEV_UCFG_B1_P2_U1_DCFG5, 0x400114ea +.set CYDEV_UCFG_B1_P2_U1_DCFG6, 0x400114ec +.set CYDEV_UCFG_B1_P2_U1_DCFG7, 0x400114ee +.set CYDEV_UCFG_B1_P2_ROUTE_BASE, 0x40011500 +.set CYDEV_UCFG_B1_P2_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P3_BASE, 0x40011600 +.set CYDEV_UCFG_B1_P3_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P3_U0_BASE, 0x40011600 +.set CYDEV_UCFG_B1_P3_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT0, 0x40011600 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT1, 0x40011604 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT2, 0x40011608 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT3, 0x4001160c +.set CYDEV_UCFG_B1_P3_U0_PLD_IT4, 0x40011610 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT5, 0x40011614 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT6, 0x40011618 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT7, 0x4001161c +.set CYDEV_UCFG_B1_P3_U0_PLD_IT8, 0x40011620 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT9, 0x40011624 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT10, 0x40011628 +.set CYDEV_UCFG_B1_P3_U0_PLD_IT11, 0x4001162c +.set CYDEV_UCFG_B1_P3_U0_PLD_ORT0, 0x40011630 +.set CYDEV_UCFG_B1_P3_U0_PLD_ORT1, 0x40011632 +.set CYDEV_UCFG_B1_P3_U0_PLD_ORT2, 0x40011634 +.set CYDEV_UCFG_B1_P3_U0_PLD_ORT3, 0x40011636 +.set CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST, 0x40011638 +.set CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB, 0x4001163a +.set CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET, 0x4001163c +.set CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS, 0x4001163e +.set CYDEV_UCFG_B1_P3_U0_CFG0, 0x40011640 +.set CYDEV_UCFG_B1_P3_U0_CFG1, 0x40011641 +.set CYDEV_UCFG_B1_P3_U0_CFG2, 0x40011642 +.set CYDEV_UCFG_B1_P3_U0_CFG3, 0x40011643 +.set CYDEV_UCFG_B1_P3_U0_CFG4, 0x40011644 +.set CYDEV_UCFG_B1_P3_U0_CFG5, 0x40011645 +.set CYDEV_UCFG_B1_P3_U0_CFG6, 0x40011646 +.set CYDEV_UCFG_B1_P3_U0_CFG7, 0x40011647 +.set CYDEV_UCFG_B1_P3_U0_CFG8, 0x40011648 +.set CYDEV_UCFG_B1_P3_U0_CFG9, 0x40011649 +.set CYDEV_UCFG_B1_P3_U0_CFG10, 0x4001164a +.set CYDEV_UCFG_B1_P3_U0_CFG11, 0x4001164b +.set CYDEV_UCFG_B1_P3_U0_CFG12, 0x4001164c +.set CYDEV_UCFG_B1_P3_U0_CFG13, 0x4001164d +.set CYDEV_UCFG_B1_P3_U0_CFG14, 0x4001164e +.set CYDEV_UCFG_B1_P3_U0_CFG15, 0x4001164f +.set CYDEV_UCFG_B1_P3_U0_CFG16, 0x40011650 +.set CYDEV_UCFG_B1_P3_U0_CFG17, 0x40011651 +.set CYDEV_UCFG_B1_P3_U0_CFG18, 0x40011652 +.set CYDEV_UCFG_B1_P3_U0_CFG19, 0x40011653 +.set CYDEV_UCFG_B1_P3_U0_CFG20, 0x40011654 +.set CYDEV_UCFG_B1_P3_U0_CFG21, 0x40011655 +.set CYDEV_UCFG_B1_P3_U0_CFG22, 0x40011656 +.set CYDEV_UCFG_B1_P3_U0_CFG23, 0x40011657 +.set CYDEV_UCFG_B1_P3_U0_CFG24, 0x40011658 +.set CYDEV_UCFG_B1_P3_U0_CFG25, 0x40011659 +.set CYDEV_UCFG_B1_P3_U0_CFG26, 0x4001165a +.set CYDEV_UCFG_B1_P3_U0_CFG27, 0x4001165b +.set CYDEV_UCFG_B1_P3_U0_CFG28, 0x4001165c +.set CYDEV_UCFG_B1_P3_U0_CFG29, 0x4001165d +.set CYDEV_UCFG_B1_P3_U0_CFG30, 0x4001165e +.set CYDEV_UCFG_B1_P3_U0_CFG31, 0x4001165f +.set CYDEV_UCFG_B1_P3_U0_DCFG0, 0x40011660 +.set CYDEV_UCFG_B1_P3_U0_DCFG1, 0x40011662 +.set CYDEV_UCFG_B1_P3_U0_DCFG2, 0x40011664 +.set CYDEV_UCFG_B1_P3_U0_DCFG3, 0x40011666 +.set CYDEV_UCFG_B1_P3_U0_DCFG4, 0x40011668 +.set CYDEV_UCFG_B1_P3_U0_DCFG5, 0x4001166a +.set CYDEV_UCFG_B1_P3_U0_DCFG6, 0x4001166c +.set CYDEV_UCFG_B1_P3_U0_DCFG7, 0x4001166e +.set CYDEV_UCFG_B1_P3_U1_BASE, 0x40011680 +.set CYDEV_UCFG_B1_P3_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT0, 0x40011680 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT1, 0x40011684 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT2, 0x40011688 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT3, 0x4001168c +.set CYDEV_UCFG_B1_P3_U1_PLD_IT4, 0x40011690 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT5, 0x40011694 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT6, 0x40011698 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT7, 0x4001169c +.set CYDEV_UCFG_B1_P3_U1_PLD_IT8, 0x400116a0 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT9, 0x400116a4 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT10, 0x400116a8 +.set CYDEV_UCFG_B1_P3_U1_PLD_IT11, 0x400116ac +.set CYDEV_UCFG_B1_P3_U1_PLD_ORT0, 0x400116b0 +.set CYDEV_UCFG_B1_P3_U1_PLD_ORT1, 0x400116b2 +.set CYDEV_UCFG_B1_P3_U1_PLD_ORT2, 0x400116b4 +.set CYDEV_UCFG_B1_P3_U1_PLD_ORT3, 0x400116b6 +.set CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST, 0x400116b8 +.set CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB, 0x400116ba +.set CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET, 0x400116bc +.set CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS, 0x400116be +.set CYDEV_UCFG_B1_P3_U1_CFG0, 0x400116c0 +.set CYDEV_UCFG_B1_P3_U1_CFG1, 0x400116c1 +.set CYDEV_UCFG_B1_P3_U1_CFG2, 0x400116c2 +.set CYDEV_UCFG_B1_P3_U1_CFG3, 0x400116c3 +.set CYDEV_UCFG_B1_P3_U1_CFG4, 0x400116c4 +.set CYDEV_UCFG_B1_P3_U1_CFG5, 0x400116c5 +.set CYDEV_UCFG_B1_P3_U1_CFG6, 0x400116c6 +.set CYDEV_UCFG_B1_P3_U1_CFG7, 0x400116c7 +.set CYDEV_UCFG_B1_P3_U1_CFG8, 0x400116c8 +.set CYDEV_UCFG_B1_P3_U1_CFG9, 0x400116c9 +.set CYDEV_UCFG_B1_P3_U1_CFG10, 0x400116ca +.set CYDEV_UCFG_B1_P3_U1_CFG11, 0x400116cb +.set CYDEV_UCFG_B1_P3_U1_CFG12, 0x400116cc +.set CYDEV_UCFG_B1_P3_U1_CFG13, 0x400116cd +.set CYDEV_UCFG_B1_P3_U1_CFG14, 0x400116ce +.set CYDEV_UCFG_B1_P3_U1_CFG15, 0x400116cf +.set CYDEV_UCFG_B1_P3_U1_CFG16, 0x400116d0 +.set CYDEV_UCFG_B1_P3_U1_CFG17, 0x400116d1 +.set CYDEV_UCFG_B1_P3_U1_CFG18, 0x400116d2 +.set CYDEV_UCFG_B1_P3_U1_CFG19, 0x400116d3 +.set CYDEV_UCFG_B1_P3_U1_CFG20, 0x400116d4 +.set CYDEV_UCFG_B1_P3_U1_CFG21, 0x400116d5 +.set CYDEV_UCFG_B1_P3_U1_CFG22, 0x400116d6 +.set CYDEV_UCFG_B1_P3_U1_CFG23, 0x400116d7 +.set CYDEV_UCFG_B1_P3_U1_CFG24, 0x400116d8 +.set CYDEV_UCFG_B1_P3_U1_CFG25, 0x400116d9 +.set CYDEV_UCFG_B1_P3_U1_CFG26, 0x400116da +.set CYDEV_UCFG_B1_P3_U1_CFG27, 0x400116db +.set CYDEV_UCFG_B1_P3_U1_CFG28, 0x400116dc +.set CYDEV_UCFG_B1_P3_U1_CFG29, 0x400116dd +.set CYDEV_UCFG_B1_P3_U1_CFG30, 0x400116de +.set CYDEV_UCFG_B1_P3_U1_CFG31, 0x400116df +.set CYDEV_UCFG_B1_P3_U1_DCFG0, 0x400116e0 +.set CYDEV_UCFG_B1_P3_U1_DCFG1, 0x400116e2 +.set CYDEV_UCFG_B1_P3_U1_DCFG2, 0x400116e4 +.set CYDEV_UCFG_B1_P3_U1_DCFG3, 0x400116e6 +.set CYDEV_UCFG_B1_P3_U1_DCFG4, 0x400116e8 +.set CYDEV_UCFG_B1_P3_U1_DCFG5, 0x400116ea +.set CYDEV_UCFG_B1_P3_U1_DCFG6, 0x400116ec +.set CYDEV_UCFG_B1_P3_U1_DCFG7, 0x400116ee +.set CYDEV_UCFG_B1_P3_ROUTE_BASE, 0x40011700 +.set CYDEV_UCFG_B1_P3_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P4_BASE, 0x40011800 +.set CYDEV_UCFG_B1_P4_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P4_U0_BASE, 0x40011800 +.set CYDEV_UCFG_B1_P4_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT0, 0x40011800 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT1, 0x40011804 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT2, 0x40011808 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT3, 0x4001180c +.set CYDEV_UCFG_B1_P4_U0_PLD_IT4, 0x40011810 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT5, 0x40011814 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT6, 0x40011818 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT7, 0x4001181c +.set CYDEV_UCFG_B1_P4_U0_PLD_IT8, 0x40011820 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT9, 0x40011824 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT10, 0x40011828 +.set CYDEV_UCFG_B1_P4_U0_PLD_IT11, 0x4001182c +.set CYDEV_UCFG_B1_P4_U0_PLD_ORT0, 0x40011830 +.set CYDEV_UCFG_B1_P4_U0_PLD_ORT1, 0x40011832 +.set CYDEV_UCFG_B1_P4_U0_PLD_ORT2, 0x40011834 +.set CYDEV_UCFG_B1_P4_U0_PLD_ORT3, 0x40011836 +.set CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST, 0x40011838 +.set CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB, 0x4001183a +.set CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET, 0x4001183c +.set CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS, 0x4001183e +.set CYDEV_UCFG_B1_P4_U0_CFG0, 0x40011840 +.set CYDEV_UCFG_B1_P4_U0_CFG1, 0x40011841 +.set CYDEV_UCFG_B1_P4_U0_CFG2, 0x40011842 +.set CYDEV_UCFG_B1_P4_U0_CFG3, 0x40011843 +.set CYDEV_UCFG_B1_P4_U0_CFG4, 0x40011844 +.set CYDEV_UCFG_B1_P4_U0_CFG5, 0x40011845 +.set CYDEV_UCFG_B1_P4_U0_CFG6, 0x40011846 +.set CYDEV_UCFG_B1_P4_U0_CFG7, 0x40011847 +.set CYDEV_UCFG_B1_P4_U0_CFG8, 0x40011848 +.set CYDEV_UCFG_B1_P4_U0_CFG9, 0x40011849 +.set CYDEV_UCFG_B1_P4_U0_CFG10, 0x4001184a +.set CYDEV_UCFG_B1_P4_U0_CFG11, 0x4001184b +.set CYDEV_UCFG_B1_P4_U0_CFG12, 0x4001184c +.set CYDEV_UCFG_B1_P4_U0_CFG13, 0x4001184d +.set CYDEV_UCFG_B1_P4_U0_CFG14, 0x4001184e +.set CYDEV_UCFG_B1_P4_U0_CFG15, 0x4001184f +.set CYDEV_UCFG_B1_P4_U0_CFG16, 0x40011850 +.set CYDEV_UCFG_B1_P4_U0_CFG17, 0x40011851 +.set CYDEV_UCFG_B1_P4_U0_CFG18, 0x40011852 +.set CYDEV_UCFG_B1_P4_U0_CFG19, 0x40011853 +.set CYDEV_UCFG_B1_P4_U0_CFG20, 0x40011854 +.set CYDEV_UCFG_B1_P4_U0_CFG21, 0x40011855 +.set CYDEV_UCFG_B1_P4_U0_CFG22, 0x40011856 +.set CYDEV_UCFG_B1_P4_U0_CFG23, 0x40011857 +.set CYDEV_UCFG_B1_P4_U0_CFG24, 0x40011858 +.set CYDEV_UCFG_B1_P4_U0_CFG25, 0x40011859 +.set CYDEV_UCFG_B1_P4_U0_CFG26, 0x4001185a +.set CYDEV_UCFG_B1_P4_U0_CFG27, 0x4001185b +.set CYDEV_UCFG_B1_P4_U0_CFG28, 0x4001185c +.set CYDEV_UCFG_B1_P4_U0_CFG29, 0x4001185d +.set CYDEV_UCFG_B1_P4_U0_CFG30, 0x4001185e +.set CYDEV_UCFG_B1_P4_U0_CFG31, 0x4001185f +.set CYDEV_UCFG_B1_P4_U0_DCFG0, 0x40011860 +.set CYDEV_UCFG_B1_P4_U0_DCFG1, 0x40011862 +.set CYDEV_UCFG_B1_P4_U0_DCFG2, 0x40011864 +.set CYDEV_UCFG_B1_P4_U0_DCFG3, 0x40011866 +.set CYDEV_UCFG_B1_P4_U0_DCFG4, 0x40011868 +.set CYDEV_UCFG_B1_P4_U0_DCFG5, 0x4001186a +.set CYDEV_UCFG_B1_P4_U0_DCFG6, 0x4001186c +.set CYDEV_UCFG_B1_P4_U0_DCFG7, 0x4001186e +.set CYDEV_UCFG_B1_P4_U1_BASE, 0x40011880 +.set CYDEV_UCFG_B1_P4_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT0, 0x40011880 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT1, 0x40011884 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT2, 0x40011888 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT3, 0x4001188c +.set CYDEV_UCFG_B1_P4_U1_PLD_IT4, 0x40011890 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT5, 0x40011894 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT6, 0x40011898 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT7, 0x4001189c +.set CYDEV_UCFG_B1_P4_U1_PLD_IT8, 0x400118a0 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT9, 0x400118a4 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT10, 0x400118a8 +.set CYDEV_UCFG_B1_P4_U1_PLD_IT11, 0x400118ac +.set CYDEV_UCFG_B1_P4_U1_PLD_ORT0, 0x400118b0 +.set CYDEV_UCFG_B1_P4_U1_PLD_ORT1, 0x400118b2 +.set CYDEV_UCFG_B1_P4_U1_PLD_ORT2, 0x400118b4 +.set CYDEV_UCFG_B1_P4_U1_PLD_ORT3, 0x400118b6 +.set CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST, 0x400118b8 +.set CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB, 0x400118ba +.set CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET, 0x400118bc +.set CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS, 0x400118be +.set CYDEV_UCFG_B1_P4_U1_CFG0, 0x400118c0 +.set CYDEV_UCFG_B1_P4_U1_CFG1, 0x400118c1 +.set CYDEV_UCFG_B1_P4_U1_CFG2, 0x400118c2 +.set CYDEV_UCFG_B1_P4_U1_CFG3, 0x400118c3 +.set CYDEV_UCFG_B1_P4_U1_CFG4, 0x400118c4 +.set CYDEV_UCFG_B1_P4_U1_CFG5, 0x400118c5 +.set CYDEV_UCFG_B1_P4_U1_CFG6, 0x400118c6 +.set CYDEV_UCFG_B1_P4_U1_CFG7, 0x400118c7 +.set CYDEV_UCFG_B1_P4_U1_CFG8, 0x400118c8 +.set CYDEV_UCFG_B1_P4_U1_CFG9, 0x400118c9 +.set CYDEV_UCFG_B1_P4_U1_CFG10, 0x400118ca +.set CYDEV_UCFG_B1_P4_U1_CFG11, 0x400118cb +.set CYDEV_UCFG_B1_P4_U1_CFG12, 0x400118cc +.set CYDEV_UCFG_B1_P4_U1_CFG13, 0x400118cd +.set CYDEV_UCFG_B1_P4_U1_CFG14, 0x400118ce +.set CYDEV_UCFG_B1_P4_U1_CFG15, 0x400118cf +.set CYDEV_UCFG_B1_P4_U1_CFG16, 0x400118d0 +.set CYDEV_UCFG_B1_P4_U1_CFG17, 0x400118d1 +.set CYDEV_UCFG_B1_P4_U1_CFG18, 0x400118d2 +.set CYDEV_UCFG_B1_P4_U1_CFG19, 0x400118d3 +.set CYDEV_UCFG_B1_P4_U1_CFG20, 0x400118d4 +.set CYDEV_UCFG_B1_P4_U1_CFG21, 0x400118d5 +.set CYDEV_UCFG_B1_P4_U1_CFG22, 0x400118d6 +.set CYDEV_UCFG_B1_P4_U1_CFG23, 0x400118d7 +.set CYDEV_UCFG_B1_P4_U1_CFG24, 0x400118d8 +.set CYDEV_UCFG_B1_P4_U1_CFG25, 0x400118d9 +.set CYDEV_UCFG_B1_P4_U1_CFG26, 0x400118da +.set CYDEV_UCFG_B1_P4_U1_CFG27, 0x400118db +.set CYDEV_UCFG_B1_P4_U1_CFG28, 0x400118dc +.set CYDEV_UCFG_B1_P4_U1_CFG29, 0x400118dd +.set CYDEV_UCFG_B1_P4_U1_CFG30, 0x400118de +.set CYDEV_UCFG_B1_P4_U1_CFG31, 0x400118df +.set CYDEV_UCFG_B1_P4_U1_DCFG0, 0x400118e0 +.set CYDEV_UCFG_B1_P4_U1_DCFG1, 0x400118e2 +.set CYDEV_UCFG_B1_P4_U1_DCFG2, 0x400118e4 +.set CYDEV_UCFG_B1_P4_U1_DCFG3, 0x400118e6 +.set CYDEV_UCFG_B1_P4_U1_DCFG4, 0x400118e8 +.set CYDEV_UCFG_B1_P4_U1_DCFG5, 0x400118ea +.set CYDEV_UCFG_B1_P4_U1_DCFG6, 0x400118ec +.set CYDEV_UCFG_B1_P4_U1_DCFG7, 0x400118ee +.set CYDEV_UCFG_B1_P4_ROUTE_BASE, 0x40011900 +.set CYDEV_UCFG_B1_P4_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P5_BASE, 0x40011a00 +.set CYDEV_UCFG_B1_P5_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P5_U0_BASE, 0x40011a00 +.set CYDEV_UCFG_B1_P5_U0_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT0, 0x40011a00 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT1, 0x40011a04 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT2, 0x40011a08 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT3, 0x40011a0c +.set CYDEV_UCFG_B1_P5_U0_PLD_IT4, 0x40011a10 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT5, 0x40011a14 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT6, 0x40011a18 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT7, 0x40011a1c +.set CYDEV_UCFG_B1_P5_U0_PLD_IT8, 0x40011a20 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT9, 0x40011a24 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT10, 0x40011a28 +.set CYDEV_UCFG_B1_P5_U0_PLD_IT11, 0x40011a2c +.set CYDEV_UCFG_B1_P5_U0_PLD_ORT0, 0x40011a30 +.set CYDEV_UCFG_B1_P5_U0_PLD_ORT1, 0x40011a32 +.set CYDEV_UCFG_B1_P5_U0_PLD_ORT2, 0x40011a34 +.set CYDEV_UCFG_B1_P5_U0_PLD_ORT3, 0x40011a36 +.set CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST, 0x40011a38 +.set CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB, 0x40011a3a +.set CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET, 0x40011a3c +.set CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS, 0x40011a3e +.set CYDEV_UCFG_B1_P5_U0_CFG0, 0x40011a40 +.set CYDEV_UCFG_B1_P5_U0_CFG1, 0x40011a41 +.set CYDEV_UCFG_B1_P5_U0_CFG2, 0x40011a42 +.set CYDEV_UCFG_B1_P5_U0_CFG3, 0x40011a43 +.set CYDEV_UCFG_B1_P5_U0_CFG4, 0x40011a44 +.set CYDEV_UCFG_B1_P5_U0_CFG5, 0x40011a45 +.set CYDEV_UCFG_B1_P5_U0_CFG6, 0x40011a46 +.set CYDEV_UCFG_B1_P5_U0_CFG7, 0x40011a47 +.set CYDEV_UCFG_B1_P5_U0_CFG8, 0x40011a48 +.set CYDEV_UCFG_B1_P5_U0_CFG9, 0x40011a49 +.set CYDEV_UCFG_B1_P5_U0_CFG10, 0x40011a4a +.set CYDEV_UCFG_B1_P5_U0_CFG11, 0x40011a4b +.set CYDEV_UCFG_B1_P5_U0_CFG12, 0x40011a4c +.set CYDEV_UCFG_B1_P5_U0_CFG13, 0x40011a4d +.set CYDEV_UCFG_B1_P5_U0_CFG14, 0x40011a4e +.set CYDEV_UCFG_B1_P5_U0_CFG15, 0x40011a4f +.set CYDEV_UCFG_B1_P5_U0_CFG16, 0x40011a50 +.set CYDEV_UCFG_B1_P5_U0_CFG17, 0x40011a51 +.set CYDEV_UCFG_B1_P5_U0_CFG18, 0x40011a52 +.set CYDEV_UCFG_B1_P5_U0_CFG19, 0x40011a53 +.set CYDEV_UCFG_B1_P5_U0_CFG20, 0x40011a54 +.set CYDEV_UCFG_B1_P5_U0_CFG21, 0x40011a55 +.set CYDEV_UCFG_B1_P5_U0_CFG22, 0x40011a56 +.set CYDEV_UCFG_B1_P5_U0_CFG23, 0x40011a57 +.set CYDEV_UCFG_B1_P5_U0_CFG24, 0x40011a58 +.set CYDEV_UCFG_B1_P5_U0_CFG25, 0x40011a59 +.set CYDEV_UCFG_B1_P5_U0_CFG26, 0x40011a5a +.set CYDEV_UCFG_B1_P5_U0_CFG27, 0x40011a5b +.set CYDEV_UCFG_B1_P5_U0_CFG28, 0x40011a5c +.set CYDEV_UCFG_B1_P5_U0_CFG29, 0x40011a5d +.set CYDEV_UCFG_B1_P5_U0_CFG30, 0x40011a5e +.set CYDEV_UCFG_B1_P5_U0_CFG31, 0x40011a5f +.set CYDEV_UCFG_B1_P5_U0_DCFG0, 0x40011a60 +.set CYDEV_UCFG_B1_P5_U0_DCFG1, 0x40011a62 +.set CYDEV_UCFG_B1_P5_U0_DCFG2, 0x40011a64 +.set CYDEV_UCFG_B1_P5_U0_DCFG3, 0x40011a66 +.set CYDEV_UCFG_B1_P5_U0_DCFG4, 0x40011a68 +.set CYDEV_UCFG_B1_P5_U0_DCFG5, 0x40011a6a +.set CYDEV_UCFG_B1_P5_U0_DCFG6, 0x40011a6c +.set CYDEV_UCFG_B1_P5_U0_DCFG7, 0x40011a6e +.set CYDEV_UCFG_B1_P5_U1_BASE, 0x40011a80 +.set CYDEV_UCFG_B1_P5_U1_SIZE, 0x00000070 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT0, 0x40011a80 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT1, 0x40011a84 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT2, 0x40011a88 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT3, 0x40011a8c +.set CYDEV_UCFG_B1_P5_U1_PLD_IT4, 0x40011a90 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT5, 0x40011a94 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT6, 0x40011a98 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT7, 0x40011a9c +.set CYDEV_UCFG_B1_P5_U1_PLD_IT8, 0x40011aa0 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT9, 0x40011aa4 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT10, 0x40011aa8 +.set CYDEV_UCFG_B1_P5_U1_PLD_IT11, 0x40011aac +.set CYDEV_UCFG_B1_P5_U1_PLD_ORT0, 0x40011ab0 +.set CYDEV_UCFG_B1_P5_U1_PLD_ORT1, 0x40011ab2 +.set CYDEV_UCFG_B1_P5_U1_PLD_ORT2, 0x40011ab4 +.set CYDEV_UCFG_B1_P5_U1_PLD_ORT3, 0x40011ab6 +.set CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST, 0x40011ab8 +.set CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB, 0x40011aba +.set CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET, 0x40011abc +.set CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS, 0x40011abe +.set CYDEV_UCFG_B1_P5_U1_CFG0, 0x40011ac0 +.set CYDEV_UCFG_B1_P5_U1_CFG1, 0x40011ac1 +.set CYDEV_UCFG_B1_P5_U1_CFG2, 0x40011ac2 +.set CYDEV_UCFG_B1_P5_U1_CFG3, 0x40011ac3 +.set CYDEV_UCFG_B1_P5_U1_CFG4, 0x40011ac4 +.set CYDEV_UCFG_B1_P5_U1_CFG5, 0x40011ac5 +.set CYDEV_UCFG_B1_P5_U1_CFG6, 0x40011ac6 +.set CYDEV_UCFG_B1_P5_U1_CFG7, 0x40011ac7 +.set CYDEV_UCFG_B1_P5_U1_CFG8, 0x40011ac8 +.set CYDEV_UCFG_B1_P5_U1_CFG9, 0x40011ac9 +.set CYDEV_UCFG_B1_P5_U1_CFG10, 0x40011aca +.set CYDEV_UCFG_B1_P5_U1_CFG11, 0x40011acb +.set CYDEV_UCFG_B1_P5_U1_CFG12, 0x40011acc +.set CYDEV_UCFG_B1_P5_U1_CFG13, 0x40011acd +.set CYDEV_UCFG_B1_P5_U1_CFG14, 0x40011ace +.set CYDEV_UCFG_B1_P5_U1_CFG15, 0x40011acf +.set CYDEV_UCFG_B1_P5_U1_CFG16, 0x40011ad0 +.set CYDEV_UCFG_B1_P5_U1_CFG17, 0x40011ad1 +.set CYDEV_UCFG_B1_P5_U1_CFG18, 0x40011ad2 +.set CYDEV_UCFG_B1_P5_U1_CFG19, 0x40011ad3 +.set CYDEV_UCFG_B1_P5_U1_CFG20, 0x40011ad4 +.set CYDEV_UCFG_B1_P5_U1_CFG21, 0x40011ad5 +.set CYDEV_UCFG_B1_P5_U1_CFG22, 0x40011ad6 +.set CYDEV_UCFG_B1_P5_U1_CFG23, 0x40011ad7 +.set CYDEV_UCFG_B1_P5_U1_CFG24, 0x40011ad8 +.set CYDEV_UCFG_B1_P5_U1_CFG25, 0x40011ad9 +.set CYDEV_UCFG_B1_P5_U1_CFG26, 0x40011ada +.set CYDEV_UCFG_B1_P5_U1_CFG27, 0x40011adb +.set CYDEV_UCFG_B1_P5_U1_CFG28, 0x40011adc +.set CYDEV_UCFG_B1_P5_U1_CFG29, 0x40011add +.set CYDEV_UCFG_B1_P5_U1_CFG30, 0x40011ade +.set CYDEV_UCFG_B1_P5_U1_CFG31, 0x40011adf +.set CYDEV_UCFG_B1_P5_U1_DCFG0, 0x40011ae0 +.set CYDEV_UCFG_B1_P5_U1_DCFG1, 0x40011ae2 +.set CYDEV_UCFG_B1_P5_U1_DCFG2, 0x40011ae4 +.set CYDEV_UCFG_B1_P5_U1_DCFG3, 0x40011ae6 +.set CYDEV_UCFG_B1_P5_U1_DCFG4, 0x40011ae8 +.set CYDEV_UCFG_B1_P5_U1_DCFG5, 0x40011aea +.set CYDEV_UCFG_B1_P5_U1_DCFG6, 0x40011aec +.set CYDEV_UCFG_B1_P5_U1_DCFG7, 0x40011aee +.set CYDEV_UCFG_B1_P5_ROUTE_BASE, 0x40011b00 +.set CYDEV_UCFG_B1_P5_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI0_BASE, 0x40014000 +.set CYDEV_UCFG_DSI0_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI1_BASE, 0x40014100 +.set CYDEV_UCFG_DSI1_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI2_BASE, 0x40014200 +.set CYDEV_UCFG_DSI2_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI3_BASE, 0x40014300 +.set CYDEV_UCFG_DSI3_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI4_BASE, 0x40014400 +.set CYDEV_UCFG_DSI4_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI5_BASE, 0x40014500 +.set CYDEV_UCFG_DSI5_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI6_BASE, 0x40014600 +.set CYDEV_UCFG_DSI6_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI7_BASE, 0x40014700 +.set CYDEV_UCFG_DSI7_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI8_BASE, 0x40014800 +.set CYDEV_UCFG_DSI8_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI9_BASE, 0x40014900 +.set CYDEV_UCFG_DSI9_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI12_BASE, 0x40014c00 +.set CYDEV_UCFG_DSI12_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI13_BASE, 0x40014d00 +.set CYDEV_UCFG_DSI13_SIZE, 0x000000ef +.set CYDEV_UCFG_BCTL0_BASE, 0x40015000 +.set CYDEV_UCFG_BCTL0_SIZE, 0x00000010 +.set CYDEV_UCFG_BCTL0_MDCLK_EN, 0x40015000 +.set CYDEV_UCFG_BCTL0_MBCLK_EN, 0x40015001 +.set CYDEV_UCFG_BCTL0_WAIT_CFG, 0x40015002 +.set CYDEV_UCFG_BCTL0_BANK_CTL, 0x40015003 +.set CYDEV_UCFG_BCTL0_UDB_TEST_3, 0x40015007 +.set CYDEV_UCFG_BCTL0_DCLK_EN0, 0x40015008 +.set CYDEV_UCFG_BCTL0_BCLK_EN0, 0x40015009 +.set CYDEV_UCFG_BCTL0_DCLK_EN1, 0x4001500a +.set CYDEV_UCFG_BCTL0_BCLK_EN1, 0x4001500b +.set CYDEV_UCFG_BCTL0_DCLK_EN2, 0x4001500c +.set CYDEV_UCFG_BCTL0_BCLK_EN2, 0x4001500d +.set CYDEV_UCFG_BCTL0_DCLK_EN3, 0x4001500e +.set CYDEV_UCFG_BCTL0_BCLK_EN3, 0x4001500f +.set CYDEV_UCFG_BCTL1_BASE, 0x40015010 +.set CYDEV_UCFG_BCTL1_SIZE, 0x00000010 +.set CYDEV_UCFG_BCTL1_MDCLK_EN, 0x40015010 +.set CYDEV_UCFG_BCTL1_MBCLK_EN, 0x40015011 +.set CYDEV_UCFG_BCTL1_WAIT_CFG, 0x40015012 +.set CYDEV_UCFG_BCTL1_BANK_CTL, 0x40015013 +.set CYDEV_UCFG_BCTL1_UDB_TEST_3, 0x40015017 +.set CYDEV_UCFG_BCTL1_DCLK_EN0, 0x40015018 +.set CYDEV_UCFG_BCTL1_BCLK_EN0, 0x40015019 +.set CYDEV_UCFG_BCTL1_DCLK_EN1, 0x4001501a +.set CYDEV_UCFG_BCTL1_BCLK_EN1, 0x4001501b +.set CYDEV_UCFG_BCTL1_DCLK_EN2, 0x4001501c +.set CYDEV_UCFG_BCTL1_BCLK_EN2, 0x4001501d +.set CYDEV_UCFG_BCTL1_DCLK_EN3, 0x4001501e +.set CYDEV_UCFG_BCTL1_BCLK_EN3, 0x4001501f +.set CYDEV_IDMUX_BASE, 0x40015100 +.set CYDEV_IDMUX_SIZE, 0x00000016 +.set CYDEV_IDMUX_IRQ_CTL0, 0x40015100 +.set CYDEV_IDMUX_IRQ_CTL1, 0x40015101 +.set CYDEV_IDMUX_IRQ_CTL2, 0x40015102 +.set CYDEV_IDMUX_IRQ_CTL3, 0x40015103 +.set CYDEV_IDMUX_IRQ_CTL4, 0x40015104 +.set CYDEV_IDMUX_IRQ_CTL5, 0x40015105 +.set CYDEV_IDMUX_IRQ_CTL6, 0x40015106 +.set CYDEV_IDMUX_IRQ_CTL7, 0x40015107 +.set CYDEV_IDMUX_DRQ_CTL0, 0x40015110 +.set CYDEV_IDMUX_DRQ_CTL1, 0x40015111 +.set CYDEV_IDMUX_DRQ_CTL2, 0x40015112 +.set CYDEV_IDMUX_DRQ_CTL3, 0x40015113 +.set CYDEV_IDMUX_DRQ_CTL4, 0x40015114 +.set CYDEV_IDMUX_DRQ_CTL5, 0x40015115 +.set CYDEV_CACHERAM_BASE, 0x40030000 +.set CYDEV_CACHERAM_SIZE, 0x00000400 +.set CYDEV_CACHERAM_DATA_MBASE, 0x40030000 +.set CYDEV_CACHERAM_DATA_MSIZE, 0x00000400 +.set CYDEV_SFR_BASE, 0x40050100 +.set CYDEV_SFR_SIZE, 0x000000fb +.set CYDEV_SFR_GPIO0, 0x40050180 +.set CYDEV_SFR_GPIRD0, 0x40050189 +.set CYDEV_SFR_GPIO0_SEL, 0x4005018a +.set CYDEV_SFR_GPIO1, 0x40050190 +.set CYDEV_SFR_GPIRD1, 0x40050191 +.set CYDEV_SFR_GPIO2, 0x40050198 +.set CYDEV_SFR_GPIRD2, 0x40050199 +.set CYDEV_SFR_GPIO2_SEL, 0x4005019a +.set CYDEV_SFR_GPIO1_SEL, 0x400501a2 +.set CYDEV_SFR_GPIO3, 0x400501b0 +.set CYDEV_SFR_GPIRD3, 0x400501b1 +.set CYDEV_SFR_GPIO3_SEL, 0x400501b2 +.set CYDEV_SFR_GPIO4, 0x400501c0 +.set CYDEV_SFR_GPIRD4, 0x400501c1 +.set CYDEV_SFR_GPIO4_SEL, 0x400501c2 +.set CYDEV_SFR_GPIO5, 0x400501c8 +.set CYDEV_SFR_GPIRD5, 0x400501c9 +.set CYDEV_SFR_GPIO5_SEL, 0x400501ca +.set CYDEV_SFR_GPIO6, 0x400501d8 +.set CYDEV_SFR_GPIRD6, 0x400501d9 +.set CYDEV_SFR_GPIO6_SEL, 0x400501da +.set CYDEV_SFR_GPIO12, 0x400501e8 +.set CYDEV_SFR_GPIRD12, 0x400501e9 +.set CYDEV_SFR_GPIO12_SEL, 0x400501f2 +.set CYDEV_SFR_GPIO15, 0x400501f8 +.set CYDEV_SFR_GPIRD15, 0x400501f9 +.set CYDEV_SFR_GPIO15_SEL, 0x400501fa +.set CYDEV_P3BA_BASE, 0x40050300 +.set CYDEV_P3BA_SIZE, 0x0000002b +.set CYDEV_P3BA_Y_START, 0x40050300 +.set CYDEV_P3BA_YROLL, 0x40050301 +.set CYDEV_P3BA_YCFG, 0x40050302 +.set CYDEV_P3BA_X_START1, 0x40050303 +.set CYDEV_P3BA_X_START2, 0x40050304 +.set CYDEV_P3BA_XROLL1, 0x40050305 +.set CYDEV_P3BA_XROLL2, 0x40050306 +.set CYDEV_P3BA_XINC, 0x40050307 +.set CYDEV_P3BA_XCFG, 0x40050308 +.set CYDEV_P3BA_OFFSETADDR1, 0x40050309 +.set CYDEV_P3BA_OFFSETADDR2, 0x4005030a +.set CYDEV_P3BA_OFFSETADDR3, 0x4005030b +.set CYDEV_P3BA_ABSADDR1, 0x4005030c +.set CYDEV_P3BA_ABSADDR2, 0x4005030d +.set CYDEV_P3BA_ABSADDR3, 0x4005030e +.set CYDEV_P3BA_ABSADDR4, 0x4005030f +.set CYDEV_P3BA_DATCFG1, 0x40050310 +.set CYDEV_P3BA_DATCFG2, 0x40050311 +.set CYDEV_P3BA_CMP_RSLT1, 0x40050314 +.set CYDEV_P3BA_CMP_RSLT2, 0x40050315 +.set CYDEV_P3BA_CMP_RSLT3, 0x40050316 +.set CYDEV_P3BA_CMP_RSLT4, 0x40050317 +.set CYDEV_P3BA_DATA_REG1, 0x40050318 +.set CYDEV_P3BA_DATA_REG2, 0x40050319 +.set CYDEV_P3BA_DATA_REG3, 0x4005031a +.set CYDEV_P3BA_DATA_REG4, 0x4005031b +.set CYDEV_P3BA_EXP_DATA1, 0x4005031c +.set CYDEV_P3BA_EXP_DATA2, 0x4005031d +.set CYDEV_P3BA_EXP_DATA3, 0x4005031e +.set CYDEV_P3BA_EXP_DATA4, 0x4005031f +.set CYDEV_P3BA_MSTR_HRDATA1, 0x40050320 +.set CYDEV_P3BA_MSTR_HRDATA2, 0x40050321 +.set CYDEV_P3BA_MSTR_HRDATA3, 0x40050322 +.set CYDEV_P3BA_MSTR_HRDATA4, 0x40050323 +.set CYDEV_P3BA_BIST_EN, 0x40050324 +.set CYDEV_P3BA_PHUB_MASTER_SSR, 0x40050325 +.set CYDEV_P3BA_SEQCFG1, 0x40050326 +.set CYDEV_P3BA_SEQCFG2, 0x40050327 +.set CYDEV_P3BA_Y_CURR, 0x40050328 +.set CYDEV_P3BA_X_CURR1, 0x40050329 +.set CYDEV_P3BA_X_CURR2, 0x4005032a +.set CYDEV_PANTHER_BASE, 0x40080000 +.set CYDEV_PANTHER_SIZE, 0x00000020 +.set CYDEV_PANTHER_STCALIB_CFG, 0x40080000 +.set CYDEV_PANTHER_WAITPIPE, 0x40080004 +.set CYDEV_PANTHER_TRACE_CFG, 0x40080008 +.set CYDEV_PANTHER_DBG_CFG, 0x4008000c +.set CYDEV_PANTHER_CM3_LCKRST_STAT, 0x40080018 +.set CYDEV_PANTHER_DEVICE_ID, 0x4008001c +.set CYDEV_FLSECC_BASE, 0x48000000 +.set CYDEV_FLSECC_SIZE, 0x00008000 +.set CYDEV_FLSECC_DATA_MBASE, 0x48000000 +.set CYDEV_FLSECC_DATA_MSIZE, 0x00008000 +.set CYDEV_FLSHID_BASE, 0x49000000 +.set CYDEV_FLSHID_SIZE, 0x00000200 +.set CYDEV_FLSHID_RSVD_MBASE, 0x49000000 +.set CYDEV_FLSHID_RSVD_MSIZE, 0x00000080 +.set CYDEV_FLSHID_CUST_MDATA_MBASE, 0x49000080 +.set CYDEV_FLSHID_CUST_MDATA_MSIZE, 0x00000080 +.set CYDEV_FLSHID_CUST_TABLES_BASE, 0x49000100 +.set CYDEV_FLSHID_CUST_TABLES_SIZE, 0x00000040 +.set CYDEV_FLSHID_CUST_TABLES_Y_LOC, 0x49000100 +.set CYDEV_FLSHID_CUST_TABLES_X_LOC, 0x49000101 +.set CYDEV_FLSHID_CUST_TABLES_WAFER_NUM, 0x49000102 +.set CYDEV_FLSHID_CUST_TABLES_LOT_LSB, 0x49000103 +.set CYDEV_FLSHID_CUST_TABLES_LOT_MSB, 0x49000104 +.set CYDEV_FLSHID_CUST_TABLES_WRK_WK, 0x49000105 +.set CYDEV_FLSHID_CUST_TABLES_FAB_YR, 0x49000106 +.set CYDEV_FLSHID_CUST_TABLES_MINOR, 0x49000107 +.set CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ, 0x49000108 +.set CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ, 0x49000109 +.set CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ, 0x4900010a +.set CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ, 0x4900010b +.set CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ, 0x4900010c +.set CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ, 0x4900010d +.set CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ, 0x4900010e +.set CYDEV_FLSHID_CUST_TABLES_IMO_USB, 0x4900010f +.set CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS, 0x49000110 +.set CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS, 0x49000111 +.set CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS, 0x49000112 +.set CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS, 0x49000113 +.set CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS, 0x49000114 +.set CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS, 0x49000115 +.set CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS, 0x49000116 +.set CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS, 0x49000117 +.set CYDEV_FLSHID_CUST_TABLES_DEC_M1, 0x49000118 +.set CYDEV_FLSHID_CUST_TABLES_DEC_M2, 0x49000119 +.set CYDEV_FLSHID_CUST_TABLES_DEC_M3, 0x4900011a +.set CYDEV_FLSHID_CUST_TABLES_DEC_M4, 0x4900011b +.set CYDEV_FLSHID_CUST_TABLES_DEC_M5, 0x4900011c +.set CYDEV_FLSHID_CUST_TABLES_DEC_M6, 0x4900011d +.set CYDEV_FLSHID_CUST_TABLES_DEC_M7, 0x4900011e +.set CYDEV_FLSHID_CUST_TABLES_DEC_M8, 0x4900011f +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M1, 0x49000120 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M2, 0x49000121 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M3, 0x49000122 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M4, 0x49000123 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M5, 0x49000124 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M6, 0x49000125 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M7, 0x49000126 +.set CYDEV_FLSHID_CUST_TABLES_DAC0_M8, 0x49000127 +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M1, 0x49000128 +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M2, 0x49000129 +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M3, 0x4900012a +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M4, 0x4900012b +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M5, 0x4900012c +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M6, 0x4900012d +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M7, 0x4900012e +.set CYDEV_FLSHID_CUST_TABLES_DAC2_M8, 0x4900012f +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M1, 0x49000130 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M2, 0x49000131 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M3, 0x49000132 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M4, 0x49000133 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M5, 0x49000134 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M6, 0x49000135 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M7, 0x49000136 +.set CYDEV_FLSHID_CUST_TABLES_DAC1_M8, 0x49000137 +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M1, 0x49000138 +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M2, 0x49000139 +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M3, 0x4900013a +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M4, 0x4900013b +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M5, 0x4900013c +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M6, 0x4900013d +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M7, 0x4900013e +.set CYDEV_FLSHID_CUST_TABLES_DAC3_M8, 0x4900013f +.set CYDEV_FLSHID_MFG_CFG_BASE, 0x49000180 +.set CYDEV_FLSHID_MFG_CFG_SIZE, 0x00000080 +.set CYDEV_FLSHID_MFG_CFG_IMO_TR1, 0x49000188 +.set CYDEV_FLSHID_MFG_CFG_CMP0_TR0, 0x490001ac +.set CYDEV_FLSHID_MFG_CFG_CMP1_TR0, 0x490001ae +.set CYDEV_FLSHID_MFG_CFG_CMP2_TR0, 0x490001b0 +.set CYDEV_FLSHID_MFG_CFG_CMP3_TR0, 0x490001b2 +.set CYDEV_FLSHID_MFG_CFG_CMP0_TR1, 0x490001b4 +.set CYDEV_FLSHID_MFG_CFG_CMP1_TR1, 0x490001b6 +.set CYDEV_FLSHID_MFG_CFG_CMP2_TR1, 0x490001b8 +.set CYDEV_FLSHID_MFG_CFG_CMP3_TR1, 0x490001ba +.set CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM, 0x490001ce +.set CYDEV_EXTMEM_BASE, 0x60000000 +.set CYDEV_EXTMEM_SIZE, 0x00800000 +.set CYDEV_EXTMEM_DATA_MBASE, 0x60000000 +.set CYDEV_EXTMEM_DATA_MSIZE, 0x00800000 +.set CYDEV_ITM_BASE, 0xe0000000 +.set CYDEV_ITM_SIZE, 0x00001000 +.set CYDEV_ITM_TRACE_EN, 0xe0000e00 +.set CYDEV_ITM_TRACE_PRIVILEGE, 0xe0000e40 +.set CYDEV_ITM_TRACE_CTRL, 0xe0000e80 +.set CYDEV_ITM_LOCK_ACCESS, 0xe0000fb0 +.set CYDEV_ITM_LOCK_STATUS, 0xe0000fb4 +.set CYDEV_ITM_PID4, 0xe0000fd0 +.set CYDEV_ITM_PID5, 0xe0000fd4 +.set CYDEV_ITM_PID6, 0xe0000fd8 +.set CYDEV_ITM_PID7, 0xe0000fdc +.set CYDEV_ITM_PID0, 0xe0000fe0 +.set CYDEV_ITM_PID1, 0xe0000fe4 +.set CYDEV_ITM_PID2, 0xe0000fe8 +.set CYDEV_ITM_PID3, 0xe0000fec +.set CYDEV_ITM_CID0, 0xe0000ff0 +.set CYDEV_ITM_CID1, 0xe0000ff4 +.set CYDEV_ITM_CID2, 0xe0000ff8 +.set CYDEV_ITM_CID3, 0xe0000ffc +.set CYDEV_DWT_BASE, 0xe0001000 +.set CYDEV_DWT_SIZE, 0x0000005c +.set CYDEV_DWT_CTRL, 0xe0001000 +.set CYDEV_DWT_CYCLE_COUNT, 0xe0001004 +.set CYDEV_DWT_CPI_COUNT, 0xe0001008 +.set CYDEV_DWT_EXC_OVHD_COUNT, 0xe000100c +.set CYDEV_DWT_SLEEP_COUNT, 0xe0001010 +.set CYDEV_DWT_LSU_COUNT, 0xe0001014 +.set CYDEV_DWT_FOLD_COUNT, 0xe0001018 +.set CYDEV_DWT_PC_SAMPLE, 0xe000101c +.set CYDEV_DWT_COMP_0, 0xe0001020 +.set CYDEV_DWT_MASK_0, 0xe0001024 +.set CYDEV_DWT_FUNCTION_0, 0xe0001028 +.set CYDEV_DWT_COMP_1, 0xe0001030 +.set CYDEV_DWT_MASK_1, 0xe0001034 +.set CYDEV_DWT_FUNCTION_1, 0xe0001038 +.set CYDEV_DWT_COMP_2, 0xe0001040 +.set CYDEV_DWT_MASK_2, 0xe0001044 +.set CYDEV_DWT_FUNCTION_2, 0xe0001048 +.set CYDEV_DWT_COMP_3, 0xe0001050 +.set CYDEV_DWT_MASK_3, 0xe0001054 +.set CYDEV_DWT_FUNCTION_3, 0xe0001058 +.set CYDEV_FPB_BASE, 0xe0002000 +.set CYDEV_FPB_SIZE, 0x00001000 +.set CYDEV_FPB_CTRL, 0xe0002000 +.set CYDEV_FPB_REMAP, 0xe0002004 +.set CYDEV_FPB_FP_COMP_0, 0xe0002008 +.set CYDEV_FPB_FP_COMP_1, 0xe000200c +.set CYDEV_FPB_FP_COMP_2, 0xe0002010 +.set CYDEV_FPB_FP_COMP_3, 0xe0002014 +.set CYDEV_FPB_FP_COMP_4, 0xe0002018 +.set CYDEV_FPB_FP_COMP_5, 0xe000201c +.set CYDEV_FPB_FP_COMP_6, 0xe0002020 +.set CYDEV_FPB_FP_COMP_7, 0xe0002024 +.set CYDEV_FPB_PID4, 0xe0002fd0 +.set CYDEV_FPB_PID5, 0xe0002fd4 +.set CYDEV_FPB_PID6, 0xe0002fd8 +.set CYDEV_FPB_PID7, 0xe0002fdc +.set CYDEV_FPB_PID0, 0xe0002fe0 +.set CYDEV_FPB_PID1, 0xe0002fe4 +.set CYDEV_FPB_PID2, 0xe0002fe8 +.set CYDEV_FPB_PID3, 0xe0002fec +.set CYDEV_FPB_CID0, 0xe0002ff0 +.set CYDEV_FPB_CID1, 0xe0002ff4 +.set CYDEV_FPB_CID2, 0xe0002ff8 +.set CYDEV_FPB_CID3, 0xe0002ffc +.set CYDEV_NVIC_BASE, 0xe000e000 +.set CYDEV_NVIC_SIZE, 0x00000d3c +.set CYDEV_NVIC_INT_CTL_TYPE, 0xe000e004 +.set CYDEV_NVIC_SYSTICK_CTL, 0xe000e010 +.set CYDEV_NVIC_SYSTICK_RELOAD, 0xe000e014 +.set CYDEV_NVIC_SYSTICK_CURRENT, 0xe000e018 +.set CYDEV_NVIC_SYSTICK_CAL, 0xe000e01c +.set CYDEV_NVIC_SETENA0, 0xe000e100 +.set CYDEV_NVIC_CLRENA0, 0xe000e180 +.set CYDEV_NVIC_SETPEND0, 0xe000e200 +.set CYDEV_NVIC_CLRPEND0, 0xe000e280 +.set CYDEV_NVIC_ACTIVE0, 0xe000e300 +.set CYDEV_NVIC_PRI_0, 0xe000e400 +.set CYDEV_NVIC_PRI_1, 0xe000e401 +.set CYDEV_NVIC_PRI_2, 0xe000e402 +.set CYDEV_NVIC_PRI_3, 0xe000e403 +.set CYDEV_NVIC_PRI_4, 0xe000e404 +.set CYDEV_NVIC_PRI_5, 0xe000e405 +.set CYDEV_NVIC_PRI_6, 0xe000e406 +.set CYDEV_NVIC_PRI_7, 0xe000e407 +.set CYDEV_NVIC_PRI_8, 0xe000e408 +.set CYDEV_NVIC_PRI_9, 0xe000e409 +.set CYDEV_NVIC_PRI_10, 0xe000e40a +.set CYDEV_NVIC_PRI_11, 0xe000e40b +.set CYDEV_NVIC_PRI_12, 0xe000e40c +.set CYDEV_NVIC_PRI_13, 0xe000e40d +.set CYDEV_NVIC_PRI_14, 0xe000e40e +.set CYDEV_NVIC_PRI_15, 0xe000e40f +.set CYDEV_NVIC_PRI_16, 0xe000e410 +.set CYDEV_NVIC_PRI_17, 0xe000e411 +.set CYDEV_NVIC_PRI_18, 0xe000e412 +.set CYDEV_NVIC_PRI_19, 0xe000e413 +.set CYDEV_NVIC_PRI_20, 0xe000e414 +.set CYDEV_NVIC_PRI_21, 0xe000e415 +.set CYDEV_NVIC_PRI_22, 0xe000e416 +.set CYDEV_NVIC_PRI_23, 0xe000e417 +.set CYDEV_NVIC_PRI_24, 0xe000e418 +.set CYDEV_NVIC_PRI_25, 0xe000e419 +.set CYDEV_NVIC_PRI_26, 0xe000e41a +.set CYDEV_NVIC_PRI_27, 0xe000e41b +.set CYDEV_NVIC_PRI_28, 0xe000e41c +.set CYDEV_NVIC_PRI_29, 0xe000e41d +.set CYDEV_NVIC_PRI_30, 0xe000e41e +.set CYDEV_NVIC_PRI_31, 0xe000e41f +.set CYDEV_NVIC_CPUID_BASE, 0xe000ed00 +.set CYDEV_NVIC_INTR_CTRL_STATE, 0xe000ed04 +.set CYDEV_NVIC_VECT_OFFSET, 0xe000ed08 +.set CYDEV_NVIC_APPLN_INTR, 0xe000ed0c +.set CYDEV_NVIC_SYSTEM_CONTROL, 0xe000ed10 +.set CYDEV_NVIC_CFG_CONTROL, 0xe000ed14 +.set CYDEV_NVIC_SYS_PRIO_HANDLER_4_7, 0xe000ed18 +.set CYDEV_NVIC_SYS_PRIO_HANDLER_8_11, 0xe000ed1c +.set CYDEV_NVIC_SYS_PRIO_HANDLER_12_15, 0xe000ed20 +.set CYDEV_NVIC_SYS_HANDLER_CSR, 0xe000ed24 +.set CYDEV_NVIC_MEMMAN_FAULT_STATUS, 0xe000ed28 +.set CYDEV_NVIC_BUS_FAULT_STATUS, 0xe000ed29 +.set CYDEV_NVIC_USAGE_FAULT_STATUS, 0xe000ed2a +.set CYDEV_NVIC_HARD_FAULT_STATUS, 0xe000ed2c +.set CYDEV_NVIC_DEBUG_FAULT_STATUS, 0xe000ed30 +.set CYDEV_NVIC_MEMMAN_FAULT_ADD, 0xe000ed34 +.set CYDEV_NVIC_BUS_FAULT_ADD, 0xe000ed38 +.set CYDEV_CORE_DBG_BASE, 0xe000edf0 +.set CYDEV_CORE_DBG_SIZE, 0x00000010 +.set CYDEV_CORE_DBG_DBG_HLT_CS, 0xe000edf0 +.set CYDEV_CORE_DBG_DBG_REG_SEL, 0xe000edf4 +.set CYDEV_CORE_DBG_DBG_REG_DATA, 0xe000edf8 +.set CYDEV_CORE_DBG_EXC_MON_CTL, 0xe000edfc +.set CYDEV_TPIU_BASE, 0xe0040000 +.set CYDEV_TPIU_SIZE, 0x00001000 +.set CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ, 0xe0040000 +.set CYDEV_TPIU_CURRENT_SYNC_PRT_SZ, 0xe0040004 +.set CYDEV_TPIU_ASYNC_CLK_PRESCALER, 0xe0040010 +.set CYDEV_TPIU_PROTOCOL, 0xe00400f0 +.set CYDEV_TPIU_FORM_FLUSH_STAT, 0xe0040300 +.set CYDEV_TPIU_FORM_FLUSH_CTRL, 0xe0040304 +.set CYDEV_TPIU_TRIGGER, 0xe0040ee8 +.set CYDEV_TPIU_ITETMDATA, 0xe0040eec +.set CYDEV_TPIU_ITATBCTR2, 0xe0040ef0 +.set CYDEV_TPIU_ITATBCTR0, 0xe0040ef8 +.set CYDEV_TPIU_ITITMDATA, 0xe0040efc +.set CYDEV_TPIU_ITCTRL, 0xe0040f00 +.set CYDEV_TPIU_DEVID, 0xe0040fc8 +.set CYDEV_TPIU_DEVTYPE, 0xe0040fcc +.set CYDEV_TPIU_PID4, 0xe0040fd0 +.set CYDEV_TPIU_PID5, 0xe0040fd4 +.set CYDEV_TPIU_PID6, 0xe0040fd8 +.set CYDEV_TPIU_PID7, 0xe0040fdc +.set CYDEV_TPIU_PID0, 0xe0040fe0 +.set CYDEV_TPIU_PID1, 0xe0040fe4 +.set CYDEV_TPIU_PID2, 0xe0040fe8 +.set CYDEV_TPIU_PID3, 0xe0040fec +.set CYDEV_TPIU_CID0, 0xe0040ff0 +.set CYDEV_TPIU_CID1, 0xe0040ff4 +.set CYDEV_TPIU_CID2, 0xe0040ff8 +.set CYDEV_TPIU_CID3, 0xe0040ffc +.set CYDEV_ETM_BASE, 0xe0041000 +.set CYDEV_ETM_SIZE, 0x00001000 +.set CYDEV_ETM_CTL, 0xe0041000 +.set CYDEV_ETM_CFG_CODE, 0xe0041004 +.set CYDEV_ETM_TRIG_EVENT, 0xe0041008 +.set CYDEV_ETM_STATUS, 0xe0041010 +.set CYDEV_ETM_SYS_CFG, 0xe0041014 +.set CYDEV_ETM_TRACE_ENB_EVENT, 0xe0041020 +.set CYDEV_ETM_TRACE_EN_CTRL1, 0xe0041024 +.set CYDEV_ETM_FIFOFULL_LEVEL, 0xe004102c +.set CYDEV_ETM_SYNC_FREQ, 0xe00411e0 +.set CYDEV_ETM_ETM_ID, 0xe00411e4 +.set CYDEV_ETM_CFG_CODE_EXT, 0xe00411e8 +.set CYDEV_ETM_TR_SS_EMBICE_CTRL, 0xe00411f0 +.set CYDEV_ETM_CS_TRACE_ID, 0xe0041200 +.set CYDEV_ETM_OS_LOCK_ACCESS, 0xe0041300 +.set CYDEV_ETM_OS_LOCK_STATUS, 0xe0041304 +.set CYDEV_ETM_PDSR, 0xe0041314 +.set CYDEV_ETM_ITMISCIN, 0xe0041ee0 +.set CYDEV_ETM_ITTRIGOUT, 0xe0041ee8 +.set CYDEV_ETM_ITATBCTR2, 0xe0041ef0 +.set CYDEV_ETM_ITATBCTR0, 0xe0041ef8 +.set CYDEV_ETM_INT_MODE_CTRL, 0xe0041f00 +.set CYDEV_ETM_CLM_TAG_SET, 0xe0041fa0 +.set CYDEV_ETM_CLM_TAG_CLR, 0xe0041fa4 +.set CYDEV_ETM_LOCK_ACCESS, 0xe0041fb0 +.set CYDEV_ETM_LOCK_STATUS, 0xe0041fb4 +.set CYDEV_ETM_AUTH_STATUS, 0xe0041fb8 +.set CYDEV_ETM_DEV_TYPE, 0xe0041fcc +.set CYDEV_ETM_PID4, 0xe0041fd0 +.set CYDEV_ETM_PID5, 0xe0041fd4 +.set CYDEV_ETM_PID6, 0xe0041fd8 +.set CYDEV_ETM_PID7, 0xe0041fdc +.set CYDEV_ETM_PID0, 0xe0041fe0 +.set CYDEV_ETM_PID1, 0xe0041fe4 +.set CYDEV_ETM_PID2, 0xe0041fe8 +.set CYDEV_ETM_PID3, 0xe0041fec +.set CYDEV_ETM_CID0, 0xe0041ff0 +.set CYDEV_ETM_CID1, 0xe0041ff4 +.set CYDEV_ETM_CID2, 0xe0041ff8 +.set CYDEV_ETM_CID3, 0xe0041ffc +.set CYDEV_ROM_TABLE_BASE, 0xe00ff000 +.set CYDEV_ROM_TABLE_SIZE, 0x00001000 +.set CYDEV_ROM_TABLE_NVIC, 0xe00ff000 +.set CYDEV_ROM_TABLE_DWT, 0xe00ff004 +.set CYDEV_ROM_TABLE_FPB, 0xe00ff008 +.set CYDEV_ROM_TABLE_ITM, 0xe00ff00c +.set CYDEV_ROM_TABLE_TPIU, 0xe00ff010 +.set CYDEV_ROM_TABLE_ETM, 0xe00ff014 +.set CYDEV_ROM_TABLE_END, 0xe00ff018 +.set CYDEV_ROM_TABLE_MEMTYPE, 0xe00fffcc +.set CYDEV_ROM_TABLE_PID4, 0xe00fffd0 +.set CYDEV_ROM_TABLE_PID5, 0xe00fffd4 +.set CYDEV_ROM_TABLE_PID6, 0xe00fffd8 +.set CYDEV_ROM_TABLE_PID7, 0xe00fffdc +.set CYDEV_ROM_TABLE_PID0, 0xe00fffe0 +.set CYDEV_ROM_TABLE_PID1, 0xe00fffe4 +.set CYDEV_ROM_TABLE_PID2, 0xe00fffe8 +.set CYDEV_ROM_TABLE_PID3, 0xe00fffec +.set CYDEV_ROM_TABLE_CID0, 0xe00ffff0 +.set CYDEV_ROM_TABLE_CID1, 0xe00ffff4 +.set CYDEV_ROM_TABLE_CID2, 0xe00ffff8 +.set CYDEV_ROM_TABLE_CID3, 0xe00ffffc +.set CYDEV_FLS_SIZE, CYDEV_FLASH_SIZE +.set CYDEV_ECC_BASE, CYDEV_FLSECC_BASE +.set CYDEV_FLS_SECTOR_SIZE, 0x00010000 +.set CYDEV_FLS_ROW_SIZE, 0x00000100 +.set CYDEV_ECC_SECTOR_SIZE, 0x00002000 +.set CYDEV_ECC_ROW_SIZE, 0x00000020 +.set CYDEV_EEPROM_SECTOR_SIZE, 0x00000400 +.set CYDEV_EEPROM_ROW_SIZE, 0x00000010 +.set CYDEV_PERIPH_BASE, CYDEV_CLKDIST_BASE +.set CYCLK_LD_DISABLE, 0x00000004 +.set CYCLK_LD_SYNC_EN, 0x00000002 +.set CYCLK_LD_LOAD, 0x00000001 +.set CYCLK_PIPE, 0x00000080 +.set CYCLK_SSS, 0x00000040 +.set CYCLK_EARLY, 0x00000020 +.set CYCLK_DUTY, 0x00000010 +.set CYCLK_SYNC, 0x00000008 +.set CYCLK_SRC_SEL_CLK_SYNC_D, 0 +.set CYCLK_SRC_SEL_SYNC_DIG, 0 +.set CYCLK_SRC_SEL_IMO, 1 +.set CYCLK_SRC_SEL_XTAL_MHZ, 2 +.set CYCLK_SRC_SEL_XTALM, 2 +.set CYCLK_SRC_SEL_ILO, 3 +.set CYCLK_SRC_SEL_PLL, 4 +.set CYCLK_SRC_SEL_XTAL_KHZ, 5 +.set CYCLK_SRC_SEL_XTALK, 5 +.set CYCLK_SRC_SEL_DSI_G, 6 +.set CYCLK_SRC_SEL_DSI_D, 7 +.set CYCLK_SRC_SEL_CLK_SYNC_A, 0 +.set CYCLK_SRC_SEL_DSI_A, 7 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc new file mode 100644 index 0000000..93a6029 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicegnu_trm.inc @@ -0,0 +1,5356 @@ +/******************************************************************************* +* FILENAME: cydevicegnu_trm.inc +* +* PSoC Creator 2.2 Component Pack 6 +* +* DESCRIPTION: +* This file provides all of the address values for the entire PSoC device. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +.set CYDEV_FLASH_BASE, 0x00000000 +.set CYDEV_FLASH_SIZE, 0x00040000 +.set CYREG_FLASH_DATA_MBASE, 0x00000000 +.set CYREG_FLASH_DATA_MSIZE, 0x00040000 +.set CYDEV_SRAM_BASE, 0x1fff8000 +.set CYDEV_SRAM_SIZE, 0x00010000 +.set CYREG_SRAM_CODE64K_MBASE, 0x1fff8000 +.set CYREG_SRAM_CODE64K_MSIZE, 0x00004000 +.set CYREG_SRAM_CODE32K_MBASE, 0x1fffc000 +.set CYREG_SRAM_CODE32K_MSIZE, 0x00002000 +.set CYREG_SRAM_CODE16K_MBASE, 0x1fffe000 +.set CYREG_SRAM_CODE16K_MSIZE, 0x00001000 +.set CYREG_SRAM_CODE_MBASE, 0x1fff8000 +.set CYREG_SRAM_CODE_MSIZE, 0x00008000 +.set CYREG_SRAM_DATA_MBASE, 0x20000000 +.set CYREG_SRAM_DATA_MSIZE, 0x00008000 +.set CYREG_SRAM_DATA16K_MBASE, 0x20001000 +.set CYREG_SRAM_DATA16K_MSIZE, 0x00001000 +.set CYREG_SRAM_DATA32K_MBASE, 0x20002000 +.set CYREG_SRAM_DATA32K_MSIZE, 0x00002000 +.set CYREG_SRAM_DATA64K_MBASE, 0x20004000 +.set CYREG_SRAM_DATA64K_MSIZE, 0x00004000 +.set CYDEV_DMA_BASE, 0x20008000 +.set CYDEV_DMA_SIZE, 0x00008000 +.set CYREG_DMA_SRAM64K_MBASE, 0x20008000 +.set CYREG_DMA_SRAM64K_MSIZE, 0x00004000 +.set CYREG_DMA_SRAM32K_MBASE, 0x2000c000 +.set CYREG_DMA_SRAM32K_MSIZE, 0x00002000 +.set CYREG_DMA_SRAM16K_MBASE, 0x2000e000 +.set CYREG_DMA_SRAM16K_MSIZE, 0x00001000 +.set CYREG_DMA_SRAM_MBASE, 0x2000f000 +.set CYREG_DMA_SRAM_MSIZE, 0x00001000 +.set CYDEV_CLKDIST_BASE, 0x40004000 +.set CYDEV_CLKDIST_SIZE, 0x00000110 +.set CYREG_CLKDIST_CR, 0x40004000 +.set CYREG_CLKDIST_LD, 0x40004001 +.set CYREG_CLKDIST_WRK0, 0x40004002 +.set CYREG_CLKDIST_WRK1, 0x40004003 +.set CYREG_CLKDIST_MSTR0, 0x40004004 +.set CYREG_CLKDIST_MSTR1, 0x40004005 +.set CYREG_CLKDIST_BCFG0, 0x40004006 +.set CYREG_CLKDIST_BCFG1, 0x40004007 +.set CYREG_CLKDIST_BCFG2, 0x40004008 +.set CYREG_CLKDIST_UCFG, 0x40004009 +.set CYREG_CLKDIST_DLY0, 0x4000400a +.set CYREG_CLKDIST_DLY1, 0x4000400b +.set CYREG_CLKDIST_DMASK, 0x40004010 +.set CYREG_CLKDIST_AMASK, 0x40004014 +.set CYDEV_CLKDIST_DCFG0_BASE, 0x40004080 +.set CYDEV_CLKDIST_DCFG0_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG0_CFG0, 0x40004080 +.set CYREG_CLKDIST_DCFG0_CFG1, 0x40004081 +.set CYREG_CLKDIST_DCFG0_CFG2, 0x40004082 +.set CYDEV_CLKDIST_DCFG1_BASE, 0x40004084 +.set CYDEV_CLKDIST_DCFG1_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG1_CFG0, 0x40004084 +.set CYREG_CLKDIST_DCFG1_CFG1, 0x40004085 +.set CYREG_CLKDIST_DCFG1_CFG2, 0x40004086 +.set CYDEV_CLKDIST_DCFG2_BASE, 0x40004088 +.set CYDEV_CLKDIST_DCFG2_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG2_CFG0, 0x40004088 +.set CYREG_CLKDIST_DCFG2_CFG1, 0x40004089 +.set CYREG_CLKDIST_DCFG2_CFG2, 0x4000408a +.set CYDEV_CLKDIST_DCFG3_BASE, 0x4000408c +.set CYDEV_CLKDIST_DCFG3_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG3_CFG0, 0x4000408c +.set CYREG_CLKDIST_DCFG3_CFG1, 0x4000408d +.set CYREG_CLKDIST_DCFG3_CFG2, 0x4000408e +.set CYDEV_CLKDIST_DCFG4_BASE, 0x40004090 +.set CYDEV_CLKDIST_DCFG4_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG4_CFG0, 0x40004090 +.set CYREG_CLKDIST_DCFG4_CFG1, 0x40004091 +.set CYREG_CLKDIST_DCFG4_CFG2, 0x40004092 +.set CYDEV_CLKDIST_DCFG5_BASE, 0x40004094 +.set CYDEV_CLKDIST_DCFG5_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG5_CFG0, 0x40004094 +.set CYREG_CLKDIST_DCFG5_CFG1, 0x40004095 +.set CYREG_CLKDIST_DCFG5_CFG2, 0x40004096 +.set CYDEV_CLKDIST_DCFG6_BASE, 0x40004098 +.set CYDEV_CLKDIST_DCFG6_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG6_CFG0, 0x40004098 +.set CYREG_CLKDIST_DCFG6_CFG1, 0x40004099 +.set CYREG_CLKDIST_DCFG6_CFG2, 0x4000409a +.set CYDEV_CLKDIST_DCFG7_BASE, 0x4000409c +.set CYDEV_CLKDIST_DCFG7_SIZE, 0x00000003 +.set CYREG_CLKDIST_DCFG7_CFG0, 0x4000409c +.set CYREG_CLKDIST_DCFG7_CFG1, 0x4000409d +.set CYREG_CLKDIST_DCFG7_CFG2, 0x4000409e +.set CYDEV_CLKDIST_ACFG0_BASE, 0x40004100 +.set CYDEV_CLKDIST_ACFG0_SIZE, 0x00000004 +.set CYREG_CLKDIST_ACFG0_CFG0, 0x40004100 +.set CYREG_CLKDIST_ACFG0_CFG1, 0x40004101 +.set CYREG_CLKDIST_ACFG0_CFG2, 0x40004102 +.set CYREG_CLKDIST_ACFG0_CFG3, 0x40004103 +.set CYDEV_CLKDIST_ACFG1_BASE, 0x40004104 +.set CYDEV_CLKDIST_ACFG1_SIZE, 0x00000004 +.set CYREG_CLKDIST_ACFG1_CFG0, 0x40004104 +.set CYREG_CLKDIST_ACFG1_CFG1, 0x40004105 +.set CYREG_CLKDIST_ACFG1_CFG2, 0x40004106 +.set CYREG_CLKDIST_ACFG1_CFG3, 0x40004107 +.set CYDEV_CLKDIST_ACFG2_BASE, 0x40004108 +.set CYDEV_CLKDIST_ACFG2_SIZE, 0x00000004 +.set CYREG_CLKDIST_ACFG2_CFG0, 0x40004108 +.set CYREG_CLKDIST_ACFG2_CFG1, 0x40004109 +.set CYREG_CLKDIST_ACFG2_CFG2, 0x4000410a +.set CYREG_CLKDIST_ACFG2_CFG3, 0x4000410b +.set CYDEV_CLKDIST_ACFG3_BASE, 0x4000410c +.set CYDEV_CLKDIST_ACFG3_SIZE, 0x00000004 +.set CYREG_CLKDIST_ACFG3_CFG0, 0x4000410c +.set CYREG_CLKDIST_ACFG3_CFG1, 0x4000410d +.set CYREG_CLKDIST_ACFG3_CFG2, 0x4000410e +.set CYREG_CLKDIST_ACFG3_CFG3, 0x4000410f +.set CYDEV_FASTCLK_BASE, 0x40004200 +.set CYDEV_FASTCLK_SIZE, 0x00000026 +.set CYDEV_FASTCLK_IMO_BASE, 0x40004200 +.set CYDEV_FASTCLK_IMO_SIZE, 0x00000001 +.set CYREG_FASTCLK_IMO_CR, 0x40004200 +.set CYDEV_FASTCLK_XMHZ_BASE, 0x40004210 +.set CYDEV_FASTCLK_XMHZ_SIZE, 0x00000004 +.set CYREG_FASTCLK_XMHZ_CSR, 0x40004210 +.set CYREG_FASTCLK_XMHZ_CFG0, 0x40004212 +.set CYREG_FASTCLK_XMHZ_CFG1, 0x40004213 +.set CYDEV_FASTCLK_PLL_BASE, 0x40004220 +.set CYDEV_FASTCLK_PLL_SIZE, 0x00000006 +.set CYREG_FASTCLK_PLL_CFG0, 0x40004220 +.set CYREG_FASTCLK_PLL_CFG1, 0x40004221 +.set CYREG_FASTCLK_PLL_P, 0x40004222 +.set CYREG_FASTCLK_PLL_Q, 0x40004223 +.set CYREG_FASTCLK_PLL_SR, 0x40004225 +.set CYDEV_SLOWCLK_BASE, 0x40004300 +.set CYDEV_SLOWCLK_SIZE, 0x0000000b +.set CYDEV_SLOWCLK_ILO_BASE, 0x40004300 +.set CYDEV_SLOWCLK_ILO_SIZE, 0x00000002 +.set CYREG_SLOWCLK_ILO_CR0, 0x40004300 +.set CYREG_SLOWCLK_ILO_CR1, 0x40004301 +.set CYDEV_SLOWCLK_X32_BASE, 0x40004308 +.set CYDEV_SLOWCLK_X32_SIZE, 0x00000003 +.set CYREG_SLOWCLK_X32_CR, 0x40004308 +.set CYREG_SLOWCLK_X32_CFG, 0x40004309 +.set CYREG_SLOWCLK_X32_TST, 0x4000430a +.set CYDEV_BOOST_BASE, 0x40004320 +.set CYDEV_BOOST_SIZE, 0x00000007 +.set CYREG_BOOST_CR0, 0x40004320 +.set CYREG_BOOST_CR1, 0x40004321 +.set CYREG_BOOST_CR2, 0x40004322 +.set CYREG_BOOST_CR3, 0x40004323 +.set CYREG_BOOST_SR, 0x40004324 +.set CYREG_BOOST_CR4, 0x40004325 +.set CYREG_BOOST_SR2, 0x40004326 +.set CYDEV_PWRSYS_BASE, 0x40004330 +.set CYDEV_PWRSYS_SIZE, 0x00000002 +.set CYREG_PWRSYS_CR0, 0x40004330 +.set CYREG_PWRSYS_CR1, 0x40004331 +.set CYDEV_PM_BASE, 0x40004380 +.set CYDEV_PM_SIZE, 0x00000057 +.set CYREG_PM_TW_CFG0, 0x40004380 +.set CYREG_PM_TW_CFG1, 0x40004381 +.set CYREG_PM_TW_CFG2, 0x40004382 +.set CYREG_PM_WDT_CFG, 0x40004383 +.set CYREG_PM_WDT_CR, 0x40004384 +.set CYREG_PM_INT_SR, 0x40004390 +.set CYREG_PM_MODE_CFG0, 0x40004391 +.set CYREG_PM_MODE_CFG1, 0x40004392 +.set CYREG_PM_MODE_CSR, 0x40004393 +.set CYREG_PM_USB_CR0, 0x40004394 +.set CYREG_PM_WAKEUP_CFG0, 0x40004398 +.set CYREG_PM_WAKEUP_CFG1, 0x40004399 +.set CYREG_PM_WAKEUP_CFG2, 0x4000439a +.set CYDEV_PM_ACT_BASE, 0x400043a0 +.set CYDEV_PM_ACT_SIZE, 0x0000000e +.set CYREG_PM_ACT_CFG0, 0x400043a0 +.set CYREG_PM_ACT_CFG1, 0x400043a1 +.set CYREG_PM_ACT_CFG2, 0x400043a2 +.set CYREG_PM_ACT_CFG3, 0x400043a3 +.set CYREG_PM_ACT_CFG4, 0x400043a4 +.set CYREG_PM_ACT_CFG5, 0x400043a5 +.set CYREG_PM_ACT_CFG6, 0x400043a6 +.set CYREG_PM_ACT_CFG7, 0x400043a7 +.set CYREG_PM_ACT_CFG8, 0x400043a8 +.set CYREG_PM_ACT_CFG9, 0x400043a9 +.set CYREG_PM_ACT_CFG10, 0x400043aa +.set CYREG_PM_ACT_CFG11, 0x400043ab +.set CYREG_PM_ACT_CFG12, 0x400043ac +.set CYREG_PM_ACT_CFG13, 0x400043ad +.set CYDEV_PM_STBY_BASE, 0x400043b0 +.set CYDEV_PM_STBY_SIZE, 0x0000000e +.set CYREG_PM_STBY_CFG0, 0x400043b0 +.set CYREG_PM_STBY_CFG1, 0x400043b1 +.set CYREG_PM_STBY_CFG2, 0x400043b2 +.set CYREG_PM_STBY_CFG3, 0x400043b3 +.set CYREG_PM_STBY_CFG4, 0x400043b4 +.set CYREG_PM_STBY_CFG5, 0x400043b5 +.set CYREG_PM_STBY_CFG6, 0x400043b6 +.set CYREG_PM_STBY_CFG7, 0x400043b7 +.set CYREG_PM_STBY_CFG8, 0x400043b8 +.set CYREG_PM_STBY_CFG9, 0x400043b9 +.set CYREG_PM_STBY_CFG10, 0x400043ba +.set CYREG_PM_STBY_CFG11, 0x400043bb +.set CYREG_PM_STBY_CFG12, 0x400043bc +.set CYREG_PM_STBY_CFG13, 0x400043bd +.set CYDEV_PM_AVAIL_BASE, 0x400043c0 +.set CYDEV_PM_AVAIL_SIZE, 0x00000017 +.set CYREG_PM_AVAIL_CR0, 0x400043c0 +.set CYREG_PM_AVAIL_CR1, 0x400043c1 +.set CYREG_PM_AVAIL_CR2, 0x400043c2 +.set CYREG_PM_AVAIL_CR3, 0x400043c3 +.set CYREG_PM_AVAIL_CR4, 0x400043c4 +.set CYREG_PM_AVAIL_CR5, 0x400043c5 +.set CYREG_PM_AVAIL_CR6, 0x400043c6 +.set CYREG_PM_AVAIL_SR0, 0x400043d0 +.set CYREG_PM_AVAIL_SR1, 0x400043d1 +.set CYREG_PM_AVAIL_SR2, 0x400043d2 +.set CYREG_PM_AVAIL_SR3, 0x400043d3 +.set CYREG_PM_AVAIL_SR4, 0x400043d4 +.set CYREG_PM_AVAIL_SR5, 0x400043d5 +.set CYREG_PM_AVAIL_SR6, 0x400043d6 +.set CYDEV_PICU_BASE, 0x40004500 +.set CYDEV_PICU_SIZE, 0x000000b0 +.set CYDEV_PICU_INTTYPE_BASE, 0x40004500 +.set CYDEV_PICU_INTTYPE_SIZE, 0x00000080 +.set CYDEV_PICU_INTTYPE_PICU0_BASE, 0x40004500 +.set CYDEV_PICU_INTTYPE_PICU0_SIZE, 0x00000008 +.set CYREG_PICU0_INTTYPE0, 0x40004500 +.set CYREG_PICU0_INTTYPE1, 0x40004501 +.set CYREG_PICU0_INTTYPE2, 0x40004502 +.set CYREG_PICU0_INTTYPE3, 0x40004503 +.set CYREG_PICU0_INTTYPE4, 0x40004504 +.set CYREG_PICU0_INTTYPE5, 0x40004505 +.set CYREG_PICU0_INTTYPE6, 0x40004506 +.set CYREG_PICU0_INTTYPE7, 0x40004507 +.set CYDEV_PICU_INTTYPE_PICU1_BASE, 0x40004508 +.set CYDEV_PICU_INTTYPE_PICU1_SIZE, 0x00000008 +.set CYREG_PICU1_INTTYPE0, 0x40004508 +.set CYREG_PICU1_INTTYPE1, 0x40004509 +.set CYREG_PICU1_INTTYPE2, 0x4000450a +.set CYREG_PICU1_INTTYPE3, 0x4000450b +.set CYREG_PICU1_INTTYPE4, 0x4000450c +.set CYREG_PICU1_INTTYPE5, 0x4000450d +.set CYREG_PICU1_INTTYPE6, 0x4000450e +.set CYREG_PICU1_INTTYPE7, 0x4000450f +.set CYDEV_PICU_INTTYPE_PICU2_BASE, 0x40004510 +.set CYDEV_PICU_INTTYPE_PICU2_SIZE, 0x00000008 +.set CYREG_PICU2_INTTYPE0, 0x40004510 +.set CYREG_PICU2_INTTYPE1, 0x40004511 +.set CYREG_PICU2_INTTYPE2, 0x40004512 +.set CYREG_PICU2_INTTYPE3, 0x40004513 +.set CYREG_PICU2_INTTYPE4, 0x40004514 +.set CYREG_PICU2_INTTYPE5, 0x40004515 +.set CYREG_PICU2_INTTYPE6, 0x40004516 +.set CYREG_PICU2_INTTYPE7, 0x40004517 +.set CYDEV_PICU_INTTYPE_PICU3_BASE, 0x40004518 +.set CYDEV_PICU_INTTYPE_PICU3_SIZE, 0x00000008 +.set CYREG_PICU3_INTTYPE0, 0x40004518 +.set CYREG_PICU3_INTTYPE1, 0x40004519 +.set CYREG_PICU3_INTTYPE2, 0x4000451a +.set CYREG_PICU3_INTTYPE3, 0x4000451b +.set CYREG_PICU3_INTTYPE4, 0x4000451c +.set CYREG_PICU3_INTTYPE5, 0x4000451d +.set CYREG_PICU3_INTTYPE6, 0x4000451e +.set CYREG_PICU3_INTTYPE7, 0x4000451f +.set CYDEV_PICU_INTTYPE_PICU4_BASE, 0x40004520 +.set CYDEV_PICU_INTTYPE_PICU4_SIZE, 0x00000008 +.set CYREG_PICU4_INTTYPE0, 0x40004520 +.set CYREG_PICU4_INTTYPE1, 0x40004521 +.set CYREG_PICU4_INTTYPE2, 0x40004522 +.set CYREG_PICU4_INTTYPE3, 0x40004523 +.set CYREG_PICU4_INTTYPE4, 0x40004524 +.set CYREG_PICU4_INTTYPE5, 0x40004525 +.set CYREG_PICU4_INTTYPE6, 0x40004526 +.set CYREG_PICU4_INTTYPE7, 0x40004527 +.set CYDEV_PICU_INTTYPE_PICU5_BASE, 0x40004528 +.set CYDEV_PICU_INTTYPE_PICU5_SIZE, 0x00000008 +.set CYREG_PICU5_INTTYPE0, 0x40004528 +.set CYREG_PICU5_INTTYPE1, 0x40004529 +.set CYREG_PICU5_INTTYPE2, 0x4000452a +.set CYREG_PICU5_INTTYPE3, 0x4000452b +.set CYREG_PICU5_INTTYPE4, 0x4000452c +.set CYREG_PICU5_INTTYPE5, 0x4000452d +.set CYREG_PICU5_INTTYPE6, 0x4000452e +.set CYREG_PICU5_INTTYPE7, 0x4000452f +.set CYDEV_PICU_INTTYPE_PICU6_BASE, 0x40004530 +.set CYDEV_PICU_INTTYPE_PICU6_SIZE, 0x00000008 +.set CYREG_PICU6_INTTYPE0, 0x40004530 +.set CYREG_PICU6_INTTYPE1, 0x40004531 +.set CYREG_PICU6_INTTYPE2, 0x40004532 +.set CYREG_PICU6_INTTYPE3, 0x40004533 +.set CYREG_PICU6_INTTYPE4, 0x40004534 +.set CYREG_PICU6_INTTYPE5, 0x40004535 +.set CYREG_PICU6_INTTYPE6, 0x40004536 +.set CYREG_PICU6_INTTYPE7, 0x40004537 +.set CYDEV_PICU_INTTYPE_PICU12_BASE, 0x40004560 +.set CYDEV_PICU_INTTYPE_PICU12_SIZE, 0x00000008 +.set CYREG_PICU12_INTTYPE0, 0x40004560 +.set CYREG_PICU12_INTTYPE1, 0x40004561 +.set CYREG_PICU12_INTTYPE2, 0x40004562 +.set CYREG_PICU12_INTTYPE3, 0x40004563 +.set CYREG_PICU12_INTTYPE4, 0x40004564 +.set CYREG_PICU12_INTTYPE5, 0x40004565 +.set CYREG_PICU12_INTTYPE6, 0x40004566 +.set CYREG_PICU12_INTTYPE7, 0x40004567 +.set CYDEV_PICU_INTTYPE_PICU15_BASE, 0x40004578 +.set CYDEV_PICU_INTTYPE_PICU15_SIZE, 0x00000008 +.set CYREG_PICU15_INTTYPE0, 0x40004578 +.set CYREG_PICU15_INTTYPE1, 0x40004579 +.set CYREG_PICU15_INTTYPE2, 0x4000457a +.set CYREG_PICU15_INTTYPE3, 0x4000457b +.set CYREG_PICU15_INTTYPE4, 0x4000457c +.set CYREG_PICU15_INTTYPE5, 0x4000457d +.set CYREG_PICU15_INTTYPE6, 0x4000457e +.set CYREG_PICU15_INTTYPE7, 0x4000457f +.set CYDEV_PICU_STAT_BASE, 0x40004580 +.set CYDEV_PICU_STAT_SIZE, 0x00000010 +.set CYDEV_PICU_STAT_PICU0_BASE, 0x40004580 +.set CYDEV_PICU_STAT_PICU0_SIZE, 0x00000001 +.set CYREG_PICU0_INTSTAT, 0x40004580 +.set CYDEV_PICU_STAT_PICU1_BASE, 0x40004581 +.set CYDEV_PICU_STAT_PICU1_SIZE, 0x00000001 +.set CYREG_PICU1_INTSTAT, 0x40004581 +.set CYDEV_PICU_STAT_PICU2_BASE, 0x40004582 +.set CYDEV_PICU_STAT_PICU2_SIZE, 0x00000001 +.set CYREG_PICU2_INTSTAT, 0x40004582 +.set CYDEV_PICU_STAT_PICU3_BASE, 0x40004583 +.set CYDEV_PICU_STAT_PICU3_SIZE, 0x00000001 +.set CYREG_PICU3_INTSTAT, 0x40004583 +.set CYDEV_PICU_STAT_PICU4_BASE, 0x40004584 +.set CYDEV_PICU_STAT_PICU4_SIZE, 0x00000001 +.set CYREG_PICU4_INTSTAT, 0x40004584 +.set CYDEV_PICU_STAT_PICU5_BASE, 0x40004585 +.set CYDEV_PICU_STAT_PICU5_SIZE, 0x00000001 +.set CYREG_PICU5_INTSTAT, 0x40004585 +.set CYDEV_PICU_STAT_PICU6_BASE, 0x40004586 +.set CYDEV_PICU_STAT_PICU6_SIZE, 0x00000001 +.set CYREG_PICU6_INTSTAT, 0x40004586 +.set CYDEV_PICU_STAT_PICU12_BASE, 0x4000458c +.set CYDEV_PICU_STAT_PICU12_SIZE, 0x00000001 +.set CYREG_PICU12_INTSTAT, 0x4000458c +.set CYDEV_PICU_STAT_PICU15_BASE, 0x4000458f +.set CYDEV_PICU_STAT_PICU15_SIZE, 0x00000001 +.set CYREG_PICU15_INTSTAT, 0x4000458f +.set CYDEV_PICU_SNAP_BASE, 0x40004590 +.set CYDEV_PICU_SNAP_SIZE, 0x00000010 +.set CYDEV_PICU_SNAP_PICU0_BASE, 0x40004590 +.set CYDEV_PICU_SNAP_PICU0_SIZE, 0x00000001 +.set CYREG_PICU0_SNAP, 0x40004590 +.set CYDEV_PICU_SNAP_PICU1_BASE, 0x40004591 +.set CYDEV_PICU_SNAP_PICU1_SIZE, 0x00000001 +.set CYREG_PICU1_SNAP, 0x40004591 +.set CYDEV_PICU_SNAP_PICU2_BASE, 0x40004592 +.set CYDEV_PICU_SNAP_PICU2_SIZE, 0x00000001 +.set CYREG_PICU2_SNAP, 0x40004592 +.set CYDEV_PICU_SNAP_PICU3_BASE, 0x40004593 +.set CYDEV_PICU_SNAP_PICU3_SIZE, 0x00000001 +.set CYREG_PICU3_SNAP, 0x40004593 +.set CYDEV_PICU_SNAP_PICU4_BASE, 0x40004594 +.set CYDEV_PICU_SNAP_PICU4_SIZE, 0x00000001 +.set CYREG_PICU4_SNAP, 0x40004594 +.set CYDEV_PICU_SNAP_PICU5_BASE, 0x40004595 +.set CYDEV_PICU_SNAP_PICU5_SIZE, 0x00000001 +.set CYREG_PICU5_SNAP, 0x40004595 +.set CYDEV_PICU_SNAP_PICU6_BASE, 0x40004596 +.set CYDEV_PICU_SNAP_PICU6_SIZE, 0x00000001 +.set CYREG_PICU6_SNAP, 0x40004596 +.set CYDEV_PICU_SNAP_PICU12_BASE, 0x4000459c +.set CYDEV_PICU_SNAP_PICU12_SIZE, 0x00000001 +.set CYREG_PICU12_SNAP, 0x4000459c +.set CYDEV_PICU_SNAP_PICU_15_BASE, 0x4000459f +.set CYDEV_PICU_SNAP_PICU_15_SIZE, 0x00000001 +.set CYREG_PICU_15_SNAP_15, 0x4000459f +.set CYDEV_PICU_DISABLE_COR_BASE, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_SIZE, 0x00000010 +.set CYDEV_PICU_DISABLE_COR_PICU0_BASE, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_PICU0_SIZE, 0x00000001 +.set CYREG_PICU0_DISABLE_COR, 0x400045a0 +.set CYDEV_PICU_DISABLE_COR_PICU1_BASE, 0x400045a1 +.set CYDEV_PICU_DISABLE_COR_PICU1_SIZE, 0x00000001 +.set CYREG_PICU1_DISABLE_COR, 0x400045a1 +.set CYDEV_PICU_DISABLE_COR_PICU2_BASE, 0x400045a2 +.set CYDEV_PICU_DISABLE_COR_PICU2_SIZE, 0x00000001 +.set CYREG_PICU2_DISABLE_COR, 0x400045a2 +.set CYDEV_PICU_DISABLE_COR_PICU3_BASE, 0x400045a3 +.set CYDEV_PICU_DISABLE_COR_PICU3_SIZE, 0x00000001 +.set CYREG_PICU3_DISABLE_COR, 0x400045a3 +.set CYDEV_PICU_DISABLE_COR_PICU4_BASE, 0x400045a4 +.set CYDEV_PICU_DISABLE_COR_PICU4_SIZE, 0x00000001 +.set CYREG_PICU4_DISABLE_COR, 0x400045a4 +.set CYDEV_PICU_DISABLE_COR_PICU5_BASE, 0x400045a5 +.set CYDEV_PICU_DISABLE_COR_PICU5_SIZE, 0x00000001 +.set CYREG_PICU5_DISABLE_COR, 0x400045a5 +.set CYDEV_PICU_DISABLE_COR_PICU6_BASE, 0x400045a6 +.set CYDEV_PICU_DISABLE_COR_PICU6_SIZE, 0x00000001 +.set CYREG_PICU6_DISABLE_COR, 0x400045a6 +.set CYDEV_PICU_DISABLE_COR_PICU12_BASE, 0x400045ac +.set CYDEV_PICU_DISABLE_COR_PICU12_SIZE, 0x00000001 +.set CYREG_PICU12_DISABLE_COR, 0x400045ac +.set CYDEV_PICU_DISABLE_COR_PICU15_BASE, 0x400045af +.set CYDEV_PICU_DISABLE_COR_PICU15_SIZE, 0x00000001 +.set CYREG_PICU15_DISABLE_COR, 0x400045af +.set CYDEV_MFGCFG_BASE, 0x40004600 +.set CYDEV_MFGCFG_SIZE, 0x000000ed +.set CYDEV_MFGCFG_ANAIF_BASE, 0x40004600 +.set CYDEV_MFGCFG_ANAIF_SIZE, 0x00000038 +.set CYDEV_MFGCFG_ANAIF_DAC0_BASE, 0x40004608 +.set CYDEV_MFGCFG_ANAIF_DAC0_SIZE, 0x00000001 +.set CYREG_DAC0_TR, 0x40004608 +.set CYDEV_MFGCFG_ANAIF_DAC1_BASE, 0x40004609 +.set CYDEV_MFGCFG_ANAIF_DAC1_SIZE, 0x00000001 +.set CYREG_DAC1_TR, 0x40004609 +.set CYDEV_MFGCFG_ANAIF_DAC2_BASE, 0x4000460a +.set CYDEV_MFGCFG_ANAIF_DAC2_SIZE, 0x00000001 +.set CYREG_DAC2_TR, 0x4000460a +.set CYDEV_MFGCFG_ANAIF_DAC3_BASE, 0x4000460b +.set CYDEV_MFGCFG_ANAIF_DAC3_SIZE, 0x00000001 +.set CYREG_DAC3_TR, 0x4000460b +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE, 0x40004610 +.set CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE, 0x00000001 +.set CYREG_NPUMP_DSM_TR0, 0x40004610 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE, 0x40004611 +.set CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE, 0x00000001 +.set CYREG_NPUMP_SC_TR0, 0x40004611 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE, 0x40004612 +.set CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE, 0x00000001 +.set CYREG_NPUMP_OPAMP_TR0, 0x40004612 +.set CYDEV_MFGCFG_ANAIF_SAR0_BASE, 0x40004614 +.set CYDEV_MFGCFG_ANAIF_SAR0_SIZE, 0x00000001 +.set CYREG_SAR0_TR0, 0x40004614 +.set CYDEV_MFGCFG_ANAIF_SAR1_BASE, 0x40004616 +.set CYDEV_MFGCFG_ANAIF_SAR1_SIZE, 0x00000001 +.set CYREG_SAR1_TR0, 0x40004616 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_BASE, 0x40004620 +.set CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE, 0x00000002 +.set CYREG_OPAMP0_TR0, 0x40004620 +.set CYREG_OPAMP0_TR1, 0x40004621 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_BASE, 0x40004622 +.set CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE, 0x00000002 +.set CYREG_OPAMP1_TR0, 0x40004622 +.set CYREG_OPAMP1_TR1, 0x40004623 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_BASE, 0x40004624 +.set CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE, 0x00000002 +.set CYREG_OPAMP2_TR0, 0x40004624 +.set CYREG_OPAMP2_TR1, 0x40004625 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_BASE, 0x40004626 +.set CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE, 0x00000002 +.set CYREG_OPAMP3_TR0, 0x40004626 +.set CYREG_OPAMP3_TR1, 0x40004627 +.set CYDEV_MFGCFG_ANAIF_CMP0_BASE, 0x40004630 +.set CYDEV_MFGCFG_ANAIF_CMP0_SIZE, 0x00000002 +.set CYREG_CMP0_TR0, 0x40004630 +.set CYREG_CMP0_TR1, 0x40004631 +.set CYDEV_MFGCFG_ANAIF_CMP1_BASE, 0x40004632 +.set CYDEV_MFGCFG_ANAIF_CMP1_SIZE, 0x00000002 +.set CYREG_CMP1_TR0, 0x40004632 +.set CYREG_CMP1_TR1, 0x40004633 +.set CYDEV_MFGCFG_ANAIF_CMP2_BASE, 0x40004634 +.set CYDEV_MFGCFG_ANAIF_CMP2_SIZE, 0x00000002 +.set CYREG_CMP2_TR0, 0x40004634 +.set CYREG_CMP2_TR1, 0x40004635 +.set CYDEV_MFGCFG_ANAIF_CMP3_BASE, 0x40004636 +.set CYDEV_MFGCFG_ANAIF_CMP3_SIZE, 0x00000002 +.set CYREG_CMP3_TR0, 0x40004636 +.set CYREG_CMP3_TR1, 0x40004637 +.set CYDEV_MFGCFG_PWRSYS_BASE, 0x40004680 +.set CYDEV_MFGCFG_PWRSYS_SIZE, 0x0000000b +.set CYREG_PWRSYS_HIB_TR0, 0x40004680 +.set CYREG_PWRSYS_HIB_TR1, 0x40004681 +.set CYREG_PWRSYS_I2C_TR, 0x40004682 +.set CYREG_PWRSYS_SLP_TR, 0x40004683 +.set CYREG_PWRSYS_BUZZ_TR, 0x40004684 +.set CYREG_PWRSYS_WAKE_TR0, 0x40004685 +.set CYREG_PWRSYS_WAKE_TR1, 0x40004686 +.set CYREG_PWRSYS_BREF_TR, 0x40004687 +.set CYREG_PWRSYS_BG_TR, 0x40004688 +.set CYREG_PWRSYS_WAKE_TR2, 0x40004689 +.set CYREG_PWRSYS_WAKE_TR3, 0x4000468a +.set CYDEV_MFGCFG_ILO_BASE, 0x40004690 +.set CYDEV_MFGCFG_ILO_SIZE, 0x00000002 +.set CYREG_ILO_TR0, 0x40004690 +.set CYREG_ILO_TR1, 0x40004691 +.set CYDEV_MFGCFG_X32_BASE, 0x40004698 +.set CYDEV_MFGCFG_X32_SIZE, 0x00000001 +.set CYREG_X32_TR, 0x40004698 +.set CYDEV_MFGCFG_IMO_BASE, 0x400046a0 +.set CYDEV_MFGCFG_IMO_SIZE, 0x00000005 +.set CYREG_IMO_TR0, 0x400046a0 +.set CYREG_IMO_TR1, 0x400046a1 +.set CYREG_IMO_GAIN, 0x400046a2 +.set CYREG_IMO_C36M, 0x400046a3 +.set CYREG_IMO_TR2, 0x400046a4 +.set CYDEV_MFGCFG_XMHZ_BASE, 0x400046a8 +.set CYDEV_MFGCFG_XMHZ_SIZE, 0x00000001 +.set CYREG_XMHZ_TR, 0x400046a8 +.set CYREG_MFGCFG_DLY, 0x400046c0 +.set CYDEV_MFGCFG_MLOGIC_BASE, 0x400046e0 +.set CYDEV_MFGCFG_MLOGIC_SIZE, 0x0000000d +.set CYREG_MLOGIC_DMPSTR, 0x400046e2 +.set CYDEV_MFGCFG_MLOGIC_SEG_BASE, 0x400046e4 +.set CYDEV_MFGCFG_MLOGIC_SEG_SIZE, 0x00000002 +.set CYREG_MLOGIC_SEG_CR, 0x400046e4 +.set CYREG_MLOGIC_SEG_CFG0, 0x400046e5 +.set CYREG_MLOGIC_DEBUG, 0x400046e8 +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE, 0x400046ea +.set CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE, 0x00000001 +.set CYREG_MLOGIC_CPU_SCR_CPU_SCR, 0x400046ea +.set CYREG_MLOGIC_REV_ID, 0x400046ec +.set CYDEV_RESET_BASE, 0x400046f0 +.set CYDEV_RESET_SIZE, 0x0000000f +.set CYREG_RESET_IPOR_CR0, 0x400046f0 +.set CYREG_RESET_IPOR_CR1, 0x400046f1 +.set CYREG_RESET_IPOR_CR2, 0x400046f2 +.set CYREG_RESET_IPOR_CR3, 0x400046f3 +.set CYREG_RESET_CR0, 0x400046f4 +.set CYREG_RESET_CR1, 0x400046f5 +.set CYREG_RESET_CR2, 0x400046f6 +.set CYREG_RESET_CR3, 0x400046f7 +.set CYREG_RESET_CR4, 0x400046f8 +.set CYREG_RESET_CR5, 0x400046f9 +.set CYREG_RESET_SR0, 0x400046fa +.set CYREG_RESET_SR1, 0x400046fb +.set CYREG_RESET_SR2, 0x400046fc +.set CYREG_RESET_SR3, 0x400046fd +.set CYREG_RESET_TR, 0x400046fe +.set CYDEV_SPC_BASE, 0x40004700 +.set CYDEV_SPC_SIZE, 0x00000100 +.set CYREG_SPC_FM_EE_CR, 0x40004700 +.set CYREG_SPC_FM_EE_WAKE_CNT, 0x40004701 +.set CYREG_SPC_EE_SCR, 0x40004702 +.set CYREG_SPC_EE_ERR, 0x40004703 +.set CYREG_SPC_CPU_DATA, 0x40004720 +.set CYREG_SPC_DMA_DATA, 0x40004721 +.set CYREG_SPC_SR, 0x40004722 +.set CYREG_SPC_CR, 0x40004723 +.set CYDEV_SPC_DMM_MAP_BASE, 0x40004780 +.set CYDEV_SPC_DMM_MAP_SIZE, 0x00000080 +.set CYREG_SPC_DMM_MAP_SRAM_MBASE, 0x40004780 +.set CYREG_SPC_DMM_MAP_SRAM_MSIZE, 0x00000080 +.set CYDEV_CACHE_BASE, 0x40004800 +.set CYDEV_CACHE_SIZE, 0x0000009c +.set CYREG_CACHE_CC_CTL, 0x40004800 +.set CYREG_CACHE_ECC_CORR, 0x40004880 +.set CYREG_CACHE_ECC_ERR, 0x40004888 +.set CYREG_CACHE_FLASH_ERR, 0x40004890 +.set CYREG_CACHE_HITMISS, 0x40004898 +.set CYDEV_I2C_BASE, 0x40004900 +.set CYDEV_I2C_SIZE, 0x000000e1 +.set CYREG_I2C_XCFG, 0x400049c8 +.set CYREG_I2C_ADR, 0x400049ca +.set CYREG_I2C_CFG, 0x400049d6 +.set CYREG_I2C_CSR, 0x400049d7 +.set CYREG_I2C_D, 0x400049d8 +.set CYREG_I2C_MCSR, 0x400049d9 +.set CYREG_I2C_CLK_DIV1, 0x400049db +.set CYREG_I2C_CLK_DIV2, 0x400049dc +.set CYREG_I2C_TMOUT_CSR, 0x400049dd +.set CYREG_I2C_TMOUT_SR, 0x400049de +.set CYREG_I2C_TMOUT_CFG0, 0x400049df +.set CYREG_I2C_TMOUT_CFG1, 0x400049e0 +.set CYDEV_DEC_BASE, 0x40004e00 +.set CYDEV_DEC_SIZE, 0x00000015 +.set CYREG_DEC_CR, 0x40004e00 +.set CYREG_DEC_SR, 0x40004e01 +.set CYREG_DEC_SHIFT1, 0x40004e02 +.set CYREG_DEC_SHIFT2, 0x40004e03 +.set CYREG_DEC_DR2, 0x40004e04 +.set CYREG_DEC_DR2H, 0x40004e05 +.set CYREG_DEC_DR1, 0x40004e06 +.set CYREG_DEC_OCOR, 0x40004e08 +.set CYREG_DEC_OCORM, 0x40004e09 +.set CYREG_DEC_OCORH, 0x40004e0a +.set CYREG_DEC_GCOR, 0x40004e0c +.set CYREG_DEC_GCORH, 0x40004e0d +.set CYREG_DEC_GVAL, 0x40004e0e +.set CYREG_DEC_OUTSAMP, 0x40004e10 +.set CYREG_DEC_OUTSAMPM, 0x40004e11 +.set CYREG_DEC_OUTSAMPH, 0x40004e12 +.set CYREG_DEC_OUTSAMPS, 0x40004e13 +.set CYREG_DEC_COHER, 0x40004e14 +.set CYDEV_TMR0_BASE, 0x40004f00 +.set CYDEV_TMR0_SIZE, 0x0000000c +.set CYREG_TMR0_CFG0, 0x40004f00 +.set CYREG_TMR0_CFG1, 0x40004f01 +.set CYREG_TMR0_CFG2, 0x40004f02 +.set CYREG_TMR0_SR0, 0x40004f03 +.set CYREG_TMR0_PER0, 0x40004f04 +.set CYREG_TMR0_PER1, 0x40004f05 +.set CYREG_TMR0_CNT_CMP0, 0x40004f06 +.set CYREG_TMR0_CNT_CMP1, 0x40004f07 +.set CYREG_TMR0_CAP0, 0x40004f08 +.set CYREG_TMR0_CAP1, 0x40004f09 +.set CYREG_TMR0_RT0, 0x40004f0a +.set CYREG_TMR0_RT1, 0x40004f0b +.set CYDEV_TMR1_BASE, 0x40004f0c +.set CYDEV_TMR1_SIZE, 0x0000000c +.set CYREG_TMR1_CFG0, 0x40004f0c +.set CYREG_TMR1_CFG1, 0x40004f0d +.set CYREG_TMR1_CFG2, 0x40004f0e +.set CYREG_TMR1_SR0, 0x40004f0f +.set CYREG_TMR1_PER0, 0x40004f10 +.set CYREG_TMR1_PER1, 0x40004f11 +.set CYREG_TMR1_CNT_CMP0, 0x40004f12 +.set CYREG_TMR1_CNT_CMP1, 0x40004f13 +.set CYREG_TMR1_CAP0, 0x40004f14 +.set CYREG_TMR1_CAP1, 0x40004f15 +.set CYREG_TMR1_RT0, 0x40004f16 +.set CYREG_TMR1_RT1, 0x40004f17 +.set CYDEV_TMR2_BASE, 0x40004f18 +.set CYDEV_TMR2_SIZE, 0x0000000c +.set CYREG_TMR2_CFG0, 0x40004f18 +.set CYREG_TMR2_CFG1, 0x40004f19 +.set CYREG_TMR2_CFG2, 0x40004f1a +.set CYREG_TMR2_SR0, 0x40004f1b +.set CYREG_TMR2_PER0, 0x40004f1c +.set CYREG_TMR2_PER1, 0x40004f1d +.set CYREG_TMR2_CNT_CMP0, 0x40004f1e +.set CYREG_TMR2_CNT_CMP1, 0x40004f1f +.set CYREG_TMR2_CAP0, 0x40004f20 +.set CYREG_TMR2_CAP1, 0x40004f21 +.set CYREG_TMR2_RT0, 0x40004f22 +.set CYREG_TMR2_RT1, 0x40004f23 +.set CYDEV_TMR3_BASE, 0x40004f24 +.set CYDEV_TMR3_SIZE, 0x0000000c +.set CYREG_TMR3_CFG0, 0x40004f24 +.set CYREG_TMR3_CFG1, 0x40004f25 +.set CYREG_TMR3_CFG2, 0x40004f26 +.set CYREG_TMR3_SR0, 0x40004f27 +.set CYREG_TMR3_PER0, 0x40004f28 +.set CYREG_TMR3_PER1, 0x40004f29 +.set CYREG_TMR3_CNT_CMP0, 0x40004f2a +.set CYREG_TMR3_CNT_CMP1, 0x40004f2b +.set CYREG_TMR3_CAP0, 0x40004f2c +.set CYREG_TMR3_CAP1, 0x40004f2d +.set CYREG_TMR3_RT0, 0x40004f2e +.set CYREG_TMR3_RT1, 0x40004f2f +.set CYDEV_IO_BASE, 0x40005000 +.set CYDEV_IO_SIZE, 0x00000200 +.set CYDEV_IO_PC_BASE, 0x40005000 +.set CYDEV_IO_PC_SIZE, 0x00000080 +.set CYDEV_IO_PC_PRT0_BASE, 0x40005000 +.set CYDEV_IO_PC_PRT0_SIZE, 0x00000008 +.set CYREG_PRT0_PC0, 0x40005000 +.set CYREG_PRT0_PC1, 0x40005001 +.set CYREG_PRT0_PC2, 0x40005002 +.set CYREG_PRT0_PC3, 0x40005003 +.set CYREG_PRT0_PC4, 0x40005004 +.set CYREG_PRT0_PC5, 0x40005005 +.set CYREG_PRT0_PC6, 0x40005006 +.set CYREG_PRT0_PC7, 0x40005007 +.set CYDEV_IO_PC_PRT1_BASE, 0x40005008 +.set CYDEV_IO_PC_PRT1_SIZE, 0x00000008 +.set CYREG_PRT1_PC0, 0x40005008 +.set CYREG_PRT1_PC1, 0x40005009 +.set CYREG_PRT1_PC2, 0x4000500a +.set CYREG_PRT1_PC3, 0x4000500b +.set CYREG_PRT1_PC4, 0x4000500c +.set CYREG_PRT1_PC5, 0x4000500d +.set CYREG_PRT1_PC6, 0x4000500e +.set CYREG_PRT1_PC7, 0x4000500f +.set CYDEV_IO_PC_PRT2_BASE, 0x40005010 +.set CYDEV_IO_PC_PRT2_SIZE, 0x00000008 +.set CYREG_PRT2_PC0, 0x40005010 +.set CYREG_PRT2_PC1, 0x40005011 +.set CYREG_PRT2_PC2, 0x40005012 +.set CYREG_PRT2_PC3, 0x40005013 +.set CYREG_PRT2_PC4, 0x40005014 +.set CYREG_PRT2_PC5, 0x40005015 +.set CYREG_PRT2_PC6, 0x40005016 +.set CYREG_PRT2_PC7, 0x40005017 +.set CYDEV_IO_PC_PRT3_BASE, 0x40005018 +.set CYDEV_IO_PC_PRT3_SIZE, 0x00000008 +.set CYREG_PRT3_PC0, 0x40005018 +.set CYREG_PRT3_PC1, 0x40005019 +.set CYREG_PRT3_PC2, 0x4000501a +.set CYREG_PRT3_PC3, 0x4000501b +.set CYREG_PRT3_PC4, 0x4000501c +.set CYREG_PRT3_PC5, 0x4000501d +.set CYREG_PRT3_PC6, 0x4000501e +.set CYREG_PRT3_PC7, 0x4000501f +.set CYDEV_IO_PC_PRT4_BASE, 0x40005020 +.set CYDEV_IO_PC_PRT4_SIZE, 0x00000008 +.set CYREG_PRT4_PC0, 0x40005020 +.set CYREG_PRT4_PC1, 0x40005021 +.set CYREG_PRT4_PC2, 0x40005022 +.set CYREG_PRT4_PC3, 0x40005023 +.set CYREG_PRT4_PC4, 0x40005024 +.set CYREG_PRT4_PC5, 0x40005025 +.set CYREG_PRT4_PC6, 0x40005026 +.set CYREG_PRT4_PC7, 0x40005027 +.set CYDEV_IO_PC_PRT5_BASE, 0x40005028 +.set CYDEV_IO_PC_PRT5_SIZE, 0x00000008 +.set CYREG_PRT5_PC0, 0x40005028 +.set CYREG_PRT5_PC1, 0x40005029 +.set CYREG_PRT5_PC2, 0x4000502a +.set CYREG_PRT5_PC3, 0x4000502b +.set CYREG_PRT5_PC4, 0x4000502c +.set CYREG_PRT5_PC5, 0x4000502d +.set CYREG_PRT5_PC6, 0x4000502e +.set CYREG_PRT5_PC7, 0x4000502f +.set CYDEV_IO_PC_PRT6_BASE, 0x40005030 +.set CYDEV_IO_PC_PRT6_SIZE, 0x00000008 +.set CYREG_PRT6_PC0, 0x40005030 +.set CYREG_PRT6_PC1, 0x40005031 +.set CYREG_PRT6_PC2, 0x40005032 +.set CYREG_PRT6_PC3, 0x40005033 +.set CYREG_PRT6_PC4, 0x40005034 +.set CYREG_PRT6_PC5, 0x40005035 +.set CYREG_PRT6_PC6, 0x40005036 +.set CYREG_PRT6_PC7, 0x40005037 +.set CYDEV_IO_PC_PRT12_BASE, 0x40005060 +.set CYDEV_IO_PC_PRT12_SIZE, 0x00000008 +.set CYREG_PRT12_PC0, 0x40005060 +.set CYREG_PRT12_PC1, 0x40005061 +.set CYREG_PRT12_PC2, 0x40005062 +.set CYREG_PRT12_PC3, 0x40005063 +.set CYREG_PRT12_PC4, 0x40005064 +.set CYREG_PRT12_PC5, 0x40005065 +.set CYREG_PRT12_PC6, 0x40005066 +.set CYREG_PRT12_PC7, 0x40005067 +.set CYDEV_IO_PC_PRT15_BASE, 0x40005078 +.set CYDEV_IO_PC_PRT15_SIZE, 0x00000006 +.set CYREG_IO_PC_PRT15_PC0, 0x40005078 +.set CYREG_IO_PC_PRT15_PC1, 0x40005079 +.set CYREG_IO_PC_PRT15_PC2, 0x4000507a +.set CYREG_IO_PC_PRT15_PC3, 0x4000507b +.set CYREG_IO_PC_PRT15_PC4, 0x4000507c +.set CYREG_IO_PC_PRT15_PC5, 0x4000507d +.set CYDEV_IO_PC_PRT15_7_6_BASE, 0x4000507e +.set CYDEV_IO_PC_PRT15_7_6_SIZE, 0x00000002 +.set CYREG_IO_PC_PRT15_7_6_PC0, 0x4000507e +.set CYREG_IO_PC_PRT15_7_6_PC1, 0x4000507f +.set CYDEV_IO_DR_BASE, 0x40005080 +.set CYDEV_IO_DR_SIZE, 0x00000010 +.set CYDEV_IO_DR_PRT0_BASE, 0x40005080 +.set CYDEV_IO_DR_PRT0_SIZE, 0x00000001 +.set CYREG_PRT0_DR_ALIAS, 0x40005080 +.set CYDEV_IO_DR_PRT1_BASE, 0x40005081 +.set CYDEV_IO_DR_PRT1_SIZE, 0x00000001 +.set CYREG_PRT1_DR_ALIAS, 0x40005081 +.set CYDEV_IO_DR_PRT2_BASE, 0x40005082 +.set CYDEV_IO_DR_PRT2_SIZE, 0x00000001 +.set CYREG_PRT2_DR_ALIAS, 0x40005082 +.set CYDEV_IO_DR_PRT3_BASE, 0x40005083 +.set CYDEV_IO_DR_PRT3_SIZE, 0x00000001 +.set CYREG_PRT3_DR_ALIAS, 0x40005083 +.set CYDEV_IO_DR_PRT4_BASE, 0x40005084 +.set CYDEV_IO_DR_PRT4_SIZE, 0x00000001 +.set CYREG_PRT4_DR_ALIAS, 0x40005084 +.set CYDEV_IO_DR_PRT5_BASE, 0x40005085 +.set CYDEV_IO_DR_PRT5_SIZE, 0x00000001 +.set CYREG_PRT5_DR_ALIAS, 0x40005085 +.set CYDEV_IO_DR_PRT6_BASE, 0x40005086 +.set CYDEV_IO_DR_PRT6_SIZE, 0x00000001 +.set CYREG_PRT6_DR_ALIAS, 0x40005086 +.set CYDEV_IO_DR_PRT12_BASE, 0x4000508c +.set CYDEV_IO_DR_PRT12_SIZE, 0x00000001 +.set CYREG_PRT12_DR_ALIAS, 0x4000508c +.set CYDEV_IO_DR_PRT15_BASE, 0x4000508f +.set CYDEV_IO_DR_PRT15_SIZE, 0x00000001 +.set CYREG_PRT15_DR_15_ALIAS, 0x4000508f +.set CYDEV_IO_PS_BASE, 0x40005090 +.set CYDEV_IO_PS_SIZE, 0x00000010 +.set CYDEV_IO_PS_PRT0_BASE, 0x40005090 +.set CYDEV_IO_PS_PRT0_SIZE, 0x00000001 +.set CYREG_PRT0_PS_ALIAS, 0x40005090 +.set CYDEV_IO_PS_PRT1_BASE, 0x40005091 +.set CYDEV_IO_PS_PRT1_SIZE, 0x00000001 +.set CYREG_PRT1_PS_ALIAS, 0x40005091 +.set CYDEV_IO_PS_PRT2_BASE, 0x40005092 +.set CYDEV_IO_PS_PRT2_SIZE, 0x00000001 +.set CYREG_PRT2_PS_ALIAS, 0x40005092 +.set CYDEV_IO_PS_PRT3_BASE, 0x40005093 +.set CYDEV_IO_PS_PRT3_SIZE, 0x00000001 +.set CYREG_PRT3_PS_ALIAS, 0x40005093 +.set CYDEV_IO_PS_PRT4_BASE, 0x40005094 +.set CYDEV_IO_PS_PRT4_SIZE, 0x00000001 +.set CYREG_PRT4_PS_ALIAS, 0x40005094 +.set CYDEV_IO_PS_PRT5_BASE, 0x40005095 +.set CYDEV_IO_PS_PRT5_SIZE, 0x00000001 +.set CYREG_PRT5_PS_ALIAS, 0x40005095 +.set CYDEV_IO_PS_PRT6_BASE, 0x40005096 +.set CYDEV_IO_PS_PRT6_SIZE, 0x00000001 +.set CYREG_PRT6_PS_ALIAS, 0x40005096 +.set CYDEV_IO_PS_PRT12_BASE, 0x4000509c +.set CYDEV_IO_PS_PRT12_SIZE, 0x00000001 +.set CYREG_PRT12_PS_ALIAS, 0x4000509c +.set CYDEV_IO_PS_PRT15_BASE, 0x4000509f +.set CYDEV_IO_PS_PRT15_SIZE, 0x00000001 +.set CYREG_PRT15_PS15_ALIAS, 0x4000509f +.set CYDEV_IO_PRT_BASE, 0x40005100 +.set CYDEV_IO_PRT_SIZE, 0x00000100 +.set CYDEV_IO_PRT_PRT0_BASE, 0x40005100 +.set CYDEV_IO_PRT_PRT0_SIZE, 0x00000010 +.set CYREG_PRT0_DR, 0x40005100 +.set CYREG_PRT0_PS, 0x40005101 +.set CYREG_PRT0_DM0, 0x40005102 +.set CYREG_PRT0_DM1, 0x40005103 +.set CYREG_PRT0_DM2, 0x40005104 +.set CYREG_PRT0_SLW, 0x40005105 +.set CYREG_PRT0_BYP, 0x40005106 +.set CYREG_PRT0_BIE, 0x40005107 +.set CYREG_PRT0_INP_DIS, 0x40005108 +.set CYREG_PRT0_CTL, 0x40005109 +.set CYREG_PRT0_PRT, 0x4000510a +.set CYREG_PRT0_BIT_MASK, 0x4000510b +.set CYREG_PRT0_AMUX, 0x4000510c +.set CYREG_PRT0_AG, 0x4000510d +.set CYREG_PRT0_LCD_COM_SEG, 0x4000510e +.set CYREG_PRT0_LCD_EN, 0x4000510f +.set CYDEV_IO_PRT_PRT1_BASE, 0x40005110 +.set CYDEV_IO_PRT_PRT1_SIZE, 0x00000010 +.set CYREG_PRT1_DR, 0x40005110 +.set CYREG_PRT1_PS, 0x40005111 +.set CYREG_PRT1_DM0, 0x40005112 +.set CYREG_PRT1_DM1, 0x40005113 +.set CYREG_PRT1_DM2, 0x40005114 +.set CYREG_PRT1_SLW, 0x40005115 +.set CYREG_PRT1_BYP, 0x40005116 +.set CYREG_PRT1_BIE, 0x40005117 +.set CYREG_PRT1_INP_DIS, 0x40005118 +.set CYREG_PRT1_CTL, 0x40005119 +.set CYREG_PRT1_PRT, 0x4000511a +.set CYREG_PRT1_BIT_MASK, 0x4000511b +.set CYREG_PRT1_AMUX, 0x4000511c +.set CYREG_PRT1_AG, 0x4000511d +.set CYREG_PRT1_LCD_COM_SEG, 0x4000511e +.set CYREG_PRT1_LCD_EN, 0x4000511f +.set CYDEV_IO_PRT_PRT2_BASE, 0x40005120 +.set CYDEV_IO_PRT_PRT2_SIZE, 0x00000010 +.set CYREG_PRT2_DR, 0x40005120 +.set CYREG_PRT2_PS, 0x40005121 +.set CYREG_PRT2_DM0, 0x40005122 +.set CYREG_PRT2_DM1, 0x40005123 +.set CYREG_PRT2_DM2, 0x40005124 +.set CYREG_PRT2_SLW, 0x40005125 +.set CYREG_PRT2_BYP, 0x40005126 +.set CYREG_PRT2_BIE, 0x40005127 +.set CYREG_PRT2_INP_DIS, 0x40005128 +.set CYREG_PRT2_CTL, 0x40005129 +.set CYREG_PRT2_PRT, 0x4000512a +.set CYREG_PRT2_BIT_MASK, 0x4000512b +.set CYREG_PRT2_AMUX, 0x4000512c +.set CYREG_PRT2_AG, 0x4000512d +.set CYREG_PRT2_LCD_COM_SEG, 0x4000512e +.set CYREG_PRT2_LCD_EN, 0x4000512f +.set CYDEV_IO_PRT_PRT3_BASE, 0x40005130 +.set CYDEV_IO_PRT_PRT3_SIZE, 0x00000010 +.set CYREG_PRT3_DR, 0x40005130 +.set CYREG_PRT3_PS, 0x40005131 +.set CYREG_PRT3_DM0, 0x40005132 +.set CYREG_PRT3_DM1, 0x40005133 +.set CYREG_PRT3_DM2, 0x40005134 +.set CYREG_PRT3_SLW, 0x40005135 +.set CYREG_PRT3_BYP, 0x40005136 +.set CYREG_PRT3_BIE, 0x40005137 +.set CYREG_PRT3_INP_DIS, 0x40005138 +.set CYREG_PRT3_CTL, 0x40005139 +.set CYREG_PRT3_PRT, 0x4000513a +.set CYREG_PRT3_BIT_MASK, 0x4000513b +.set CYREG_PRT3_AMUX, 0x4000513c +.set CYREG_PRT3_AG, 0x4000513d +.set CYREG_PRT3_LCD_COM_SEG, 0x4000513e +.set CYREG_PRT3_LCD_EN, 0x4000513f +.set CYDEV_IO_PRT_PRT4_BASE, 0x40005140 +.set CYDEV_IO_PRT_PRT4_SIZE, 0x00000010 +.set CYREG_PRT4_DR, 0x40005140 +.set CYREG_PRT4_PS, 0x40005141 +.set CYREG_PRT4_DM0, 0x40005142 +.set CYREG_PRT4_DM1, 0x40005143 +.set CYREG_PRT4_DM2, 0x40005144 +.set CYREG_PRT4_SLW, 0x40005145 +.set CYREG_PRT4_BYP, 0x40005146 +.set CYREG_PRT4_BIE, 0x40005147 +.set CYREG_PRT4_INP_DIS, 0x40005148 +.set CYREG_PRT4_CTL, 0x40005149 +.set CYREG_PRT4_PRT, 0x4000514a +.set CYREG_PRT4_BIT_MASK, 0x4000514b +.set CYREG_PRT4_AMUX, 0x4000514c +.set CYREG_PRT4_AG, 0x4000514d +.set CYREG_PRT4_LCD_COM_SEG, 0x4000514e +.set CYREG_PRT4_LCD_EN, 0x4000514f +.set CYDEV_IO_PRT_PRT5_BASE, 0x40005150 +.set CYDEV_IO_PRT_PRT5_SIZE, 0x00000010 +.set CYREG_PRT5_DR, 0x40005150 +.set CYREG_PRT5_PS, 0x40005151 +.set CYREG_PRT5_DM0, 0x40005152 +.set CYREG_PRT5_DM1, 0x40005153 +.set CYREG_PRT5_DM2, 0x40005154 +.set CYREG_PRT5_SLW, 0x40005155 +.set CYREG_PRT5_BYP, 0x40005156 +.set CYREG_PRT5_BIE, 0x40005157 +.set CYREG_PRT5_INP_DIS, 0x40005158 +.set CYREG_PRT5_CTL, 0x40005159 +.set CYREG_PRT5_PRT, 0x4000515a +.set CYREG_PRT5_BIT_MASK, 0x4000515b +.set CYREG_PRT5_AMUX, 0x4000515c +.set CYREG_PRT5_AG, 0x4000515d +.set CYREG_PRT5_LCD_COM_SEG, 0x4000515e +.set CYREG_PRT5_LCD_EN, 0x4000515f +.set CYDEV_IO_PRT_PRT6_BASE, 0x40005160 +.set CYDEV_IO_PRT_PRT6_SIZE, 0x00000010 +.set CYREG_PRT6_DR, 0x40005160 +.set CYREG_PRT6_PS, 0x40005161 +.set CYREG_PRT6_DM0, 0x40005162 +.set CYREG_PRT6_DM1, 0x40005163 +.set CYREG_PRT6_DM2, 0x40005164 +.set CYREG_PRT6_SLW, 0x40005165 +.set CYREG_PRT6_BYP, 0x40005166 +.set CYREG_PRT6_BIE, 0x40005167 +.set CYREG_PRT6_INP_DIS, 0x40005168 +.set CYREG_PRT6_CTL, 0x40005169 +.set CYREG_PRT6_PRT, 0x4000516a +.set CYREG_PRT6_BIT_MASK, 0x4000516b +.set CYREG_PRT6_AMUX, 0x4000516c +.set CYREG_PRT6_AG, 0x4000516d +.set CYREG_PRT6_LCD_COM_SEG, 0x4000516e +.set CYREG_PRT6_LCD_EN, 0x4000516f +.set CYDEV_IO_PRT_PRT12_BASE, 0x400051c0 +.set CYDEV_IO_PRT_PRT12_SIZE, 0x00000010 +.set CYREG_PRT12_DR, 0x400051c0 +.set CYREG_PRT12_PS, 0x400051c1 +.set CYREG_PRT12_DM0, 0x400051c2 +.set CYREG_PRT12_DM1, 0x400051c3 +.set CYREG_PRT12_DM2, 0x400051c4 +.set CYREG_PRT12_SLW, 0x400051c5 +.set CYREG_PRT12_BYP, 0x400051c6 +.set CYREG_PRT12_BIE, 0x400051c7 +.set CYREG_PRT12_INP_DIS, 0x400051c8 +.set CYREG_PRT12_SIO_HYST_EN, 0x400051c9 +.set CYREG_PRT12_PRT, 0x400051ca +.set CYREG_PRT12_BIT_MASK, 0x400051cb +.set CYREG_PRT12_SIO_REG_HIFREQ, 0x400051cc +.set CYREG_PRT12_AG, 0x400051cd +.set CYREG_PRT12_SIO_CFG, 0x400051ce +.set CYREG_PRT12_SIO_DIFF, 0x400051cf +.set CYDEV_IO_PRT_PRT15_BASE, 0x400051f0 +.set CYDEV_IO_PRT_PRT15_SIZE, 0x00000010 +.set CYREG_PRT15_DR, 0x400051f0 +.set CYREG_PRT15_PS, 0x400051f1 +.set CYREG_PRT15_DM0, 0x400051f2 +.set CYREG_PRT15_DM1, 0x400051f3 +.set CYREG_PRT15_DM2, 0x400051f4 +.set CYREG_PRT15_SLW, 0x400051f5 +.set CYREG_PRT15_BYP, 0x400051f6 +.set CYREG_PRT15_BIE, 0x400051f7 +.set CYREG_PRT15_INP_DIS, 0x400051f8 +.set CYREG_PRT15_CTL, 0x400051f9 +.set CYREG_PRT15_PRT, 0x400051fa +.set CYREG_PRT15_BIT_MASK, 0x400051fb +.set CYREG_PRT15_AMUX, 0x400051fc +.set CYREG_PRT15_AG, 0x400051fd +.set CYREG_PRT15_LCD_COM_SEG, 0x400051fe +.set CYREG_PRT15_LCD_EN, 0x400051ff +.set CYDEV_PRTDSI_BASE, 0x40005200 +.set CYDEV_PRTDSI_SIZE, 0x0000007f +.set CYDEV_PRTDSI_PRT0_BASE, 0x40005200 +.set CYDEV_PRTDSI_PRT0_SIZE, 0x00000007 +.set CYREG_PRT0_OUT_SEL0, 0x40005200 +.set CYREG_PRT0_OUT_SEL1, 0x40005201 +.set CYREG_PRT0_OE_SEL0, 0x40005202 +.set CYREG_PRT0_OE_SEL1, 0x40005203 +.set CYREG_PRT0_DBL_SYNC_IN, 0x40005204 +.set CYREG_PRT0_SYNC_OUT, 0x40005205 +.set CYREG_PRT0_CAPS_SEL, 0x40005206 +.set CYDEV_PRTDSI_PRT1_BASE, 0x40005208 +.set CYDEV_PRTDSI_PRT1_SIZE, 0x00000007 +.set CYREG_PRT1_OUT_SEL0, 0x40005208 +.set CYREG_PRT1_OUT_SEL1, 0x40005209 +.set CYREG_PRT1_OE_SEL0, 0x4000520a +.set CYREG_PRT1_OE_SEL1, 0x4000520b +.set CYREG_PRT1_DBL_SYNC_IN, 0x4000520c +.set CYREG_PRT1_SYNC_OUT, 0x4000520d +.set CYREG_PRT1_CAPS_SEL, 0x4000520e +.set CYDEV_PRTDSI_PRT2_BASE, 0x40005210 +.set CYDEV_PRTDSI_PRT2_SIZE, 0x00000007 +.set CYREG_PRT2_OUT_SEL0, 0x40005210 +.set CYREG_PRT2_OUT_SEL1, 0x40005211 +.set CYREG_PRT2_OE_SEL0, 0x40005212 +.set CYREG_PRT2_OE_SEL1, 0x40005213 +.set CYREG_PRT2_DBL_SYNC_IN, 0x40005214 +.set CYREG_PRT2_SYNC_OUT, 0x40005215 +.set CYREG_PRT2_CAPS_SEL, 0x40005216 +.set CYDEV_PRTDSI_PRT3_BASE, 0x40005218 +.set CYDEV_PRTDSI_PRT3_SIZE, 0x00000007 +.set CYREG_PRT3_OUT_SEL0, 0x40005218 +.set CYREG_PRT3_OUT_SEL1, 0x40005219 +.set CYREG_PRT3_OE_SEL0, 0x4000521a +.set CYREG_PRT3_OE_SEL1, 0x4000521b +.set CYREG_PRT3_DBL_SYNC_IN, 0x4000521c +.set CYREG_PRT3_SYNC_OUT, 0x4000521d +.set CYREG_PRT3_CAPS_SEL, 0x4000521e +.set CYDEV_PRTDSI_PRT4_BASE, 0x40005220 +.set CYDEV_PRTDSI_PRT4_SIZE, 0x00000007 +.set CYREG_PRT4_OUT_SEL0, 0x40005220 +.set CYREG_PRT4_OUT_SEL1, 0x40005221 +.set CYREG_PRT4_OE_SEL0, 0x40005222 +.set CYREG_PRT4_OE_SEL1, 0x40005223 +.set CYREG_PRT4_DBL_SYNC_IN, 0x40005224 +.set CYREG_PRT4_SYNC_OUT, 0x40005225 +.set CYREG_PRT4_CAPS_SEL, 0x40005226 +.set CYDEV_PRTDSI_PRT5_BASE, 0x40005228 +.set CYDEV_PRTDSI_PRT5_SIZE, 0x00000007 +.set CYREG_PRT5_OUT_SEL0, 0x40005228 +.set CYREG_PRT5_OUT_SEL1, 0x40005229 +.set CYREG_PRT5_OE_SEL0, 0x4000522a +.set CYREG_PRT5_OE_SEL1, 0x4000522b +.set CYREG_PRT5_DBL_SYNC_IN, 0x4000522c +.set CYREG_PRT5_SYNC_OUT, 0x4000522d +.set CYREG_PRT5_CAPS_SEL, 0x4000522e +.set CYDEV_PRTDSI_PRT6_BASE, 0x40005230 +.set CYDEV_PRTDSI_PRT6_SIZE, 0x00000007 +.set CYREG_PRT6_OUT_SEL0, 0x40005230 +.set CYREG_PRT6_OUT_SEL1, 0x40005231 +.set CYREG_PRT6_OE_SEL0, 0x40005232 +.set CYREG_PRT6_OE_SEL1, 0x40005233 +.set CYREG_PRT6_DBL_SYNC_IN, 0x40005234 +.set CYREG_PRT6_SYNC_OUT, 0x40005235 +.set CYREG_PRT6_CAPS_SEL, 0x40005236 +.set CYDEV_PRTDSI_PRT12_BASE, 0x40005260 +.set CYDEV_PRTDSI_PRT12_SIZE, 0x00000006 +.set CYREG_PRT12_OUT_SEL0, 0x40005260 +.set CYREG_PRT12_OUT_SEL1, 0x40005261 +.set CYREG_PRT12_OE_SEL0, 0x40005262 +.set CYREG_PRT12_OE_SEL1, 0x40005263 +.set CYREG_PRT12_DBL_SYNC_IN, 0x40005264 +.set CYREG_PRT12_SYNC_OUT, 0x40005265 +.set CYDEV_PRTDSI_PRT15_BASE, 0x40005278 +.set CYDEV_PRTDSI_PRT15_SIZE, 0x00000007 +.set CYREG_PRT15_OUT_SEL0, 0x40005278 +.set CYREG_PRT15_OUT_SEL1, 0x40005279 +.set CYREG_PRT15_OE_SEL0, 0x4000527a +.set CYREG_PRT15_OE_SEL1, 0x4000527b +.set CYREG_PRT15_DBL_SYNC_IN, 0x4000527c +.set CYREG_PRT15_SYNC_OUT, 0x4000527d +.set CYREG_PRT15_CAPS_SEL, 0x4000527e +.set CYDEV_EMIF_BASE, 0x40005400 +.set CYDEV_EMIF_SIZE, 0x00000007 +.set CYREG_EMIF_NO_UDB, 0x40005400 +.set CYREG_EMIF_RP_WAIT_STATES, 0x40005401 +.set CYREG_EMIF_MEM_DWN, 0x40005402 +.set CYREG_EMIF_MEMCLK_DIV, 0x40005403 +.set CYREG_EMIF_CLOCK_EN, 0x40005404 +.set CYREG_EMIF_EM_TYPE, 0x40005405 +.set CYREG_EMIF_WP_WAIT_STATES, 0x40005406 +.set CYDEV_ANAIF_BASE, 0x40005800 +.set CYDEV_ANAIF_SIZE, 0x000003a9 +.set CYDEV_ANAIF_CFG_BASE, 0x40005800 +.set CYDEV_ANAIF_CFG_SIZE, 0x0000010f +.set CYDEV_ANAIF_CFG_SC0_BASE, 0x40005800 +.set CYDEV_ANAIF_CFG_SC0_SIZE, 0x00000003 +.set CYREG_SC0_CR0, 0x40005800 +.set CYREG_SC0_CR1, 0x40005801 +.set CYREG_SC0_CR2, 0x40005802 +.set CYDEV_ANAIF_CFG_SC1_BASE, 0x40005804 +.set CYDEV_ANAIF_CFG_SC1_SIZE, 0x00000003 +.set CYREG_SC1_CR0, 0x40005804 +.set CYREG_SC1_CR1, 0x40005805 +.set CYREG_SC1_CR2, 0x40005806 +.set CYDEV_ANAIF_CFG_SC2_BASE, 0x40005808 +.set CYDEV_ANAIF_CFG_SC2_SIZE, 0x00000003 +.set CYREG_SC2_CR0, 0x40005808 +.set CYREG_SC2_CR1, 0x40005809 +.set CYREG_SC2_CR2, 0x4000580a +.set CYDEV_ANAIF_CFG_SC3_BASE, 0x4000580c +.set CYDEV_ANAIF_CFG_SC3_SIZE, 0x00000003 +.set CYREG_SC3_CR0, 0x4000580c +.set CYREG_SC3_CR1, 0x4000580d +.set CYREG_SC3_CR2, 0x4000580e +.set CYDEV_ANAIF_CFG_DAC0_BASE, 0x40005820 +.set CYDEV_ANAIF_CFG_DAC0_SIZE, 0x00000003 +.set CYREG_DAC0_CR0, 0x40005820 +.set CYREG_DAC0_CR1, 0x40005821 +.set CYREG_DAC0_TST, 0x40005822 +.set CYDEV_ANAIF_CFG_DAC1_BASE, 0x40005824 +.set CYDEV_ANAIF_CFG_DAC1_SIZE, 0x00000003 +.set CYREG_DAC1_CR0, 0x40005824 +.set CYREG_DAC1_CR1, 0x40005825 +.set CYREG_DAC1_TST, 0x40005826 +.set CYDEV_ANAIF_CFG_DAC2_BASE, 0x40005828 +.set CYDEV_ANAIF_CFG_DAC2_SIZE, 0x00000003 +.set CYREG_DAC2_CR0, 0x40005828 +.set CYREG_DAC2_CR1, 0x40005829 +.set CYREG_DAC2_TST, 0x4000582a +.set CYDEV_ANAIF_CFG_DAC3_BASE, 0x4000582c +.set CYDEV_ANAIF_CFG_DAC3_SIZE, 0x00000003 +.set CYREG_DAC3_CR0, 0x4000582c +.set CYREG_DAC3_CR1, 0x4000582d +.set CYREG_DAC3_TST, 0x4000582e +.set CYDEV_ANAIF_CFG_CMP0_BASE, 0x40005840 +.set CYDEV_ANAIF_CFG_CMP0_SIZE, 0x00000001 +.set CYREG_CMP0_CR, 0x40005840 +.set CYDEV_ANAIF_CFG_CMP1_BASE, 0x40005841 +.set CYDEV_ANAIF_CFG_CMP1_SIZE, 0x00000001 +.set CYREG_CMP1_CR, 0x40005841 +.set CYDEV_ANAIF_CFG_CMP2_BASE, 0x40005842 +.set CYDEV_ANAIF_CFG_CMP2_SIZE, 0x00000001 +.set CYREG_CMP2_CR, 0x40005842 +.set CYDEV_ANAIF_CFG_CMP3_BASE, 0x40005843 +.set CYDEV_ANAIF_CFG_CMP3_SIZE, 0x00000001 +.set CYREG_CMP3_CR, 0x40005843 +.set CYDEV_ANAIF_CFG_LUT0_BASE, 0x40005848 +.set CYDEV_ANAIF_CFG_LUT0_SIZE, 0x00000002 +.set CYREG_LUT0_CR, 0x40005848 +.set CYREG_LUT0_MX, 0x40005849 +.set CYDEV_ANAIF_CFG_LUT1_BASE, 0x4000584a +.set CYDEV_ANAIF_CFG_LUT1_SIZE, 0x00000002 +.set CYREG_LUT1_CR, 0x4000584a +.set CYREG_LUT1_MX, 0x4000584b +.set CYDEV_ANAIF_CFG_LUT2_BASE, 0x4000584c +.set CYDEV_ANAIF_CFG_LUT2_SIZE, 0x00000002 +.set CYREG_LUT2_CR, 0x4000584c +.set CYREG_LUT2_MX, 0x4000584d +.set CYDEV_ANAIF_CFG_LUT3_BASE, 0x4000584e +.set CYDEV_ANAIF_CFG_LUT3_SIZE, 0x00000002 +.set CYREG_LUT3_CR, 0x4000584e +.set CYREG_LUT3_MX, 0x4000584f +.set CYDEV_ANAIF_CFG_OPAMP0_BASE, 0x40005858 +.set CYDEV_ANAIF_CFG_OPAMP0_SIZE, 0x00000002 +.set CYREG_OPAMP0_CR, 0x40005858 +.set CYREG_OPAMP0_RSVD, 0x40005859 +.set CYDEV_ANAIF_CFG_OPAMP1_BASE, 0x4000585a +.set CYDEV_ANAIF_CFG_OPAMP1_SIZE, 0x00000002 +.set CYREG_OPAMP1_CR, 0x4000585a +.set CYREG_OPAMP1_RSVD, 0x4000585b +.set CYDEV_ANAIF_CFG_OPAMP2_BASE, 0x4000585c +.set CYDEV_ANAIF_CFG_OPAMP2_SIZE, 0x00000002 +.set CYREG_OPAMP2_CR, 0x4000585c +.set CYREG_OPAMP2_RSVD, 0x4000585d +.set CYDEV_ANAIF_CFG_OPAMP3_BASE, 0x4000585e +.set CYDEV_ANAIF_CFG_OPAMP3_SIZE, 0x00000002 +.set CYREG_OPAMP3_CR, 0x4000585e +.set CYREG_OPAMP3_RSVD, 0x4000585f +.set CYDEV_ANAIF_CFG_LCDDAC_BASE, 0x40005868 +.set CYDEV_ANAIF_CFG_LCDDAC_SIZE, 0x00000002 +.set CYREG_LCDDAC_CR0, 0x40005868 +.set CYREG_LCDDAC_CR1, 0x40005869 +.set CYDEV_ANAIF_CFG_LCDDRV_BASE, 0x4000586a +.set CYDEV_ANAIF_CFG_LCDDRV_SIZE, 0x00000001 +.set CYREG_LCDDRV_CR, 0x4000586a +.set CYDEV_ANAIF_CFG_LCDTMR_BASE, 0x4000586b +.set CYDEV_ANAIF_CFG_LCDTMR_SIZE, 0x00000001 +.set CYREG_LCDTMR_CFG, 0x4000586b +.set CYDEV_ANAIF_CFG_BG_BASE, 0x4000586c +.set CYDEV_ANAIF_CFG_BG_SIZE, 0x00000004 +.set CYREG_BG_CR0, 0x4000586c +.set CYREG_BG_RSVD, 0x4000586d +.set CYREG_BG_DFT0, 0x4000586e +.set CYREG_BG_DFT1, 0x4000586f +.set CYDEV_ANAIF_CFG_CAPSL_BASE, 0x40005870 +.set CYDEV_ANAIF_CFG_CAPSL_SIZE, 0x00000002 +.set CYREG_CAPSL_CFG0, 0x40005870 +.set CYREG_CAPSL_CFG1, 0x40005871 +.set CYDEV_ANAIF_CFG_CAPSR_BASE, 0x40005872 +.set CYDEV_ANAIF_CFG_CAPSR_SIZE, 0x00000002 +.set CYREG_CAPSR_CFG0, 0x40005872 +.set CYREG_CAPSR_CFG1, 0x40005873 +.set CYDEV_ANAIF_CFG_PUMP_BASE, 0x40005876 +.set CYDEV_ANAIF_CFG_PUMP_SIZE, 0x00000002 +.set CYREG_PUMP_CR0, 0x40005876 +.set CYREG_PUMP_CR1, 0x40005877 +.set CYDEV_ANAIF_CFG_LPF0_BASE, 0x40005878 +.set CYDEV_ANAIF_CFG_LPF0_SIZE, 0x00000002 +.set CYREG_LPF0_CR0, 0x40005878 +.set CYREG_LPF0_RSVD, 0x40005879 +.set CYDEV_ANAIF_CFG_LPF1_BASE, 0x4000587a +.set CYDEV_ANAIF_CFG_LPF1_SIZE, 0x00000002 +.set CYREG_LPF1_CR0, 0x4000587a +.set CYREG_LPF1_RSVD, 0x4000587b +.set CYDEV_ANAIF_CFG_MISC_BASE, 0x4000587c +.set CYDEV_ANAIF_CFG_MISC_SIZE, 0x00000001 +.set CYREG_ANAIF_CFG_MISC_CR0, 0x4000587c +.set CYDEV_ANAIF_CFG_DSM0_BASE, 0x40005880 +.set CYDEV_ANAIF_CFG_DSM0_SIZE, 0x00000020 +.set CYREG_DSM0_CR0, 0x40005880 +.set CYREG_DSM0_CR1, 0x40005881 +.set CYREG_DSM0_CR2, 0x40005882 +.set CYREG_DSM0_CR3, 0x40005883 +.set CYREG_DSM0_CR4, 0x40005884 +.set CYREG_DSM0_CR5, 0x40005885 +.set CYREG_DSM0_CR6, 0x40005886 +.set CYREG_DSM0_CR7, 0x40005887 +.set CYREG_DSM0_CR8, 0x40005888 +.set CYREG_DSM0_CR9, 0x40005889 +.set CYREG_DSM0_CR10, 0x4000588a +.set CYREG_DSM0_CR11, 0x4000588b +.set CYREG_DSM0_CR12, 0x4000588c +.set CYREG_DSM0_CR13, 0x4000588d +.set CYREG_DSM0_CR14, 0x4000588e +.set CYREG_DSM0_CR15, 0x4000588f +.set CYREG_DSM0_CR16, 0x40005890 +.set CYREG_DSM0_CR17, 0x40005891 +.set CYREG_DSM0_REF0, 0x40005892 +.set CYREG_DSM0_REF1, 0x40005893 +.set CYREG_DSM0_REF2, 0x40005894 +.set CYREG_DSM0_REF3, 0x40005895 +.set CYREG_DSM0_DEM0, 0x40005896 +.set CYREG_DSM0_DEM1, 0x40005897 +.set CYREG_DSM0_TST0, 0x40005898 +.set CYREG_DSM0_TST1, 0x40005899 +.set CYREG_DSM0_BUF0, 0x4000589a +.set CYREG_DSM0_BUF1, 0x4000589b +.set CYREG_DSM0_BUF2, 0x4000589c +.set CYREG_DSM0_BUF3, 0x4000589d +.set CYREG_DSM0_MISC, 0x4000589e +.set CYREG_DSM0_RSVD1, 0x4000589f +.set CYDEV_ANAIF_CFG_SAR0_BASE, 0x40005900 +.set CYDEV_ANAIF_CFG_SAR0_SIZE, 0x00000007 +.set CYREG_SAR0_CSR0, 0x40005900 +.set CYREG_SAR0_CSR1, 0x40005901 +.set CYREG_SAR0_CSR2, 0x40005902 +.set CYREG_SAR0_CSR3, 0x40005903 +.set CYREG_SAR0_CSR4, 0x40005904 +.set CYREG_SAR0_CSR5, 0x40005905 +.set CYREG_SAR0_CSR6, 0x40005906 +.set CYDEV_ANAIF_CFG_SAR1_BASE, 0x40005908 +.set CYDEV_ANAIF_CFG_SAR1_SIZE, 0x00000007 +.set CYREG_SAR1_CSR0, 0x40005908 +.set CYREG_SAR1_CSR1, 0x40005909 +.set CYREG_SAR1_CSR2, 0x4000590a +.set CYREG_SAR1_CSR3, 0x4000590b +.set CYREG_SAR1_CSR4, 0x4000590c +.set CYREG_SAR1_CSR5, 0x4000590d +.set CYREG_SAR1_CSR6, 0x4000590e +.set CYDEV_ANAIF_RT_BASE, 0x40005a00 +.set CYDEV_ANAIF_RT_SIZE, 0x00000162 +.set CYDEV_ANAIF_RT_SC0_BASE, 0x40005a00 +.set CYDEV_ANAIF_RT_SC0_SIZE, 0x0000000d +.set CYREG_SC0_SW0, 0x40005a00 +.set CYREG_SC0_SW2, 0x40005a02 +.set CYREG_SC0_SW3, 0x40005a03 +.set CYREG_SC0_SW4, 0x40005a04 +.set CYREG_SC0_SW6, 0x40005a06 +.set CYREG_SC0_SW7, 0x40005a07 +.set CYREG_SC0_SW8, 0x40005a08 +.set CYREG_SC0_SW10, 0x40005a0a +.set CYREG_SC0_CLK, 0x40005a0b +.set CYREG_SC0_BST, 0x40005a0c +.set CYDEV_ANAIF_RT_SC1_BASE, 0x40005a10 +.set CYDEV_ANAIF_RT_SC1_SIZE, 0x0000000d +.set CYREG_SC1_SW0, 0x40005a10 +.set CYREG_SC1_SW2, 0x40005a12 +.set CYREG_SC1_SW3, 0x40005a13 +.set CYREG_SC1_SW4, 0x40005a14 +.set CYREG_SC1_SW6, 0x40005a16 +.set CYREG_SC1_SW7, 0x40005a17 +.set CYREG_SC1_SW8, 0x40005a18 +.set CYREG_SC1_SW10, 0x40005a1a +.set CYREG_SC1_CLK, 0x40005a1b +.set CYREG_SC1_BST, 0x40005a1c +.set CYDEV_ANAIF_RT_SC2_BASE, 0x40005a20 +.set CYDEV_ANAIF_RT_SC2_SIZE, 0x0000000d +.set CYREG_SC2_SW0, 0x40005a20 +.set CYREG_SC2_SW2, 0x40005a22 +.set CYREG_SC2_SW3, 0x40005a23 +.set CYREG_SC2_SW4, 0x40005a24 +.set CYREG_SC2_SW6, 0x40005a26 +.set CYREG_SC2_SW7, 0x40005a27 +.set CYREG_SC2_SW8, 0x40005a28 +.set CYREG_SC2_SW10, 0x40005a2a +.set CYREG_SC2_CLK, 0x40005a2b +.set CYREG_SC2_BST, 0x40005a2c +.set CYDEV_ANAIF_RT_SC3_BASE, 0x40005a30 +.set CYDEV_ANAIF_RT_SC3_SIZE, 0x0000000d +.set CYREG_SC3_SW0, 0x40005a30 +.set CYREG_SC3_SW2, 0x40005a32 +.set CYREG_SC3_SW3, 0x40005a33 +.set CYREG_SC3_SW4, 0x40005a34 +.set CYREG_SC3_SW6, 0x40005a36 +.set CYREG_SC3_SW7, 0x40005a37 +.set CYREG_SC3_SW8, 0x40005a38 +.set CYREG_SC3_SW10, 0x40005a3a +.set CYREG_SC3_CLK, 0x40005a3b +.set CYREG_SC3_BST, 0x40005a3c +.set CYDEV_ANAIF_RT_DAC0_BASE, 0x40005a80 +.set CYDEV_ANAIF_RT_DAC0_SIZE, 0x00000008 +.set CYREG_DAC0_SW0, 0x40005a80 +.set CYREG_DAC0_SW2, 0x40005a82 +.set CYREG_DAC0_SW3, 0x40005a83 +.set CYREG_DAC0_SW4, 0x40005a84 +.set CYREG_DAC0_STROBE, 0x40005a87 +.set CYDEV_ANAIF_RT_DAC1_BASE, 0x40005a88 +.set CYDEV_ANAIF_RT_DAC1_SIZE, 0x00000008 +.set CYREG_DAC1_SW0, 0x40005a88 +.set CYREG_DAC1_SW2, 0x40005a8a +.set CYREG_DAC1_SW3, 0x40005a8b +.set CYREG_DAC1_SW4, 0x40005a8c +.set CYREG_DAC1_STROBE, 0x40005a8f +.set CYDEV_ANAIF_RT_DAC2_BASE, 0x40005a90 +.set CYDEV_ANAIF_RT_DAC2_SIZE, 0x00000008 +.set CYREG_DAC2_SW0, 0x40005a90 +.set CYREG_DAC2_SW2, 0x40005a92 +.set CYREG_DAC2_SW3, 0x40005a93 +.set CYREG_DAC2_SW4, 0x40005a94 +.set CYREG_DAC2_STROBE, 0x40005a97 +.set CYDEV_ANAIF_RT_DAC3_BASE, 0x40005a98 +.set CYDEV_ANAIF_RT_DAC3_SIZE, 0x00000008 +.set CYREG_DAC3_SW0, 0x40005a98 +.set CYREG_DAC3_SW2, 0x40005a9a +.set CYREG_DAC3_SW3, 0x40005a9b +.set CYREG_DAC3_SW4, 0x40005a9c +.set CYREG_DAC3_STROBE, 0x40005a9f +.set CYDEV_ANAIF_RT_CMP0_BASE, 0x40005ac0 +.set CYDEV_ANAIF_RT_CMP0_SIZE, 0x00000008 +.set CYREG_CMP0_SW0, 0x40005ac0 +.set CYREG_CMP0_SW2, 0x40005ac2 +.set CYREG_CMP0_SW3, 0x40005ac3 +.set CYREG_CMP0_SW4, 0x40005ac4 +.set CYREG_CMP0_SW6, 0x40005ac6 +.set CYREG_CMP0_CLK, 0x40005ac7 +.set CYDEV_ANAIF_RT_CMP1_BASE, 0x40005ac8 +.set CYDEV_ANAIF_RT_CMP1_SIZE, 0x00000008 +.set CYREG_CMP1_SW0, 0x40005ac8 +.set CYREG_CMP1_SW2, 0x40005aca +.set CYREG_CMP1_SW3, 0x40005acb +.set CYREG_CMP1_SW4, 0x40005acc +.set CYREG_CMP1_SW6, 0x40005ace +.set CYREG_CMP1_CLK, 0x40005acf +.set CYDEV_ANAIF_RT_CMP2_BASE, 0x40005ad0 +.set CYDEV_ANAIF_RT_CMP2_SIZE, 0x00000008 +.set CYREG_CMP2_SW0, 0x40005ad0 +.set CYREG_CMP2_SW2, 0x40005ad2 +.set CYREG_CMP2_SW3, 0x40005ad3 +.set CYREG_CMP2_SW4, 0x40005ad4 +.set CYREG_CMP2_SW6, 0x40005ad6 +.set CYREG_CMP2_CLK, 0x40005ad7 +.set CYDEV_ANAIF_RT_CMP3_BASE, 0x40005ad8 +.set CYDEV_ANAIF_RT_CMP3_SIZE, 0x00000008 +.set CYREG_CMP3_SW0, 0x40005ad8 +.set CYREG_CMP3_SW2, 0x40005ada +.set CYREG_CMP3_SW3, 0x40005adb +.set CYREG_CMP3_SW4, 0x40005adc +.set CYREG_CMP3_SW6, 0x40005ade +.set CYREG_CMP3_CLK, 0x40005adf +.set CYDEV_ANAIF_RT_DSM0_BASE, 0x40005b00 +.set CYDEV_ANAIF_RT_DSM0_SIZE, 0x00000008 +.set CYREG_DSM0_SW0, 0x40005b00 +.set CYREG_DSM0_SW2, 0x40005b02 +.set CYREG_DSM0_SW3, 0x40005b03 +.set CYREG_DSM0_SW4, 0x40005b04 +.set CYREG_DSM0_SW6, 0x40005b06 +.set CYREG_DSM0_CLK, 0x40005b07 +.set CYDEV_ANAIF_RT_SAR0_BASE, 0x40005b20 +.set CYDEV_ANAIF_RT_SAR0_SIZE, 0x00000008 +.set CYREG_SAR0_SW0, 0x40005b20 +.set CYREG_SAR0_SW2, 0x40005b22 +.set CYREG_SAR0_SW3, 0x40005b23 +.set CYREG_SAR0_SW4, 0x40005b24 +.set CYREG_SAR0_SW6, 0x40005b26 +.set CYREG_SAR0_CLK, 0x40005b27 +.set CYDEV_ANAIF_RT_SAR1_BASE, 0x40005b28 +.set CYDEV_ANAIF_RT_SAR1_SIZE, 0x00000008 +.set CYREG_SAR1_SW0, 0x40005b28 +.set CYREG_SAR1_SW2, 0x40005b2a +.set CYREG_SAR1_SW3, 0x40005b2b +.set CYREG_SAR1_SW4, 0x40005b2c +.set CYREG_SAR1_SW6, 0x40005b2e +.set CYREG_SAR1_CLK, 0x40005b2f +.set CYDEV_ANAIF_RT_OPAMP0_BASE, 0x40005b40 +.set CYDEV_ANAIF_RT_OPAMP0_SIZE, 0x00000002 +.set CYREG_OPAMP0_MX, 0x40005b40 +.set CYREG_OPAMP0_SW, 0x40005b41 +.set CYDEV_ANAIF_RT_OPAMP1_BASE, 0x40005b42 +.set CYDEV_ANAIF_RT_OPAMP1_SIZE, 0x00000002 +.set CYREG_OPAMP1_MX, 0x40005b42 +.set CYREG_OPAMP1_SW, 0x40005b43 +.set CYDEV_ANAIF_RT_OPAMP2_BASE, 0x40005b44 +.set CYDEV_ANAIF_RT_OPAMP2_SIZE, 0x00000002 +.set CYREG_OPAMP2_MX, 0x40005b44 +.set CYREG_OPAMP2_SW, 0x40005b45 +.set CYDEV_ANAIF_RT_OPAMP3_BASE, 0x40005b46 +.set CYDEV_ANAIF_RT_OPAMP3_SIZE, 0x00000002 +.set CYREG_OPAMP3_MX, 0x40005b46 +.set CYREG_OPAMP3_SW, 0x40005b47 +.set CYDEV_ANAIF_RT_LCDDAC_BASE, 0x40005b50 +.set CYDEV_ANAIF_RT_LCDDAC_SIZE, 0x00000005 +.set CYREG_LCDDAC_SW0, 0x40005b50 +.set CYREG_LCDDAC_SW1, 0x40005b51 +.set CYREG_LCDDAC_SW2, 0x40005b52 +.set CYREG_LCDDAC_SW3, 0x40005b53 +.set CYREG_LCDDAC_SW4, 0x40005b54 +.set CYDEV_ANAIF_RT_SC_BASE, 0x40005b56 +.set CYDEV_ANAIF_RT_SC_SIZE, 0x00000001 +.set CYREG_SC_MISC, 0x40005b56 +.set CYDEV_ANAIF_RT_BUS_BASE, 0x40005b58 +.set CYDEV_ANAIF_RT_BUS_SIZE, 0x00000004 +.set CYREG_BUS_SW0, 0x40005b58 +.set CYREG_BUS_SW2, 0x40005b5a +.set CYREG_BUS_SW3, 0x40005b5b +.set CYDEV_ANAIF_RT_DFT_BASE, 0x40005b5c +.set CYDEV_ANAIF_RT_DFT_SIZE, 0x00000006 +.set CYREG_DFT_CR0, 0x40005b5c +.set CYREG_DFT_CR1, 0x40005b5d +.set CYREG_DFT_CR2, 0x40005b5e +.set CYREG_DFT_CR3, 0x40005b5f +.set CYREG_DFT_CR4, 0x40005b60 +.set CYREG_DFT_CR5, 0x40005b61 +.set CYDEV_ANAIF_WRK_BASE, 0x40005b80 +.set CYDEV_ANAIF_WRK_SIZE, 0x00000029 +.set CYDEV_ANAIF_WRK_DAC0_BASE, 0x40005b80 +.set CYDEV_ANAIF_WRK_DAC0_SIZE, 0x00000001 +.set CYREG_DAC0_D, 0x40005b80 +.set CYDEV_ANAIF_WRK_DAC1_BASE, 0x40005b81 +.set CYDEV_ANAIF_WRK_DAC1_SIZE, 0x00000001 +.set CYREG_DAC1_D, 0x40005b81 +.set CYDEV_ANAIF_WRK_DAC2_BASE, 0x40005b82 +.set CYDEV_ANAIF_WRK_DAC2_SIZE, 0x00000001 +.set CYREG_DAC2_D, 0x40005b82 +.set CYDEV_ANAIF_WRK_DAC3_BASE, 0x40005b83 +.set CYDEV_ANAIF_WRK_DAC3_SIZE, 0x00000001 +.set CYREG_DAC3_D, 0x40005b83 +.set CYDEV_ANAIF_WRK_DSM0_BASE, 0x40005b88 +.set CYDEV_ANAIF_WRK_DSM0_SIZE, 0x00000002 +.set CYREG_DSM0_OUT0, 0x40005b88 +.set CYREG_DSM0_OUT1, 0x40005b89 +.set CYDEV_ANAIF_WRK_LUT_BASE, 0x40005b90 +.set CYDEV_ANAIF_WRK_LUT_SIZE, 0x00000005 +.set CYREG_LUT_SR, 0x40005b90 +.set CYREG_LUT_WRK1, 0x40005b91 +.set CYREG_LUT_MSK, 0x40005b92 +.set CYREG_LUT_CLK, 0x40005b93 +.set CYREG_LUT_CPTR, 0x40005b94 +.set CYDEV_ANAIF_WRK_CMP_BASE, 0x40005b96 +.set CYDEV_ANAIF_WRK_CMP_SIZE, 0x00000002 +.set CYREG_CMP_WRK, 0x40005b96 +.set CYREG_CMP_TST, 0x40005b97 +.set CYDEV_ANAIF_WRK_SC_BASE, 0x40005b98 +.set CYDEV_ANAIF_WRK_SC_SIZE, 0x00000005 +.set CYREG_SC_SR, 0x40005b98 +.set CYREG_SC_WRK1, 0x40005b99 +.set CYREG_SC_MSK, 0x40005b9a +.set CYREG_SC_CMPINV, 0x40005b9b +.set CYREG_SC_CPTR, 0x40005b9c +.set CYDEV_ANAIF_WRK_SAR0_BASE, 0x40005ba0 +.set CYDEV_ANAIF_WRK_SAR0_SIZE, 0x00000002 +.set CYREG_SAR0_WRK0, 0x40005ba0 +.set CYREG_SAR0_WRK1, 0x40005ba1 +.set CYDEV_ANAIF_WRK_SAR1_BASE, 0x40005ba2 +.set CYDEV_ANAIF_WRK_SAR1_SIZE, 0x00000002 +.set CYREG_SAR1_WRK0, 0x40005ba2 +.set CYREG_SAR1_WRK1, 0x40005ba3 +.set CYDEV_ANAIF_WRK_SARS_BASE, 0x40005ba8 +.set CYDEV_ANAIF_WRK_SARS_SIZE, 0x00000001 +.set CYREG_ANAIF_WRK_SARS_SOF, 0x40005ba8 +.set CYDEV_USB_BASE, 0x40006000 +.set CYDEV_USB_SIZE, 0x00000300 +.set CYREG_USB_EP0_DR0, 0x40006000 +.set CYREG_USB_EP0_DR1, 0x40006001 +.set CYREG_USB_EP0_DR2, 0x40006002 +.set CYREG_USB_EP0_DR3, 0x40006003 +.set CYREG_USB_EP0_DR4, 0x40006004 +.set CYREG_USB_EP0_DR5, 0x40006005 +.set CYREG_USB_EP0_DR6, 0x40006006 +.set CYREG_USB_EP0_DR7, 0x40006007 +.set CYREG_USB_CR0, 0x40006008 +.set CYREG_USB_CR1, 0x40006009 +.set CYREG_USB_SIE_EP_INT_EN, 0x4000600a +.set CYREG_USB_SIE_EP_INT_SR, 0x4000600b +.set CYDEV_USB_SIE_EP1_BASE, 0x4000600c +.set CYDEV_USB_SIE_EP1_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP1_CNT0, 0x4000600c +.set CYREG_USB_SIE_EP1_CNT1, 0x4000600d +.set CYREG_USB_SIE_EP1_CR0, 0x4000600e +.set CYREG_USB_USBIO_CR0, 0x40006010 +.set CYREG_USB_USBIO_CR1, 0x40006012 +.set CYREG_USB_DYN_RECONFIG, 0x40006014 +.set CYREG_USB_SOF0, 0x40006018 +.set CYREG_USB_SOF1, 0x40006019 +.set CYDEV_USB_SIE_EP2_BASE, 0x4000601c +.set CYDEV_USB_SIE_EP2_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP2_CNT0, 0x4000601c +.set CYREG_USB_SIE_EP2_CNT1, 0x4000601d +.set CYREG_USB_SIE_EP2_CR0, 0x4000601e +.set CYREG_USB_EP0_CR, 0x40006028 +.set CYREG_USB_EP0_CNT, 0x40006029 +.set CYDEV_USB_SIE_EP3_BASE, 0x4000602c +.set CYDEV_USB_SIE_EP3_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP3_CNT0, 0x4000602c +.set CYREG_USB_SIE_EP3_CNT1, 0x4000602d +.set CYREG_USB_SIE_EP3_CR0, 0x4000602e +.set CYDEV_USB_SIE_EP4_BASE, 0x4000603c +.set CYDEV_USB_SIE_EP4_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP4_CNT0, 0x4000603c +.set CYREG_USB_SIE_EP4_CNT1, 0x4000603d +.set CYREG_USB_SIE_EP4_CR0, 0x4000603e +.set CYDEV_USB_SIE_EP5_BASE, 0x4000604c +.set CYDEV_USB_SIE_EP5_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP5_CNT0, 0x4000604c +.set CYREG_USB_SIE_EP5_CNT1, 0x4000604d +.set CYREG_USB_SIE_EP5_CR0, 0x4000604e +.set CYDEV_USB_SIE_EP6_BASE, 0x4000605c +.set CYDEV_USB_SIE_EP6_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP6_CNT0, 0x4000605c +.set CYREG_USB_SIE_EP6_CNT1, 0x4000605d +.set CYREG_USB_SIE_EP6_CR0, 0x4000605e +.set CYDEV_USB_SIE_EP7_BASE, 0x4000606c +.set CYDEV_USB_SIE_EP7_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP7_CNT0, 0x4000606c +.set CYREG_USB_SIE_EP7_CNT1, 0x4000606d +.set CYREG_USB_SIE_EP7_CR0, 0x4000606e +.set CYDEV_USB_SIE_EP8_BASE, 0x4000607c +.set CYDEV_USB_SIE_EP8_SIZE, 0x00000003 +.set CYREG_USB_SIE_EP8_CNT0, 0x4000607c +.set CYREG_USB_SIE_EP8_CNT1, 0x4000607d +.set CYREG_USB_SIE_EP8_CR0, 0x4000607e +.set CYDEV_USB_ARB_EP1_BASE, 0x40006080 +.set CYDEV_USB_ARB_EP1_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP1_CFG, 0x40006080 +.set CYREG_USB_ARB_EP1_INT_EN, 0x40006081 +.set CYREG_USB_ARB_EP1_SR, 0x40006082 +.set CYDEV_USB_ARB_RW1_BASE, 0x40006084 +.set CYDEV_USB_ARB_RW1_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW1_WA, 0x40006084 +.set CYREG_USB_ARB_RW1_WA_MSB, 0x40006085 +.set CYREG_USB_ARB_RW1_RA, 0x40006086 +.set CYREG_USB_ARB_RW1_RA_MSB, 0x40006087 +.set CYREG_USB_ARB_RW1_DR, 0x40006088 +.set CYREG_USB_BUF_SIZE, 0x4000608c +.set CYREG_USB_EP_ACTIVE, 0x4000608e +.set CYREG_USB_EP_TYPE, 0x4000608f +.set CYDEV_USB_ARB_EP2_BASE, 0x40006090 +.set CYDEV_USB_ARB_EP2_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP2_CFG, 0x40006090 +.set CYREG_USB_ARB_EP2_INT_EN, 0x40006091 +.set CYREG_USB_ARB_EP2_SR, 0x40006092 +.set CYDEV_USB_ARB_RW2_BASE, 0x40006094 +.set CYDEV_USB_ARB_RW2_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW2_WA, 0x40006094 +.set CYREG_USB_ARB_RW2_WA_MSB, 0x40006095 +.set CYREG_USB_ARB_RW2_RA, 0x40006096 +.set CYREG_USB_ARB_RW2_RA_MSB, 0x40006097 +.set CYREG_USB_ARB_RW2_DR, 0x40006098 +.set CYREG_USB_ARB_CFG, 0x4000609c +.set CYREG_USB_USB_CLK_EN, 0x4000609d +.set CYREG_USB_ARB_INT_EN, 0x4000609e +.set CYREG_USB_ARB_INT_SR, 0x4000609f +.set CYDEV_USB_ARB_EP3_BASE, 0x400060a0 +.set CYDEV_USB_ARB_EP3_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP3_CFG, 0x400060a0 +.set CYREG_USB_ARB_EP3_INT_EN, 0x400060a1 +.set CYREG_USB_ARB_EP3_SR, 0x400060a2 +.set CYDEV_USB_ARB_RW3_BASE, 0x400060a4 +.set CYDEV_USB_ARB_RW3_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW3_WA, 0x400060a4 +.set CYREG_USB_ARB_RW3_WA_MSB, 0x400060a5 +.set CYREG_USB_ARB_RW3_RA, 0x400060a6 +.set CYREG_USB_ARB_RW3_RA_MSB, 0x400060a7 +.set CYREG_USB_ARB_RW3_DR, 0x400060a8 +.set CYREG_USB_CWA, 0x400060ac +.set CYREG_USB_CWA_MSB, 0x400060ad +.set CYDEV_USB_ARB_EP4_BASE, 0x400060b0 +.set CYDEV_USB_ARB_EP4_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP4_CFG, 0x400060b0 +.set CYREG_USB_ARB_EP4_INT_EN, 0x400060b1 +.set CYREG_USB_ARB_EP4_SR, 0x400060b2 +.set CYDEV_USB_ARB_RW4_BASE, 0x400060b4 +.set CYDEV_USB_ARB_RW4_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW4_WA, 0x400060b4 +.set CYREG_USB_ARB_RW4_WA_MSB, 0x400060b5 +.set CYREG_USB_ARB_RW4_RA, 0x400060b6 +.set CYREG_USB_ARB_RW4_RA_MSB, 0x400060b7 +.set CYREG_USB_ARB_RW4_DR, 0x400060b8 +.set CYREG_USB_DMA_THRES, 0x400060bc +.set CYREG_USB_DMA_THRES_MSB, 0x400060bd +.set CYDEV_USB_ARB_EP5_BASE, 0x400060c0 +.set CYDEV_USB_ARB_EP5_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP5_CFG, 0x400060c0 +.set CYREG_USB_ARB_EP5_INT_EN, 0x400060c1 +.set CYREG_USB_ARB_EP5_SR, 0x400060c2 +.set CYDEV_USB_ARB_RW5_BASE, 0x400060c4 +.set CYDEV_USB_ARB_RW5_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW5_WA, 0x400060c4 +.set CYREG_USB_ARB_RW5_WA_MSB, 0x400060c5 +.set CYREG_USB_ARB_RW5_RA, 0x400060c6 +.set CYREG_USB_ARB_RW5_RA_MSB, 0x400060c7 +.set CYREG_USB_ARB_RW5_DR, 0x400060c8 +.set CYREG_USB_BUS_RST_CNT, 0x400060cc +.set CYDEV_USB_ARB_EP6_BASE, 0x400060d0 +.set CYDEV_USB_ARB_EP6_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP6_CFG, 0x400060d0 +.set CYREG_USB_ARB_EP6_INT_EN, 0x400060d1 +.set CYREG_USB_ARB_EP6_SR, 0x400060d2 +.set CYDEV_USB_ARB_RW6_BASE, 0x400060d4 +.set CYDEV_USB_ARB_RW6_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW6_WA, 0x400060d4 +.set CYREG_USB_ARB_RW6_WA_MSB, 0x400060d5 +.set CYREG_USB_ARB_RW6_RA, 0x400060d6 +.set CYREG_USB_ARB_RW6_RA_MSB, 0x400060d7 +.set CYREG_USB_ARB_RW6_DR, 0x400060d8 +.set CYDEV_USB_ARB_EP7_BASE, 0x400060e0 +.set CYDEV_USB_ARB_EP7_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP7_CFG, 0x400060e0 +.set CYREG_USB_ARB_EP7_INT_EN, 0x400060e1 +.set CYREG_USB_ARB_EP7_SR, 0x400060e2 +.set CYDEV_USB_ARB_RW7_BASE, 0x400060e4 +.set CYDEV_USB_ARB_RW7_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW7_WA, 0x400060e4 +.set CYREG_USB_ARB_RW7_WA_MSB, 0x400060e5 +.set CYREG_USB_ARB_RW7_RA, 0x400060e6 +.set CYREG_USB_ARB_RW7_RA_MSB, 0x400060e7 +.set CYREG_USB_ARB_RW7_DR, 0x400060e8 +.set CYDEV_USB_ARB_EP8_BASE, 0x400060f0 +.set CYDEV_USB_ARB_EP8_SIZE, 0x00000003 +.set CYREG_USB_ARB_EP8_CFG, 0x400060f0 +.set CYREG_USB_ARB_EP8_INT_EN, 0x400060f1 +.set CYREG_USB_ARB_EP8_SR, 0x400060f2 +.set CYDEV_USB_ARB_RW8_BASE, 0x400060f4 +.set CYDEV_USB_ARB_RW8_SIZE, 0x00000005 +.set CYREG_USB_ARB_RW8_WA, 0x400060f4 +.set CYREG_USB_ARB_RW8_WA_MSB, 0x400060f5 +.set CYREG_USB_ARB_RW8_RA, 0x400060f6 +.set CYREG_USB_ARB_RW8_RA_MSB, 0x400060f7 +.set CYREG_USB_ARB_RW8_DR, 0x400060f8 +.set CYDEV_USB_MEM_BASE, 0x40006100 +.set CYDEV_USB_MEM_SIZE, 0x00000200 +.set CYREG_USB_MEM_DATA_MBASE, 0x40006100 +.set CYREG_USB_MEM_DATA_MSIZE, 0x00000200 +.set CYDEV_UWRK_BASE, 0x40006400 +.set CYDEV_UWRK_SIZE, 0x00000b60 +.set CYDEV_UWRK_UWRK8_BASE, 0x40006400 +.set CYDEV_UWRK_UWRK8_SIZE, 0x000003b0 +.set CYDEV_UWRK_UWRK8_B0_BASE, 0x40006400 +.set CYDEV_UWRK_UWRK8_B0_SIZE, 0x000000b0 +.set CYREG_B0_UDB00_A0, 0x40006400 +.set CYREG_B0_UDB01_A0, 0x40006401 +.set CYREG_B0_UDB02_A0, 0x40006402 +.set CYREG_B0_UDB03_A0, 0x40006403 +.set CYREG_B0_UDB04_A0, 0x40006404 +.set CYREG_B0_UDB05_A0, 0x40006405 +.set CYREG_B0_UDB06_A0, 0x40006406 +.set CYREG_B0_UDB07_A0, 0x40006407 +.set CYREG_B0_UDB08_A0, 0x40006408 +.set CYREG_B0_UDB09_A0, 0x40006409 +.set CYREG_B0_UDB10_A0, 0x4000640a +.set CYREG_B0_UDB11_A0, 0x4000640b +.set CYREG_B0_UDB12_A0, 0x4000640c +.set CYREG_B0_UDB13_A0, 0x4000640d +.set CYREG_B0_UDB14_A0, 0x4000640e +.set CYREG_B0_UDB15_A0, 0x4000640f +.set CYREG_B0_UDB00_A1, 0x40006410 +.set CYREG_B0_UDB01_A1, 0x40006411 +.set CYREG_B0_UDB02_A1, 0x40006412 +.set CYREG_B0_UDB03_A1, 0x40006413 +.set CYREG_B0_UDB04_A1, 0x40006414 +.set CYREG_B0_UDB05_A1, 0x40006415 +.set CYREG_B0_UDB06_A1, 0x40006416 +.set CYREG_B0_UDB07_A1, 0x40006417 +.set CYREG_B0_UDB08_A1, 0x40006418 +.set CYREG_B0_UDB09_A1, 0x40006419 +.set CYREG_B0_UDB10_A1, 0x4000641a +.set CYREG_B0_UDB11_A1, 0x4000641b +.set CYREG_B0_UDB12_A1, 0x4000641c +.set CYREG_B0_UDB13_A1, 0x4000641d +.set CYREG_B0_UDB14_A1, 0x4000641e +.set CYREG_B0_UDB15_A1, 0x4000641f +.set CYREG_B0_UDB00_D0, 0x40006420 +.set CYREG_B0_UDB01_D0, 0x40006421 +.set CYREG_B0_UDB02_D0, 0x40006422 +.set CYREG_B0_UDB03_D0, 0x40006423 +.set CYREG_B0_UDB04_D0, 0x40006424 +.set CYREG_B0_UDB05_D0, 0x40006425 +.set CYREG_B0_UDB06_D0, 0x40006426 +.set CYREG_B0_UDB07_D0, 0x40006427 +.set CYREG_B0_UDB08_D0, 0x40006428 +.set CYREG_B0_UDB09_D0, 0x40006429 +.set CYREG_B0_UDB10_D0, 0x4000642a +.set CYREG_B0_UDB11_D0, 0x4000642b +.set CYREG_B0_UDB12_D0, 0x4000642c +.set CYREG_B0_UDB13_D0, 0x4000642d +.set CYREG_B0_UDB14_D0, 0x4000642e +.set CYREG_B0_UDB15_D0, 0x4000642f +.set CYREG_B0_UDB00_D1, 0x40006430 +.set CYREG_B0_UDB01_D1, 0x40006431 +.set CYREG_B0_UDB02_D1, 0x40006432 +.set CYREG_B0_UDB03_D1, 0x40006433 +.set CYREG_B0_UDB04_D1, 0x40006434 +.set CYREG_B0_UDB05_D1, 0x40006435 +.set CYREG_B0_UDB06_D1, 0x40006436 +.set CYREG_B0_UDB07_D1, 0x40006437 +.set CYREG_B0_UDB08_D1, 0x40006438 +.set CYREG_B0_UDB09_D1, 0x40006439 +.set CYREG_B0_UDB10_D1, 0x4000643a +.set CYREG_B0_UDB11_D1, 0x4000643b +.set CYREG_B0_UDB12_D1, 0x4000643c +.set CYREG_B0_UDB13_D1, 0x4000643d +.set CYREG_B0_UDB14_D1, 0x4000643e +.set CYREG_B0_UDB15_D1, 0x4000643f +.set CYREG_B0_UDB00_F0, 0x40006440 +.set CYREG_B0_UDB01_F0, 0x40006441 +.set CYREG_B0_UDB02_F0, 0x40006442 +.set CYREG_B0_UDB03_F0, 0x40006443 +.set CYREG_B0_UDB04_F0, 0x40006444 +.set CYREG_B0_UDB05_F0, 0x40006445 +.set CYREG_B0_UDB06_F0, 0x40006446 +.set CYREG_B0_UDB07_F0, 0x40006447 +.set CYREG_B0_UDB08_F0, 0x40006448 +.set CYREG_B0_UDB09_F0, 0x40006449 +.set CYREG_B0_UDB10_F0, 0x4000644a +.set CYREG_B0_UDB11_F0, 0x4000644b +.set CYREG_B0_UDB12_F0, 0x4000644c +.set CYREG_B0_UDB13_F0, 0x4000644d +.set CYREG_B0_UDB14_F0, 0x4000644e +.set CYREG_B0_UDB15_F0, 0x4000644f +.set CYREG_B0_UDB00_F1, 0x40006450 +.set CYREG_B0_UDB01_F1, 0x40006451 +.set CYREG_B0_UDB02_F1, 0x40006452 +.set CYREG_B0_UDB03_F1, 0x40006453 +.set CYREG_B0_UDB04_F1, 0x40006454 +.set CYREG_B0_UDB05_F1, 0x40006455 +.set CYREG_B0_UDB06_F1, 0x40006456 +.set CYREG_B0_UDB07_F1, 0x40006457 +.set CYREG_B0_UDB08_F1, 0x40006458 +.set CYREG_B0_UDB09_F1, 0x40006459 +.set CYREG_B0_UDB10_F1, 0x4000645a +.set CYREG_B0_UDB11_F1, 0x4000645b +.set CYREG_B0_UDB12_F1, 0x4000645c +.set CYREG_B0_UDB13_F1, 0x4000645d +.set CYREG_B0_UDB14_F1, 0x4000645e +.set CYREG_B0_UDB15_F1, 0x4000645f +.set CYREG_B0_UDB00_ST, 0x40006460 +.set CYREG_B0_UDB01_ST, 0x40006461 +.set CYREG_B0_UDB02_ST, 0x40006462 +.set CYREG_B0_UDB03_ST, 0x40006463 +.set CYREG_B0_UDB04_ST, 0x40006464 +.set CYREG_B0_UDB05_ST, 0x40006465 +.set CYREG_B0_UDB06_ST, 0x40006466 +.set CYREG_B0_UDB07_ST, 0x40006467 +.set CYREG_B0_UDB08_ST, 0x40006468 +.set CYREG_B0_UDB09_ST, 0x40006469 +.set CYREG_B0_UDB10_ST, 0x4000646a +.set CYREG_B0_UDB11_ST, 0x4000646b +.set CYREG_B0_UDB12_ST, 0x4000646c +.set CYREG_B0_UDB13_ST, 0x4000646d +.set CYREG_B0_UDB14_ST, 0x4000646e +.set CYREG_B0_UDB15_ST, 0x4000646f +.set CYREG_B0_UDB00_CTL, 0x40006470 +.set CYREG_B0_UDB01_CTL, 0x40006471 +.set CYREG_B0_UDB02_CTL, 0x40006472 +.set CYREG_B0_UDB03_CTL, 0x40006473 +.set CYREG_B0_UDB04_CTL, 0x40006474 +.set CYREG_B0_UDB05_CTL, 0x40006475 +.set CYREG_B0_UDB06_CTL, 0x40006476 +.set CYREG_B0_UDB07_CTL, 0x40006477 +.set CYREG_B0_UDB08_CTL, 0x40006478 +.set CYREG_B0_UDB09_CTL, 0x40006479 +.set CYREG_B0_UDB10_CTL, 0x4000647a +.set CYREG_B0_UDB11_CTL, 0x4000647b +.set CYREG_B0_UDB12_CTL, 0x4000647c +.set CYREG_B0_UDB13_CTL, 0x4000647d +.set CYREG_B0_UDB14_CTL, 0x4000647e +.set CYREG_B0_UDB15_CTL, 0x4000647f +.set CYREG_B0_UDB00_MSK, 0x40006480 +.set CYREG_B0_UDB01_MSK, 0x40006481 +.set CYREG_B0_UDB02_MSK, 0x40006482 +.set CYREG_B0_UDB03_MSK, 0x40006483 +.set CYREG_B0_UDB04_MSK, 0x40006484 +.set CYREG_B0_UDB05_MSK, 0x40006485 +.set CYREG_B0_UDB06_MSK, 0x40006486 +.set CYREG_B0_UDB07_MSK, 0x40006487 +.set CYREG_B0_UDB08_MSK, 0x40006488 +.set CYREG_B0_UDB09_MSK, 0x40006489 +.set CYREG_B0_UDB10_MSK, 0x4000648a +.set CYREG_B0_UDB11_MSK, 0x4000648b +.set CYREG_B0_UDB12_MSK, 0x4000648c +.set CYREG_B0_UDB13_MSK, 0x4000648d +.set CYREG_B0_UDB14_MSK, 0x4000648e +.set CYREG_B0_UDB15_MSK, 0x4000648f +.set CYREG_B0_UDB00_ACTL, 0x40006490 +.set CYREG_B0_UDB01_ACTL, 0x40006491 +.set CYREG_B0_UDB02_ACTL, 0x40006492 +.set CYREG_B0_UDB03_ACTL, 0x40006493 +.set CYREG_B0_UDB04_ACTL, 0x40006494 +.set CYREG_B0_UDB05_ACTL, 0x40006495 +.set CYREG_B0_UDB06_ACTL, 0x40006496 +.set CYREG_B0_UDB07_ACTL, 0x40006497 +.set CYREG_B0_UDB08_ACTL, 0x40006498 +.set CYREG_B0_UDB09_ACTL, 0x40006499 +.set CYREG_B0_UDB10_ACTL, 0x4000649a +.set CYREG_B0_UDB11_ACTL, 0x4000649b +.set CYREG_B0_UDB12_ACTL, 0x4000649c +.set CYREG_B0_UDB13_ACTL, 0x4000649d +.set CYREG_B0_UDB14_ACTL, 0x4000649e +.set CYREG_B0_UDB15_ACTL, 0x4000649f +.set CYREG_B0_UDB00_MC, 0x400064a0 +.set CYREG_B0_UDB01_MC, 0x400064a1 +.set CYREG_B0_UDB02_MC, 0x400064a2 +.set CYREG_B0_UDB03_MC, 0x400064a3 +.set CYREG_B0_UDB04_MC, 0x400064a4 +.set CYREG_B0_UDB05_MC, 0x400064a5 +.set CYREG_B0_UDB06_MC, 0x400064a6 +.set CYREG_B0_UDB07_MC, 0x400064a7 +.set CYREG_B0_UDB08_MC, 0x400064a8 +.set CYREG_B0_UDB09_MC, 0x400064a9 +.set CYREG_B0_UDB10_MC, 0x400064aa +.set CYREG_B0_UDB11_MC, 0x400064ab +.set CYREG_B0_UDB12_MC, 0x400064ac +.set CYREG_B0_UDB13_MC, 0x400064ad +.set CYREG_B0_UDB14_MC, 0x400064ae +.set CYREG_B0_UDB15_MC, 0x400064af +.set CYDEV_UWRK_UWRK8_B1_BASE, 0x40006500 +.set CYDEV_UWRK_UWRK8_B1_SIZE, 0x000000b0 +.set CYREG_B1_UDB04_A0, 0x40006504 +.set CYREG_B1_UDB05_A0, 0x40006505 +.set CYREG_B1_UDB06_A0, 0x40006506 +.set CYREG_B1_UDB07_A0, 0x40006507 +.set CYREG_B1_UDB08_A0, 0x40006508 +.set CYREG_B1_UDB09_A0, 0x40006509 +.set CYREG_B1_UDB10_A0, 0x4000650a +.set CYREG_B1_UDB11_A0, 0x4000650b +.set CYREG_B1_UDB04_A1, 0x40006514 +.set CYREG_B1_UDB05_A1, 0x40006515 +.set CYREG_B1_UDB06_A1, 0x40006516 +.set CYREG_B1_UDB07_A1, 0x40006517 +.set CYREG_B1_UDB08_A1, 0x40006518 +.set CYREG_B1_UDB09_A1, 0x40006519 +.set CYREG_B1_UDB10_A1, 0x4000651a +.set CYREG_B1_UDB11_A1, 0x4000651b +.set CYREG_B1_UDB04_D0, 0x40006524 +.set CYREG_B1_UDB05_D0, 0x40006525 +.set CYREG_B1_UDB06_D0, 0x40006526 +.set CYREG_B1_UDB07_D0, 0x40006527 +.set CYREG_B1_UDB08_D0, 0x40006528 +.set CYREG_B1_UDB09_D0, 0x40006529 +.set CYREG_B1_UDB10_D0, 0x4000652a +.set CYREG_B1_UDB11_D0, 0x4000652b +.set CYREG_B1_UDB04_D1, 0x40006534 +.set CYREG_B1_UDB05_D1, 0x40006535 +.set CYREG_B1_UDB06_D1, 0x40006536 +.set CYREG_B1_UDB07_D1, 0x40006537 +.set CYREG_B1_UDB08_D1, 0x40006538 +.set CYREG_B1_UDB09_D1, 0x40006539 +.set CYREG_B1_UDB10_D1, 0x4000653a +.set CYREG_B1_UDB11_D1, 0x4000653b +.set CYREG_B1_UDB04_F0, 0x40006544 +.set CYREG_B1_UDB05_F0, 0x40006545 +.set CYREG_B1_UDB06_F0, 0x40006546 +.set CYREG_B1_UDB07_F0, 0x40006547 +.set CYREG_B1_UDB08_F0, 0x40006548 +.set CYREG_B1_UDB09_F0, 0x40006549 +.set CYREG_B1_UDB10_F0, 0x4000654a +.set CYREG_B1_UDB11_F0, 0x4000654b +.set CYREG_B1_UDB04_F1, 0x40006554 +.set CYREG_B1_UDB05_F1, 0x40006555 +.set CYREG_B1_UDB06_F1, 0x40006556 +.set CYREG_B1_UDB07_F1, 0x40006557 +.set CYREG_B1_UDB08_F1, 0x40006558 +.set CYREG_B1_UDB09_F1, 0x40006559 +.set CYREG_B1_UDB10_F1, 0x4000655a +.set CYREG_B1_UDB11_F1, 0x4000655b +.set CYREG_B1_UDB04_ST, 0x40006564 +.set CYREG_B1_UDB05_ST, 0x40006565 +.set CYREG_B1_UDB06_ST, 0x40006566 +.set CYREG_B1_UDB07_ST, 0x40006567 +.set CYREG_B1_UDB08_ST, 0x40006568 +.set CYREG_B1_UDB09_ST, 0x40006569 +.set CYREG_B1_UDB10_ST, 0x4000656a +.set CYREG_B1_UDB11_ST, 0x4000656b +.set CYREG_B1_UDB04_CTL, 0x40006574 +.set CYREG_B1_UDB05_CTL, 0x40006575 +.set CYREG_B1_UDB06_CTL, 0x40006576 +.set CYREG_B1_UDB07_CTL, 0x40006577 +.set CYREG_B1_UDB08_CTL, 0x40006578 +.set CYREG_B1_UDB09_CTL, 0x40006579 +.set CYREG_B1_UDB10_CTL, 0x4000657a +.set CYREG_B1_UDB11_CTL, 0x4000657b +.set CYREG_B1_UDB04_MSK, 0x40006584 +.set CYREG_B1_UDB05_MSK, 0x40006585 +.set CYREG_B1_UDB06_MSK, 0x40006586 +.set CYREG_B1_UDB07_MSK, 0x40006587 +.set CYREG_B1_UDB08_MSK, 0x40006588 +.set CYREG_B1_UDB09_MSK, 0x40006589 +.set CYREG_B1_UDB10_MSK, 0x4000658a +.set CYREG_B1_UDB11_MSK, 0x4000658b +.set CYREG_B1_UDB04_ACTL, 0x40006594 +.set CYREG_B1_UDB05_ACTL, 0x40006595 +.set CYREG_B1_UDB06_ACTL, 0x40006596 +.set CYREG_B1_UDB07_ACTL, 0x40006597 +.set CYREG_B1_UDB08_ACTL, 0x40006598 +.set CYREG_B1_UDB09_ACTL, 0x40006599 +.set CYREG_B1_UDB10_ACTL, 0x4000659a +.set CYREG_B1_UDB11_ACTL, 0x4000659b +.set CYREG_B1_UDB04_MC, 0x400065a4 +.set CYREG_B1_UDB05_MC, 0x400065a5 +.set CYREG_B1_UDB06_MC, 0x400065a6 +.set CYREG_B1_UDB07_MC, 0x400065a7 +.set CYREG_B1_UDB08_MC, 0x400065a8 +.set CYREG_B1_UDB09_MC, 0x400065a9 +.set CYREG_B1_UDB10_MC, 0x400065aa +.set CYREG_B1_UDB11_MC, 0x400065ab +.set CYDEV_UWRK_UWRK16_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_SIZE, 0x00000760 +.set CYDEV_UWRK_UWRK16_CAT_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_SIZE, 0x00000760 +.set CYDEV_UWRK_UWRK16_CAT_B0_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_CAT_B0_SIZE, 0x00000160 +.set CYREG_B0_UDB00_A0_A1, 0x40006800 +.set CYREG_B0_UDB01_A0_A1, 0x40006802 +.set CYREG_B0_UDB02_A0_A1, 0x40006804 +.set CYREG_B0_UDB03_A0_A1, 0x40006806 +.set CYREG_B0_UDB04_A0_A1, 0x40006808 +.set CYREG_B0_UDB05_A0_A1, 0x4000680a +.set CYREG_B0_UDB06_A0_A1, 0x4000680c +.set CYREG_B0_UDB07_A0_A1, 0x4000680e +.set CYREG_B0_UDB08_A0_A1, 0x40006810 +.set CYREG_B0_UDB09_A0_A1, 0x40006812 +.set CYREG_B0_UDB10_A0_A1, 0x40006814 +.set CYREG_B0_UDB11_A0_A1, 0x40006816 +.set CYREG_B0_UDB12_A0_A1, 0x40006818 +.set CYREG_B0_UDB13_A0_A1, 0x4000681a +.set CYREG_B0_UDB14_A0_A1, 0x4000681c +.set CYREG_B0_UDB15_A0_A1, 0x4000681e +.set CYREG_B0_UDB00_D0_D1, 0x40006840 +.set CYREG_B0_UDB01_D0_D1, 0x40006842 +.set CYREG_B0_UDB02_D0_D1, 0x40006844 +.set CYREG_B0_UDB03_D0_D1, 0x40006846 +.set CYREG_B0_UDB04_D0_D1, 0x40006848 +.set CYREG_B0_UDB05_D0_D1, 0x4000684a +.set CYREG_B0_UDB06_D0_D1, 0x4000684c +.set CYREG_B0_UDB07_D0_D1, 0x4000684e +.set CYREG_B0_UDB08_D0_D1, 0x40006850 +.set CYREG_B0_UDB09_D0_D1, 0x40006852 +.set CYREG_B0_UDB10_D0_D1, 0x40006854 +.set CYREG_B0_UDB11_D0_D1, 0x40006856 +.set CYREG_B0_UDB12_D0_D1, 0x40006858 +.set CYREG_B0_UDB13_D0_D1, 0x4000685a +.set CYREG_B0_UDB14_D0_D1, 0x4000685c +.set CYREG_B0_UDB15_D0_D1, 0x4000685e +.set CYREG_B0_UDB00_F0_F1, 0x40006880 +.set CYREG_B0_UDB01_F0_F1, 0x40006882 +.set CYREG_B0_UDB02_F0_F1, 0x40006884 +.set CYREG_B0_UDB03_F0_F1, 0x40006886 +.set CYREG_B0_UDB04_F0_F1, 0x40006888 +.set CYREG_B0_UDB05_F0_F1, 0x4000688a +.set CYREG_B0_UDB06_F0_F1, 0x4000688c +.set CYREG_B0_UDB07_F0_F1, 0x4000688e +.set CYREG_B0_UDB08_F0_F1, 0x40006890 +.set CYREG_B0_UDB09_F0_F1, 0x40006892 +.set CYREG_B0_UDB10_F0_F1, 0x40006894 +.set CYREG_B0_UDB11_F0_F1, 0x40006896 +.set CYREG_B0_UDB12_F0_F1, 0x40006898 +.set CYREG_B0_UDB13_F0_F1, 0x4000689a +.set CYREG_B0_UDB14_F0_F1, 0x4000689c +.set CYREG_B0_UDB15_F0_F1, 0x4000689e +.set CYREG_B0_UDB00_ST_CTL, 0x400068c0 +.set CYREG_B0_UDB01_ST_CTL, 0x400068c2 +.set CYREG_B0_UDB02_ST_CTL, 0x400068c4 +.set CYREG_B0_UDB03_ST_CTL, 0x400068c6 +.set CYREG_B0_UDB04_ST_CTL, 0x400068c8 +.set CYREG_B0_UDB05_ST_CTL, 0x400068ca +.set CYREG_B0_UDB06_ST_CTL, 0x400068cc +.set CYREG_B0_UDB07_ST_CTL, 0x400068ce +.set CYREG_B0_UDB08_ST_CTL, 0x400068d0 +.set CYREG_B0_UDB09_ST_CTL, 0x400068d2 +.set CYREG_B0_UDB10_ST_CTL, 0x400068d4 +.set CYREG_B0_UDB11_ST_CTL, 0x400068d6 +.set CYREG_B0_UDB12_ST_CTL, 0x400068d8 +.set CYREG_B0_UDB13_ST_CTL, 0x400068da +.set CYREG_B0_UDB14_ST_CTL, 0x400068dc +.set CYREG_B0_UDB15_ST_CTL, 0x400068de +.set CYREG_B0_UDB00_MSK_ACTL, 0x40006900 +.set CYREG_B0_UDB01_MSK_ACTL, 0x40006902 +.set CYREG_B0_UDB02_MSK_ACTL, 0x40006904 +.set CYREG_B0_UDB03_MSK_ACTL, 0x40006906 +.set CYREG_B0_UDB04_MSK_ACTL, 0x40006908 +.set CYREG_B0_UDB05_MSK_ACTL, 0x4000690a +.set CYREG_B0_UDB06_MSK_ACTL, 0x4000690c +.set CYREG_B0_UDB07_MSK_ACTL, 0x4000690e +.set CYREG_B0_UDB08_MSK_ACTL, 0x40006910 +.set CYREG_B0_UDB09_MSK_ACTL, 0x40006912 +.set CYREG_B0_UDB10_MSK_ACTL, 0x40006914 +.set CYREG_B0_UDB11_MSK_ACTL, 0x40006916 +.set CYREG_B0_UDB12_MSK_ACTL, 0x40006918 +.set CYREG_B0_UDB13_MSK_ACTL, 0x4000691a +.set CYREG_B0_UDB14_MSK_ACTL, 0x4000691c +.set CYREG_B0_UDB15_MSK_ACTL, 0x4000691e +.set CYREG_B0_UDB00_MC_00, 0x40006940 +.set CYREG_B0_UDB01_MC_00, 0x40006942 +.set CYREG_B0_UDB02_MC_00, 0x40006944 +.set CYREG_B0_UDB03_MC_00, 0x40006946 +.set CYREG_B0_UDB04_MC_00, 0x40006948 +.set CYREG_B0_UDB05_MC_00, 0x4000694a +.set CYREG_B0_UDB06_MC_00, 0x4000694c +.set CYREG_B0_UDB07_MC_00, 0x4000694e +.set CYREG_B0_UDB08_MC_00, 0x40006950 +.set CYREG_B0_UDB09_MC_00, 0x40006952 +.set CYREG_B0_UDB10_MC_00, 0x40006954 +.set CYREG_B0_UDB11_MC_00, 0x40006956 +.set CYREG_B0_UDB12_MC_00, 0x40006958 +.set CYREG_B0_UDB13_MC_00, 0x4000695a +.set CYREG_B0_UDB14_MC_00, 0x4000695c +.set CYREG_B0_UDB15_MC_00, 0x4000695e +.set CYDEV_UWRK_UWRK16_CAT_B1_BASE, 0x40006a00 +.set CYDEV_UWRK_UWRK16_CAT_B1_SIZE, 0x00000160 +.set CYREG_B1_UDB04_A0_A1, 0x40006a08 +.set CYREG_B1_UDB05_A0_A1, 0x40006a0a +.set CYREG_B1_UDB06_A0_A1, 0x40006a0c +.set CYREG_B1_UDB07_A0_A1, 0x40006a0e +.set CYREG_B1_UDB08_A0_A1, 0x40006a10 +.set CYREG_B1_UDB09_A0_A1, 0x40006a12 +.set CYREG_B1_UDB10_A0_A1, 0x40006a14 +.set CYREG_B1_UDB11_A0_A1, 0x40006a16 +.set CYREG_B1_UDB04_D0_D1, 0x40006a48 +.set CYREG_B1_UDB05_D0_D1, 0x40006a4a +.set CYREG_B1_UDB06_D0_D1, 0x40006a4c +.set CYREG_B1_UDB07_D0_D1, 0x40006a4e +.set CYREG_B1_UDB08_D0_D1, 0x40006a50 +.set CYREG_B1_UDB09_D0_D1, 0x40006a52 +.set CYREG_B1_UDB10_D0_D1, 0x40006a54 +.set CYREG_B1_UDB11_D0_D1, 0x40006a56 +.set CYREG_B1_UDB04_F0_F1, 0x40006a88 +.set CYREG_B1_UDB05_F0_F1, 0x40006a8a +.set CYREG_B1_UDB06_F0_F1, 0x40006a8c +.set CYREG_B1_UDB07_F0_F1, 0x40006a8e +.set CYREG_B1_UDB08_F0_F1, 0x40006a90 +.set CYREG_B1_UDB09_F0_F1, 0x40006a92 +.set CYREG_B1_UDB10_F0_F1, 0x40006a94 +.set CYREG_B1_UDB11_F0_F1, 0x40006a96 +.set CYREG_B1_UDB04_ST_CTL, 0x40006ac8 +.set CYREG_B1_UDB05_ST_CTL, 0x40006aca +.set CYREG_B1_UDB06_ST_CTL, 0x40006acc +.set CYREG_B1_UDB07_ST_CTL, 0x40006ace +.set CYREG_B1_UDB08_ST_CTL, 0x40006ad0 +.set CYREG_B1_UDB09_ST_CTL, 0x40006ad2 +.set CYREG_B1_UDB10_ST_CTL, 0x40006ad4 +.set CYREG_B1_UDB11_ST_CTL, 0x40006ad6 +.set CYREG_B1_UDB04_MSK_ACTL, 0x40006b08 +.set CYREG_B1_UDB05_MSK_ACTL, 0x40006b0a +.set CYREG_B1_UDB06_MSK_ACTL, 0x40006b0c +.set CYREG_B1_UDB07_MSK_ACTL, 0x40006b0e +.set CYREG_B1_UDB08_MSK_ACTL, 0x40006b10 +.set CYREG_B1_UDB09_MSK_ACTL, 0x40006b12 +.set CYREG_B1_UDB10_MSK_ACTL, 0x40006b14 +.set CYREG_B1_UDB11_MSK_ACTL, 0x40006b16 +.set CYREG_B1_UDB04_MC_00, 0x40006b48 +.set CYREG_B1_UDB05_MC_00, 0x40006b4a +.set CYREG_B1_UDB06_MC_00, 0x40006b4c +.set CYREG_B1_UDB07_MC_00, 0x40006b4e +.set CYREG_B1_UDB08_MC_00, 0x40006b50 +.set CYREG_B1_UDB09_MC_00, 0x40006b52 +.set CYREG_B1_UDB10_MC_00, 0x40006b54 +.set CYREG_B1_UDB11_MC_00, 0x40006b56 +.set CYDEV_UWRK_UWRK16_DEF_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_SIZE, 0x0000075e +.set CYDEV_UWRK_UWRK16_DEF_B0_BASE, 0x40006800 +.set CYDEV_UWRK_UWRK16_DEF_B0_SIZE, 0x0000015e +.set CYREG_B0_UDB00_01_A0, 0x40006800 +.set CYREG_B0_UDB01_02_A0, 0x40006802 +.set CYREG_B0_UDB02_03_A0, 0x40006804 +.set CYREG_B0_UDB03_04_A0, 0x40006806 +.set CYREG_B0_UDB04_05_A0, 0x40006808 +.set CYREG_B0_UDB05_06_A0, 0x4000680a +.set CYREG_B0_UDB06_07_A0, 0x4000680c +.set CYREG_B0_UDB07_08_A0, 0x4000680e +.set CYREG_B0_UDB08_09_A0, 0x40006810 +.set CYREG_B0_UDB09_10_A0, 0x40006812 +.set CYREG_B0_UDB10_11_A0, 0x40006814 +.set CYREG_B0_UDB11_12_A0, 0x40006816 +.set CYREG_B0_UDB12_13_A0, 0x40006818 +.set CYREG_B0_UDB13_14_A0, 0x4000681a +.set CYREG_B0_UDB14_15_A0, 0x4000681c +.set CYREG_B0_UDB00_01_A1, 0x40006820 +.set CYREG_B0_UDB01_02_A1, 0x40006822 +.set CYREG_B0_UDB02_03_A1, 0x40006824 +.set CYREG_B0_UDB03_04_A1, 0x40006826 +.set CYREG_B0_UDB04_05_A1, 0x40006828 +.set CYREG_B0_UDB05_06_A1, 0x4000682a +.set CYREG_B0_UDB06_07_A1, 0x4000682c +.set CYREG_B0_UDB07_08_A1, 0x4000682e +.set CYREG_B0_UDB08_09_A1, 0x40006830 +.set CYREG_B0_UDB09_10_A1, 0x40006832 +.set CYREG_B0_UDB10_11_A1, 0x40006834 +.set CYREG_B0_UDB11_12_A1, 0x40006836 +.set CYREG_B0_UDB12_13_A1, 0x40006838 +.set CYREG_B0_UDB13_14_A1, 0x4000683a +.set CYREG_B0_UDB14_15_A1, 0x4000683c +.set CYREG_B0_UDB00_01_D0, 0x40006840 +.set CYREG_B0_UDB01_02_D0, 0x40006842 +.set CYREG_B0_UDB02_03_D0, 0x40006844 +.set CYREG_B0_UDB03_04_D0, 0x40006846 +.set CYREG_B0_UDB04_05_D0, 0x40006848 +.set CYREG_B0_UDB05_06_D0, 0x4000684a +.set CYREG_B0_UDB06_07_D0, 0x4000684c +.set CYREG_B0_UDB07_08_D0, 0x4000684e +.set CYREG_B0_UDB08_09_D0, 0x40006850 +.set CYREG_B0_UDB09_10_D0, 0x40006852 +.set CYREG_B0_UDB10_11_D0, 0x40006854 +.set CYREG_B0_UDB11_12_D0, 0x40006856 +.set CYREG_B0_UDB12_13_D0, 0x40006858 +.set CYREG_B0_UDB13_14_D0, 0x4000685a +.set CYREG_B0_UDB14_15_D0, 0x4000685c +.set CYREG_B0_UDB00_01_D1, 0x40006860 +.set CYREG_B0_UDB01_02_D1, 0x40006862 +.set CYREG_B0_UDB02_03_D1, 0x40006864 +.set CYREG_B0_UDB03_04_D1, 0x40006866 +.set CYREG_B0_UDB04_05_D1, 0x40006868 +.set CYREG_B0_UDB05_06_D1, 0x4000686a +.set CYREG_B0_UDB06_07_D1, 0x4000686c +.set CYREG_B0_UDB07_08_D1, 0x4000686e +.set CYREG_B0_UDB08_09_D1, 0x40006870 +.set CYREG_B0_UDB09_10_D1, 0x40006872 +.set CYREG_B0_UDB10_11_D1, 0x40006874 +.set CYREG_B0_UDB11_12_D1, 0x40006876 +.set CYREG_B0_UDB12_13_D1, 0x40006878 +.set CYREG_B0_UDB13_14_D1, 0x4000687a +.set CYREG_B0_UDB14_15_D1, 0x4000687c +.set CYREG_B0_UDB00_01_F0, 0x40006880 +.set CYREG_B0_UDB01_02_F0, 0x40006882 +.set CYREG_B0_UDB02_03_F0, 0x40006884 +.set CYREG_B0_UDB03_04_F0, 0x40006886 +.set CYREG_B0_UDB04_05_F0, 0x40006888 +.set CYREG_B0_UDB05_06_F0, 0x4000688a +.set CYREG_B0_UDB06_07_F0, 0x4000688c +.set CYREG_B0_UDB07_08_F0, 0x4000688e +.set CYREG_B0_UDB08_09_F0, 0x40006890 +.set CYREG_B0_UDB09_10_F0, 0x40006892 +.set CYREG_B0_UDB10_11_F0, 0x40006894 +.set CYREG_B0_UDB11_12_F0, 0x40006896 +.set CYREG_B0_UDB12_13_F0, 0x40006898 +.set CYREG_B0_UDB13_14_F0, 0x4000689a +.set CYREG_B0_UDB14_15_F0, 0x4000689c +.set CYREG_B0_UDB00_01_F1, 0x400068a0 +.set CYREG_B0_UDB01_02_F1, 0x400068a2 +.set CYREG_B0_UDB02_03_F1, 0x400068a4 +.set CYREG_B0_UDB03_04_F1, 0x400068a6 +.set CYREG_B0_UDB04_05_F1, 0x400068a8 +.set CYREG_B0_UDB05_06_F1, 0x400068aa +.set CYREG_B0_UDB06_07_F1, 0x400068ac +.set CYREG_B0_UDB07_08_F1, 0x400068ae +.set CYREG_B0_UDB08_09_F1, 0x400068b0 +.set CYREG_B0_UDB09_10_F1, 0x400068b2 +.set CYREG_B0_UDB10_11_F1, 0x400068b4 +.set CYREG_B0_UDB11_12_F1, 0x400068b6 +.set CYREG_B0_UDB12_13_F1, 0x400068b8 +.set CYREG_B0_UDB13_14_F1, 0x400068ba +.set CYREG_B0_UDB14_15_F1, 0x400068bc +.set CYREG_B0_UDB00_01_ST, 0x400068c0 +.set CYREG_B0_UDB01_02_ST, 0x400068c2 +.set CYREG_B0_UDB02_03_ST, 0x400068c4 +.set CYREG_B0_UDB03_04_ST, 0x400068c6 +.set CYREG_B0_UDB04_05_ST, 0x400068c8 +.set CYREG_B0_UDB05_06_ST, 0x400068ca +.set CYREG_B0_UDB06_07_ST, 0x400068cc +.set CYREG_B0_UDB07_08_ST, 0x400068ce +.set CYREG_B0_UDB08_09_ST, 0x400068d0 +.set CYREG_B0_UDB09_10_ST, 0x400068d2 +.set CYREG_B0_UDB10_11_ST, 0x400068d4 +.set CYREG_B0_UDB11_12_ST, 0x400068d6 +.set CYREG_B0_UDB12_13_ST, 0x400068d8 +.set CYREG_B0_UDB13_14_ST, 0x400068da +.set CYREG_B0_UDB14_15_ST, 0x400068dc +.set CYREG_B0_UDB00_01_CTL, 0x400068e0 +.set CYREG_B0_UDB01_02_CTL, 0x400068e2 +.set CYREG_B0_UDB02_03_CTL, 0x400068e4 +.set CYREG_B0_UDB03_04_CTL, 0x400068e6 +.set CYREG_B0_UDB04_05_CTL, 0x400068e8 +.set CYREG_B0_UDB05_06_CTL, 0x400068ea +.set CYREG_B0_UDB06_07_CTL, 0x400068ec +.set CYREG_B0_UDB07_08_CTL, 0x400068ee +.set CYREG_B0_UDB08_09_CTL, 0x400068f0 +.set CYREG_B0_UDB09_10_CTL, 0x400068f2 +.set CYREG_B0_UDB10_11_CTL, 0x400068f4 +.set CYREG_B0_UDB11_12_CTL, 0x400068f6 +.set CYREG_B0_UDB12_13_CTL, 0x400068f8 +.set CYREG_B0_UDB13_14_CTL, 0x400068fa +.set CYREG_B0_UDB14_15_CTL, 0x400068fc +.set CYREG_B0_UDB00_01_MSK, 0x40006900 +.set CYREG_B0_UDB01_02_MSK, 0x40006902 +.set CYREG_B0_UDB02_03_MSK, 0x40006904 +.set CYREG_B0_UDB03_04_MSK, 0x40006906 +.set CYREG_B0_UDB04_05_MSK, 0x40006908 +.set CYREG_B0_UDB05_06_MSK, 0x4000690a +.set CYREG_B0_UDB06_07_MSK, 0x4000690c +.set CYREG_B0_UDB07_08_MSK, 0x4000690e +.set CYREG_B0_UDB08_09_MSK, 0x40006910 +.set CYREG_B0_UDB09_10_MSK, 0x40006912 +.set CYREG_B0_UDB10_11_MSK, 0x40006914 +.set CYREG_B0_UDB11_12_MSK, 0x40006916 +.set CYREG_B0_UDB12_13_MSK, 0x40006918 +.set CYREG_B0_UDB13_14_MSK, 0x4000691a +.set CYREG_B0_UDB14_15_MSK, 0x4000691c +.set CYREG_B0_UDB00_01_ACTL, 0x40006920 +.set CYREG_B0_UDB01_02_ACTL, 0x40006922 +.set CYREG_B0_UDB02_03_ACTL, 0x40006924 +.set CYREG_B0_UDB03_04_ACTL, 0x40006926 +.set CYREG_B0_UDB04_05_ACTL, 0x40006928 +.set CYREG_B0_UDB05_06_ACTL, 0x4000692a +.set CYREG_B0_UDB06_07_ACTL, 0x4000692c +.set CYREG_B0_UDB07_08_ACTL, 0x4000692e +.set CYREG_B0_UDB08_09_ACTL, 0x40006930 +.set CYREG_B0_UDB09_10_ACTL, 0x40006932 +.set CYREG_B0_UDB10_11_ACTL, 0x40006934 +.set CYREG_B0_UDB11_12_ACTL, 0x40006936 +.set CYREG_B0_UDB12_13_ACTL, 0x40006938 +.set CYREG_B0_UDB13_14_ACTL, 0x4000693a +.set CYREG_B0_UDB14_15_ACTL, 0x4000693c +.set CYREG_B0_UDB00_01_MC, 0x40006940 +.set CYREG_B0_UDB01_02_MC, 0x40006942 +.set CYREG_B0_UDB02_03_MC, 0x40006944 +.set CYREG_B0_UDB03_04_MC, 0x40006946 +.set CYREG_B0_UDB04_05_MC, 0x40006948 +.set CYREG_B0_UDB05_06_MC, 0x4000694a +.set CYREG_B0_UDB06_07_MC, 0x4000694c +.set CYREG_B0_UDB07_08_MC, 0x4000694e +.set CYREG_B0_UDB08_09_MC, 0x40006950 +.set CYREG_B0_UDB09_10_MC, 0x40006952 +.set CYREG_B0_UDB10_11_MC, 0x40006954 +.set CYREG_B0_UDB11_12_MC, 0x40006956 +.set CYREG_B0_UDB12_13_MC, 0x40006958 +.set CYREG_B0_UDB13_14_MC, 0x4000695a +.set CYREG_B0_UDB14_15_MC, 0x4000695c +.set CYDEV_UWRK_UWRK16_DEF_B1_BASE, 0x40006a00 +.set CYDEV_UWRK_UWRK16_DEF_B1_SIZE, 0x0000015e +.set CYREG_B1_UDB04_05_A0, 0x40006a08 +.set CYREG_B1_UDB05_06_A0, 0x40006a0a +.set CYREG_B1_UDB06_07_A0, 0x40006a0c +.set CYREG_B1_UDB07_08_A0, 0x40006a0e +.set CYREG_B1_UDB08_09_A0, 0x40006a10 +.set CYREG_B1_UDB09_10_A0, 0x40006a12 +.set CYREG_B1_UDB10_11_A0, 0x40006a14 +.set CYREG_B1_UDB11_12_A0, 0x40006a16 +.set CYREG_B1_UDB04_05_A1, 0x40006a28 +.set CYREG_B1_UDB05_06_A1, 0x40006a2a +.set CYREG_B1_UDB06_07_A1, 0x40006a2c +.set CYREG_B1_UDB07_08_A1, 0x40006a2e +.set CYREG_B1_UDB08_09_A1, 0x40006a30 +.set CYREG_B1_UDB09_10_A1, 0x40006a32 +.set CYREG_B1_UDB10_11_A1, 0x40006a34 +.set CYREG_B1_UDB11_12_A1, 0x40006a36 +.set CYREG_B1_UDB04_05_D0, 0x40006a48 +.set CYREG_B1_UDB05_06_D0, 0x40006a4a +.set CYREG_B1_UDB06_07_D0, 0x40006a4c +.set CYREG_B1_UDB07_08_D0, 0x40006a4e +.set CYREG_B1_UDB08_09_D0, 0x40006a50 +.set CYREG_B1_UDB09_10_D0, 0x40006a52 +.set CYREG_B1_UDB10_11_D0, 0x40006a54 +.set CYREG_B1_UDB11_12_D0, 0x40006a56 +.set CYREG_B1_UDB04_05_D1, 0x40006a68 +.set CYREG_B1_UDB05_06_D1, 0x40006a6a +.set CYREG_B1_UDB06_07_D1, 0x40006a6c +.set CYREG_B1_UDB07_08_D1, 0x40006a6e +.set CYREG_B1_UDB08_09_D1, 0x40006a70 +.set CYREG_B1_UDB09_10_D1, 0x40006a72 +.set CYREG_B1_UDB10_11_D1, 0x40006a74 +.set CYREG_B1_UDB11_12_D1, 0x40006a76 +.set CYREG_B1_UDB04_05_F0, 0x40006a88 +.set CYREG_B1_UDB05_06_F0, 0x40006a8a +.set CYREG_B1_UDB06_07_F0, 0x40006a8c +.set CYREG_B1_UDB07_08_F0, 0x40006a8e +.set CYREG_B1_UDB08_09_F0, 0x40006a90 +.set CYREG_B1_UDB09_10_F0, 0x40006a92 +.set CYREG_B1_UDB10_11_F0, 0x40006a94 +.set CYREG_B1_UDB11_12_F0, 0x40006a96 +.set CYREG_B1_UDB04_05_F1, 0x40006aa8 +.set CYREG_B1_UDB05_06_F1, 0x40006aaa +.set CYREG_B1_UDB06_07_F1, 0x40006aac +.set CYREG_B1_UDB07_08_F1, 0x40006aae +.set CYREG_B1_UDB08_09_F1, 0x40006ab0 +.set CYREG_B1_UDB09_10_F1, 0x40006ab2 +.set CYREG_B1_UDB10_11_F1, 0x40006ab4 +.set CYREG_B1_UDB11_12_F1, 0x40006ab6 +.set CYREG_B1_UDB04_05_ST, 0x40006ac8 +.set CYREG_B1_UDB05_06_ST, 0x40006aca +.set CYREG_B1_UDB06_07_ST, 0x40006acc +.set CYREG_B1_UDB07_08_ST, 0x40006ace +.set CYREG_B1_UDB08_09_ST, 0x40006ad0 +.set CYREG_B1_UDB09_10_ST, 0x40006ad2 +.set CYREG_B1_UDB10_11_ST, 0x40006ad4 +.set CYREG_B1_UDB11_12_ST, 0x40006ad6 +.set CYREG_B1_UDB04_05_CTL, 0x40006ae8 +.set CYREG_B1_UDB05_06_CTL, 0x40006aea +.set CYREG_B1_UDB06_07_CTL, 0x40006aec +.set CYREG_B1_UDB07_08_CTL, 0x40006aee +.set CYREG_B1_UDB08_09_CTL, 0x40006af0 +.set CYREG_B1_UDB09_10_CTL, 0x40006af2 +.set CYREG_B1_UDB10_11_CTL, 0x40006af4 +.set CYREG_B1_UDB11_12_CTL, 0x40006af6 +.set CYREG_B1_UDB04_05_MSK, 0x40006b08 +.set CYREG_B1_UDB05_06_MSK, 0x40006b0a +.set CYREG_B1_UDB06_07_MSK, 0x40006b0c +.set CYREG_B1_UDB07_08_MSK, 0x40006b0e +.set CYREG_B1_UDB08_09_MSK, 0x40006b10 +.set CYREG_B1_UDB09_10_MSK, 0x40006b12 +.set CYREG_B1_UDB10_11_MSK, 0x40006b14 +.set CYREG_B1_UDB11_12_MSK, 0x40006b16 +.set CYREG_B1_UDB04_05_ACTL, 0x40006b28 +.set CYREG_B1_UDB05_06_ACTL, 0x40006b2a +.set CYREG_B1_UDB06_07_ACTL, 0x40006b2c +.set CYREG_B1_UDB07_08_ACTL, 0x40006b2e +.set CYREG_B1_UDB08_09_ACTL, 0x40006b30 +.set CYREG_B1_UDB09_10_ACTL, 0x40006b32 +.set CYREG_B1_UDB10_11_ACTL, 0x40006b34 +.set CYREG_B1_UDB11_12_ACTL, 0x40006b36 +.set CYREG_B1_UDB04_05_MC, 0x40006b48 +.set CYREG_B1_UDB05_06_MC, 0x40006b4a +.set CYREG_B1_UDB06_07_MC, 0x40006b4c +.set CYREG_B1_UDB07_08_MC, 0x40006b4e +.set CYREG_B1_UDB08_09_MC, 0x40006b50 +.set CYREG_B1_UDB09_10_MC, 0x40006b52 +.set CYREG_B1_UDB10_11_MC, 0x40006b54 +.set CYREG_B1_UDB11_12_MC, 0x40006b56 +.set CYDEV_PHUB_BASE, 0x40007000 +.set CYDEV_PHUB_SIZE, 0x00000c00 +.set CYREG_PHUB_CFG, 0x40007000 +.set CYREG_PHUB_ERR, 0x40007004 +.set CYREG_PHUB_ERR_ADR, 0x40007008 +.set CYDEV_PHUB_CH0_BASE, 0x40007010 +.set CYDEV_PHUB_CH0_SIZE, 0x0000000c +.set CYREG_PHUB_CH0_BASIC_CFG, 0x40007010 +.set CYREG_PHUB_CH0_ACTION, 0x40007014 +.set CYREG_PHUB_CH0_BASIC_STATUS, 0x40007018 +.set CYDEV_PHUB_CH1_BASE, 0x40007020 +.set CYDEV_PHUB_CH1_SIZE, 0x0000000c +.set CYREG_PHUB_CH1_BASIC_CFG, 0x40007020 +.set CYREG_PHUB_CH1_ACTION, 0x40007024 +.set CYREG_PHUB_CH1_BASIC_STATUS, 0x40007028 +.set CYDEV_PHUB_CH2_BASE, 0x40007030 +.set CYDEV_PHUB_CH2_SIZE, 0x0000000c +.set CYREG_PHUB_CH2_BASIC_CFG, 0x40007030 +.set CYREG_PHUB_CH2_ACTION, 0x40007034 +.set CYREG_PHUB_CH2_BASIC_STATUS, 0x40007038 +.set CYDEV_PHUB_CH3_BASE, 0x40007040 +.set CYDEV_PHUB_CH3_SIZE, 0x0000000c +.set CYREG_PHUB_CH3_BASIC_CFG, 0x40007040 +.set CYREG_PHUB_CH3_ACTION, 0x40007044 +.set CYREG_PHUB_CH3_BASIC_STATUS, 0x40007048 +.set CYDEV_PHUB_CH4_BASE, 0x40007050 +.set CYDEV_PHUB_CH4_SIZE, 0x0000000c +.set CYREG_PHUB_CH4_BASIC_CFG, 0x40007050 +.set CYREG_PHUB_CH4_ACTION, 0x40007054 +.set CYREG_PHUB_CH4_BASIC_STATUS, 0x40007058 +.set CYDEV_PHUB_CH5_BASE, 0x40007060 +.set CYDEV_PHUB_CH5_SIZE, 0x0000000c +.set CYREG_PHUB_CH5_BASIC_CFG, 0x40007060 +.set CYREG_PHUB_CH5_ACTION, 0x40007064 +.set CYREG_PHUB_CH5_BASIC_STATUS, 0x40007068 +.set CYDEV_PHUB_CH6_BASE, 0x40007070 +.set CYDEV_PHUB_CH6_SIZE, 0x0000000c +.set CYREG_PHUB_CH6_BASIC_CFG, 0x40007070 +.set CYREG_PHUB_CH6_ACTION, 0x40007074 +.set CYREG_PHUB_CH6_BASIC_STATUS, 0x40007078 +.set CYDEV_PHUB_CH7_BASE, 0x40007080 +.set CYDEV_PHUB_CH7_SIZE, 0x0000000c +.set CYREG_PHUB_CH7_BASIC_CFG, 0x40007080 +.set CYREG_PHUB_CH7_ACTION, 0x40007084 +.set CYREG_PHUB_CH7_BASIC_STATUS, 0x40007088 +.set CYDEV_PHUB_CH8_BASE, 0x40007090 +.set CYDEV_PHUB_CH8_SIZE, 0x0000000c +.set CYREG_PHUB_CH8_BASIC_CFG, 0x40007090 +.set CYREG_PHUB_CH8_ACTION, 0x40007094 +.set CYREG_PHUB_CH8_BASIC_STATUS, 0x40007098 +.set CYDEV_PHUB_CH9_BASE, 0x400070a0 +.set CYDEV_PHUB_CH9_SIZE, 0x0000000c +.set CYREG_PHUB_CH9_BASIC_CFG, 0x400070a0 +.set CYREG_PHUB_CH9_ACTION, 0x400070a4 +.set CYREG_PHUB_CH9_BASIC_STATUS, 0x400070a8 +.set CYDEV_PHUB_CH10_BASE, 0x400070b0 +.set CYDEV_PHUB_CH10_SIZE, 0x0000000c +.set CYREG_PHUB_CH10_BASIC_CFG, 0x400070b0 +.set CYREG_PHUB_CH10_ACTION, 0x400070b4 +.set CYREG_PHUB_CH10_BASIC_STATUS, 0x400070b8 +.set CYDEV_PHUB_CH11_BASE, 0x400070c0 +.set CYDEV_PHUB_CH11_SIZE, 0x0000000c +.set CYREG_PHUB_CH11_BASIC_CFG, 0x400070c0 +.set CYREG_PHUB_CH11_ACTION, 0x400070c4 +.set CYREG_PHUB_CH11_BASIC_STATUS, 0x400070c8 +.set CYDEV_PHUB_CH12_BASE, 0x400070d0 +.set CYDEV_PHUB_CH12_SIZE, 0x0000000c +.set CYREG_PHUB_CH12_BASIC_CFG, 0x400070d0 +.set CYREG_PHUB_CH12_ACTION, 0x400070d4 +.set CYREG_PHUB_CH12_BASIC_STATUS, 0x400070d8 +.set CYDEV_PHUB_CH13_BASE, 0x400070e0 +.set CYDEV_PHUB_CH13_SIZE, 0x0000000c +.set CYREG_PHUB_CH13_BASIC_CFG, 0x400070e0 +.set CYREG_PHUB_CH13_ACTION, 0x400070e4 +.set CYREG_PHUB_CH13_BASIC_STATUS, 0x400070e8 +.set CYDEV_PHUB_CH14_BASE, 0x400070f0 +.set CYDEV_PHUB_CH14_SIZE, 0x0000000c +.set CYREG_PHUB_CH14_BASIC_CFG, 0x400070f0 +.set CYREG_PHUB_CH14_ACTION, 0x400070f4 +.set CYREG_PHUB_CH14_BASIC_STATUS, 0x400070f8 +.set CYDEV_PHUB_CH15_BASE, 0x40007100 +.set CYDEV_PHUB_CH15_SIZE, 0x0000000c +.set CYREG_PHUB_CH15_BASIC_CFG, 0x40007100 +.set CYREG_PHUB_CH15_ACTION, 0x40007104 +.set CYREG_PHUB_CH15_BASIC_STATUS, 0x40007108 +.set CYDEV_PHUB_CH16_BASE, 0x40007110 +.set CYDEV_PHUB_CH16_SIZE, 0x0000000c +.set CYREG_PHUB_CH16_BASIC_CFG, 0x40007110 +.set CYREG_PHUB_CH16_ACTION, 0x40007114 +.set CYREG_PHUB_CH16_BASIC_STATUS, 0x40007118 +.set CYDEV_PHUB_CH17_BASE, 0x40007120 +.set CYDEV_PHUB_CH17_SIZE, 0x0000000c +.set CYREG_PHUB_CH17_BASIC_CFG, 0x40007120 +.set CYREG_PHUB_CH17_ACTION, 0x40007124 +.set CYREG_PHUB_CH17_BASIC_STATUS, 0x40007128 +.set CYDEV_PHUB_CH18_BASE, 0x40007130 +.set CYDEV_PHUB_CH18_SIZE, 0x0000000c +.set CYREG_PHUB_CH18_BASIC_CFG, 0x40007130 +.set CYREG_PHUB_CH18_ACTION, 0x40007134 +.set CYREG_PHUB_CH18_BASIC_STATUS, 0x40007138 +.set CYDEV_PHUB_CH19_BASE, 0x40007140 +.set CYDEV_PHUB_CH19_SIZE, 0x0000000c +.set CYREG_PHUB_CH19_BASIC_CFG, 0x40007140 +.set CYREG_PHUB_CH19_ACTION, 0x40007144 +.set CYREG_PHUB_CH19_BASIC_STATUS, 0x40007148 +.set CYDEV_PHUB_CH20_BASE, 0x40007150 +.set CYDEV_PHUB_CH20_SIZE, 0x0000000c +.set CYREG_PHUB_CH20_BASIC_CFG, 0x40007150 +.set CYREG_PHUB_CH20_ACTION, 0x40007154 +.set CYREG_PHUB_CH20_BASIC_STATUS, 0x40007158 +.set CYDEV_PHUB_CH21_BASE, 0x40007160 +.set CYDEV_PHUB_CH21_SIZE, 0x0000000c +.set CYREG_PHUB_CH21_BASIC_CFG, 0x40007160 +.set CYREG_PHUB_CH21_ACTION, 0x40007164 +.set CYREG_PHUB_CH21_BASIC_STATUS, 0x40007168 +.set CYDEV_PHUB_CH22_BASE, 0x40007170 +.set CYDEV_PHUB_CH22_SIZE, 0x0000000c +.set CYREG_PHUB_CH22_BASIC_CFG, 0x40007170 +.set CYREG_PHUB_CH22_ACTION, 0x40007174 +.set CYREG_PHUB_CH22_BASIC_STATUS, 0x40007178 +.set CYDEV_PHUB_CH23_BASE, 0x40007180 +.set CYDEV_PHUB_CH23_SIZE, 0x0000000c +.set CYREG_PHUB_CH23_BASIC_CFG, 0x40007180 +.set CYREG_PHUB_CH23_ACTION, 0x40007184 +.set CYREG_PHUB_CH23_BASIC_STATUS, 0x40007188 +.set CYDEV_PHUB_CFGMEM0_BASE, 0x40007600 +.set CYDEV_PHUB_CFGMEM0_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM0_CFG0, 0x40007600 +.set CYREG_PHUB_CFGMEM0_CFG1, 0x40007604 +.set CYDEV_PHUB_CFGMEM1_BASE, 0x40007608 +.set CYDEV_PHUB_CFGMEM1_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM1_CFG0, 0x40007608 +.set CYREG_PHUB_CFGMEM1_CFG1, 0x4000760c +.set CYDEV_PHUB_CFGMEM2_BASE, 0x40007610 +.set CYDEV_PHUB_CFGMEM2_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM2_CFG0, 0x40007610 +.set CYREG_PHUB_CFGMEM2_CFG1, 0x40007614 +.set CYDEV_PHUB_CFGMEM3_BASE, 0x40007618 +.set CYDEV_PHUB_CFGMEM3_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM3_CFG0, 0x40007618 +.set CYREG_PHUB_CFGMEM3_CFG1, 0x4000761c +.set CYDEV_PHUB_CFGMEM4_BASE, 0x40007620 +.set CYDEV_PHUB_CFGMEM4_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM4_CFG0, 0x40007620 +.set CYREG_PHUB_CFGMEM4_CFG1, 0x40007624 +.set CYDEV_PHUB_CFGMEM5_BASE, 0x40007628 +.set CYDEV_PHUB_CFGMEM5_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM5_CFG0, 0x40007628 +.set CYREG_PHUB_CFGMEM5_CFG1, 0x4000762c +.set CYDEV_PHUB_CFGMEM6_BASE, 0x40007630 +.set CYDEV_PHUB_CFGMEM6_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM6_CFG0, 0x40007630 +.set CYREG_PHUB_CFGMEM6_CFG1, 0x40007634 +.set CYDEV_PHUB_CFGMEM7_BASE, 0x40007638 +.set CYDEV_PHUB_CFGMEM7_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM7_CFG0, 0x40007638 +.set CYREG_PHUB_CFGMEM7_CFG1, 0x4000763c +.set CYDEV_PHUB_CFGMEM8_BASE, 0x40007640 +.set CYDEV_PHUB_CFGMEM8_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM8_CFG0, 0x40007640 +.set CYREG_PHUB_CFGMEM8_CFG1, 0x40007644 +.set CYDEV_PHUB_CFGMEM9_BASE, 0x40007648 +.set CYDEV_PHUB_CFGMEM9_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM9_CFG0, 0x40007648 +.set CYREG_PHUB_CFGMEM9_CFG1, 0x4000764c +.set CYDEV_PHUB_CFGMEM10_BASE, 0x40007650 +.set CYDEV_PHUB_CFGMEM10_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM10_CFG0, 0x40007650 +.set CYREG_PHUB_CFGMEM10_CFG1, 0x40007654 +.set CYDEV_PHUB_CFGMEM11_BASE, 0x40007658 +.set CYDEV_PHUB_CFGMEM11_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM11_CFG0, 0x40007658 +.set CYREG_PHUB_CFGMEM11_CFG1, 0x4000765c +.set CYDEV_PHUB_CFGMEM12_BASE, 0x40007660 +.set CYDEV_PHUB_CFGMEM12_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM12_CFG0, 0x40007660 +.set CYREG_PHUB_CFGMEM12_CFG1, 0x40007664 +.set CYDEV_PHUB_CFGMEM13_BASE, 0x40007668 +.set CYDEV_PHUB_CFGMEM13_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM13_CFG0, 0x40007668 +.set CYREG_PHUB_CFGMEM13_CFG1, 0x4000766c +.set CYDEV_PHUB_CFGMEM14_BASE, 0x40007670 +.set CYDEV_PHUB_CFGMEM14_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM14_CFG0, 0x40007670 +.set CYREG_PHUB_CFGMEM14_CFG1, 0x40007674 +.set CYDEV_PHUB_CFGMEM15_BASE, 0x40007678 +.set CYDEV_PHUB_CFGMEM15_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM15_CFG0, 0x40007678 +.set CYREG_PHUB_CFGMEM15_CFG1, 0x4000767c +.set CYDEV_PHUB_CFGMEM16_BASE, 0x40007680 +.set CYDEV_PHUB_CFGMEM16_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM16_CFG0, 0x40007680 +.set CYREG_PHUB_CFGMEM16_CFG1, 0x40007684 +.set CYDEV_PHUB_CFGMEM17_BASE, 0x40007688 +.set CYDEV_PHUB_CFGMEM17_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM17_CFG0, 0x40007688 +.set CYREG_PHUB_CFGMEM17_CFG1, 0x4000768c +.set CYDEV_PHUB_CFGMEM18_BASE, 0x40007690 +.set CYDEV_PHUB_CFGMEM18_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM18_CFG0, 0x40007690 +.set CYREG_PHUB_CFGMEM18_CFG1, 0x40007694 +.set CYDEV_PHUB_CFGMEM19_BASE, 0x40007698 +.set CYDEV_PHUB_CFGMEM19_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM19_CFG0, 0x40007698 +.set CYREG_PHUB_CFGMEM19_CFG1, 0x4000769c +.set CYDEV_PHUB_CFGMEM20_BASE, 0x400076a0 +.set CYDEV_PHUB_CFGMEM20_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM20_CFG0, 0x400076a0 +.set CYREG_PHUB_CFGMEM20_CFG1, 0x400076a4 +.set CYDEV_PHUB_CFGMEM21_BASE, 0x400076a8 +.set CYDEV_PHUB_CFGMEM21_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM21_CFG0, 0x400076a8 +.set CYREG_PHUB_CFGMEM21_CFG1, 0x400076ac +.set CYDEV_PHUB_CFGMEM22_BASE, 0x400076b0 +.set CYDEV_PHUB_CFGMEM22_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM22_CFG0, 0x400076b0 +.set CYREG_PHUB_CFGMEM22_CFG1, 0x400076b4 +.set CYDEV_PHUB_CFGMEM23_BASE, 0x400076b8 +.set CYDEV_PHUB_CFGMEM23_SIZE, 0x00000008 +.set CYREG_PHUB_CFGMEM23_CFG0, 0x400076b8 +.set CYREG_PHUB_CFGMEM23_CFG1, 0x400076bc +.set CYDEV_PHUB_TDMEM0_BASE, 0x40007800 +.set CYDEV_PHUB_TDMEM0_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM0_ORIG_TD0, 0x40007800 +.set CYREG_PHUB_TDMEM0_ORIG_TD1, 0x40007804 +.set CYDEV_PHUB_TDMEM1_BASE, 0x40007808 +.set CYDEV_PHUB_TDMEM1_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM1_ORIG_TD0, 0x40007808 +.set CYREG_PHUB_TDMEM1_ORIG_TD1, 0x4000780c +.set CYDEV_PHUB_TDMEM2_BASE, 0x40007810 +.set CYDEV_PHUB_TDMEM2_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM2_ORIG_TD0, 0x40007810 +.set CYREG_PHUB_TDMEM2_ORIG_TD1, 0x40007814 +.set CYDEV_PHUB_TDMEM3_BASE, 0x40007818 +.set CYDEV_PHUB_TDMEM3_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM3_ORIG_TD0, 0x40007818 +.set CYREG_PHUB_TDMEM3_ORIG_TD1, 0x4000781c +.set CYDEV_PHUB_TDMEM4_BASE, 0x40007820 +.set CYDEV_PHUB_TDMEM4_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM4_ORIG_TD0, 0x40007820 +.set CYREG_PHUB_TDMEM4_ORIG_TD1, 0x40007824 +.set CYDEV_PHUB_TDMEM5_BASE, 0x40007828 +.set CYDEV_PHUB_TDMEM5_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM5_ORIG_TD0, 0x40007828 +.set CYREG_PHUB_TDMEM5_ORIG_TD1, 0x4000782c +.set CYDEV_PHUB_TDMEM6_BASE, 0x40007830 +.set CYDEV_PHUB_TDMEM6_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM6_ORIG_TD0, 0x40007830 +.set CYREG_PHUB_TDMEM6_ORIG_TD1, 0x40007834 +.set CYDEV_PHUB_TDMEM7_BASE, 0x40007838 +.set CYDEV_PHUB_TDMEM7_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM7_ORIG_TD0, 0x40007838 +.set CYREG_PHUB_TDMEM7_ORIG_TD1, 0x4000783c +.set CYDEV_PHUB_TDMEM8_BASE, 0x40007840 +.set CYDEV_PHUB_TDMEM8_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM8_ORIG_TD0, 0x40007840 +.set CYREG_PHUB_TDMEM8_ORIG_TD1, 0x40007844 +.set CYDEV_PHUB_TDMEM9_BASE, 0x40007848 +.set CYDEV_PHUB_TDMEM9_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM9_ORIG_TD0, 0x40007848 +.set CYREG_PHUB_TDMEM9_ORIG_TD1, 0x4000784c +.set CYDEV_PHUB_TDMEM10_BASE, 0x40007850 +.set CYDEV_PHUB_TDMEM10_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM10_ORIG_TD0, 0x40007850 +.set CYREG_PHUB_TDMEM10_ORIG_TD1, 0x40007854 +.set CYDEV_PHUB_TDMEM11_BASE, 0x40007858 +.set CYDEV_PHUB_TDMEM11_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM11_ORIG_TD0, 0x40007858 +.set CYREG_PHUB_TDMEM11_ORIG_TD1, 0x4000785c +.set CYDEV_PHUB_TDMEM12_BASE, 0x40007860 +.set CYDEV_PHUB_TDMEM12_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM12_ORIG_TD0, 0x40007860 +.set CYREG_PHUB_TDMEM12_ORIG_TD1, 0x40007864 +.set CYDEV_PHUB_TDMEM13_BASE, 0x40007868 +.set CYDEV_PHUB_TDMEM13_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM13_ORIG_TD0, 0x40007868 +.set CYREG_PHUB_TDMEM13_ORIG_TD1, 0x4000786c +.set CYDEV_PHUB_TDMEM14_BASE, 0x40007870 +.set CYDEV_PHUB_TDMEM14_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM14_ORIG_TD0, 0x40007870 +.set CYREG_PHUB_TDMEM14_ORIG_TD1, 0x40007874 +.set CYDEV_PHUB_TDMEM15_BASE, 0x40007878 +.set CYDEV_PHUB_TDMEM15_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM15_ORIG_TD0, 0x40007878 +.set CYREG_PHUB_TDMEM15_ORIG_TD1, 0x4000787c +.set CYDEV_PHUB_TDMEM16_BASE, 0x40007880 +.set CYDEV_PHUB_TDMEM16_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM16_ORIG_TD0, 0x40007880 +.set CYREG_PHUB_TDMEM16_ORIG_TD1, 0x40007884 +.set CYDEV_PHUB_TDMEM17_BASE, 0x40007888 +.set CYDEV_PHUB_TDMEM17_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM17_ORIG_TD0, 0x40007888 +.set CYREG_PHUB_TDMEM17_ORIG_TD1, 0x4000788c +.set CYDEV_PHUB_TDMEM18_BASE, 0x40007890 +.set CYDEV_PHUB_TDMEM18_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM18_ORIG_TD0, 0x40007890 +.set CYREG_PHUB_TDMEM18_ORIG_TD1, 0x40007894 +.set CYDEV_PHUB_TDMEM19_BASE, 0x40007898 +.set CYDEV_PHUB_TDMEM19_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM19_ORIG_TD0, 0x40007898 +.set CYREG_PHUB_TDMEM19_ORIG_TD1, 0x4000789c +.set CYDEV_PHUB_TDMEM20_BASE, 0x400078a0 +.set CYDEV_PHUB_TDMEM20_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM20_ORIG_TD0, 0x400078a0 +.set CYREG_PHUB_TDMEM20_ORIG_TD1, 0x400078a4 +.set CYDEV_PHUB_TDMEM21_BASE, 0x400078a8 +.set CYDEV_PHUB_TDMEM21_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM21_ORIG_TD0, 0x400078a8 +.set CYREG_PHUB_TDMEM21_ORIG_TD1, 0x400078ac +.set CYDEV_PHUB_TDMEM22_BASE, 0x400078b0 +.set CYDEV_PHUB_TDMEM22_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM22_ORIG_TD0, 0x400078b0 +.set CYREG_PHUB_TDMEM22_ORIG_TD1, 0x400078b4 +.set CYDEV_PHUB_TDMEM23_BASE, 0x400078b8 +.set CYDEV_PHUB_TDMEM23_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM23_ORIG_TD0, 0x400078b8 +.set CYREG_PHUB_TDMEM23_ORIG_TD1, 0x400078bc +.set CYDEV_PHUB_TDMEM24_BASE, 0x400078c0 +.set CYDEV_PHUB_TDMEM24_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM24_ORIG_TD0, 0x400078c0 +.set CYREG_PHUB_TDMEM24_ORIG_TD1, 0x400078c4 +.set CYDEV_PHUB_TDMEM25_BASE, 0x400078c8 +.set CYDEV_PHUB_TDMEM25_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM25_ORIG_TD0, 0x400078c8 +.set CYREG_PHUB_TDMEM25_ORIG_TD1, 0x400078cc +.set CYDEV_PHUB_TDMEM26_BASE, 0x400078d0 +.set CYDEV_PHUB_TDMEM26_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM26_ORIG_TD0, 0x400078d0 +.set CYREG_PHUB_TDMEM26_ORIG_TD1, 0x400078d4 +.set CYDEV_PHUB_TDMEM27_BASE, 0x400078d8 +.set CYDEV_PHUB_TDMEM27_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM27_ORIG_TD0, 0x400078d8 +.set CYREG_PHUB_TDMEM27_ORIG_TD1, 0x400078dc +.set CYDEV_PHUB_TDMEM28_BASE, 0x400078e0 +.set CYDEV_PHUB_TDMEM28_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM28_ORIG_TD0, 0x400078e0 +.set CYREG_PHUB_TDMEM28_ORIG_TD1, 0x400078e4 +.set CYDEV_PHUB_TDMEM29_BASE, 0x400078e8 +.set CYDEV_PHUB_TDMEM29_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM29_ORIG_TD0, 0x400078e8 +.set CYREG_PHUB_TDMEM29_ORIG_TD1, 0x400078ec +.set CYDEV_PHUB_TDMEM30_BASE, 0x400078f0 +.set CYDEV_PHUB_TDMEM30_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM30_ORIG_TD0, 0x400078f0 +.set CYREG_PHUB_TDMEM30_ORIG_TD1, 0x400078f4 +.set CYDEV_PHUB_TDMEM31_BASE, 0x400078f8 +.set CYDEV_PHUB_TDMEM31_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM31_ORIG_TD0, 0x400078f8 +.set CYREG_PHUB_TDMEM31_ORIG_TD1, 0x400078fc +.set CYDEV_PHUB_TDMEM32_BASE, 0x40007900 +.set CYDEV_PHUB_TDMEM32_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM32_ORIG_TD0, 0x40007900 +.set CYREG_PHUB_TDMEM32_ORIG_TD1, 0x40007904 +.set CYDEV_PHUB_TDMEM33_BASE, 0x40007908 +.set CYDEV_PHUB_TDMEM33_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM33_ORIG_TD0, 0x40007908 +.set CYREG_PHUB_TDMEM33_ORIG_TD1, 0x4000790c +.set CYDEV_PHUB_TDMEM34_BASE, 0x40007910 +.set CYDEV_PHUB_TDMEM34_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM34_ORIG_TD0, 0x40007910 +.set CYREG_PHUB_TDMEM34_ORIG_TD1, 0x40007914 +.set CYDEV_PHUB_TDMEM35_BASE, 0x40007918 +.set CYDEV_PHUB_TDMEM35_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM35_ORIG_TD0, 0x40007918 +.set CYREG_PHUB_TDMEM35_ORIG_TD1, 0x4000791c +.set CYDEV_PHUB_TDMEM36_BASE, 0x40007920 +.set CYDEV_PHUB_TDMEM36_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM36_ORIG_TD0, 0x40007920 +.set CYREG_PHUB_TDMEM36_ORIG_TD1, 0x40007924 +.set CYDEV_PHUB_TDMEM37_BASE, 0x40007928 +.set CYDEV_PHUB_TDMEM37_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM37_ORIG_TD0, 0x40007928 +.set CYREG_PHUB_TDMEM37_ORIG_TD1, 0x4000792c +.set CYDEV_PHUB_TDMEM38_BASE, 0x40007930 +.set CYDEV_PHUB_TDMEM38_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM38_ORIG_TD0, 0x40007930 +.set CYREG_PHUB_TDMEM38_ORIG_TD1, 0x40007934 +.set CYDEV_PHUB_TDMEM39_BASE, 0x40007938 +.set CYDEV_PHUB_TDMEM39_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM39_ORIG_TD0, 0x40007938 +.set CYREG_PHUB_TDMEM39_ORIG_TD1, 0x4000793c +.set CYDEV_PHUB_TDMEM40_BASE, 0x40007940 +.set CYDEV_PHUB_TDMEM40_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM40_ORIG_TD0, 0x40007940 +.set CYREG_PHUB_TDMEM40_ORIG_TD1, 0x40007944 +.set CYDEV_PHUB_TDMEM41_BASE, 0x40007948 +.set CYDEV_PHUB_TDMEM41_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM41_ORIG_TD0, 0x40007948 +.set CYREG_PHUB_TDMEM41_ORIG_TD1, 0x4000794c +.set CYDEV_PHUB_TDMEM42_BASE, 0x40007950 +.set CYDEV_PHUB_TDMEM42_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM42_ORIG_TD0, 0x40007950 +.set CYREG_PHUB_TDMEM42_ORIG_TD1, 0x40007954 +.set CYDEV_PHUB_TDMEM43_BASE, 0x40007958 +.set CYDEV_PHUB_TDMEM43_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM43_ORIG_TD0, 0x40007958 +.set CYREG_PHUB_TDMEM43_ORIG_TD1, 0x4000795c +.set CYDEV_PHUB_TDMEM44_BASE, 0x40007960 +.set CYDEV_PHUB_TDMEM44_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM44_ORIG_TD0, 0x40007960 +.set CYREG_PHUB_TDMEM44_ORIG_TD1, 0x40007964 +.set CYDEV_PHUB_TDMEM45_BASE, 0x40007968 +.set CYDEV_PHUB_TDMEM45_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM45_ORIG_TD0, 0x40007968 +.set CYREG_PHUB_TDMEM45_ORIG_TD1, 0x4000796c +.set CYDEV_PHUB_TDMEM46_BASE, 0x40007970 +.set CYDEV_PHUB_TDMEM46_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM46_ORIG_TD0, 0x40007970 +.set CYREG_PHUB_TDMEM46_ORIG_TD1, 0x40007974 +.set CYDEV_PHUB_TDMEM47_BASE, 0x40007978 +.set CYDEV_PHUB_TDMEM47_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM47_ORIG_TD0, 0x40007978 +.set CYREG_PHUB_TDMEM47_ORIG_TD1, 0x4000797c +.set CYDEV_PHUB_TDMEM48_BASE, 0x40007980 +.set CYDEV_PHUB_TDMEM48_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM48_ORIG_TD0, 0x40007980 +.set CYREG_PHUB_TDMEM48_ORIG_TD1, 0x40007984 +.set CYDEV_PHUB_TDMEM49_BASE, 0x40007988 +.set CYDEV_PHUB_TDMEM49_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM49_ORIG_TD0, 0x40007988 +.set CYREG_PHUB_TDMEM49_ORIG_TD1, 0x4000798c +.set CYDEV_PHUB_TDMEM50_BASE, 0x40007990 +.set CYDEV_PHUB_TDMEM50_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM50_ORIG_TD0, 0x40007990 +.set CYREG_PHUB_TDMEM50_ORIG_TD1, 0x40007994 +.set CYDEV_PHUB_TDMEM51_BASE, 0x40007998 +.set CYDEV_PHUB_TDMEM51_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM51_ORIG_TD0, 0x40007998 +.set CYREG_PHUB_TDMEM51_ORIG_TD1, 0x4000799c +.set CYDEV_PHUB_TDMEM52_BASE, 0x400079a0 +.set CYDEV_PHUB_TDMEM52_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM52_ORIG_TD0, 0x400079a0 +.set CYREG_PHUB_TDMEM52_ORIG_TD1, 0x400079a4 +.set CYDEV_PHUB_TDMEM53_BASE, 0x400079a8 +.set CYDEV_PHUB_TDMEM53_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM53_ORIG_TD0, 0x400079a8 +.set CYREG_PHUB_TDMEM53_ORIG_TD1, 0x400079ac +.set CYDEV_PHUB_TDMEM54_BASE, 0x400079b0 +.set CYDEV_PHUB_TDMEM54_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM54_ORIG_TD0, 0x400079b0 +.set CYREG_PHUB_TDMEM54_ORIG_TD1, 0x400079b4 +.set CYDEV_PHUB_TDMEM55_BASE, 0x400079b8 +.set CYDEV_PHUB_TDMEM55_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM55_ORIG_TD0, 0x400079b8 +.set CYREG_PHUB_TDMEM55_ORIG_TD1, 0x400079bc +.set CYDEV_PHUB_TDMEM56_BASE, 0x400079c0 +.set CYDEV_PHUB_TDMEM56_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM56_ORIG_TD0, 0x400079c0 +.set CYREG_PHUB_TDMEM56_ORIG_TD1, 0x400079c4 +.set CYDEV_PHUB_TDMEM57_BASE, 0x400079c8 +.set CYDEV_PHUB_TDMEM57_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM57_ORIG_TD0, 0x400079c8 +.set CYREG_PHUB_TDMEM57_ORIG_TD1, 0x400079cc +.set CYDEV_PHUB_TDMEM58_BASE, 0x400079d0 +.set CYDEV_PHUB_TDMEM58_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM58_ORIG_TD0, 0x400079d0 +.set CYREG_PHUB_TDMEM58_ORIG_TD1, 0x400079d4 +.set CYDEV_PHUB_TDMEM59_BASE, 0x400079d8 +.set CYDEV_PHUB_TDMEM59_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM59_ORIG_TD0, 0x400079d8 +.set CYREG_PHUB_TDMEM59_ORIG_TD1, 0x400079dc +.set CYDEV_PHUB_TDMEM60_BASE, 0x400079e0 +.set CYDEV_PHUB_TDMEM60_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM60_ORIG_TD0, 0x400079e0 +.set CYREG_PHUB_TDMEM60_ORIG_TD1, 0x400079e4 +.set CYDEV_PHUB_TDMEM61_BASE, 0x400079e8 +.set CYDEV_PHUB_TDMEM61_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM61_ORIG_TD0, 0x400079e8 +.set CYREG_PHUB_TDMEM61_ORIG_TD1, 0x400079ec +.set CYDEV_PHUB_TDMEM62_BASE, 0x400079f0 +.set CYDEV_PHUB_TDMEM62_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM62_ORIG_TD0, 0x400079f0 +.set CYREG_PHUB_TDMEM62_ORIG_TD1, 0x400079f4 +.set CYDEV_PHUB_TDMEM63_BASE, 0x400079f8 +.set CYDEV_PHUB_TDMEM63_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM63_ORIG_TD0, 0x400079f8 +.set CYREG_PHUB_TDMEM63_ORIG_TD1, 0x400079fc +.set CYDEV_PHUB_TDMEM64_BASE, 0x40007a00 +.set CYDEV_PHUB_TDMEM64_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM64_ORIG_TD0, 0x40007a00 +.set CYREG_PHUB_TDMEM64_ORIG_TD1, 0x40007a04 +.set CYDEV_PHUB_TDMEM65_BASE, 0x40007a08 +.set CYDEV_PHUB_TDMEM65_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM65_ORIG_TD0, 0x40007a08 +.set CYREG_PHUB_TDMEM65_ORIG_TD1, 0x40007a0c +.set CYDEV_PHUB_TDMEM66_BASE, 0x40007a10 +.set CYDEV_PHUB_TDMEM66_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM66_ORIG_TD0, 0x40007a10 +.set CYREG_PHUB_TDMEM66_ORIG_TD1, 0x40007a14 +.set CYDEV_PHUB_TDMEM67_BASE, 0x40007a18 +.set CYDEV_PHUB_TDMEM67_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM67_ORIG_TD0, 0x40007a18 +.set CYREG_PHUB_TDMEM67_ORIG_TD1, 0x40007a1c +.set CYDEV_PHUB_TDMEM68_BASE, 0x40007a20 +.set CYDEV_PHUB_TDMEM68_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM68_ORIG_TD0, 0x40007a20 +.set CYREG_PHUB_TDMEM68_ORIG_TD1, 0x40007a24 +.set CYDEV_PHUB_TDMEM69_BASE, 0x40007a28 +.set CYDEV_PHUB_TDMEM69_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM69_ORIG_TD0, 0x40007a28 +.set CYREG_PHUB_TDMEM69_ORIG_TD1, 0x40007a2c +.set CYDEV_PHUB_TDMEM70_BASE, 0x40007a30 +.set CYDEV_PHUB_TDMEM70_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM70_ORIG_TD0, 0x40007a30 +.set CYREG_PHUB_TDMEM70_ORIG_TD1, 0x40007a34 +.set CYDEV_PHUB_TDMEM71_BASE, 0x40007a38 +.set CYDEV_PHUB_TDMEM71_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM71_ORIG_TD0, 0x40007a38 +.set CYREG_PHUB_TDMEM71_ORIG_TD1, 0x40007a3c +.set CYDEV_PHUB_TDMEM72_BASE, 0x40007a40 +.set CYDEV_PHUB_TDMEM72_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM72_ORIG_TD0, 0x40007a40 +.set CYREG_PHUB_TDMEM72_ORIG_TD1, 0x40007a44 +.set CYDEV_PHUB_TDMEM73_BASE, 0x40007a48 +.set CYDEV_PHUB_TDMEM73_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM73_ORIG_TD0, 0x40007a48 +.set CYREG_PHUB_TDMEM73_ORIG_TD1, 0x40007a4c +.set CYDEV_PHUB_TDMEM74_BASE, 0x40007a50 +.set CYDEV_PHUB_TDMEM74_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM74_ORIG_TD0, 0x40007a50 +.set CYREG_PHUB_TDMEM74_ORIG_TD1, 0x40007a54 +.set CYDEV_PHUB_TDMEM75_BASE, 0x40007a58 +.set CYDEV_PHUB_TDMEM75_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM75_ORIG_TD0, 0x40007a58 +.set CYREG_PHUB_TDMEM75_ORIG_TD1, 0x40007a5c +.set CYDEV_PHUB_TDMEM76_BASE, 0x40007a60 +.set CYDEV_PHUB_TDMEM76_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM76_ORIG_TD0, 0x40007a60 +.set CYREG_PHUB_TDMEM76_ORIG_TD1, 0x40007a64 +.set CYDEV_PHUB_TDMEM77_BASE, 0x40007a68 +.set CYDEV_PHUB_TDMEM77_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM77_ORIG_TD0, 0x40007a68 +.set CYREG_PHUB_TDMEM77_ORIG_TD1, 0x40007a6c +.set CYDEV_PHUB_TDMEM78_BASE, 0x40007a70 +.set CYDEV_PHUB_TDMEM78_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM78_ORIG_TD0, 0x40007a70 +.set CYREG_PHUB_TDMEM78_ORIG_TD1, 0x40007a74 +.set CYDEV_PHUB_TDMEM79_BASE, 0x40007a78 +.set CYDEV_PHUB_TDMEM79_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM79_ORIG_TD0, 0x40007a78 +.set CYREG_PHUB_TDMEM79_ORIG_TD1, 0x40007a7c +.set CYDEV_PHUB_TDMEM80_BASE, 0x40007a80 +.set CYDEV_PHUB_TDMEM80_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM80_ORIG_TD0, 0x40007a80 +.set CYREG_PHUB_TDMEM80_ORIG_TD1, 0x40007a84 +.set CYDEV_PHUB_TDMEM81_BASE, 0x40007a88 +.set CYDEV_PHUB_TDMEM81_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM81_ORIG_TD0, 0x40007a88 +.set CYREG_PHUB_TDMEM81_ORIG_TD1, 0x40007a8c +.set CYDEV_PHUB_TDMEM82_BASE, 0x40007a90 +.set CYDEV_PHUB_TDMEM82_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM82_ORIG_TD0, 0x40007a90 +.set CYREG_PHUB_TDMEM82_ORIG_TD1, 0x40007a94 +.set CYDEV_PHUB_TDMEM83_BASE, 0x40007a98 +.set CYDEV_PHUB_TDMEM83_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM83_ORIG_TD0, 0x40007a98 +.set CYREG_PHUB_TDMEM83_ORIG_TD1, 0x40007a9c +.set CYDEV_PHUB_TDMEM84_BASE, 0x40007aa0 +.set CYDEV_PHUB_TDMEM84_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM84_ORIG_TD0, 0x40007aa0 +.set CYREG_PHUB_TDMEM84_ORIG_TD1, 0x40007aa4 +.set CYDEV_PHUB_TDMEM85_BASE, 0x40007aa8 +.set CYDEV_PHUB_TDMEM85_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM85_ORIG_TD0, 0x40007aa8 +.set CYREG_PHUB_TDMEM85_ORIG_TD1, 0x40007aac +.set CYDEV_PHUB_TDMEM86_BASE, 0x40007ab0 +.set CYDEV_PHUB_TDMEM86_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM86_ORIG_TD0, 0x40007ab0 +.set CYREG_PHUB_TDMEM86_ORIG_TD1, 0x40007ab4 +.set CYDEV_PHUB_TDMEM87_BASE, 0x40007ab8 +.set CYDEV_PHUB_TDMEM87_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM87_ORIG_TD0, 0x40007ab8 +.set CYREG_PHUB_TDMEM87_ORIG_TD1, 0x40007abc +.set CYDEV_PHUB_TDMEM88_BASE, 0x40007ac0 +.set CYDEV_PHUB_TDMEM88_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM88_ORIG_TD0, 0x40007ac0 +.set CYREG_PHUB_TDMEM88_ORIG_TD1, 0x40007ac4 +.set CYDEV_PHUB_TDMEM89_BASE, 0x40007ac8 +.set CYDEV_PHUB_TDMEM89_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM89_ORIG_TD0, 0x40007ac8 +.set CYREG_PHUB_TDMEM89_ORIG_TD1, 0x40007acc +.set CYDEV_PHUB_TDMEM90_BASE, 0x40007ad0 +.set CYDEV_PHUB_TDMEM90_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM90_ORIG_TD0, 0x40007ad0 +.set CYREG_PHUB_TDMEM90_ORIG_TD1, 0x40007ad4 +.set CYDEV_PHUB_TDMEM91_BASE, 0x40007ad8 +.set CYDEV_PHUB_TDMEM91_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM91_ORIG_TD0, 0x40007ad8 +.set CYREG_PHUB_TDMEM91_ORIG_TD1, 0x40007adc +.set CYDEV_PHUB_TDMEM92_BASE, 0x40007ae0 +.set CYDEV_PHUB_TDMEM92_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM92_ORIG_TD0, 0x40007ae0 +.set CYREG_PHUB_TDMEM92_ORIG_TD1, 0x40007ae4 +.set CYDEV_PHUB_TDMEM93_BASE, 0x40007ae8 +.set CYDEV_PHUB_TDMEM93_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM93_ORIG_TD0, 0x40007ae8 +.set CYREG_PHUB_TDMEM93_ORIG_TD1, 0x40007aec +.set CYDEV_PHUB_TDMEM94_BASE, 0x40007af0 +.set CYDEV_PHUB_TDMEM94_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM94_ORIG_TD0, 0x40007af0 +.set CYREG_PHUB_TDMEM94_ORIG_TD1, 0x40007af4 +.set CYDEV_PHUB_TDMEM95_BASE, 0x40007af8 +.set CYDEV_PHUB_TDMEM95_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM95_ORIG_TD0, 0x40007af8 +.set CYREG_PHUB_TDMEM95_ORIG_TD1, 0x40007afc +.set CYDEV_PHUB_TDMEM96_BASE, 0x40007b00 +.set CYDEV_PHUB_TDMEM96_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM96_ORIG_TD0, 0x40007b00 +.set CYREG_PHUB_TDMEM96_ORIG_TD1, 0x40007b04 +.set CYDEV_PHUB_TDMEM97_BASE, 0x40007b08 +.set CYDEV_PHUB_TDMEM97_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM97_ORIG_TD0, 0x40007b08 +.set CYREG_PHUB_TDMEM97_ORIG_TD1, 0x40007b0c +.set CYDEV_PHUB_TDMEM98_BASE, 0x40007b10 +.set CYDEV_PHUB_TDMEM98_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM98_ORIG_TD0, 0x40007b10 +.set CYREG_PHUB_TDMEM98_ORIG_TD1, 0x40007b14 +.set CYDEV_PHUB_TDMEM99_BASE, 0x40007b18 +.set CYDEV_PHUB_TDMEM99_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM99_ORIG_TD0, 0x40007b18 +.set CYREG_PHUB_TDMEM99_ORIG_TD1, 0x40007b1c +.set CYDEV_PHUB_TDMEM100_BASE, 0x40007b20 +.set CYDEV_PHUB_TDMEM100_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM100_ORIG_TD0, 0x40007b20 +.set CYREG_PHUB_TDMEM100_ORIG_TD1, 0x40007b24 +.set CYDEV_PHUB_TDMEM101_BASE, 0x40007b28 +.set CYDEV_PHUB_TDMEM101_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM101_ORIG_TD0, 0x40007b28 +.set CYREG_PHUB_TDMEM101_ORIG_TD1, 0x40007b2c +.set CYDEV_PHUB_TDMEM102_BASE, 0x40007b30 +.set CYDEV_PHUB_TDMEM102_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM102_ORIG_TD0, 0x40007b30 +.set CYREG_PHUB_TDMEM102_ORIG_TD1, 0x40007b34 +.set CYDEV_PHUB_TDMEM103_BASE, 0x40007b38 +.set CYDEV_PHUB_TDMEM103_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM103_ORIG_TD0, 0x40007b38 +.set CYREG_PHUB_TDMEM103_ORIG_TD1, 0x40007b3c +.set CYDEV_PHUB_TDMEM104_BASE, 0x40007b40 +.set CYDEV_PHUB_TDMEM104_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM104_ORIG_TD0, 0x40007b40 +.set CYREG_PHUB_TDMEM104_ORIG_TD1, 0x40007b44 +.set CYDEV_PHUB_TDMEM105_BASE, 0x40007b48 +.set CYDEV_PHUB_TDMEM105_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM105_ORIG_TD0, 0x40007b48 +.set CYREG_PHUB_TDMEM105_ORIG_TD1, 0x40007b4c +.set CYDEV_PHUB_TDMEM106_BASE, 0x40007b50 +.set CYDEV_PHUB_TDMEM106_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM106_ORIG_TD0, 0x40007b50 +.set CYREG_PHUB_TDMEM106_ORIG_TD1, 0x40007b54 +.set CYDEV_PHUB_TDMEM107_BASE, 0x40007b58 +.set CYDEV_PHUB_TDMEM107_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM107_ORIG_TD0, 0x40007b58 +.set CYREG_PHUB_TDMEM107_ORIG_TD1, 0x40007b5c +.set CYDEV_PHUB_TDMEM108_BASE, 0x40007b60 +.set CYDEV_PHUB_TDMEM108_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM108_ORIG_TD0, 0x40007b60 +.set CYREG_PHUB_TDMEM108_ORIG_TD1, 0x40007b64 +.set CYDEV_PHUB_TDMEM109_BASE, 0x40007b68 +.set CYDEV_PHUB_TDMEM109_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM109_ORIG_TD0, 0x40007b68 +.set CYREG_PHUB_TDMEM109_ORIG_TD1, 0x40007b6c +.set CYDEV_PHUB_TDMEM110_BASE, 0x40007b70 +.set CYDEV_PHUB_TDMEM110_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM110_ORIG_TD0, 0x40007b70 +.set CYREG_PHUB_TDMEM110_ORIG_TD1, 0x40007b74 +.set CYDEV_PHUB_TDMEM111_BASE, 0x40007b78 +.set CYDEV_PHUB_TDMEM111_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM111_ORIG_TD0, 0x40007b78 +.set CYREG_PHUB_TDMEM111_ORIG_TD1, 0x40007b7c +.set CYDEV_PHUB_TDMEM112_BASE, 0x40007b80 +.set CYDEV_PHUB_TDMEM112_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM112_ORIG_TD0, 0x40007b80 +.set CYREG_PHUB_TDMEM112_ORIG_TD1, 0x40007b84 +.set CYDEV_PHUB_TDMEM113_BASE, 0x40007b88 +.set CYDEV_PHUB_TDMEM113_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM113_ORIG_TD0, 0x40007b88 +.set CYREG_PHUB_TDMEM113_ORIG_TD1, 0x40007b8c +.set CYDEV_PHUB_TDMEM114_BASE, 0x40007b90 +.set CYDEV_PHUB_TDMEM114_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM114_ORIG_TD0, 0x40007b90 +.set CYREG_PHUB_TDMEM114_ORIG_TD1, 0x40007b94 +.set CYDEV_PHUB_TDMEM115_BASE, 0x40007b98 +.set CYDEV_PHUB_TDMEM115_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM115_ORIG_TD0, 0x40007b98 +.set CYREG_PHUB_TDMEM115_ORIG_TD1, 0x40007b9c +.set CYDEV_PHUB_TDMEM116_BASE, 0x40007ba0 +.set CYDEV_PHUB_TDMEM116_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM116_ORIG_TD0, 0x40007ba0 +.set CYREG_PHUB_TDMEM116_ORIG_TD1, 0x40007ba4 +.set CYDEV_PHUB_TDMEM117_BASE, 0x40007ba8 +.set CYDEV_PHUB_TDMEM117_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM117_ORIG_TD0, 0x40007ba8 +.set CYREG_PHUB_TDMEM117_ORIG_TD1, 0x40007bac +.set CYDEV_PHUB_TDMEM118_BASE, 0x40007bb0 +.set CYDEV_PHUB_TDMEM118_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM118_ORIG_TD0, 0x40007bb0 +.set CYREG_PHUB_TDMEM118_ORIG_TD1, 0x40007bb4 +.set CYDEV_PHUB_TDMEM119_BASE, 0x40007bb8 +.set CYDEV_PHUB_TDMEM119_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM119_ORIG_TD0, 0x40007bb8 +.set CYREG_PHUB_TDMEM119_ORIG_TD1, 0x40007bbc +.set CYDEV_PHUB_TDMEM120_BASE, 0x40007bc0 +.set CYDEV_PHUB_TDMEM120_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM120_ORIG_TD0, 0x40007bc0 +.set CYREG_PHUB_TDMEM120_ORIG_TD1, 0x40007bc4 +.set CYDEV_PHUB_TDMEM121_BASE, 0x40007bc8 +.set CYDEV_PHUB_TDMEM121_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM121_ORIG_TD0, 0x40007bc8 +.set CYREG_PHUB_TDMEM121_ORIG_TD1, 0x40007bcc +.set CYDEV_PHUB_TDMEM122_BASE, 0x40007bd0 +.set CYDEV_PHUB_TDMEM122_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM122_ORIG_TD0, 0x40007bd0 +.set CYREG_PHUB_TDMEM122_ORIG_TD1, 0x40007bd4 +.set CYDEV_PHUB_TDMEM123_BASE, 0x40007bd8 +.set CYDEV_PHUB_TDMEM123_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM123_ORIG_TD0, 0x40007bd8 +.set CYREG_PHUB_TDMEM123_ORIG_TD1, 0x40007bdc +.set CYDEV_PHUB_TDMEM124_BASE, 0x40007be0 +.set CYDEV_PHUB_TDMEM124_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM124_ORIG_TD0, 0x40007be0 +.set CYREG_PHUB_TDMEM124_ORIG_TD1, 0x40007be4 +.set CYDEV_PHUB_TDMEM125_BASE, 0x40007be8 +.set CYDEV_PHUB_TDMEM125_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM125_ORIG_TD0, 0x40007be8 +.set CYREG_PHUB_TDMEM125_ORIG_TD1, 0x40007bec +.set CYDEV_PHUB_TDMEM126_BASE, 0x40007bf0 +.set CYDEV_PHUB_TDMEM126_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM126_ORIG_TD0, 0x40007bf0 +.set CYREG_PHUB_TDMEM126_ORIG_TD1, 0x40007bf4 +.set CYDEV_PHUB_TDMEM127_BASE, 0x40007bf8 +.set CYDEV_PHUB_TDMEM127_SIZE, 0x00000008 +.set CYREG_PHUB_TDMEM127_ORIG_TD0, 0x40007bf8 +.set CYREG_PHUB_TDMEM127_ORIG_TD1, 0x40007bfc +.set CYDEV_EE_BASE, 0x40008000 +.set CYDEV_EE_SIZE, 0x00000800 +.set CYREG_EE_DATA_MBASE, 0x40008000 +.set CYREG_EE_DATA_MSIZE, 0x00000800 +.set CYDEV_CAN0_BASE, 0x4000a000 +.set CYDEV_CAN0_SIZE, 0x000002a0 +.set CYDEV_CAN0_CSR_BASE, 0x4000a000 +.set CYDEV_CAN0_CSR_SIZE, 0x00000018 +.set CYREG_CAN0_CSR_INT_SR, 0x4000a000 +.set CYREG_CAN0_CSR_INT_EN, 0x4000a004 +.set CYREG_CAN0_CSR_BUF_SR, 0x4000a008 +.set CYREG_CAN0_CSR_ERR_SR, 0x4000a00c +.set CYREG_CAN0_CSR_CMD, 0x4000a010 +.set CYREG_CAN0_CSR_CFG, 0x4000a014 +.set CYDEV_CAN0_TX0_BASE, 0x4000a020 +.set CYDEV_CAN0_TX0_SIZE, 0x00000010 +.set CYREG_CAN0_TX0_CMD, 0x4000a020 +.set CYREG_CAN0_TX0_ID, 0x4000a024 +.set CYREG_CAN0_TX0_DH, 0x4000a028 +.set CYREG_CAN0_TX0_DL, 0x4000a02c +.set CYDEV_CAN0_TX1_BASE, 0x4000a030 +.set CYDEV_CAN0_TX1_SIZE, 0x00000010 +.set CYREG_CAN0_TX1_CMD, 0x4000a030 +.set CYREG_CAN0_TX1_ID, 0x4000a034 +.set CYREG_CAN0_TX1_DH, 0x4000a038 +.set CYREG_CAN0_TX1_DL, 0x4000a03c +.set CYDEV_CAN0_TX2_BASE, 0x4000a040 +.set CYDEV_CAN0_TX2_SIZE, 0x00000010 +.set CYREG_CAN0_TX2_CMD, 0x4000a040 +.set CYREG_CAN0_TX2_ID, 0x4000a044 +.set CYREG_CAN0_TX2_DH, 0x4000a048 +.set CYREG_CAN0_TX2_DL, 0x4000a04c +.set CYDEV_CAN0_TX3_BASE, 0x4000a050 +.set CYDEV_CAN0_TX3_SIZE, 0x00000010 +.set CYREG_CAN0_TX3_CMD, 0x4000a050 +.set CYREG_CAN0_TX3_ID, 0x4000a054 +.set CYREG_CAN0_TX3_DH, 0x4000a058 +.set CYREG_CAN0_TX3_DL, 0x4000a05c +.set CYDEV_CAN0_TX4_BASE, 0x4000a060 +.set CYDEV_CAN0_TX4_SIZE, 0x00000010 +.set CYREG_CAN0_TX4_CMD, 0x4000a060 +.set CYREG_CAN0_TX4_ID, 0x4000a064 +.set CYREG_CAN0_TX4_DH, 0x4000a068 +.set CYREG_CAN0_TX4_DL, 0x4000a06c +.set CYDEV_CAN0_TX5_BASE, 0x4000a070 +.set CYDEV_CAN0_TX5_SIZE, 0x00000010 +.set CYREG_CAN0_TX5_CMD, 0x4000a070 +.set CYREG_CAN0_TX5_ID, 0x4000a074 +.set CYREG_CAN0_TX5_DH, 0x4000a078 +.set CYREG_CAN0_TX5_DL, 0x4000a07c +.set CYDEV_CAN0_TX6_BASE, 0x4000a080 +.set CYDEV_CAN0_TX6_SIZE, 0x00000010 +.set CYREG_CAN0_TX6_CMD, 0x4000a080 +.set CYREG_CAN0_TX6_ID, 0x4000a084 +.set CYREG_CAN0_TX6_DH, 0x4000a088 +.set CYREG_CAN0_TX6_DL, 0x4000a08c +.set CYDEV_CAN0_TX7_BASE, 0x4000a090 +.set CYDEV_CAN0_TX7_SIZE, 0x00000010 +.set CYREG_CAN0_TX7_CMD, 0x4000a090 +.set CYREG_CAN0_TX7_ID, 0x4000a094 +.set CYREG_CAN0_TX7_DH, 0x4000a098 +.set CYREG_CAN0_TX7_DL, 0x4000a09c +.set CYDEV_CAN0_RX0_BASE, 0x4000a0a0 +.set CYDEV_CAN0_RX0_SIZE, 0x00000020 +.set CYREG_CAN0_RX0_CMD, 0x4000a0a0 +.set CYREG_CAN0_RX0_ID, 0x4000a0a4 +.set CYREG_CAN0_RX0_DH, 0x4000a0a8 +.set CYREG_CAN0_RX0_DL, 0x4000a0ac +.set CYREG_CAN0_RX0_AMR, 0x4000a0b0 +.set CYREG_CAN0_RX0_ACR, 0x4000a0b4 +.set CYREG_CAN0_RX0_AMRD, 0x4000a0b8 +.set CYREG_CAN0_RX0_ACRD, 0x4000a0bc +.set CYDEV_CAN0_RX1_BASE, 0x4000a0c0 +.set CYDEV_CAN0_RX1_SIZE, 0x00000020 +.set CYREG_CAN0_RX1_CMD, 0x4000a0c0 +.set CYREG_CAN0_RX1_ID, 0x4000a0c4 +.set CYREG_CAN0_RX1_DH, 0x4000a0c8 +.set CYREG_CAN0_RX1_DL, 0x4000a0cc +.set CYREG_CAN0_RX1_AMR, 0x4000a0d0 +.set CYREG_CAN0_RX1_ACR, 0x4000a0d4 +.set CYREG_CAN0_RX1_AMRD, 0x4000a0d8 +.set CYREG_CAN0_RX1_ACRD, 0x4000a0dc +.set CYDEV_CAN0_RX2_BASE, 0x4000a0e0 +.set CYDEV_CAN0_RX2_SIZE, 0x00000020 +.set CYREG_CAN0_RX2_CMD, 0x4000a0e0 +.set CYREG_CAN0_RX2_ID, 0x4000a0e4 +.set CYREG_CAN0_RX2_DH, 0x4000a0e8 +.set CYREG_CAN0_RX2_DL, 0x4000a0ec +.set CYREG_CAN0_RX2_AMR, 0x4000a0f0 +.set CYREG_CAN0_RX2_ACR, 0x4000a0f4 +.set CYREG_CAN0_RX2_AMRD, 0x4000a0f8 +.set CYREG_CAN0_RX2_ACRD, 0x4000a0fc +.set CYDEV_CAN0_RX3_BASE, 0x4000a100 +.set CYDEV_CAN0_RX3_SIZE, 0x00000020 +.set CYREG_CAN0_RX3_CMD, 0x4000a100 +.set CYREG_CAN0_RX3_ID, 0x4000a104 +.set CYREG_CAN0_RX3_DH, 0x4000a108 +.set CYREG_CAN0_RX3_DL, 0x4000a10c +.set CYREG_CAN0_RX3_AMR, 0x4000a110 +.set CYREG_CAN0_RX3_ACR, 0x4000a114 +.set CYREG_CAN0_RX3_AMRD, 0x4000a118 +.set CYREG_CAN0_RX3_ACRD, 0x4000a11c +.set CYDEV_CAN0_RX4_BASE, 0x4000a120 +.set CYDEV_CAN0_RX4_SIZE, 0x00000020 +.set CYREG_CAN0_RX4_CMD, 0x4000a120 +.set CYREG_CAN0_RX4_ID, 0x4000a124 +.set CYREG_CAN0_RX4_DH, 0x4000a128 +.set CYREG_CAN0_RX4_DL, 0x4000a12c +.set CYREG_CAN0_RX4_AMR, 0x4000a130 +.set CYREG_CAN0_RX4_ACR, 0x4000a134 +.set CYREG_CAN0_RX4_AMRD, 0x4000a138 +.set CYREG_CAN0_RX4_ACRD, 0x4000a13c +.set CYDEV_CAN0_RX5_BASE, 0x4000a140 +.set CYDEV_CAN0_RX5_SIZE, 0x00000020 +.set CYREG_CAN0_RX5_CMD, 0x4000a140 +.set CYREG_CAN0_RX5_ID, 0x4000a144 +.set CYREG_CAN0_RX5_DH, 0x4000a148 +.set CYREG_CAN0_RX5_DL, 0x4000a14c +.set CYREG_CAN0_RX5_AMR, 0x4000a150 +.set CYREG_CAN0_RX5_ACR, 0x4000a154 +.set CYREG_CAN0_RX5_AMRD, 0x4000a158 +.set CYREG_CAN0_RX5_ACRD, 0x4000a15c +.set CYDEV_CAN0_RX6_BASE, 0x4000a160 +.set CYDEV_CAN0_RX6_SIZE, 0x00000020 +.set CYREG_CAN0_RX6_CMD, 0x4000a160 +.set CYREG_CAN0_RX6_ID, 0x4000a164 +.set CYREG_CAN0_RX6_DH, 0x4000a168 +.set CYREG_CAN0_RX6_DL, 0x4000a16c +.set CYREG_CAN0_RX6_AMR, 0x4000a170 +.set CYREG_CAN0_RX6_ACR, 0x4000a174 +.set CYREG_CAN0_RX6_AMRD, 0x4000a178 +.set CYREG_CAN0_RX6_ACRD, 0x4000a17c +.set CYDEV_CAN0_RX7_BASE, 0x4000a180 +.set CYDEV_CAN0_RX7_SIZE, 0x00000020 +.set CYREG_CAN0_RX7_CMD, 0x4000a180 +.set CYREG_CAN0_RX7_ID, 0x4000a184 +.set CYREG_CAN0_RX7_DH, 0x4000a188 +.set CYREG_CAN0_RX7_DL, 0x4000a18c +.set CYREG_CAN0_RX7_AMR, 0x4000a190 +.set CYREG_CAN0_RX7_ACR, 0x4000a194 +.set CYREG_CAN0_RX7_AMRD, 0x4000a198 +.set CYREG_CAN0_RX7_ACRD, 0x4000a19c +.set CYDEV_CAN0_RX8_BASE, 0x4000a1a0 +.set CYDEV_CAN0_RX8_SIZE, 0x00000020 +.set CYREG_CAN0_RX8_CMD, 0x4000a1a0 +.set CYREG_CAN0_RX8_ID, 0x4000a1a4 +.set CYREG_CAN0_RX8_DH, 0x4000a1a8 +.set CYREG_CAN0_RX8_DL, 0x4000a1ac +.set CYREG_CAN0_RX8_AMR, 0x4000a1b0 +.set CYREG_CAN0_RX8_ACR, 0x4000a1b4 +.set CYREG_CAN0_RX8_AMRD, 0x4000a1b8 +.set CYREG_CAN0_RX8_ACRD, 0x4000a1bc +.set CYDEV_CAN0_RX9_BASE, 0x4000a1c0 +.set CYDEV_CAN0_RX9_SIZE, 0x00000020 +.set CYREG_CAN0_RX9_CMD, 0x4000a1c0 +.set CYREG_CAN0_RX9_ID, 0x4000a1c4 +.set CYREG_CAN0_RX9_DH, 0x4000a1c8 +.set CYREG_CAN0_RX9_DL, 0x4000a1cc +.set CYREG_CAN0_RX9_AMR, 0x4000a1d0 +.set CYREG_CAN0_RX9_ACR, 0x4000a1d4 +.set CYREG_CAN0_RX9_AMRD, 0x4000a1d8 +.set CYREG_CAN0_RX9_ACRD, 0x4000a1dc +.set CYDEV_CAN0_RX10_BASE, 0x4000a1e0 +.set CYDEV_CAN0_RX10_SIZE, 0x00000020 +.set CYREG_CAN0_RX10_CMD, 0x4000a1e0 +.set CYREG_CAN0_RX10_ID, 0x4000a1e4 +.set CYREG_CAN0_RX10_DH, 0x4000a1e8 +.set CYREG_CAN0_RX10_DL, 0x4000a1ec +.set CYREG_CAN0_RX10_AMR, 0x4000a1f0 +.set CYREG_CAN0_RX10_ACR, 0x4000a1f4 +.set CYREG_CAN0_RX10_AMRD, 0x4000a1f8 +.set CYREG_CAN0_RX10_ACRD, 0x4000a1fc +.set CYDEV_CAN0_RX11_BASE, 0x4000a200 +.set CYDEV_CAN0_RX11_SIZE, 0x00000020 +.set CYREG_CAN0_RX11_CMD, 0x4000a200 +.set CYREG_CAN0_RX11_ID, 0x4000a204 +.set CYREG_CAN0_RX11_DH, 0x4000a208 +.set CYREG_CAN0_RX11_DL, 0x4000a20c +.set CYREG_CAN0_RX11_AMR, 0x4000a210 +.set CYREG_CAN0_RX11_ACR, 0x4000a214 +.set CYREG_CAN0_RX11_AMRD, 0x4000a218 +.set CYREG_CAN0_RX11_ACRD, 0x4000a21c +.set CYDEV_CAN0_RX12_BASE, 0x4000a220 +.set CYDEV_CAN0_RX12_SIZE, 0x00000020 +.set CYREG_CAN0_RX12_CMD, 0x4000a220 +.set CYREG_CAN0_RX12_ID, 0x4000a224 +.set CYREG_CAN0_RX12_DH, 0x4000a228 +.set CYREG_CAN0_RX12_DL, 0x4000a22c +.set CYREG_CAN0_RX12_AMR, 0x4000a230 +.set CYREG_CAN0_RX12_ACR, 0x4000a234 +.set CYREG_CAN0_RX12_AMRD, 0x4000a238 +.set CYREG_CAN0_RX12_ACRD, 0x4000a23c +.set CYDEV_CAN0_RX13_BASE, 0x4000a240 +.set CYDEV_CAN0_RX13_SIZE, 0x00000020 +.set CYREG_CAN0_RX13_CMD, 0x4000a240 +.set CYREG_CAN0_RX13_ID, 0x4000a244 +.set CYREG_CAN0_RX13_DH, 0x4000a248 +.set CYREG_CAN0_RX13_DL, 0x4000a24c +.set CYREG_CAN0_RX13_AMR, 0x4000a250 +.set CYREG_CAN0_RX13_ACR, 0x4000a254 +.set CYREG_CAN0_RX13_AMRD, 0x4000a258 +.set CYREG_CAN0_RX13_ACRD, 0x4000a25c +.set CYDEV_CAN0_RX14_BASE, 0x4000a260 +.set CYDEV_CAN0_RX14_SIZE, 0x00000020 +.set CYREG_CAN0_RX14_CMD, 0x4000a260 +.set CYREG_CAN0_RX14_ID, 0x4000a264 +.set CYREG_CAN0_RX14_DH, 0x4000a268 +.set CYREG_CAN0_RX14_DL, 0x4000a26c +.set CYREG_CAN0_RX14_AMR, 0x4000a270 +.set CYREG_CAN0_RX14_ACR, 0x4000a274 +.set CYREG_CAN0_RX14_AMRD, 0x4000a278 +.set CYREG_CAN0_RX14_ACRD, 0x4000a27c +.set CYDEV_CAN0_RX15_BASE, 0x4000a280 +.set CYDEV_CAN0_RX15_SIZE, 0x00000020 +.set CYREG_CAN0_RX15_CMD, 0x4000a280 +.set CYREG_CAN0_RX15_ID, 0x4000a284 +.set CYREG_CAN0_RX15_DH, 0x4000a288 +.set CYREG_CAN0_RX15_DL, 0x4000a28c +.set CYREG_CAN0_RX15_AMR, 0x4000a290 +.set CYREG_CAN0_RX15_ACR, 0x4000a294 +.set CYREG_CAN0_RX15_AMRD, 0x4000a298 +.set CYREG_CAN0_RX15_ACRD, 0x4000a29c +.set CYDEV_DFB0_BASE, 0x4000c000 +.set CYDEV_DFB0_SIZE, 0x000007b5 +.set CYDEV_DFB0_DPA_SRAM_BASE, 0x4000c000 +.set CYDEV_DFB0_DPA_SRAM_SIZE, 0x00000200 +.set CYREG_DFB0_DPA_SRAM_DATA_MBASE, 0x4000c000 +.set CYREG_DFB0_DPA_SRAM_DATA_MSIZE, 0x00000200 +.set CYDEV_DFB0_DPB_SRAM_BASE, 0x4000c200 +.set CYDEV_DFB0_DPB_SRAM_SIZE, 0x00000200 +.set CYREG_DFB0_DPB_SRAM_DATA_MBASE, 0x4000c200 +.set CYREG_DFB0_DPB_SRAM_DATA_MSIZE, 0x00000200 +.set CYDEV_DFB0_CSA_SRAM_BASE, 0x4000c400 +.set CYDEV_DFB0_CSA_SRAM_SIZE, 0x00000100 +.set CYREG_DFB0_CSA_SRAM_DATA_MBASE, 0x4000c400 +.set CYREG_DFB0_CSA_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_CSB_SRAM_BASE, 0x4000c500 +.set CYDEV_DFB0_CSB_SRAM_SIZE, 0x00000100 +.set CYREG_DFB0_CSB_SRAM_DATA_MBASE, 0x4000c500 +.set CYREG_DFB0_CSB_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_FSM_SRAM_BASE, 0x4000c600 +.set CYDEV_DFB0_FSM_SRAM_SIZE, 0x00000100 +.set CYREG_DFB0_FSM_SRAM_DATA_MBASE, 0x4000c600 +.set CYREG_DFB0_FSM_SRAM_DATA_MSIZE, 0x00000100 +.set CYDEV_DFB0_ACU_SRAM_BASE, 0x4000c700 +.set CYDEV_DFB0_ACU_SRAM_SIZE, 0x00000040 +.set CYREG_DFB0_ACU_SRAM_DATA_MBASE, 0x4000c700 +.set CYREG_DFB0_ACU_SRAM_DATA_MSIZE, 0x00000040 +.set CYREG_DFB0_CR, 0x4000c780 +.set CYREG_DFB0_SR, 0x4000c784 +.set CYREG_DFB0_RAM_EN, 0x4000c788 +.set CYREG_DFB0_RAM_DIR, 0x4000c78c +.set CYREG_DFB0_SEMA, 0x4000c790 +.set CYREG_DFB0_DSI_CTRL, 0x4000c794 +.set CYREG_DFB0_INT_CTRL, 0x4000c798 +.set CYREG_DFB0_DMA_CTRL, 0x4000c79c +.set CYREG_DFB0_STAGEA, 0x4000c7a0 +.set CYREG_DFB0_STAGEAM, 0x4000c7a1 +.set CYREG_DFB0_STAGEAH, 0x4000c7a2 +.set CYREG_DFB0_STAGEB, 0x4000c7a4 +.set CYREG_DFB0_STAGEBM, 0x4000c7a5 +.set CYREG_DFB0_STAGEBH, 0x4000c7a6 +.set CYREG_DFB0_HOLDA, 0x4000c7a8 +.set CYREG_DFB0_HOLDAM, 0x4000c7a9 +.set CYREG_DFB0_HOLDAH, 0x4000c7aa +.set CYREG_DFB0_HOLDAS, 0x4000c7ab +.set CYREG_DFB0_HOLDB, 0x4000c7ac +.set CYREG_DFB0_HOLDBM, 0x4000c7ad +.set CYREG_DFB0_HOLDBH, 0x4000c7ae +.set CYREG_DFB0_HOLDBS, 0x4000c7af +.set CYREG_DFB0_COHER, 0x4000c7b0 +.set CYREG_DFB0_DALIGN, 0x4000c7b4 +.set CYDEV_UCFG_BASE, 0x40010000 +.set CYDEV_UCFG_SIZE, 0x00005040 +.set CYDEV_UCFG_B0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_SIZE, 0x00000fef +.set CYDEV_UCFG_B0_P0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_P0_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P0_U0_BASE, 0x40010000 +.set CYDEV_UCFG_B0_P0_U0_SIZE, 0x00000070 +.set CYREG_B0_P0_U0_PLD_IT0, 0x40010000 +.set CYREG_B0_P0_U0_PLD_IT1, 0x40010004 +.set CYREG_B0_P0_U0_PLD_IT2, 0x40010008 +.set CYREG_B0_P0_U0_PLD_IT3, 0x4001000c +.set CYREG_B0_P0_U0_PLD_IT4, 0x40010010 +.set CYREG_B0_P0_U0_PLD_IT5, 0x40010014 +.set CYREG_B0_P0_U0_PLD_IT6, 0x40010018 +.set CYREG_B0_P0_U0_PLD_IT7, 0x4001001c +.set CYREG_B0_P0_U0_PLD_IT8, 0x40010020 +.set CYREG_B0_P0_U0_PLD_IT9, 0x40010024 +.set CYREG_B0_P0_U0_PLD_IT10, 0x40010028 +.set CYREG_B0_P0_U0_PLD_IT11, 0x4001002c +.set CYREG_B0_P0_U0_PLD_ORT0, 0x40010030 +.set CYREG_B0_P0_U0_PLD_ORT1, 0x40010032 +.set CYREG_B0_P0_U0_PLD_ORT2, 0x40010034 +.set CYREG_B0_P0_U0_PLD_ORT3, 0x40010036 +.set CYREG_B0_P0_U0_MC_CFG_CEN_CONST, 0x40010038 +.set CYREG_B0_P0_U0_MC_CFG_XORFB, 0x4001003a +.set CYREG_B0_P0_U0_MC_CFG_SET_RESET, 0x4001003c +.set CYREG_B0_P0_U0_MC_CFG_BYPASS, 0x4001003e +.set CYREG_B0_P0_U0_CFG0, 0x40010040 +.set CYREG_B0_P0_U0_CFG1, 0x40010041 +.set CYREG_B0_P0_U0_CFG2, 0x40010042 +.set CYREG_B0_P0_U0_CFG3, 0x40010043 +.set CYREG_B0_P0_U0_CFG4, 0x40010044 +.set CYREG_B0_P0_U0_CFG5, 0x40010045 +.set CYREG_B0_P0_U0_CFG6, 0x40010046 +.set CYREG_B0_P0_U0_CFG7, 0x40010047 +.set CYREG_B0_P0_U0_CFG8, 0x40010048 +.set CYREG_B0_P0_U0_CFG9, 0x40010049 +.set CYREG_B0_P0_U0_CFG10, 0x4001004a +.set CYREG_B0_P0_U0_CFG11, 0x4001004b +.set CYREG_B0_P0_U0_CFG12, 0x4001004c +.set CYREG_B0_P0_U0_CFG13, 0x4001004d +.set CYREG_B0_P0_U0_CFG14, 0x4001004e +.set CYREG_B0_P0_U0_CFG15, 0x4001004f +.set CYREG_B0_P0_U0_CFG16, 0x40010050 +.set CYREG_B0_P0_U0_CFG17, 0x40010051 +.set CYREG_B0_P0_U0_CFG18, 0x40010052 +.set CYREG_B0_P0_U0_CFG19, 0x40010053 +.set CYREG_B0_P0_U0_CFG20, 0x40010054 +.set CYREG_B0_P0_U0_CFG21, 0x40010055 +.set CYREG_B0_P0_U0_CFG22, 0x40010056 +.set CYREG_B0_P0_U0_CFG23, 0x40010057 +.set CYREG_B0_P0_U0_CFG24, 0x40010058 +.set CYREG_B0_P0_U0_CFG25, 0x40010059 +.set CYREG_B0_P0_U0_CFG26, 0x4001005a +.set CYREG_B0_P0_U0_CFG27, 0x4001005b +.set CYREG_B0_P0_U0_CFG28, 0x4001005c +.set CYREG_B0_P0_U0_CFG29, 0x4001005d +.set CYREG_B0_P0_U0_CFG30, 0x4001005e +.set CYREG_B0_P0_U0_CFG31, 0x4001005f +.set CYREG_B0_P0_U0_DCFG0, 0x40010060 +.set CYREG_B0_P0_U0_DCFG1, 0x40010062 +.set CYREG_B0_P0_U0_DCFG2, 0x40010064 +.set CYREG_B0_P0_U0_DCFG3, 0x40010066 +.set CYREG_B0_P0_U0_DCFG4, 0x40010068 +.set CYREG_B0_P0_U0_DCFG5, 0x4001006a +.set CYREG_B0_P0_U0_DCFG6, 0x4001006c +.set CYREG_B0_P0_U0_DCFG7, 0x4001006e +.set CYDEV_UCFG_B0_P0_U1_BASE, 0x40010080 +.set CYDEV_UCFG_B0_P0_U1_SIZE, 0x00000070 +.set CYREG_B0_P0_U1_PLD_IT0, 0x40010080 +.set CYREG_B0_P0_U1_PLD_IT1, 0x40010084 +.set CYREG_B0_P0_U1_PLD_IT2, 0x40010088 +.set CYREG_B0_P0_U1_PLD_IT3, 0x4001008c +.set CYREG_B0_P0_U1_PLD_IT4, 0x40010090 +.set CYREG_B0_P0_U1_PLD_IT5, 0x40010094 +.set CYREG_B0_P0_U1_PLD_IT6, 0x40010098 +.set CYREG_B0_P0_U1_PLD_IT7, 0x4001009c +.set CYREG_B0_P0_U1_PLD_IT8, 0x400100a0 +.set CYREG_B0_P0_U1_PLD_IT9, 0x400100a4 +.set CYREG_B0_P0_U1_PLD_IT10, 0x400100a8 +.set CYREG_B0_P0_U1_PLD_IT11, 0x400100ac +.set CYREG_B0_P0_U1_PLD_ORT0, 0x400100b0 +.set CYREG_B0_P0_U1_PLD_ORT1, 0x400100b2 +.set CYREG_B0_P0_U1_PLD_ORT2, 0x400100b4 +.set CYREG_B0_P0_U1_PLD_ORT3, 0x400100b6 +.set CYREG_B0_P0_U1_MC_CFG_CEN_CONST, 0x400100b8 +.set CYREG_B0_P0_U1_MC_CFG_XORFB, 0x400100ba +.set CYREG_B0_P0_U1_MC_CFG_SET_RESET, 0x400100bc +.set CYREG_B0_P0_U1_MC_CFG_BYPASS, 0x400100be +.set CYREG_B0_P0_U1_CFG0, 0x400100c0 +.set CYREG_B0_P0_U1_CFG1, 0x400100c1 +.set CYREG_B0_P0_U1_CFG2, 0x400100c2 +.set CYREG_B0_P0_U1_CFG3, 0x400100c3 +.set CYREG_B0_P0_U1_CFG4, 0x400100c4 +.set CYREG_B0_P0_U1_CFG5, 0x400100c5 +.set CYREG_B0_P0_U1_CFG6, 0x400100c6 +.set CYREG_B0_P0_U1_CFG7, 0x400100c7 +.set CYREG_B0_P0_U1_CFG8, 0x400100c8 +.set CYREG_B0_P0_U1_CFG9, 0x400100c9 +.set CYREG_B0_P0_U1_CFG10, 0x400100ca +.set CYREG_B0_P0_U1_CFG11, 0x400100cb +.set CYREG_B0_P0_U1_CFG12, 0x400100cc +.set CYREG_B0_P0_U1_CFG13, 0x400100cd +.set CYREG_B0_P0_U1_CFG14, 0x400100ce +.set CYREG_B0_P0_U1_CFG15, 0x400100cf +.set CYREG_B0_P0_U1_CFG16, 0x400100d0 +.set CYREG_B0_P0_U1_CFG17, 0x400100d1 +.set CYREG_B0_P0_U1_CFG18, 0x400100d2 +.set CYREG_B0_P0_U1_CFG19, 0x400100d3 +.set CYREG_B0_P0_U1_CFG20, 0x400100d4 +.set CYREG_B0_P0_U1_CFG21, 0x400100d5 +.set CYREG_B0_P0_U1_CFG22, 0x400100d6 +.set CYREG_B0_P0_U1_CFG23, 0x400100d7 +.set CYREG_B0_P0_U1_CFG24, 0x400100d8 +.set CYREG_B0_P0_U1_CFG25, 0x400100d9 +.set CYREG_B0_P0_U1_CFG26, 0x400100da +.set CYREG_B0_P0_U1_CFG27, 0x400100db +.set CYREG_B0_P0_U1_CFG28, 0x400100dc +.set CYREG_B0_P0_U1_CFG29, 0x400100dd +.set CYREG_B0_P0_U1_CFG30, 0x400100de +.set CYREG_B0_P0_U1_CFG31, 0x400100df +.set CYREG_B0_P0_U1_DCFG0, 0x400100e0 +.set CYREG_B0_P0_U1_DCFG1, 0x400100e2 +.set CYREG_B0_P0_U1_DCFG2, 0x400100e4 +.set CYREG_B0_P0_U1_DCFG3, 0x400100e6 +.set CYREG_B0_P0_U1_DCFG4, 0x400100e8 +.set CYREG_B0_P0_U1_DCFG5, 0x400100ea +.set CYREG_B0_P0_U1_DCFG6, 0x400100ec +.set CYREG_B0_P0_U1_DCFG7, 0x400100ee +.set CYDEV_UCFG_B0_P0_ROUTE_BASE, 0x40010100 +.set CYDEV_UCFG_B0_P0_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P1_BASE, 0x40010200 +.set CYDEV_UCFG_B0_P1_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P1_U0_BASE, 0x40010200 +.set CYDEV_UCFG_B0_P1_U0_SIZE, 0x00000070 +.set CYREG_B0_P1_U0_PLD_IT0, 0x40010200 +.set CYREG_B0_P1_U0_PLD_IT1, 0x40010204 +.set CYREG_B0_P1_U0_PLD_IT2, 0x40010208 +.set CYREG_B0_P1_U0_PLD_IT3, 0x4001020c +.set CYREG_B0_P1_U0_PLD_IT4, 0x40010210 +.set CYREG_B0_P1_U0_PLD_IT5, 0x40010214 +.set CYREG_B0_P1_U0_PLD_IT6, 0x40010218 +.set CYREG_B0_P1_U0_PLD_IT7, 0x4001021c +.set CYREG_B0_P1_U0_PLD_IT8, 0x40010220 +.set CYREG_B0_P1_U0_PLD_IT9, 0x40010224 +.set CYREG_B0_P1_U0_PLD_IT10, 0x40010228 +.set CYREG_B0_P1_U0_PLD_IT11, 0x4001022c +.set CYREG_B0_P1_U0_PLD_ORT0, 0x40010230 +.set CYREG_B0_P1_U0_PLD_ORT1, 0x40010232 +.set CYREG_B0_P1_U0_PLD_ORT2, 0x40010234 +.set CYREG_B0_P1_U0_PLD_ORT3, 0x40010236 +.set CYREG_B0_P1_U0_MC_CFG_CEN_CONST, 0x40010238 +.set CYREG_B0_P1_U0_MC_CFG_XORFB, 0x4001023a +.set CYREG_B0_P1_U0_MC_CFG_SET_RESET, 0x4001023c +.set CYREG_B0_P1_U0_MC_CFG_BYPASS, 0x4001023e +.set CYREG_B0_P1_U0_CFG0, 0x40010240 +.set CYREG_B0_P1_U0_CFG1, 0x40010241 +.set CYREG_B0_P1_U0_CFG2, 0x40010242 +.set CYREG_B0_P1_U0_CFG3, 0x40010243 +.set CYREG_B0_P1_U0_CFG4, 0x40010244 +.set CYREG_B0_P1_U0_CFG5, 0x40010245 +.set CYREG_B0_P1_U0_CFG6, 0x40010246 +.set CYREG_B0_P1_U0_CFG7, 0x40010247 +.set CYREG_B0_P1_U0_CFG8, 0x40010248 +.set CYREG_B0_P1_U0_CFG9, 0x40010249 +.set CYREG_B0_P1_U0_CFG10, 0x4001024a +.set CYREG_B0_P1_U0_CFG11, 0x4001024b +.set CYREG_B0_P1_U0_CFG12, 0x4001024c +.set CYREG_B0_P1_U0_CFG13, 0x4001024d +.set CYREG_B0_P1_U0_CFG14, 0x4001024e +.set CYREG_B0_P1_U0_CFG15, 0x4001024f +.set CYREG_B0_P1_U0_CFG16, 0x40010250 +.set CYREG_B0_P1_U0_CFG17, 0x40010251 +.set CYREG_B0_P1_U0_CFG18, 0x40010252 +.set CYREG_B0_P1_U0_CFG19, 0x40010253 +.set CYREG_B0_P1_U0_CFG20, 0x40010254 +.set CYREG_B0_P1_U0_CFG21, 0x40010255 +.set CYREG_B0_P1_U0_CFG22, 0x40010256 +.set CYREG_B0_P1_U0_CFG23, 0x40010257 +.set CYREG_B0_P1_U0_CFG24, 0x40010258 +.set CYREG_B0_P1_U0_CFG25, 0x40010259 +.set CYREG_B0_P1_U0_CFG26, 0x4001025a +.set CYREG_B0_P1_U0_CFG27, 0x4001025b +.set CYREG_B0_P1_U0_CFG28, 0x4001025c +.set CYREG_B0_P1_U0_CFG29, 0x4001025d +.set CYREG_B0_P1_U0_CFG30, 0x4001025e +.set CYREG_B0_P1_U0_CFG31, 0x4001025f +.set CYREG_B0_P1_U0_DCFG0, 0x40010260 +.set CYREG_B0_P1_U0_DCFG1, 0x40010262 +.set CYREG_B0_P1_U0_DCFG2, 0x40010264 +.set CYREG_B0_P1_U0_DCFG3, 0x40010266 +.set CYREG_B0_P1_U0_DCFG4, 0x40010268 +.set CYREG_B0_P1_U0_DCFG5, 0x4001026a +.set CYREG_B0_P1_U0_DCFG6, 0x4001026c +.set CYREG_B0_P1_U0_DCFG7, 0x4001026e +.set CYDEV_UCFG_B0_P1_U1_BASE, 0x40010280 +.set CYDEV_UCFG_B0_P1_U1_SIZE, 0x00000070 +.set CYREG_B0_P1_U1_PLD_IT0, 0x40010280 +.set CYREG_B0_P1_U1_PLD_IT1, 0x40010284 +.set CYREG_B0_P1_U1_PLD_IT2, 0x40010288 +.set CYREG_B0_P1_U1_PLD_IT3, 0x4001028c +.set CYREG_B0_P1_U1_PLD_IT4, 0x40010290 +.set CYREG_B0_P1_U1_PLD_IT5, 0x40010294 +.set CYREG_B0_P1_U1_PLD_IT6, 0x40010298 +.set CYREG_B0_P1_U1_PLD_IT7, 0x4001029c +.set CYREG_B0_P1_U1_PLD_IT8, 0x400102a0 +.set CYREG_B0_P1_U1_PLD_IT9, 0x400102a4 +.set CYREG_B0_P1_U1_PLD_IT10, 0x400102a8 +.set CYREG_B0_P1_U1_PLD_IT11, 0x400102ac +.set CYREG_B0_P1_U1_PLD_ORT0, 0x400102b0 +.set CYREG_B0_P1_U1_PLD_ORT1, 0x400102b2 +.set CYREG_B0_P1_U1_PLD_ORT2, 0x400102b4 +.set CYREG_B0_P1_U1_PLD_ORT3, 0x400102b6 +.set CYREG_B0_P1_U1_MC_CFG_CEN_CONST, 0x400102b8 +.set CYREG_B0_P1_U1_MC_CFG_XORFB, 0x400102ba +.set CYREG_B0_P1_U1_MC_CFG_SET_RESET, 0x400102bc +.set CYREG_B0_P1_U1_MC_CFG_BYPASS, 0x400102be +.set CYREG_B0_P1_U1_CFG0, 0x400102c0 +.set CYREG_B0_P1_U1_CFG1, 0x400102c1 +.set CYREG_B0_P1_U1_CFG2, 0x400102c2 +.set CYREG_B0_P1_U1_CFG3, 0x400102c3 +.set CYREG_B0_P1_U1_CFG4, 0x400102c4 +.set CYREG_B0_P1_U1_CFG5, 0x400102c5 +.set CYREG_B0_P1_U1_CFG6, 0x400102c6 +.set CYREG_B0_P1_U1_CFG7, 0x400102c7 +.set CYREG_B0_P1_U1_CFG8, 0x400102c8 +.set CYREG_B0_P1_U1_CFG9, 0x400102c9 +.set CYREG_B0_P1_U1_CFG10, 0x400102ca +.set CYREG_B0_P1_U1_CFG11, 0x400102cb +.set CYREG_B0_P1_U1_CFG12, 0x400102cc +.set CYREG_B0_P1_U1_CFG13, 0x400102cd +.set CYREG_B0_P1_U1_CFG14, 0x400102ce +.set CYREG_B0_P1_U1_CFG15, 0x400102cf +.set CYREG_B0_P1_U1_CFG16, 0x400102d0 +.set CYREG_B0_P1_U1_CFG17, 0x400102d1 +.set CYREG_B0_P1_U1_CFG18, 0x400102d2 +.set CYREG_B0_P1_U1_CFG19, 0x400102d3 +.set CYREG_B0_P1_U1_CFG20, 0x400102d4 +.set CYREG_B0_P1_U1_CFG21, 0x400102d5 +.set CYREG_B0_P1_U1_CFG22, 0x400102d6 +.set CYREG_B0_P1_U1_CFG23, 0x400102d7 +.set CYREG_B0_P1_U1_CFG24, 0x400102d8 +.set CYREG_B0_P1_U1_CFG25, 0x400102d9 +.set CYREG_B0_P1_U1_CFG26, 0x400102da +.set CYREG_B0_P1_U1_CFG27, 0x400102db +.set CYREG_B0_P1_U1_CFG28, 0x400102dc +.set CYREG_B0_P1_U1_CFG29, 0x400102dd +.set CYREG_B0_P1_U1_CFG30, 0x400102de +.set CYREG_B0_P1_U1_CFG31, 0x400102df +.set CYREG_B0_P1_U1_DCFG0, 0x400102e0 +.set CYREG_B0_P1_U1_DCFG1, 0x400102e2 +.set CYREG_B0_P1_U1_DCFG2, 0x400102e4 +.set CYREG_B0_P1_U1_DCFG3, 0x400102e6 +.set CYREG_B0_P1_U1_DCFG4, 0x400102e8 +.set CYREG_B0_P1_U1_DCFG5, 0x400102ea +.set CYREG_B0_P1_U1_DCFG6, 0x400102ec +.set CYREG_B0_P1_U1_DCFG7, 0x400102ee +.set CYDEV_UCFG_B0_P1_ROUTE_BASE, 0x40010300 +.set CYDEV_UCFG_B0_P1_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P2_BASE, 0x40010400 +.set CYDEV_UCFG_B0_P2_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P2_U0_BASE, 0x40010400 +.set CYDEV_UCFG_B0_P2_U0_SIZE, 0x00000070 +.set CYREG_B0_P2_U0_PLD_IT0, 0x40010400 +.set CYREG_B0_P2_U0_PLD_IT1, 0x40010404 +.set CYREG_B0_P2_U0_PLD_IT2, 0x40010408 +.set CYREG_B0_P2_U0_PLD_IT3, 0x4001040c +.set CYREG_B0_P2_U0_PLD_IT4, 0x40010410 +.set CYREG_B0_P2_U0_PLD_IT5, 0x40010414 +.set CYREG_B0_P2_U0_PLD_IT6, 0x40010418 +.set CYREG_B0_P2_U0_PLD_IT7, 0x4001041c +.set CYREG_B0_P2_U0_PLD_IT8, 0x40010420 +.set CYREG_B0_P2_U0_PLD_IT9, 0x40010424 +.set CYREG_B0_P2_U0_PLD_IT10, 0x40010428 +.set CYREG_B0_P2_U0_PLD_IT11, 0x4001042c +.set CYREG_B0_P2_U0_PLD_ORT0, 0x40010430 +.set CYREG_B0_P2_U0_PLD_ORT1, 0x40010432 +.set CYREG_B0_P2_U0_PLD_ORT2, 0x40010434 +.set CYREG_B0_P2_U0_PLD_ORT3, 0x40010436 +.set CYREG_B0_P2_U0_MC_CFG_CEN_CONST, 0x40010438 +.set CYREG_B0_P2_U0_MC_CFG_XORFB, 0x4001043a +.set CYREG_B0_P2_U0_MC_CFG_SET_RESET, 0x4001043c +.set CYREG_B0_P2_U0_MC_CFG_BYPASS, 0x4001043e +.set CYREG_B0_P2_U0_CFG0, 0x40010440 +.set CYREG_B0_P2_U0_CFG1, 0x40010441 +.set CYREG_B0_P2_U0_CFG2, 0x40010442 +.set CYREG_B0_P2_U0_CFG3, 0x40010443 +.set CYREG_B0_P2_U0_CFG4, 0x40010444 +.set CYREG_B0_P2_U0_CFG5, 0x40010445 +.set CYREG_B0_P2_U0_CFG6, 0x40010446 +.set CYREG_B0_P2_U0_CFG7, 0x40010447 +.set CYREG_B0_P2_U0_CFG8, 0x40010448 +.set CYREG_B0_P2_U0_CFG9, 0x40010449 +.set CYREG_B0_P2_U0_CFG10, 0x4001044a +.set CYREG_B0_P2_U0_CFG11, 0x4001044b +.set CYREG_B0_P2_U0_CFG12, 0x4001044c +.set CYREG_B0_P2_U0_CFG13, 0x4001044d +.set CYREG_B0_P2_U0_CFG14, 0x4001044e +.set CYREG_B0_P2_U0_CFG15, 0x4001044f +.set CYREG_B0_P2_U0_CFG16, 0x40010450 +.set CYREG_B0_P2_U0_CFG17, 0x40010451 +.set CYREG_B0_P2_U0_CFG18, 0x40010452 +.set CYREG_B0_P2_U0_CFG19, 0x40010453 +.set CYREG_B0_P2_U0_CFG20, 0x40010454 +.set CYREG_B0_P2_U0_CFG21, 0x40010455 +.set CYREG_B0_P2_U0_CFG22, 0x40010456 +.set CYREG_B0_P2_U0_CFG23, 0x40010457 +.set CYREG_B0_P2_U0_CFG24, 0x40010458 +.set CYREG_B0_P2_U0_CFG25, 0x40010459 +.set CYREG_B0_P2_U0_CFG26, 0x4001045a +.set CYREG_B0_P2_U0_CFG27, 0x4001045b +.set CYREG_B0_P2_U0_CFG28, 0x4001045c +.set CYREG_B0_P2_U0_CFG29, 0x4001045d +.set CYREG_B0_P2_U0_CFG30, 0x4001045e +.set CYREG_B0_P2_U0_CFG31, 0x4001045f +.set CYREG_B0_P2_U0_DCFG0, 0x40010460 +.set CYREG_B0_P2_U0_DCFG1, 0x40010462 +.set CYREG_B0_P2_U0_DCFG2, 0x40010464 +.set CYREG_B0_P2_U0_DCFG3, 0x40010466 +.set CYREG_B0_P2_U0_DCFG4, 0x40010468 +.set CYREG_B0_P2_U0_DCFG5, 0x4001046a +.set CYREG_B0_P2_U0_DCFG6, 0x4001046c +.set CYREG_B0_P2_U0_DCFG7, 0x4001046e +.set CYDEV_UCFG_B0_P2_U1_BASE, 0x40010480 +.set CYDEV_UCFG_B0_P2_U1_SIZE, 0x00000070 +.set CYREG_B0_P2_U1_PLD_IT0, 0x40010480 +.set CYREG_B0_P2_U1_PLD_IT1, 0x40010484 +.set CYREG_B0_P2_U1_PLD_IT2, 0x40010488 +.set CYREG_B0_P2_U1_PLD_IT3, 0x4001048c +.set CYREG_B0_P2_U1_PLD_IT4, 0x40010490 +.set CYREG_B0_P2_U1_PLD_IT5, 0x40010494 +.set CYREG_B0_P2_U1_PLD_IT6, 0x40010498 +.set CYREG_B0_P2_U1_PLD_IT7, 0x4001049c +.set CYREG_B0_P2_U1_PLD_IT8, 0x400104a0 +.set CYREG_B0_P2_U1_PLD_IT9, 0x400104a4 +.set CYREG_B0_P2_U1_PLD_IT10, 0x400104a8 +.set CYREG_B0_P2_U1_PLD_IT11, 0x400104ac +.set CYREG_B0_P2_U1_PLD_ORT0, 0x400104b0 +.set CYREG_B0_P2_U1_PLD_ORT1, 0x400104b2 +.set CYREG_B0_P2_U1_PLD_ORT2, 0x400104b4 +.set CYREG_B0_P2_U1_PLD_ORT3, 0x400104b6 +.set CYREG_B0_P2_U1_MC_CFG_CEN_CONST, 0x400104b8 +.set CYREG_B0_P2_U1_MC_CFG_XORFB, 0x400104ba +.set CYREG_B0_P2_U1_MC_CFG_SET_RESET, 0x400104bc +.set CYREG_B0_P2_U1_MC_CFG_BYPASS, 0x400104be +.set CYREG_B0_P2_U1_CFG0, 0x400104c0 +.set CYREG_B0_P2_U1_CFG1, 0x400104c1 +.set CYREG_B0_P2_U1_CFG2, 0x400104c2 +.set CYREG_B0_P2_U1_CFG3, 0x400104c3 +.set CYREG_B0_P2_U1_CFG4, 0x400104c4 +.set CYREG_B0_P2_U1_CFG5, 0x400104c5 +.set CYREG_B0_P2_U1_CFG6, 0x400104c6 +.set CYREG_B0_P2_U1_CFG7, 0x400104c7 +.set CYREG_B0_P2_U1_CFG8, 0x400104c8 +.set CYREG_B0_P2_U1_CFG9, 0x400104c9 +.set CYREG_B0_P2_U1_CFG10, 0x400104ca +.set CYREG_B0_P2_U1_CFG11, 0x400104cb +.set CYREG_B0_P2_U1_CFG12, 0x400104cc +.set CYREG_B0_P2_U1_CFG13, 0x400104cd +.set CYREG_B0_P2_U1_CFG14, 0x400104ce +.set CYREG_B0_P2_U1_CFG15, 0x400104cf +.set CYREG_B0_P2_U1_CFG16, 0x400104d0 +.set CYREG_B0_P2_U1_CFG17, 0x400104d1 +.set CYREG_B0_P2_U1_CFG18, 0x400104d2 +.set CYREG_B0_P2_U1_CFG19, 0x400104d3 +.set CYREG_B0_P2_U1_CFG20, 0x400104d4 +.set CYREG_B0_P2_U1_CFG21, 0x400104d5 +.set CYREG_B0_P2_U1_CFG22, 0x400104d6 +.set CYREG_B0_P2_U1_CFG23, 0x400104d7 +.set CYREG_B0_P2_U1_CFG24, 0x400104d8 +.set CYREG_B0_P2_U1_CFG25, 0x400104d9 +.set CYREG_B0_P2_U1_CFG26, 0x400104da +.set CYREG_B0_P2_U1_CFG27, 0x400104db +.set CYREG_B0_P2_U1_CFG28, 0x400104dc +.set CYREG_B0_P2_U1_CFG29, 0x400104dd +.set CYREG_B0_P2_U1_CFG30, 0x400104de +.set CYREG_B0_P2_U1_CFG31, 0x400104df +.set CYREG_B0_P2_U1_DCFG0, 0x400104e0 +.set CYREG_B0_P2_U1_DCFG1, 0x400104e2 +.set CYREG_B0_P2_U1_DCFG2, 0x400104e4 +.set CYREG_B0_P2_U1_DCFG3, 0x400104e6 +.set CYREG_B0_P2_U1_DCFG4, 0x400104e8 +.set CYREG_B0_P2_U1_DCFG5, 0x400104ea +.set CYREG_B0_P2_U1_DCFG6, 0x400104ec +.set CYREG_B0_P2_U1_DCFG7, 0x400104ee +.set CYDEV_UCFG_B0_P2_ROUTE_BASE, 0x40010500 +.set CYDEV_UCFG_B0_P2_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P3_BASE, 0x40010600 +.set CYDEV_UCFG_B0_P3_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P3_U0_BASE, 0x40010600 +.set CYDEV_UCFG_B0_P3_U0_SIZE, 0x00000070 +.set CYREG_B0_P3_U0_PLD_IT0, 0x40010600 +.set CYREG_B0_P3_U0_PLD_IT1, 0x40010604 +.set CYREG_B0_P3_U0_PLD_IT2, 0x40010608 +.set CYREG_B0_P3_U0_PLD_IT3, 0x4001060c +.set CYREG_B0_P3_U0_PLD_IT4, 0x40010610 +.set CYREG_B0_P3_U0_PLD_IT5, 0x40010614 +.set CYREG_B0_P3_U0_PLD_IT6, 0x40010618 +.set CYREG_B0_P3_U0_PLD_IT7, 0x4001061c +.set CYREG_B0_P3_U0_PLD_IT8, 0x40010620 +.set CYREG_B0_P3_U0_PLD_IT9, 0x40010624 +.set CYREG_B0_P3_U0_PLD_IT10, 0x40010628 +.set CYREG_B0_P3_U0_PLD_IT11, 0x4001062c +.set CYREG_B0_P3_U0_PLD_ORT0, 0x40010630 +.set CYREG_B0_P3_U0_PLD_ORT1, 0x40010632 +.set CYREG_B0_P3_U0_PLD_ORT2, 0x40010634 +.set CYREG_B0_P3_U0_PLD_ORT3, 0x40010636 +.set CYREG_B0_P3_U0_MC_CFG_CEN_CONST, 0x40010638 +.set CYREG_B0_P3_U0_MC_CFG_XORFB, 0x4001063a +.set CYREG_B0_P3_U0_MC_CFG_SET_RESET, 0x4001063c +.set CYREG_B0_P3_U0_MC_CFG_BYPASS, 0x4001063e +.set CYREG_B0_P3_U0_CFG0, 0x40010640 +.set CYREG_B0_P3_U0_CFG1, 0x40010641 +.set CYREG_B0_P3_U0_CFG2, 0x40010642 +.set CYREG_B0_P3_U0_CFG3, 0x40010643 +.set CYREG_B0_P3_U0_CFG4, 0x40010644 +.set CYREG_B0_P3_U0_CFG5, 0x40010645 +.set CYREG_B0_P3_U0_CFG6, 0x40010646 +.set CYREG_B0_P3_U0_CFG7, 0x40010647 +.set CYREG_B0_P3_U0_CFG8, 0x40010648 +.set CYREG_B0_P3_U0_CFG9, 0x40010649 +.set CYREG_B0_P3_U0_CFG10, 0x4001064a +.set CYREG_B0_P3_U0_CFG11, 0x4001064b +.set CYREG_B0_P3_U0_CFG12, 0x4001064c +.set CYREG_B0_P3_U0_CFG13, 0x4001064d +.set CYREG_B0_P3_U0_CFG14, 0x4001064e +.set CYREG_B0_P3_U0_CFG15, 0x4001064f +.set CYREG_B0_P3_U0_CFG16, 0x40010650 +.set CYREG_B0_P3_U0_CFG17, 0x40010651 +.set CYREG_B0_P3_U0_CFG18, 0x40010652 +.set CYREG_B0_P3_U0_CFG19, 0x40010653 +.set CYREG_B0_P3_U0_CFG20, 0x40010654 +.set CYREG_B0_P3_U0_CFG21, 0x40010655 +.set CYREG_B0_P3_U0_CFG22, 0x40010656 +.set CYREG_B0_P3_U0_CFG23, 0x40010657 +.set CYREG_B0_P3_U0_CFG24, 0x40010658 +.set CYREG_B0_P3_U0_CFG25, 0x40010659 +.set CYREG_B0_P3_U0_CFG26, 0x4001065a +.set CYREG_B0_P3_U0_CFG27, 0x4001065b +.set CYREG_B0_P3_U0_CFG28, 0x4001065c +.set CYREG_B0_P3_U0_CFG29, 0x4001065d +.set CYREG_B0_P3_U0_CFG30, 0x4001065e +.set CYREG_B0_P3_U0_CFG31, 0x4001065f +.set CYREG_B0_P3_U0_DCFG0, 0x40010660 +.set CYREG_B0_P3_U0_DCFG1, 0x40010662 +.set CYREG_B0_P3_U0_DCFG2, 0x40010664 +.set CYREG_B0_P3_U0_DCFG3, 0x40010666 +.set CYREG_B0_P3_U0_DCFG4, 0x40010668 +.set CYREG_B0_P3_U0_DCFG5, 0x4001066a +.set CYREG_B0_P3_U0_DCFG6, 0x4001066c +.set CYREG_B0_P3_U0_DCFG7, 0x4001066e +.set CYDEV_UCFG_B0_P3_U1_BASE, 0x40010680 +.set CYDEV_UCFG_B0_P3_U1_SIZE, 0x00000070 +.set CYREG_B0_P3_U1_PLD_IT0, 0x40010680 +.set CYREG_B0_P3_U1_PLD_IT1, 0x40010684 +.set CYREG_B0_P3_U1_PLD_IT2, 0x40010688 +.set CYREG_B0_P3_U1_PLD_IT3, 0x4001068c +.set CYREG_B0_P3_U1_PLD_IT4, 0x40010690 +.set CYREG_B0_P3_U1_PLD_IT5, 0x40010694 +.set CYREG_B0_P3_U1_PLD_IT6, 0x40010698 +.set CYREG_B0_P3_U1_PLD_IT7, 0x4001069c +.set CYREG_B0_P3_U1_PLD_IT8, 0x400106a0 +.set CYREG_B0_P3_U1_PLD_IT9, 0x400106a4 +.set CYREG_B0_P3_U1_PLD_IT10, 0x400106a8 +.set CYREG_B0_P3_U1_PLD_IT11, 0x400106ac +.set CYREG_B0_P3_U1_PLD_ORT0, 0x400106b0 +.set CYREG_B0_P3_U1_PLD_ORT1, 0x400106b2 +.set CYREG_B0_P3_U1_PLD_ORT2, 0x400106b4 +.set CYREG_B0_P3_U1_PLD_ORT3, 0x400106b6 +.set CYREG_B0_P3_U1_MC_CFG_CEN_CONST, 0x400106b8 +.set CYREG_B0_P3_U1_MC_CFG_XORFB, 0x400106ba +.set CYREG_B0_P3_U1_MC_CFG_SET_RESET, 0x400106bc +.set CYREG_B0_P3_U1_MC_CFG_BYPASS, 0x400106be +.set CYREG_B0_P3_U1_CFG0, 0x400106c0 +.set CYREG_B0_P3_U1_CFG1, 0x400106c1 +.set CYREG_B0_P3_U1_CFG2, 0x400106c2 +.set CYREG_B0_P3_U1_CFG3, 0x400106c3 +.set CYREG_B0_P3_U1_CFG4, 0x400106c4 +.set CYREG_B0_P3_U1_CFG5, 0x400106c5 +.set CYREG_B0_P3_U1_CFG6, 0x400106c6 +.set CYREG_B0_P3_U1_CFG7, 0x400106c7 +.set CYREG_B0_P3_U1_CFG8, 0x400106c8 +.set CYREG_B0_P3_U1_CFG9, 0x400106c9 +.set CYREG_B0_P3_U1_CFG10, 0x400106ca +.set CYREG_B0_P3_U1_CFG11, 0x400106cb +.set CYREG_B0_P3_U1_CFG12, 0x400106cc +.set CYREG_B0_P3_U1_CFG13, 0x400106cd +.set CYREG_B0_P3_U1_CFG14, 0x400106ce +.set CYREG_B0_P3_U1_CFG15, 0x400106cf +.set CYREG_B0_P3_U1_CFG16, 0x400106d0 +.set CYREG_B0_P3_U1_CFG17, 0x400106d1 +.set CYREG_B0_P3_U1_CFG18, 0x400106d2 +.set CYREG_B0_P3_U1_CFG19, 0x400106d3 +.set CYREG_B0_P3_U1_CFG20, 0x400106d4 +.set CYREG_B0_P3_U1_CFG21, 0x400106d5 +.set CYREG_B0_P3_U1_CFG22, 0x400106d6 +.set CYREG_B0_P3_U1_CFG23, 0x400106d7 +.set CYREG_B0_P3_U1_CFG24, 0x400106d8 +.set CYREG_B0_P3_U1_CFG25, 0x400106d9 +.set CYREG_B0_P3_U1_CFG26, 0x400106da +.set CYREG_B0_P3_U1_CFG27, 0x400106db +.set CYREG_B0_P3_U1_CFG28, 0x400106dc +.set CYREG_B0_P3_U1_CFG29, 0x400106dd +.set CYREG_B0_P3_U1_CFG30, 0x400106de +.set CYREG_B0_P3_U1_CFG31, 0x400106df +.set CYREG_B0_P3_U1_DCFG0, 0x400106e0 +.set CYREG_B0_P3_U1_DCFG1, 0x400106e2 +.set CYREG_B0_P3_U1_DCFG2, 0x400106e4 +.set CYREG_B0_P3_U1_DCFG3, 0x400106e6 +.set CYREG_B0_P3_U1_DCFG4, 0x400106e8 +.set CYREG_B0_P3_U1_DCFG5, 0x400106ea +.set CYREG_B0_P3_U1_DCFG6, 0x400106ec +.set CYREG_B0_P3_U1_DCFG7, 0x400106ee +.set CYDEV_UCFG_B0_P3_ROUTE_BASE, 0x40010700 +.set CYDEV_UCFG_B0_P3_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P4_BASE, 0x40010800 +.set CYDEV_UCFG_B0_P4_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P4_U0_BASE, 0x40010800 +.set CYDEV_UCFG_B0_P4_U0_SIZE, 0x00000070 +.set CYREG_B0_P4_U0_PLD_IT0, 0x40010800 +.set CYREG_B0_P4_U0_PLD_IT1, 0x40010804 +.set CYREG_B0_P4_U0_PLD_IT2, 0x40010808 +.set CYREG_B0_P4_U0_PLD_IT3, 0x4001080c +.set CYREG_B0_P4_U0_PLD_IT4, 0x40010810 +.set CYREG_B0_P4_U0_PLD_IT5, 0x40010814 +.set CYREG_B0_P4_U0_PLD_IT6, 0x40010818 +.set CYREG_B0_P4_U0_PLD_IT7, 0x4001081c +.set CYREG_B0_P4_U0_PLD_IT8, 0x40010820 +.set CYREG_B0_P4_U0_PLD_IT9, 0x40010824 +.set CYREG_B0_P4_U0_PLD_IT10, 0x40010828 +.set CYREG_B0_P4_U0_PLD_IT11, 0x4001082c +.set CYREG_B0_P4_U0_PLD_ORT0, 0x40010830 +.set CYREG_B0_P4_U0_PLD_ORT1, 0x40010832 +.set CYREG_B0_P4_U0_PLD_ORT2, 0x40010834 +.set CYREG_B0_P4_U0_PLD_ORT3, 0x40010836 +.set CYREG_B0_P4_U0_MC_CFG_CEN_CONST, 0x40010838 +.set CYREG_B0_P4_U0_MC_CFG_XORFB, 0x4001083a +.set CYREG_B0_P4_U0_MC_CFG_SET_RESET, 0x4001083c +.set CYREG_B0_P4_U0_MC_CFG_BYPASS, 0x4001083e +.set CYREG_B0_P4_U0_CFG0, 0x40010840 +.set CYREG_B0_P4_U0_CFG1, 0x40010841 +.set CYREG_B0_P4_U0_CFG2, 0x40010842 +.set CYREG_B0_P4_U0_CFG3, 0x40010843 +.set CYREG_B0_P4_U0_CFG4, 0x40010844 +.set CYREG_B0_P4_U0_CFG5, 0x40010845 +.set CYREG_B0_P4_U0_CFG6, 0x40010846 +.set CYREG_B0_P4_U0_CFG7, 0x40010847 +.set CYREG_B0_P4_U0_CFG8, 0x40010848 +.set CYREG_B0_P4_U0_CFG9, 0x40010849 +.set CYREG_B0_P4_U0_CFG10, 0x4001084a +.set CYREG_B0_P4_U0_CFG11, 0x4001084b +.set CYREG_B0_P4_U0_CFG12, 0x4001084c +.set CYREG_B0_P4_U0_CFG13, 0x4001084d +.set CYREG_B0_P4_U0_CFG14, 0x4001084e +.set CYREG_B0_P4_U0_CFG15, 0x4001084f +.set CYREG_B0_P4_U0_CFG16, 0x40010850 +.set CYREG_B0_P4_U0_CFG17, 0x40010851 +.set CYREG_B0_P4_U0_CFG18, 0x40010852 +.set CYREG_B0_P4_U0_CFG19, 0x40010853 +.set CYREG_B0_P4_U0_CFG20, 0x40010854 +.set CYREG_B0_P4_U0_CFG21, 0x40010855 +.set CYREG_B0_P4_U0_CFG22, 0x40010856 +.set CYREG_B0_P4_U0_CFG23, 0x40010857 +.set CYREG_B0_P4_U0_CFG24, 0x40010858 +.set CYREG_B0_P4_U0_CFG25, 0x40010859 +.set CYREG_B0_P4_U0_CFG26, 0x4001085a +.set CYREG_B0_P4_U0_CFG27, 0x4001085b +.set CYREG_B0_P4_U0_CFG28, 0x4001085c +.set CYREG_B0_P4_U0_CFG29, 0x4001085d +.set CYREG_B0_P4_U0_CFG30, 0x4001085e +.set CYREG_B0_P4_U0_CFG31, 0x4001085f +.set CYREG_B0_P4_U0_DCFG0, 0x40010860 +.set CYREG_B0_P4_U0_DCFG1, 0x40010862 +.set CYREG_B0_P4_U0_DCFG2, 0x40010864 +.set CYREG_B0_P4_U0_DCFG3, 0x40010866 +.set CYREG_B0_P4_U0_DCFG4, 0x40010868 +.set CYREG_B0_P4_U0_DCFG5, 0x4001086a +.set CYREG_B0_P4_U0_DCFG6, 0x4001086c +.set CYREG_B0_P4_U0_DCFG7, 0x4001086e +.set CYDEV_UCFG_B0_P4_U1_BASE, 0x40010880 +.set CYDEV_UCFG_B0_P4_U1_SIZE, 0x00000070 +.set CYREG_B0_P4_U1_PLD_IT0, 0x40010880 +.set CYREG_B0_P4_U1_PLD_IT1, 0x40010884 +.set CYREG_B0_P4_U1_PLD_IT2, 0x40010888 +.set CYREG_B0_P4_U1_PLD_IT3, 0x4001088c +.set CYREG_B0_P4_U1_PLD_IT4, 0x40010890 +.set CYREG_B0_P4_U1_PLD_IT5, 0x40010894 +.set CYREG_B0_P4_U1_PLD_IT6, 0x40010898 +.set CYREG_B0_P4_U1_PLD_IT7, 0x4001089c +.set CYREG_B0_P4_U1_PLD_IT8, 0x400108a0 +.set CYREG_B0_P4_U1_PLD_IT9, 0x400108a4 +.set CYREG_B0_P4_U1_PLD_IT10, 0x400108a8 +.set CYREG_B0_P4_U1_PLD_IT11, 0x400108ac +.set CYREG_B0_P4_U1_PLD_ORT0, 0x400108b0 +.set CYREG_B0_P4_U1_PLD_ORT1, 0x400108b2 +.set CYREG_B0_P4_U1_PLD_ORT2, 0x400108b4 +.set CYREG_B0_P4_U1_PLD_ORT3, 0x400108b6 +.set CYREG_B0_P4_U1_MC_CFG_CEN_CONST, 0x400108b8 +.set CYREG_B0_P4_U1_MC_CFG_XORFB, 0x400108ba +.set CYREG_B0_P4_U1_MC_CFG_SET_RESET, 0x400108bc +.set CYREG_B0_P4_U1_MC_CFG_BYPASS, 0x400108be +.set CYREG_B0_P4_U1_CFG0, 0x400108c0 +.set CYREG_B0_P4_U1_CFG1, 0x400108c1 +.set CYREG_B0_P4_U1_CFG2, 0x400108c2 +.set CYREG_B0_P4_U1_CFG3, 0x400108c3 +.set CYREG_B0_P4_U1_CFG4, 0x400108c4 +.set CYREG_B0_P4_U1_CFG5, 0x400108c5 +.set CYREG_B0_P4_U1_CFG6, 0x400108c6 +.set CYREG_B0_P4_U1_CFG7, 0x400108c7 +.set CYREG_B0_P4_U1_CFG8, 0x400108c8 +.set CYREG_B0_P4_U1_CFG9, 0x400108c9 +.set CYREG_B0_P4_U1_CFG10, 0x400108ca +.set CYREG_B0_P4_U1_CFG11, 0x400108cb +.set CYREG_B0_P4_U1_CFG12, 0x400108cc +.set CYREG_B0_P4_U1_CFG13, 0x400108cd +.set CYREG_B0_P4_U1_CFG14, 0x400108ce +.set CYREG_B0_P4_U1_CFG15, 0x400108cf +.set CYREG_B0_P4_U1_CFG16, 0x400108d0 +.set CYREG_B0_P4_U1_CFG17, 0x400108d1 +.set CYREG_B0_P4_U1_CFG18, 0x400108d2 +.set CYREG_B0_P4_U1_CFG19, 0x400108d3 +.set CYREG_B0_P4_U1_CFG20, 0x400108d4 +.set CYREG_B0_P4_U1_CFG21, 0x400108d5 +.set CYREG_B0_P4_U1_CFG22, 0x400108d6 +.set CYREG_B0_P4_U1_CFG23, 0x400108d7 +.set CYREG_B0_P4_U1_CFG24, 0x400108d8 +.set CYREG_B0_P4_U1_CFG25, 0x400108d9 +.set CYREG_B0_P4_U1_CFG26, 0x400108da +.set CYREG_B0_P4_U1_CFG27, 0x400108db +.set CYREG_B0_P4_U1_CFG28, 0x400108dc +.set CYREG_B0_P4_U1_CFG29, 0x400108dd +.set CYREG_B0_P4_U1_CFG30, 0x400108de +.set CYREG_B0_P4_U1_CFG31, 0x400108df +.set CYREG_B0_P4_U1_DCFG0, 0x400108e0 +.set CYREG_B0_P4_U1_DCFG1, 0x400108e2 +.set CYREG_B0_P4_U1_DCFG2, 0x400108e4 +.set CYREG_B0_P4_U1_DCFG3, 0x400108e6 +.set CYREG_B0_P4_U1_DCFG4, 0x400108e8 +.set CYREG_B0_P4_U1_DCFG5, 0x400108ea +.set CYREG_B0_P4_U1_DCFG6, 0x400108ec +.set CYREG_B0_P4_U1_DCFG7, 0x400108ee +.set CYDEV_UCFG_B0_P4_ROUTE_BASE, 0x40010900 +.set CYDEV_UCFG_B0_P4_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P5_BASE, 0x40010a00 +.set CYDEV_UCFG_B0_P5_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P5_U0_BASE, 0x40010a00 +.set CYDEV_UCFG_B0_P5_U0_SIZE, 0x00000070 +.set CYREG_B0_P5_U0_PLD_IT0, 0x40010a00 +.set CYREG_B0_P5_U0_PLD_IT1, 0x40010a04 +.set CYREG_B0_P5_U0_PLD_IT2, 0x40010a08 +.set CYREG_B0_P5_U0_PLD_IT3, 0x40010a0c +.set CYREG_B0_P5_U0_PLD_IT4, 0x40010a10 +.set CYREG_B0_P5_U0_PLD_IT5, 0x40010a14 +.set CYREG_B0_P5_U0_PLD_IT6, 0x40010a18 +.set CYREG_B0_P5_U0_PLD_IT7, 0x40010a1c +.set CYREG_B0_P5_U0_PLD_IT8, 0x40010a20 +.set CYREG_B0_P5_U0_PLD_IT9, 0x40010a24 +.set CYREG_B0_P5_U0_PLD_IT10, 0x40010a28 +.set CYREG_B0_P5_U0_PLD_IT11, 0x40010a2c +.set CYREG_B0_P5_U0_PLD_ORT0, 0x40010a30 +.set CYREG_B0_P5_U0_PLD_ORT1, 0x40010a32 +.set CYREG_B0_P5_U0_PLD_ORT2, 0x40010a34 +.set CYREG_B0_P5_U0_PLD_ORT3, 0x40010a36 +.set CYREG_B0_P5_U0_MC_CFG_CEN_CONST, 0x40010a38 +.set CYREG_B0_P5_U0_MC_CFG_XORFB, 0x40010a3a +.set CYREG_B0_P5_U0_MC_CFG_SET_RESET, 0x40010a3c +.set CYREG_B0_P5_U0_MC_CFG_BYPASS, 0x40010a3e +.set CYREG_B0_P5_U0_CFG0, 0x40010a40 +.set CYREG_B0_P5_U0_CFG1, 0x40010a41 +.set CYREG_B0_P5_U0_CFG2, 0x40010a42 +.set CYREG_B0_P5_U0_CFG3, 0x40010a43 +.set CYREG_B0_P5_U0_CFG4, 0x40010a44 +.set CYREG_B0_P5_U0_CFG5, 0x40010a45 +.set CYREG_B0_P5_U0_CFG6, 0x40010a46 +.set CYREG_B0_P5_U0_CFG7, 0x40010a47 +.set CYREG_B0_P5_U0_CFG8, 0x40010a48 +.set CYREG_B0_P5_U0_CFG9, 0x40010a49 +.set CYREG_B0_P5_U0_CFG10, 0x40010a4a +.set CYREG_B0_P5_U0_CFG11, 0x40010a4b +.set CYREG_B0_P5_U0_CFG12, 0x40010a4c +.set CYREG_B0_P5_U0_CFG13, 0x40010a4d +.set CYREG_B0_P5_U0_CFG14, 0x40010a4e +.set CYREG_B0_P5_U0_CFG15, 0x40010a4f +.set CYREG_B0_P5_U0_CFG16, 0x40010a50 +.set CYREG_B0_P5_U0_CFG17, 0x40010a51 +.set CYREG_B0_P5_U0_CFG18, 0x40010a52 +.set CYREG_B0_P5_U0_CFG19, 0x40010a53 +.set CYREG_B0_P5_U0_CFG20, 0x40010a54 +.set CYREG_B0_P5_U0_CFG21, 0x40010a55 +.set CYREG_B0_P5_U0_CFG22, 0x40010a56 +.set CYREG_B0_P5_U0_CFG23, 0x40010a57 +.set CYREG_B0_P5_U0_CFG24, 0x40010a58 +.set CYREG_B0_P5_U0_CFG25, 0x40010a59 +.set CYREG_B0_P5_U0_CFG26, 0x40010a5a +.set CYREG_B0_P5_U0_CFG27, 0x40010a5b +.set CYREG_B0_P5_U0_CFG28, 0x40010a5c +.set CYREG_B0_P5_U0_CFG29, 0x40010a5d +.set CYREG_B0_P5_U0_CFG30, 0x40010a5e +.set CYREG_B0_P5_U0_CFG31, 0x40010a5f +.set CYREG_B0_P5_U0_DCFG0, 0x40010a60 +.set CYREG_B0_P5_U0_DCFG1, 0x40010a62 +.set CYREG_B0_P5_U0_DCFG2, 0x40010a64 +.set CYREG_B0_P5_U0_DCFG3, 0x40010a66 +.set CYREG_B0_P5_U0_DCFG4, 0x40010a68 +.set CYREG_B0_P5_U0_DCFG5, 0x40010a6a +.set CYREG_B0_P5_U0_DCFG6, 0x40010a6c +.set CYREG_B0_P5_U0_DCFG7, 0x40010a6e +.set CYDEV_UCFG_B0_P5_U1_BASE, 0x40010a80 +.set CYDEV_UCFG_B0_P5_U1_SIZE, 0x00000070 +.set CYREG_B0_P5_U1_PLD_IT0, 0x40010a80 +.set CYREG_B0_P5_U1_PLD_IT1, 0x40010a84 +.set CYREG_B0_P5_U1_PLD_IT2, 0x40010a88 +.set CYREG_B0_P5_U1_PLD_IT3, 0x40010a8c +.set CYREG_B0_P5_U1_PLD_IT4, 0x40010a90 +.set CYREG_B0_P5_U1_PLD_IT5, 0x40010a94 +.set CYREG_B0_P5_U1_PLD_IT6, 0x40010a98 +.set CYREG_B0_P5_U1_PLD_IT7, 0x40010a9c +.set CYREG_B0_P5_U1_PLD_IT8, 0x40010aa0 +.set CYREG_B0_P5_U1_PLD_IT9, 0x40010aa4 +.set CYREG_B0_P5_U1_PLD_IT10, 0x40010aa8 +.set CYREG_B0_P5_U1_PLD_IT11, 0x40010aac +.set CYREG_B0_P5_U1_PLD_ORT0, 0x40010ab0 +.set CYREG_B0_P5_U1_PLD_ORT1, 0x40010ab2 +.set CYREG_B0_P5_U1_PLD_ORT2, 0x40010ab4 +.set CYREG_B0_P5_U1_PLD_ORT3, 0x40010ab6 +.set CYREG_B0_P5_U1_MC_CFG_CEN_CONST, 0x40010ab8 +.set CYREG_B0_P5_U1_MC_CFG_XORFB, 0x40010aba +.set CYREG_B0_P5_U1_MC_CFG_SET_RESET, 0x40010abc +.set CYREG_B0_P5_U1_MC_CFG_BYPASS, 0x40010abe +.set CYREG_B0_P5_U1_CFG0, 0x40010ac0 +.set CYREG_B0_P5_U1_CFG1, 0x40010ac1 +.set CYREG_B0_P5_U1_CFG2, 0x40010ac2 +.set CYREG_B0_P5_U1_CFG3, 0x40010ac3 +.set CYREG_B0_P5_U1_CFG4, 0x40010ac4 +.set CYREG_B0_P5_U1_CFG5, 0x40010ac5 +.set CYREG_B0_P5_U1_CFG6, 0x40010ac6 +.set CYREG_B0_P5_U1_CFG7, 0x40010ac7 +.set CYREG_B0_P5_U1_CFG8, 0x40010ac8 +.set CYREG_B0_P5_U1_CFG9, 0x40010ac9 +.set CYREG_B0_P5_U1_CFG10, 0x40010aca +.set CYREG_B0_P5_U1_CFG11, 0x40010acb +.set CYREG_B0_P5_U1_CFG12, 0x40010acc +.set CYREG_B0_P5_U1_CFG13, 0x40010acd +.set CYREG_B0_P5_U1_CFG14, 0x40010ace +.set CYREG_B0_P5_U1_CFG15, 0x40010acf +.set CYREG_B0_P5_U1_CFG16, 0x40010ad0 +.set CYREG_B0_P5_U1_CFG17, 0x40010ad1 +.set CYREG_B0_P5_U1_CFG18, 0x40010ad2 +.set CYREG_B0_P5_U1_CFG19, 0x40010ad3 +.set CYREG_B0_P5_U1_CFG20, 0x40010ad4 +.set CYREG_B0_P5_U1_CFG21, 0x40010ad5 +.set CYREG_B0_P5_U1_CFG22, 0x40010ad6 +.set CYREG_B0_P5_U1_CFG23, 0x40010ad7 +.set CYREG_B0_P5_U1_CFG24, 0x40010ad8 +.set CYREG_B0_P5_U1_CFG25, 0x40010ad9 +.set CYREG_B0_P5_U1_CFG26, 0x40010ada +.set CYREG_B0_P5_U1_CFG27, 0x40010adb +.set CYREG_B0_P5_U1_CFG28, 0x40010adc +.set CYREG_B0_P5_U1_CFG29, 0x40010add +.set CYREG_B0_P5_U1_CFG30, 0x40010ade +.set CYREG_B0_P5_U1_CFG31, 0x40010adf +.set CYREG_B0_P5_U1_DCFG0, 0x40010ae0 +.set CYREG_B0_P5_U1_DCFG1, 0x40010ae2 +.set CYREG_B0_P5_U1_DCFG2, 0x40010ae4 +.set CYREG_B0_P5_U1_DCFG3, 0x40010ae6 +.set CYREG_B0_P5_U1_DCFG4, 0x40010ae8 +.set CYREG_B0_P5_U1_DCFG5, 0x40010aea +.set CYREG_B0_P5_U1_DCFG6, 0x40010aec +.set CYREG_B0_P5_U1_DCFG7, 0x40010aee +.set CYDEV_UCFG_B0_P5_ROUTE_BASE, 0x40010b00 +.set CYDEV_UCFG_B0_P5_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P6_BASE, 0x40010c00 +.set CYDEV_UCFG_B0_P6_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P6_U0_BASE, 0x40010c00 +.set CYDEV_UCFG_B0_P6_U0_SIZE, 0x00000070 +.set CYREG_B0_P6_U0_PLD_IT0, 0x40010c00 +.set CYREG_B0_P6_U0_PLD_IT1, 0x40010c04 +.set CYREG_B0_P6_U0_PLD_IT2, 0x40010c08 +.set CYREG_B0_P6_U0_PLD_IT3, 0x40010c0c +.set CYREG_B0_P6_U0_PLD_IT4, 0x40010c10 +.set CYREG_B0_P6_U0_PLD_IT5, 0x40010c14 +.set CYREG_B0_P6_U0_PLD_IT6, 0x40010c18 +.set CYREG_B0_P6_U0_PLD_IT7, 0x40010c1c +.set CYREG_B0_P6_U0_PLD_IT8, 0x40010c20 +.set CYREG_B0_P6_U0_PLD_IT9, 0x40010c24 +.set CYREG_B0_P6_U0_PLD_IT10, 0x40010c28 +.set CYREG_B0_P6_U0_PLD_IT11, 0x40010c2c +.set CYREG_B0_P6_U0_PLD_ORT0, 0x40010c30 +.set CYREG_B0_P6_U0_PLD_ORT1, 0x40010c32 +.set CYREG_B0_P6_U0_PLD_ORT2, 0x40010c34 +.set CYREG_B0_P6_U0_PLD_ORT3, 0x40010c36 +.set CYREG_B0_P6_U0_MC_CFG_CEN_CONST, 0x40010c38 +.set CYREG_B0_P6_U0_MC_CFG_XORFB, 0x40010c3a +.set CYREG_B0_P6_U0_MC_CFG_SET_RESET, 0x40010c3c +.set CYREG_B0_P6_U0_MC_CFG_BYPASS, 0x40010c3e +.set CYREG_B0_P6_U0_CFG0, 0x40010c40 +.set CYREG_B0_P6_U0_CFG1, 0x40010c41 +.set CYREG_B0_P6_U0_CFG2, 0x40010c42 +.set CYREG_B0_P6_U0_CFG3, 0x40010c43 +.set CYREG_B0_P6_U0_CFG4, 0x40010c44 +.set CYREG_B0_P6_U0_CFG5, 0x40010c45 +.set CYREG_B0_P6_U0_CFG6, 0x40010c46 +.set CYREG_B0_P6_U0_CFG7, 0x40010c47 +.set CYREG_B0_P6_U0_CFG8, 0x40010c48 +.set CYREG_B0_P6_U0_CFG9, 0x40010c49 +.set CYREG_B0_P6_U0_CFG10, 0x40010c4a +.set CYREG_B0_P6_U0_CFG11, 0x40010c4b +.set CYREG_B0_P6_U0_CFG12, 0x40010c4c +.set CYREG_B0_P6_U0_CFG13, 0x40010c4d +.set CYREG_B0_P6_U0_CFG14, 0x40010c4e +.set CYREG_B0_P6_U0_CFG15, 0x40010c4f +.set CYREG_B0_P6_U0_CFG16, 0x40010c50 +.set CYREG_B0_P6_U0_CFG17, 0x40010c51 +.set CYREG_B0_P6_U0_CFG18, 0x40010c52 +.set CYREG_B0_P6_U0_CFG19, 0x40010c53 +.set CYREG_B0_P6_U0_CFG20, 0x40010c54 +.set CYREG_B0_P6_U0_CFG21, 0x40010c55 +.set CYREG_B0_P6_U0_CFG22, 0x40010c56 +.set CYREG_B0_P6_U0_CFG23, 0x40010c57 +.set CYREG_B0_P6_U0_CFG24, 0x40010c58 +.set CYREG_B0_P6_U0_CFG25, 0x40010c59 +.set CYREG_B0_P6_U0_CFG26, 0x40010c5a +.set CYREG_B0_P6_U0_CFG27, 0x40010c5b +.set CYREG_B0_P6_U0_CFG28, 0x40010c5c +.set CYREG_B0_P6_U0_CFG29, 0x40010c5d +.set CYREG_B0_P6_U0_CFG30, 0x40010c5e +.set CYREG_B0_P6_U0_CFG31, 0x40010c5f +.set CYREG_B0_P6_U0_DCFG0, 0x40010c60 +.set CYREG_B0_P6_U0_DCFG1, 0x40010c62 +.set CYREG_B0_P6_U0_DCFG2, 0x40010c64 +.set CYREG_B0_P6_U0_DCFG3, 0x40010c66 +.set CYREG_B0_P6_U0_DCFG4, 0x40010c68 +.set CYREG_B0_P6_U0_DCFG5, 0x40010c6a +.set CYREG_B0_P6_U0_DCFG6, 0x40010c6c +.set CYREG_B0_P6_U0_DCFG7, 0x40010c6e +.set CYDEV_UCFG_B0_P6_U1_BASE, 0x40010c80 +.set CYDEV_UCFG_B0_P6_U1_SIZE, 0x00000070 +.set CYREG_B0_P6_U1_PLD_IT0, 0x40010c80 +.set CYREG_B0_P6_U1_PLD_IT1, 0x40010c84 +.set CYREG_B0_P6_U1_PLD_IT2, 0x40010c88 +.set CYREG_B0_P6_U1_PLD_IT3, 0x40010c8c +.set CYREG_B0_P6_U1_PLD_IT4, 0x40010c90 +.set CYREG_B0_P6_U1_PLD_IT5, 0x40010c94 +.set CYREG_B0_P6_U1_PLD_IT6, 0x40010c98 +.set CYREG_B0_P6_U1_PLD_IT7, 0x40010c9c +.set CYREG_B0_P6_U1_PLD_IT8, 0x40010ca0 +.set CYREG_B0_P6_U1_PLD_IT9, 0x40010ca4 +.set CYREG_B0_P6_U1_PLD_IT10, 0x40010ca8 +.set CYREG_B0_P6_U1_PLD_IT11, 0x40010cac +.set CYREG_B0_P6_U1_PLD_ORT0, 0x40010cb0 +.set CYREG_B0_P6_U1_PLD_ORT1, 0x40010cb2 +.set CYREG_B0_P6_U1_PLD_ORT2, 0x40010cb4 +.set CYREG_B0_P6_U1_PLD_ORT3, 0x40010cb6 +.set CYREG_B0_P6_U1_MC_CFG_CEN_CONST, 0x40010cb8 +.set CYREG_B0_P6_U1_MC_CFG_XORFB, 0x40010cba +.set CYREG_B0_P6_U1_MC_CFG_SET_RESET, 0x40010cbc +.set CYREG_B0_P6_U1_MC_CFG_BYPASS, 0x40010cbe +.set CYREG_B0_P6_U1_CFG0, 0x40010cc0 +.set CYREG_B0_P6_U1_CFG1, 0x40010cc1 +.set CYREG_B0_P6_U1_CFG2, 0x40010cc2 +.set CYREG_B0_P6_U1_CFG3, 0x40010cc3 +.set CYREG_B0_P6_U1_CFG4, 0x40010cc4 +.set CYREG_B0_P6_U1_CFG5, 0x40010cc5 +.set CYREG_B0_P6_U1_CFG6, 0x40010cc6 +.set CYREG_B0_P6_U1_CFG7, 0x40010cc7 +.set CYREG_B0_P6_U1_CFG8, 0x40010cc8 +.set CYREG_B0_P6_U1_CFG9, 0x40010cc9 +.set CYREG_B0_P6_U1_CFG10, 0x40010cca +.set CYREG_B0_P6_U1_CFG11, 0x40010ccb +.set CYREG_B0_P6_U1_CFG12, 0x40010ccc +.set CYREG_B0_P6_U1_CFG13, 0x40010ccd +.set CYREG_B0_P6_U1_CFG14, 0x40010cce +.set CYREG_B0_P6_U1_CFG15, 0x40010ccf +.set CYREG_B0_P6_U1_CFG16, 0x40010cd0 +.set CYREG_B0_P6_U1_CFG17, 0x40010cd1 +.set CYREG_B0_P6_U1_CFG18, 0x40010cd2 +.set CYREG_B0_P6_U1_CFG19, 0x40010cd3 +.set CYREG_B0_P6_U1_CFG20, 0x40010cd4 +.set CYREG_B0_P6_U1_CFG21, 0x40010cd5 +.set CYREG_B0_P6_U1_CFG22, 0x40010cd6 +.set CYREG_B0_P6_U1_CFG23, 0x40010cd7 +.set CYREG_B0_P6_U1_CFG24, 0x40010cd8 +.set CYREG_B0_P6_U1_CFG25, 0x40010cd9 +.set CYREG_B0_P6_U1_CFG26, 0x40010cda +.set CYREG_B0_P6_U1_CFG27, 0x40010cdb +.set CYREG_B0_P6_U1_CFG28, 0x40010cdc +.set CYREG_B0_P6_U1_CFG29, 0x40010cdd +.set CYREG_B0_P6_U1_CFG30, 0x40010cde +.set CYREG_B0_P6_U1_CFG31, 0x40010cdf +.set CYREG_B0_P6_U1_DCFG0, 0x40010ce0 +.set CYREG_B0_P6_U1_DCFG1, 0x40010ce2 +.set CYREG_B0_P6_U1_DCFG2, 0x40010ce4 +.set CYREG_B0_P6_U1_DCFG3, 0x40010ce6 +.set CYREG_B0_P6_U1_DCFG4, 0x40010ce8 +.set CYREG_B0_P6_U1_DCFG5, 0x40010cea +.set CYREG_B0_P6_U1_DCFG6, 0x40010cec +.set CYREG_B0_P6_U1_DCFG7, 0x40010cee +.set CYDEV_UCFG_B0_P6_ROUTE_BASE, 0x40010d00 +.set CYDEV_UCFG_B0_P6_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B0_P7_BASE, 0x40010e00 +.set CYDEV_UCFG_B0_P7_SIZE, 0x000001ef +.set CYDEV_UCFG_B0_P7_U0_BASE, 0x40010e00 +.set CYDEV_UCFG_B0_P7_U0_SIZE, 0x00000070 +.set CYREG_B0_P7_U0_PLD_IT0, 0x40010e00 +.set CYREG_B0_P7_U0_PLD_IT1, 0x40010e04 +.set CYREG_B0_P7_U0_PLD_IT2, 0x40010e08 +.set CYREG_B0_P7_U0_PLD_IT3, 0x40010e0c +.set CYREG_B0_P7_U0_PLD_IT4, 0x40010e10 +.set CYREG_B0_P7_U0_PLD_IT5, 0x40010e14 +.set CYREG_B0_P7_U0_PLD_IT6, 0x40010e18 +.set CYREG_B0_P7_U0_PLD_IT7, 0x40010e1c +.set CYREG_B0_P7_U0_PLD_IT8, 0x40010e20 +.set CYREG_B0_P7_U0_PLD_IT9, 0x40010e24 +.set CYREG_B0_P7_U0_PLD_IT10, 0x40010e28 +.set CYREG_B0_P7_U0_PLD_IT11, 0x40010e2c +.set CYREG_B0_P7_U0_PLD_ORT0, 0x40010e30 +.set CYREG_B0_P7_U0_PLD_ORT1, 0x40010e32 +.set CYREG_B0_P7_U0_PLD_ORT2, 0x40010e34 +.set CYREG_B0_P7_U0_PLD_ORT3, 0x40010e36 +.set CYREG_B0_P7_U0_MC_CFG_CEN_CONST, 0x40010e38 +.set CYREG_B0_P7_U0_MC_CFG_XORFB, 0x40010e3a +.set CYREG_B0_P7_U0_MC_CFG_SET_RESET, 0x40010e3c +.set CYREG_B0_P7_U0_MC_CFG_BYPASS, 0x40010e3e +.set CYREG_B0_P7_U0_CFG0, 0x40010e40 +.set CYREG_B0_P7_U0_CFG1, 0x40010e41 +.set CYREG_B0_P7_U0_CFG2, 0x40010e42 +.set CYREG_B0_P7_U0_CFG3, 0x40010e43 +.set CYREG_B0_P7_U0_CFG4, 0x40010e44 +.set CYREG_B0_P7_U0_CFG5, 0x40010e45 +.set CYREG_B0_P7_U0_CFG6, 0x40010e46 +.set CYREG_B0_P7_U0_CFG7, 0x40010e47 +.set CYREG_B0_P7_U0_CFG8, 0x40010e48 +.set CYREG_B0_P7_U0_CFG9, 0x40010e49 +.set CYREG_B0_P7_U0_CFG10, 0x40010e4a +.set CYREG_B0_P7_U0_CFG11, 0x40010e4b +.set CYREG_B0_P7_U0_CFG12, 0x40010e4c +.set CYREG_B0_P7_U0_CFG13, 0x40010e4d +.set CYREG_B0_P7_U0_CFG14, 0x40010e4e +.set CYREG_B0_P7_U0_CFG15, 0x40010e4f +.set CYREG_B0_P7_U0_CFG16, 0x40010e50 +.set CYREG_B0_P7_U0_CFG17, 0x40010e51 +.set CYREG_B0_P7_U0_CFG18, 0x40010e52 +.set CYREG_B0_P7_U0_CFG19, 0x40010e53 +.set CYREG_B0_P7_U0_CFG20, 0x40010e54 +.set CYREG_B0_P7_U0_CFG21, 0x40010e55 +.set CYREG_B0_P7_U0_CFG22, 0x40010e56 +.set CYREG_B0_P7_U0_CFG23, 0x40010e57 +.set CYREG_B0_P7_U0_CFG24, 0x40010e58 +.set CYREG_B0_P7_U0_CFG25, 0x40010e59 +.set CYREG_B0_P7_U0_CFG26, 0x40010e5a +.set CYREG_B0_P7_U0_CFG27, 0x40010e5b +.set CYREG_B0_P7_U0_CFG28, 0x40010e5c +.set CYREG_B0_P7_U0_CFG29, 0x40010e5d +.set CYREG_B0_P7_U0_CFG30, 0x40010e5e +.set CYREG_B0_P7_U0_CFG31, 0x40010e5f +.set CYREG_B0_P7_U0_DCFG0, 0x40010e60 +.set CYREG_B0_P7_U0_DCFG1, 0x40010e62 +.set CYREG_B0_P7_U0_DCFG2, 0x40010e64 +.set CYREG_B0_P7_U0_DCFG3, 0x40010e66 +.set CYREG_B0_P7_U0_DCFG4, 0x40010e68 +.set CYREG_B0_P7_U0_DCFG5, 0x40010e6a +.set CYREG_B0_P7_U0_DCFG6, 0x40010e6c +.set CYREG_B0_P7_U0_DCFG7, 0x40010e6e +.set CYDEV_UCFG_B0_P7_U1_BASE, 0x40010e80 +.set CYDEV_UCFG_B0_P7_U1_SIZE, 0x00000070 +.set CYREG_B0_P7_U1_PLD_IT0, 0x40010e80 +.set CYREG_B0_P7_U1_PLD_IT1, 0x40010e84 +.set CYREG_B0_P7_U1_PLD_IT2, 0x40010e88 +.set CYREG_B0_P7_U1_PLD_IT3, 0x40010e8c +.set CYREG_B0_P7_U1_PLD_IT4, 0x40010e90 +.set CYREG_B0_P7_U1_PLD_IT5, 0x40010e94 +.set CYREG_B0_P7_U1_PLD_IT6, 0x40010e98 +.set CYREG_B0_P7_U1_PLD_IT7, 0x40010e9c +.set CYREG_B0_P7_U1_PLD_IT8, 0x40010ea0 +.set CYREG_B0_P7_U1_PLD_IT9, 0x40010ea4 +.set CYREG_B0_P7_U1_PLD_IT10, 0x40010ea8 +.set CYREG_B0_P7_U1_PLD_IT11, 0x40010eac +.set CYREG_B0_P7_U1_PLD_ORT0, 0x40010eb0 +.set CYREG_B0_P7_U1_PLD_ORT1, 0x40010eb2 +.set CYREG_B0_P7_U1_PLD_ORT2, 0x40010eb4 +.set CYREG_B0_P7_U1_PLD_ORT3, 0x40010eb6 +.set CYREG_B0_P7_U1_MC_CFG_CEN_CONST, 0x40010eb8 +.set CYREG_B0_P7_U1_MC_CFG_XORFB, 0x40010eba +.set CYREG_B0_P7_U1_MC_CFG_SET_RESET, 0x40010ebc +.set CYREG_B0_P7_U1_MC_CFG_BYPASS, 0x40010ebe +.set CYREG_B0_P7_U1_CFG0, 0x40010ec0 +.set CYREG_B0_P7_U1_CFG1, 0x40010ec1 +.set CYREG_B0_P7_U1_CFG2, 0x40010ec2 +.set CYREG_B0_P7_U1_CFG3, 0x40010ec3 +.set CYREG_B0_P7_U1_CFG4, 0x40010ec4 +.set CYREG_B0_P7_U1_CFG5, 0x40010ec5 +.set CYREG_B0_P7_U1_CFG6, 0x40010ec6 +.set CYREG_B0_P7_U1_CFG7, 0x40010ec7 +.set CYREG_B0_P7_U1_CFG8, 0x40010ec8 +.set CYREG_B0_P7_U1_CFG9, 0x40010ec9 +.set CYREG_B0_P7_U1_CFG10, 0x40010eca +.set CYREG_B0_P7_U1_CFG11, 0x40010ecb +.set CYREG_B0_P7_U1_CFG12, 0x40010ecc +.set CYREG_B0_P7_U1_CFG13, 0x40010ecd +.set CYREG_B0_P7_U1_CFG14, 0x40010ece +.set CYREG_B0_P7_U1_CFG15, 0x40010ecf +.set CYREG_B0_P7_U1_CFG16, 0x40010ed0 +.set CYREG_B0_P7_U1_CFG17, 0x40010ed1 +.set CYREG_B0_P7_U1_CFG18, 0x40010ed2 +.set CYREG_B0_P7_U1_CFG19, 0x40010ed3 +.set CYREG_B0_P7_U1_CFG20, 0x40010ed4 +.set CYREG_B0_P7_U1_CFG21, 0x40010ed5 +.set CYREG_B0_P7_U1_CFG22, 0x40010ed6 +.set CYREG_B0_P7_U1_CFG23, 0x40010ed7 +.set CYREG_B0_P7_U1_CFG24, 0x40010ed8 +.set CYREG_B0_P7_U1_CFG25, 0x40010ed9 +.set CYREG_B0_P7_U1_CFG26, 0x40010eda +.set CYREG_B0_P7_U1_CFG27, 0x40010edb +.set CYREG_B0_P7_U1_CFG28, 0x40010edc +.set CYREG_B0_P7_U1_CFG29, 0x40010edd +.set CYREG_B0_P7_U1_CFG30, 0x40010ede +.set CYREG_B0_P7_U1_CFG31, 0x40010edf +.set CYREG_B0_P7_U1_DCFG0, 0x40010ee0 +.set CYREG_B0_P7_U1_DCFG1, 0x40010ee2 +.set CYREG_B0_P7_U1_DCFG2, 0x40010ee4 +.set CYREG_B0_P7_U1_DCFG3, 0x40010ee6 +.set CYREG_B0_P7_U1_DCFG4, 0x40010ee8 +.set CYREG_B0_P7_U1_DCFG5, 0x40010eea +.set CYREG_B0_P7_U1_DCFG6, 0x40010eec +.set CYREG_B0_P7_U1_DCFG7, 0x40010eee +.set CYDEV_UCFG_B0_P7_ROUTE_BASE, 0x40010f00 +.set CYDEV_UCFG_B0_P7_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_BASE, 0x40011000 +.set CYDEV_UCFG_B1_SIZE, 0x00000fef +.set CYDEV_UCFG_B1_P2_BASE, 0x40011400 +.set CYDEV_UCFG_B1_P2_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P2_U0_BASE, 0x40011400 +.set CYDEV_UCFG_B1_P2_U0_SIZE, 0x00000070 +.set CYREG_B1_P2_U0_PLD_IT0, 0x40011400 +.set CYREG_B1_P2_U0_PLD_IT1, 0x40011404 +.set CYREG_B1_P2_U0_PLD_IT2, 0x40011408 +.set CYREG_B1_P2_U0_PLD_IT3, 0x4001140c +.set CYREG_B1_P2_U0_PLD_IT4, 0x40011410 +.set CYREG_B1_P2_U0_PLD_IT5, 0x40011414 +.set CYREG_B1_P2_U0_PLD_IT6, 0x40011418 +.set CYREG_B1_P2_U0_PLD_IT7, 0x4001141c +.set CYREG_B1_P2_U0_PLD_IT8, 0x40011420 +.set CYREG_B1_P2_U0_PLD_IT9, 0x40011424 +.set CYREG_B1_P2_U0_PLD_IT10, 0x40011428 +.set CYREG_B1_P2_U0_PLD_IT11, 0x4001142c +.set CYREG_B1_P2_U0_PLD_ORT0, 0x40011430 +.set CYREG_B1_P2_U0_PLD_ORT1, 0x40011432 +.set CYREG_B1_P2_U0_PLD_ORT2, 0x40011434 +.set CYREG_B1_P2_U0_PLD_ORT3, 0x40011436 +.set CYREG_B1_P2_U0_MC_CFG_CEN_CONST, 0x40011438 +.set CYREG_B1_P2_U0_MC_CFG_XORFB, 0x4001143a +.set CYREG_B1_P2_U0_MC_CFG_SET_RESET, 0x4001143c +.set CYREG_B1_P2_U0_MC_CFG_BYPASS, 0x4001143e +.set CYREG_B1_P2_U0_CFG0, 0x40011440 +.set CYREG_B1_P2_U0_CFG1, 0x40011441 +.set CYREG_B1_P2_U0_CFG2, 0x40011442 +.set CYREG_B1_P2_U0_CFG3, 0x40011443 +.set CYREG_B1_P2_U0_CFG4, 0x40011444 +.set CYREG_B1_P2_U0_CFG5, 0x40011445 +.set CYREG_B1_P2_U0_CFG6, 0x40011446 +.set CYREG_B1_P2_U0_CFG7, 0x40011447 +.set CYREG_B1_P2_U0_CFG8, 0x40011448 +.set CYREG_B1_P2_U0_CFG9, 0x40011449 +.set CYREG_B1_P2_U0_CFG10, 0x4001144a +.set CYREG_B1_P2_U0_CFG11, 0x4001144b +.set CYREG_B1_P2_U0_CFG12, 0x4001144c +.set CYREG_B1_P2_U0_CFG13, 0x4001144d +.set CYREG_B1_P2_U0_CFG14, 0x4001144e +.set CYREG_B1_P2_U0_CFG15, 0x4001144f +.set CYREG_B1_P2_U0_CFG16, 0x40011450 +.set CYREG_B1_P2_U0_CFG17, 0x40011451 +.set CYREG_B1_P2_U0_CFG18, 0x40011452 +.set CYREG_B1_P2_U0_CFG19, 0x40011453 +.set CYREG_B1_P2_U0_CFG20, 0x40011454 +.set CYREG_B1_P2_U0_CFG21, 0x40011455 +.set CYREG_B1_P2_U0_CFG22, 0x40011456 +.set CYREG_B1_P2_U0_CFG23, 0x40011457 +.set CYREG_B1_P2_U0_CFG24, 0x40011458 +.set CYREG_B1_P2_U0_CFG25, 0x40011459 +.set CYREG_B1_P2_U0_CFG26, 0x4001145a +.set CYREG_B1_P2_U0_CFG27, 0x4001145b +.set CYREG_B1_P2_U0_CFG28, 0x4001145c +.set CYREG_B1_P2_U0_CFG29, 0x4001145d +.set CYREG_B1_P2_U0_CFG30, 0x4001145e +.set CYREG_B1_P2_U0_CFG31, 0x4001145f +.set CYREG_B1_P2_U0_DCFG0, 0x40011460 +.set CYREG_B1_P2_U0_DCFG1, 0x40011462 +.set CYREG_B1_P2_U0_DCFG2, 0x40011464 +.set CYREG_B1_P2_U0_DCFG3, 0x40011466 +.set CYREG_B1_P2_U0_DCFG4, 0x40011468 +.set CYREG_B1_P2_U0_DCFG5, 0x4001146a +.set CYREG_B1_P2_U0_DCFG6, 0x4001146c +.set CYREG_B1_P2_U0_DCFG7, 0x4001146e +.set CYDEV_UCFG_B1_P2_U1_BASE, 0x40011480 +.set CYDEV_UCFG_B1_P2_U1_SIZE, 0x00000070 +.set CYREG_B1_P2_U1_PLD_IT0, 0x40011480 +.set CYREG_B1_P2_U1_PLD_IT1, 0x40011484 +.set CYREG_B1_P2_U1_PLD_IT2, 0x40011488 +.set CYREG_B1_P2_U1_PLD_IT3, 0x4001148c +.set CYREG_B1_P2_U1_PLD_IT4, 0x40011490 +.set CYREG_B1_P2_U1_PLD_IT5, 0x40011494 +.set CYREG_B1_P2_U1_PLD_IT6, 0x40011498 +.set CYREG_B1_P2_U1_PLD_IT7, 0x4001149c +.set CYREG_B1_P2_U1_PLD_IT8, 0x400114a0 +.set CYREG_B1_P2_U1_PLD_IT9, 0x400114a4 +.set CYREG_B1_P2_U1_PLD_IT10, 0x400114a8 +.set CYREG_B1_P2_U1_PLD_IT11, 0x400114ac +.set CYREG_B1_P2_U1_PLD_ORT0, 0x400114b0 +.set CYREG_B1_P2_U1_PLD_ORT1, 0x400114b2 +.set CYREG_B1_P2_U1_PLD_ORT2, 0x400114b4 +.set CYREG_B1_P2_U1_PLD_ORT3, 0x400114b6 +.set CYREG_B1_P2_U1_MC_CFG_CEN_CONST, 0x400114b8 +.set CYREG_B1_P2_U1_MC_CFG_XORFB, 0x400114ba +.set CYREG_B1_P2_U1_MC_CFG_SET_RESET, 0x400114bc +.set CYREG_B1_P2_U1_MC_CFG_BYPASS, 0x400114be +.set CYREG_B1_P2_U1_CFG0, 0x400114c0 +.set CYREG_B1_P2_U1_CFG1, 0x400114c1 +.set CYREG_B1_P2_U1_CFG2, 0x400114c2 +.set CYREG_B1_P2_U1_CFG3, 0x400114c3 +.set CYREG_B1_P2_U1_CFG4, 0x400114c4 +.set CYREG_B1_P2_U1_CFG5, 0x400114c5 +.set CYREG_B1_P2_U1_CFG6, 0x400114c6 +.set CYREG_B1_P2_U1_CFG7, 0x400114c7 +.set CYREG_B1_P2_U1_CFG8, 0x400114c8 +.set CYREG_B1_P2_U1_CFG9, 0x400114c9 +.set CYREG_B1_P2_U1_CFG10, 0x400114ca +.set CYREG_B1_P2_U1_CFG11, 0x400114cb +.set CYREG_B1_P2_U1_CFG12, 0x400114cc +.set CYREG_B1_P2_U1_CFG13, 0x400114cd +.set CYREG_B1_P2_U1_CFG14, 0x400114ce +.set CYREG_B1_P2_U1_CFG15, 0x400114cf +.set CYREG_B1_P2_U1_CFG16, 0x400114d0 +.set CYREG_B1_P2_U1_CFG17, 0x400114d1 +.set CYREG_B1_P2_U1_CFG18, 0x400114d2 +.set CYREG_B1_P2_U1_CFG19, 0x400114d3 +.set CYREG_B1_P2_U1_CFG20, 0x400114d4 +.set CYREG_B1_P2_U1_CFG21, 0x400114d5 +.set CYREG_B1_P2_U1_CFG22, 0x400114d6 +.set CYREG_B1_P2_U1_CFG23, 0x400114d7 +.set CYREG_B1_P2_U1_CFG24, 0x400114d8 +.set CYREG_B1_P2_U1_CFG25, 0x400114d9 +.set CYREG_B1_P2_U1_CFG26, 0x400114da +.set CYREG_B1_P2_U1_CFG27, 0x400114db +.set CYREG_B1_P2_U1_CFG28, 0x400114dc +.set CYREG_B1_P2_U1_CFG29, 0x400114dd +.set CYREG_B1_P2_U1_CFG30, 0x400114de +.set CYREG_B1_P2_U1_CFG31, 0x400114df +.set CYREG_B1_P2_U1_DCFG0, 0x400114e0 +.set CYREG_B1_P2_U1_DCFG1, 0x400114e2 +.set CYREG_B1_P2_U1_DCFG2, 0x400114e4 +.set CYREG_B1_P2_U1_DCFG3, 0x400114e6 +.set CYREG_B1_P2_U1_DCFG4, 0x400114e8 +.set CYREG_B1_P2_U1_DCFG5, 0x400114ea +.set CYREG_B1_P2_U1_DCFG6, 0x400114ec +.set CYREG_B1_P2_U1_DCFG7, 0x400114ee +.set CYDEV_UCFG_B1_P2_ROUTE_BASE, 0x40011500 +.set CYDEV_UCFG_B1_P2_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P3_BASE, 0x40011600 +.set CYDEV_UCFG_B1_P3_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P3_U0_BASE, 0x40011600 +.set CYDEV_UCFG_B1_P3_U0_SIZE, 0x00000070 +.set CYREG_B1_P3_U0_PLD_IT0, 0x40011600 +.set CYREG_B1_P3_U0_PLD_IT1, 0x40011604 +.set CYREG_B1_P3_U0_PLD_IT2, 0x40011608 +.set CYREG_B1_P3_U0_PLD_IT3, 0x4001160c +.set CYREG_B1_P3_U0_PLD_IT4, 0x40011610 +.set CYREG_B1_P3_U0_PLD_IT5, 0x40011614 +.set CYREG_B1_P3_U0_PLD_IT6, 0x40011618 +.set CYREG_B1_P3_U0_PLD_IT7, 0x4001161c +.set CYREG_B1_P3_U0_PLD_IT8, 0x40011620 +.set CYREG_B1_P3_U0_PLD_IT9, 0x40011624 +.set CYREG_B1_P3_U0_PLD_IT10, 0x40011628 +.set CYREG_B1_P3_U0_PLD_IT11, 0x4001162c +.set CYREG_B1_P3_U0_PLD_ORT0, 0x40011630 +.set CYREG_B1_P3_U0_PLD_ORT1, 0x40011632 +.set CYREG_B1_P3_U0_PLD_ORT2, 0x40011634 +.set CYREG_B1_P3_U0_PLD_ORT3, 0x40011636 +.set CYREG_B1_P3_U0_MC_CFG_CEN_CONST, 0x40011638 +.set CYREG_B1_P3_U0_MC_CFG_XORFB, 0x4001163a +.set CYREG_B1_P3_U0_MC_CFG_SET_RESET, 0x4001163c +.set CYREG_B1_P3_U0_MC_CFG_BYPASS, 0x4001163e +.set CYREG_B1_P3_U0_CFG0, 0x40011640 +.set CYREG_B1_P3_U0_CFG1, 0x40011641 +.set CYREG_B1_P3_U0_CFG2, 0x40011642 +.set CYREG_B1_P3_U0_CFG3, 0x40011643 +.set CYREG_B1_P3_U0_CFG4, 0x40011644 +.set CYREG_B1_P3_U0_CFG5, 0x40011645 +.set CYREG_B1_P3_U0_CFG6, 0x40011646 +.set CYREG_B1_P3_U0_CFG7, 0x40011647 +.set CYREG_B1_P3_U0_CFG8, 0x40011648 +.set CYREG_B1_P3_U0_CFG9, 0x40011649 +.set CYREG_B1_P3_U0_CFG10, 0x4001164a +.set CYREG_B1_P3_U0_CFG11, 0x4001164b +.set CYREG_B1_P3_U0_CFG12, 0x4001164c +.set CYREG_B1_P3_U0_CFG13, 0x4001164d +.set CYREG_B1_P3_U0_CFG14, 0x4001164e +.set CYREG_B1_P3_U0_CFG15, 0x4001164f +.set CYREG_B1_P3_U0_CFG16, 0x40011650 +.set CYREG_B1_P3_U0_CFG17, 0x40011651 +.set CYREG_B1_P3_U0_CFG18, 0x40011652 +.set CYREG_B1_P3_U0_CFG19, 0x40011653 +.set CYREG_B1_P3_U0_CFG20, 0x40011654 +.set CYREG_B1_P3_U0_CFG21, 0x40011655 +.set CYREG_B1_P3_U0_CFG22, 0x40011656 +.set CYREG_B1_P3_U0_CFG23, 0x40011657 +.set CYREG_B1_P3_U0_CFG24, 0x40011658 +.set CYREG_B1_P3_U0_CFG25, 0x40011659 +.set CYREG_B1_P3_U0_CFG26, 0x4001165a +.set CYREG_B1_P3_U0_CFG27, 0x4001165b +.set CYREG_B1_P3_U0_CFG28, 0x4001165c +.set CYREG_B1_P3_U0_CFG29, 0x4001165d +.set CYREG_B1_P3_U0_CFG30, 0x4001165e +.set CYREG_B1_P3_U0_CFG31, 0x4001165f +.set CYREG_B1_P3_U0_DCFG0, 0x40011660 +.set CYREG_B1_P3_U0_DCFG1, 0x40011662 +.set CYREG_B1_P3_U0_DCFG2, 0x40011664 +.set CYREG_B1_P3_U0_DCFG3, 0x40011666 +.set CYREG_B1_P3_U0_DCFG4, 0x40011668 +.set CYREG_B1_P3_U0_DCFG5, 0x4001166a +.set CYREG_B1_P3_U0_DCFG6, 0x4001166c +.set CYREG_B1_P3_U0_DCFG7, 0x4001166e +.set CYDEV_UCFG_B1_P3_U1_BASE, 0x40011680 +.set CYDEV_UCFG_B1_P3_U1_SIZE, 0x00000070 +.set CYREG_B1_P3_U1_PLD_IT0, 0x40011680 +.set CYREG_B1_P3_U1_PLD_IT1, 0x40011684 +.set CYREG_B1_P3_U1_PLD_IT2, 0x40011688 +.set CYREG_B1_P3_U1_PLD_IT3, 0x4001168c +.set CYREG_B1_P3_U1_PLD_IT4, 0x40011690 +.set CYREG_B1_P3_U1_PLD_IT5, 0x40011694 +.set CYREG_B1_P3_U1_PLD_IT6, 0x40011698 +.set CYREG_B1_P3_U1_PLD_IT7, 0x4001169c +.set CYREG_B1_P3_U1_PLD_IT8, 0x400116a0 +.set CYREG_B1_P3_U1_PLD_IT9, 0x400116a4 +.set CYREG_B1_P3_U1_PLD_IT10, 0x400116a8 +.set CYREG_B1_P3_U1_PLD_IT11, 0x400116ac +.set CYREG_B1_P3_U1_PLD_ORT0, 0x400116b0 +.set CYREG_B1_P3_U1_PLD_ORT1, 0x400116b2 +.set CYREG_B1_P3_U1_PLD_ORT2, 0x400116b4 +.set CYREG_B1_P3_U1_PLD_ORT3, 0x400116b6 +.set CYREG_B1_P3_U1_MC_CFG_CEN_CONST, 0x400116b8 +.set CYREG_B1_P3_U1_MC_CFG_XORFB, 0x400116ba +.set CYREG_B1_P3_U1_MC_CFG_SET_RESET, 0x400116bc +.set CYREG_B1_P3_U1_MC_CFG_BYPASS, 0x400116be +.set CYREG_B1_P3_U1_CFG0, 0x400116c0 +.set CYREG_B1_P3_U1_CFG1, 0x400116c1 +.set CYREG_B1_P3_U1_CFG2, 0x400116c2 +.set CYREG_B1_P3_U1_CFG3, 0x400116c3 +.set CYREG_B1_P3_U1_CFG4, 0x400116c4 +.set CYREG_B1_P3_U1_CFG5, 0x400116c5 +.set CYREG_B1_P3_U1_CFG6, 0x400116c6 +.set CYREG_B1_P3_U1_CFG7, 0x400116c7 +.set CYREG_B1_P3_U1_CFG8, 0x400116c8 +.set CYREG_B1_P3_U1_CFG9, 0x400116c9 +.set CYREG_B1_P3_U1_CFG10, 0x400116ca +.set CYREG_B1_P3_U1_CFG11, 0x400116cb +.set CYREG_B1_P3_U1_CFG12, 0x400116cc +.set CYREG_B1_P3_U1_CFG13, 0x400116cd +.set CYREG_B1_P3_U1_CFG14, 0x400116ce +.set CYREG_B1_P3_U1_CFG15, 0x400116cf +.set CYREG_B1_P3_U1_CFG16, 0x400116d0 +.set CYREG_B1_P3_U1_CFG17, 0x400116d1 +.set CYREG_B1_P3_U1_CFG18, 0x400116d2 +.set CYREG_B1_P3_U1_CFG19, 0x400116d3 +.set CYREG_B1_P3_U1_CFG20, 0x400116d4 +.set CYREG_B1_P3_U1_CFG21, 0x400116d5 +.set CYREG_B1_P3_U1_CFG22, 0x400116d6 +.set CYREG_B1_P3_U1_CFG23, 0x400116d7 +.set CYREG_B1_P3_U1_CFG24, 0x400116d8 +.set CYREG_B1_P3_U1_CFG25, 0x400116d9 +.set CYREG_B1_P3_U1_CFG26, 0x400116da +.set CYREG_B1_P3_U1_CFG27, 0x400116db +.set CYREG_B1_P3_U1_CFG28, 0x400116dc +.set CYREG_B1_P3_U1_CFG29, 0x400116dd +.set CYREG_B1_P3_U1_CFG30, 0x400116de +.set CYREG_B1_P3_U1_CFG31, 0x400116df +.set CYREG_B1_P3_U1_DCFG0, 0x400116e0 +.set CYREG_B1_P3_U1_DCFG1, 0x400116e2 +.set CYREG_B1_P3_U1_DCFG2, 0x400116e4 +.set CYREG_B1_P3_U1_DCFG3, 0x400116e6 +.set CYREG_B1_P3_U1_DCFG4, 0x400116e8 +.set CYREG_B1_P3_U1_DCFG5, 0x400116ea +.set CYREG_B1_P3_U1_DCFG6, 0x400116ec +.set CYREG_B1_P3_U1_DCFG7, 0x400116ee +.set CYDEV_UCFG_B1_P3_ROUTE_BASE, 0x40011700 +.set CYDEV_UCFG_B1_P3_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P4_BASE, 0x40011800 +.set CYDEV_UCFG_B1_P4_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P4_U0_BASE, 0x40011800 +.set CYDEV_UCFG_B1_P4_U0_SIZE, 0x00000070 +.set CYREG_B1_P4_U0_PLD_IT0, 0x40011800 +.set CYREG_B1_P4_U0_PLD_IT1, 0x40011804 +.set CYREG_B1_P4_U0_PLD_IT2, 0x40011808 +.set CYREG_B1_P4_U0_PLD_IT3, 0x4001180c +.set CYREG_B1_P4_U0_PLD_IT4, 0x40011810 +.set CYREG_B1_P4_U0_PLD_IT5, 0x40011814 +.set CYREG_B1_P4_U0_PLD_IT6, 0x40011818 +.set CYREG_B1_P4_U0_PLD_IT7, 0x4001181c +.set CYREG_B1_P4_U0_PLD_IT8, 0x40011820 +.set CYREG_B1_P4_U0_PLD_IT9, 0x40011824 +.set CYREG_B1_P4_U0_PLD_IT10, 0x40011828 +.set CYREG_B1_P4_U0_PLD_IT11, 0x4001182c +.set CYREG_B1_P4_U0_PLD_ORT0, 0x40011830 +.set CYREG_B1_P4_U0_PLD_ORT1, 0x40011832 +.set CYREG_B1_P4_U0_PLD_ORT2, 0x40011834 +.set CYREG_B1_P4_U0_PLD_ORT3, 0x40011836 +.set CYREG_B1_P4_U0_MC_CFG_CEN_CONST, 0x40011838 +.set CYREG_B1_P4_U0_MC_CFG_XORFB, 0x4001183a +.set CYREG_B1_P4_U0_MC_CFG_SET_RESET, 0x4001183c +.set CYREG_B1_P4_U0_MC_CFG_BYPASS, 0x4001183e +.set CYREG_B1_P4_U0_CFG0, 0x40011840 +.set CYREG_B1_P4_U0_CFG1, 0x40011841 +.set CYREG_B1_P4_U0_CFG2, 0x40011842 +.set CYREG_B1_P4_U0_CFG3, 0x40011843 +.set CYREG_B1_P4_U0_CFG4, 0x40011844 +.set CYREG_B1_P4_U0_CFG5, 0x40011845 +.set CYREG_B1_P4_U0_CFG6, 0x40011846 +.set CYREG_B1_P4_U0_CFG7, 0x40011847 +.set CYREG_B1_P4_U0_CFG8, 0x40011848 +.set CYREG_B1_P4_U0_CFG9, 0x40011849 +.set CYREG_B1_P4_U0_CFG10, 0x4001184a +.set CYREG_B1_P4_U0_CFG11, 0x4001184b +.set CYREG_B1_P4_U0_CFG12, 0x4001184c +.set CYREG_B1_P4_U0_CFG13, 0x4001184d +.set CYREG_B1_P4_U0_CFG14, 0x4001184e +.set CYREG_B1_P4_U0_CFG15, 0x4001184f +.set CYREG_B1_P4_U0_CFG16, 0x40011850 +.set CYREG_B1_P4_U0_CFG17, 0x40011851 +.set CYREG_B1_P4_U0_CFG18, 0x40011852 +.set CYREG_B1_P4_U0_CFG19, 0x40011853 +.set CYREG_B1_P4_U0_CFG20, 0x40011854 +.set CYREG_B1_P4_U0_CFG21, 0x40011855 +.set CYREG_B1_P4_U0_CFG22, 0x40011856 +.set CYREG_B1_P4_U0_CFG23, 0x40011857 +.set CYREG_B1_P4_U0_CFG24, 0x40011858 +.set CYREG_B1_P4_U0_CFG25, 0x40011859 +.set CYREG_B1_P4_U0_CFG26, 0x4001185a +.set CYREG_B1_P4_U0_CFG27, 0x4001185b +.set CYREG_B1_P4_U0_CFG28, 0x4001185c +.set CYREG_B1_P4_U0_CFG29, 0x4001185d +.set CYREG_B1_P4_U0_CFG30, 0x4001185e +.set CYREG_B1_P4_U0_CFG31, 0x4001185f +.set CYREG_B1_P4_U0_DCFG0, 0x40011860 +.set CYREG_B1_P4_U0_DCFG1, 0x40011862 +.set CYREG_B1_P4_U0_DCFG2, 0x40011864 +.set CYREG_B1_P4_U0_DCFG3, 0x40011866 +.set CYREG_B1_P4_U0_DCFG4, 0x40011868 +.set CYREG_B1_P4_U0_DCFG5, 0x4001186a +.set CYREG_B1_P4_U0_DCFG6, 0x4001186c +.set CYREG_B1_P4_U0_DCFG7, 0x4001186e +.set CYDEV_UCFG_B1_P4_U1_BASE, 0x40011880 +.set CYDEV_UCFG_B1_P4_U1_SIZE, 0x00000070 +.set CYREG_B1_P4_U1_PLD_IT0, 0x40011880 +.set CYREG_B1_P4_U1_PLD_IT1, 0x40011884 +.set CYREG_B1_P4_U1_PLD_IT2, 0x40011888 +.set CYREG_B1_P4_U1_PLD_IT3, 0x4001188c +.set CYREG_B1_P4_U1_PLD_IT4, 0x40011890 +.set CYREG_B1_P4_U1_PLD_IT5, 0x40011894 +.set CYREG_B1_P4_U1_PLD_IT6, 0x40011898 +.set CYREG_B1_P4_U1_PLD_IT7, 0x4001189c +.set CYREG_B1_P4_U1_PLD_IT8, 0x400118a0 +.set CYREG_B1_P4_U1_PLD_IT9, 0x400118a4 +.set CYREG_B1_P4_U1_PLD_IT10, 0x400118a8 +.set CYREG_B1_P4_U1_PLD_IT11, 0x400118ac +.set CYREG_B1_P4_U1_PLD_ORT0, 0x400118b0 +.set CYREG_B1_P4_U1_PLD_ORT1, 0x400118b2 +.set CYREG_B1_P4_U1_PLD_ORT2, 0x400118b4 +.set CYREG_B1_P4_U1_PLD_ORT3, 0x400118b6 +.set CYREG_B1_P4_U1_MC_CFG_CEN_CONST, 0x400118b8 +.set CYREG_B1_P4_U1_MC_CFG_XORFB, 0x400118ba +.set CYREG_B1_P4_U1_MC_CFG_SET_RESET, 0x400118bc +.set CYREG_B1_P4_U1_MC_CFG_BYPASS, 0x400118be +.set CYREG_B1_P4_U1_CFG0, 0x400118c0 +.set CYREG_B1_P4_U1_CFG1, 0x400118c1 +.set CYREG_B1_P4_U1_CFG2, 0x400118c2 +.set CYREG_B1_P4_U1_CFG3, 0x400118c3 +.set CYREG_B1_P4_U1_CFG4, 0x400118c4 +.set CYREG_B1_P4_U1_CFG5, 0x400118c5 +.set CYREG_B1_P4_U1_CFG6, 0x400118c6 +.set CYREG_B1_P4_U1_CFG7, 0x400118c7 +.set CYREG_B1_P4_U1_CFG8, 0x400118c8 +.set CYREG_B1_P4_U1_CFG9, 0x400118c9 +.set CYREG_B1_P4_U1_CFG10, 0x400118ca +.set CYREG_B1_P4_U1_CFG11, 0x400118cb +.set CYREG_B1_P4_U1_CFG12, 0x400118cc +.set CYREG_B1_P4_U1_CFG13, 0x400118cd +.set CYREG_B1_P4_U1_CFG14, 0x400118ce +.set CYREG_B1_P4_U1_CFG15, 0x400118cf +.set CYREG_B1_P4_U1_CFG16, 0x400118d0 +.set CYREG_B1_P4_U1_CFG17, 0x400118d1 +.set CYREG_B1_P4_U1_CFG18, 0x400118d2 +.set CYREG_B1_P4_U1_CFG19, 0x400118d3 +.set CYREG_B1_P4_U1_CFG20, 0x400118d4 +.set CYREG_B1_P4_U1_CFG21, 0x400118d5 +.set CYREG_B1_P4_U1_CFG22, 0x400118d6 +.set CYREG_B1_P4_U1_CFG23, 0x400118d7 +.set CYREG_B1_P4_U1_CFG24, 0x400118d8 +.set CYREG_B1_P4_U1_CFG25, 0x400118d9 +.set CYREG_B1_P4_U1_CFG26, 0x400118da +.set CYREG_B1_P4_U1_CFG27, 0x400118db +.set CYREG_B1_P4_U1_CFG28, 0x400118dc +.set CYREG_B1_P4_U1_CFG29, 0x400118dd +.set CYREG_B1_P4_U1_CFG30, 0x400118de +.set CYREG_B1_P4_U1_CFG31, 0x400118df +.set CYREG_B1_P4_U1_DCFG0, 0x400118e0 +.set CYREG_B1_P4_U1_DCFG1, 0x400118e2 +.set CYREG_B1_P4_U1_DCFG2, 0x400118e4 +.set CYREG_B1_P4_U1_DCFG3, 0x400118e6 +.set CYREG_B1_P4_U1_DCFG4, 0x400118e8 +.set CYREG_B1_P4_U1_DCFG5, 0x400118ea +.set CYREG_B1_P4_U1_DCFG6, 0x400118ec +.set CYREG_B1_P4_U1_DCFG7, 0x400118ee +.set CYDEV_UCFG_B1_P4_ROUTE_BASE, 0x40011900 +.set CYDEV_UCFG_B1_P4_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_B1_P5_BASE, 0x40011a00 +.set CYDEV_UCFG_B1_P5_SIZE, 0x000001ef +.set CYDEV_UCFG_B1_P5_U0_BASE, 0x40011a00 +.set CYDEV_UCFG_B1_P5_U0_SIZE, 0x00000070 +.set CYREG_B1_P5_U0_PLD_IT0, 0x40011a00 +.set CYREG_B1_P5_U0_PLD_IT1, 0x40011a04 +.set CYREG_B1_P5_U0_PLD_IT2, 0x40011a08 +.set CYREG_B1_P5_U0_PLD_IT3, 0x40011a0c +.set CYREG_B1_P5_U0_PLD_IT4, 0x40011a10 +.set CYREG_B1_P5_U0_PLD_IT5, 0x40011a14 +.set CYREG_B1_P5_U0_PLD_IT6, 0x40011a18 +.set CYREG_B1_P5_U0_PLD_IT7, 0x40011a1c +.set CYREG_B1_P5_U0_PLD_IT8, 0x40011a20 +.set CYREG_B1_P5_U0_PLD_IT9, 0x40011a24 +.set CYREG_B1_P5_U0_PLD_IT10, 0x40011a28 +.set CYREG_B1_P5_U0_PLD_IT11, 0x40011a2c +.set CYREG_B1_P5_U0_PLD_ORT0, 0x40011a30 +.set CYREG_B1_P5_U0_PLD_ORT1, 0x40011a32 +.set CYREG_B1_P5_U0_PLD_ORT2, 0x40011a34 +.set CYREG_B1_P5_U0_PLD_ORT3, 0x40011a36 +.set CYREG_B1_P5_U0_MC_CFG_CEN_CONST, 0x40011a38 +.set CYREG_B1_P5_U0_MC_CFG_XORFB, 0x40011a3a +.set CYREG_B1_P5_U0_MC_CFG_SET_RESET, 0x40011a3c +.set CYREG_B1_P5_U0_MC_CFG_BYPASS, 0x40011a3e +.set CYREG_B1_P5_U0_CFG0, 0x40011a40 +.set CYREG_B1_P5_U0_CFG1, 0x40011a41 +.set CYREG_B1_P5_U0_CFG2, 0x40011a42 +.set CYREG_B1_P5_U0_CFG3, 0x40011a43 +.set CYREG_B1_P5_U0_CFG4, 0x40011a44 +.set CYREG_B1_P5_U0_CFG5, 0x40011a45 +.set CYREG_B1_P5_U0_CFG6, 0x40011a46 +.set CYREG_B1_P5_U0_CFG7, 0x40011a47 +.set CYREG_B1_P5_U0_CFG8, 0x40011a48 +.set CYREG_B1_P5_U0_CFG9, 0x40011a49 +.set CYREG_B1_P5_U0_CFG10, 0x40011a4a +.set CYREG_B1_P5_U0_CFG11, 0x40011a4b +.set CYREG_B1_P5_U0_CFG12, 0x40011a4c +.set CYREG_B1_P5_U0_CFG13, 0x40011a4d +.set CYREG_B1_P5_U0_CFG14, 0x40011a4e +.set CYREG_B1_P5_U0_CFG15, 0x40011a4f +.set CYREG_B1_P5_U0_CFG16, 0x40011a50 +.set CYREG_B1_P5_U0_CFG17, 0x40011a51 +.set CYREG_B1_P5_U0_CFG18, 0x40011a52 +.set CYREG_B1_P5_U0_CFG19, 0x40011a53 +.set CYREG_B1_P5_U0_CFG20, 0x40011a54 +.set CYREG_B1_P5_U0_CFG21, 0x40011a55 +.set CYREG_B1_P5_U0_CFG22, 0x40011a56 +.set CYREG_B1_P5_U0_CFG23, 0x40011a57 +.set CYREG_B1_P5_U0_CFG24, 0x40011a58 +.set CYREG_B1_P5_U0_CFG25, 0x40011a59 +.set CYREG_B1_P5_U0_CFG26, 0x40011a5a +.set CYREG_B1_P5_U0_CFG27, 0x40011a5b +.set CYREG_B1_P5_U0_CFG28, 0x40011a5c +.set CYREG_B1_P5_U0_CFG29, 0x40011a5d +.set CYREG_B1_P5_U0_CFG30, 0x40011a5e +.set CYREG_B1_P5_U0_CFG31, 0x40011a5f +.set CYREG_B1_P5_U0_DCFG0, 0x40011a60 +.set CYREG_B1_P5_U0_DCFG1, 0x40011a62 +.set CYREG_B1_P5_U0_DCFG2, 0x40011a64 +.set CYREG_B1_P5_U0_DCFG3, 0x40011a66 +.set CYREG_B1_P5_U0_DCFG4, 0x40011a68 +.set CYREG_B1_P5_U0_DCFG5, 0x40011a6a +.set CYREG_B1_P5_U0_DCFG6, 0x40011a6c +.set CYREG_B1_P5_U0_DCFG7, 0x40011a6e +.set CYDEV_UCFG_B1_P5_U1_BASE, 0x40011a80 +.set CYDEV_UCFG_B1_P5_U1_SIZE, 0x00000070 +.set CYREG_B1_P5_U1_PLD_IT0, 0x40011a80 +.set CYREG_B1_P5_U1_PLD_IT1, 0x40011a84 +.set CYREG_B1_P5_U1_PLD_IT2, 0x40011a88 +.set CYREG_B1_P5_U1_PLD_IT3, 0x40011a8c +.set CYREG_B1_P5_U1_PLD_IT4, 0x40011a90 +.set CYREG_B1_P5_U1_PLD_IT5, 0x40011a94 +.set CYREG_B1_P5_U1_PLD_IT6, 0x40011a98 +.set CYREG_B1_P5_U1_PLD_IT7, 0x40011a9c +.set CYREG_B1_P5_U1_PLD_IT8, 0x40011aa0 +.set CYREG_B1_P5_U1_PLD_IT9, 0x40011aa4 +.set CYREG_B1_P5_U1_PLD_IT10, 0x40011aa8 +.set CYREG_B1_P5_U1_PLD_IT11, 0x40011aac +.set CYREG_B1_P5_U1_PLD_ORT0, 0x40011ab0 +.set CYREG_B1_P5_U1_PLD_ORT1, 0x40011ab2 +.set CYREG_B1_P5_U1_PLD_ORT2, 0x40011ab4 +.set CYREG_B1_P5_U1_PLD_ORT3, 0x40011ab6 +.set CYREG_B1_P5_U1_MC_CFG_CEN_CONST, 0x40011ab8 +.set CYREG_B1_P5_U1_MC_CFG_XORFB, 0x40011aba +.set CYREG_B1_P5_U1_MC_CFG_SET_RESET, 0x40011abc +.set CYREG_B1_P5_U1_MC_CFG_BYPASS, 0x40011abe +.set CYREG_B1_P5_U1_CFG0, 0x40011ac0 +.set CYREG_B1_P5_U1_CFG1, 0x40011ac1 +.set CYREG_B1_P5_U1_CFG2, 0x40011ac2 +.set CYREG_B1_P5_U1_CFG3, 0x40011ac3 +.set CYREG_B1_P5_U1_CFG4, 0x40011ac4 +.set CYREG_B1_P5_U1_CFG5, 0x40011ac5 +.set CYREG_B1_P5_U1_CFG6, 0x40011ac6 +.set CYREG_B1_P5_U1_CFG7, 0x40011ac7 +.set CYREG_B1_P5_U1_CFG8, 0x40011ac8 +.set CYREG_B1_P5_U1_CFG9, 0x40011ac9 +.set CYREG_B1_P5_U1_CFG10, 0x40011aca +.set CYREG_B1_P5_U1_CFG11, 0x40011acb +.set CYREG_B1_P5_U1_CFG12, 0x40011acc +.set CYREG_B1_P5_U1_CFG13, 0x40011acd +.set CYREG_B1_P5_U1_CFG14, 0x40011ace +.set CYREG_B1_P5_U1_CFG15, 0x40011acf +.set CYREG_B1_P5_U1_CFG16, 0x40011ad0 +.set CYREG_B1_P5_U1_CFG17, 0x40011ad1 +.set CYREG_B1_P5_U1_CFG18, 0x40011ad2 +.set CYREG_B1_P5_U1_CFG19, 0x40011ad3 +.set CYREG_B1_P5_U1_CFG20, 0x40011ad4 +.set CYREG_B1_P5_U1_CFG21, 0x40011ad5 +.set CYREG_B1_P5_U1_CFG22, 0x40011ad6 +.set CYREG_B1_P5_U1_CFG23, 0x40011ad7 +.set CYREG_B1_P5_U1_CFG24, 0x40011ad8 +.set CYREG_B1_P5_U1_CFG25, 0x40011ad9 +.set CYREG_B1_P5_U1_CFG26, 0x40011ada +.set CYREG_B1_P5_U1_CFG27, 0x40011adb +.set CYREG_B1_P5_U1_CFG28, 0x40011adc +.set CYREG_B1_P5_U1_CFG29, 0x40011add +.set CYREG_B1_P5_U1_CFG30, 0x40011ade +.set CYREG_B1_P5_U1_CFG31, 0x40011adf +.set CYREG_B1_P5_U1_DCFG0, 0x40011ae0 +.set CYREG_B1_P5_U1_DCFG1, 0x40011ae2 +.set CYREG_B1_P5_U1_DCFG2, 0x40011ae4 +.set CYREG_B1_P5_U1_DCFG3, 0x40011ae6 +.set CYREG_B1_P5_U1_DCFG4, 0x40011ae8 +.set CYREG_B1_P5_U1_DCFG5, 0x40011aea +.set CYREG_B1_P5_U1_DCFG6, 0x40011aec +.set CYREG_B1_P5_U1_DCFG7, 0x40011aee +.set CYDEV_UCFG_B1_P5_ROUTE_BASE, 0x40011b00 +.set CYDEV_UCFG_B1_P5_ROUTE_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI0_BASE, 0x40014000 +.set CYDEV_UCFG_DSI0_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI1_BASE, 0x40014100 +.set CYDEV_UCFG_DSI1_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI2_BASE, 0x40014200 +.set CYDEV_UCFG_DSI2_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI3_BASE, 0x40014300 +.set CYDEV_UCFG_DSI3_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI4_BASE, 0x40014400 +.set CYDEV_UCFG_DSI4_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI5_BASE, 0x40014500 +.set CYDEV_UCFG_DSI5_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI6_BASE, 0x40014600 +.set CYDEV_UCFG_DSI6_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI7_BASE, 0x40014700 +.set CYDEV_UCFG_DSI7_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI8_BASE, 0x40014800 +.set CYDEV_UCFG_DSI8_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI9_BASE, 0x40014900 +.set CYDEV_UCFG_DSI9_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI12_BASE, 0x40014c00 +.set CYDEV_UCFG_DSI12_SIZE, 0x000000ef +.set CYDEV_UCFG_DSI13_BASE, 0x40014d00 +.set CYDEV_UCFG_DSI13_SIZE, 0x000000ef +.set CYDEV_UCFG_BCTL0_BASE, 0x40015000 +.set CYDEV_UCFG_BCTL0_SIZE, 0x00000010 +.set CYREG_BCTL0_MDCLK_EN, 0x40015000 +.set CYREG_BCTL0_MBCLK_EN, 0x40015001 +.set CYREG_BCTL0_WAIT_CFG, 0x40015002 +.set CYREG_BCTL0_BANK_CTL, 0x40015003 +.set CYREG_BCTL0_UDB_TEST_3, 0x40015007 +.set CYREG_BCTL0_DCLK_EN0, 0x40015008 +.set CYREG_BCTL0_BCLK_EN0, 0x40015009 +.set CYREG_BCTL0_DCLK_EN1, 0x4001500a +.set CYREG_BCTL0_BCLK_EN1, 0x4001500b +.set CYREG_BCTL0_DCLK_EN2, 0x4001500c +.set CYREG_BCTL0_BCLK_EN2, 0x4001500d +.set CYREG_BCTL0_DCLK_EN3, 0x4001500e +.set CYREG_BCTL0_BCLK_EN3, 0x4001500f +.set CYDEV_UCFG_BCTL1_BASE, 0x40015010 +.set CYDEV_UCFG_BCTL1_SIZE, 0x00000010 +.set CYREG_BCTL1_MDCLK_EN, 0x40015010 +.set CYREG_BCTL1_MBCLK_EN, 0x40015011 +.set CYREG_BCTL1_WAIT_CFG, 0x40015012 +.set CYREG_BCTL1_BANK_CTL, 0x40015013 +.set CYREG_BCTL1_UDB_TEST_3, 0x40015017 +.set CYREG_BCTL1_DCLK_EN0, 0x40015018 +.set CYREG_BCTL1_BCLK_EN0, 0x40015019 +.set CYREG_BCTL1_DCLK_EN1, 0x4001501a +.set CYREG_BCTL1_BCLK_EN1, 0x4001501b +.set CYREG_BCTL1_DCLK_EN2, 0x4001501c +.set CYREG_BCTL1_BCLK_EN2, 0x4001501d +.set CYREG_BCTL1_DCLK_EN3, 0x4001501e +.set CYREG_BCTL1_BCLK_EN3, 0x4001501f +.set CYDEV_IDMUX_BASE, 0x40015100 +.set CYDEV_IDMUX_SIZE, 0x00000016 +.set CYREG_IDMUX_IRQ_CTL0, 0x40015100 +.set CYREG_IDMUX_IRQ_CTL1, 0x40015101 +.set CYREG_IDMUX_IRQ_CTL2, 0x40015102 +.set CYREG_IDMUX_IRQ_CTL3, 0x40015103 +.set CYREG_IDMUX_IRQ_CTL4, 0x40015104 +.set CYREG_IDMUX_IRQ_CTL5, 0x40015105 +.set CYREG_IDMUX_IRQ_CTL6, 0x40015106 +.set CYREG_IDMUX_IRQ_CTL7, 0x40015107 +.set CYREG_IDMUX_DRQ_CTL0, 0x40015110 +.set CYREG_IDMUX_DRQ_CTL1, 0x40015111 +.set CYREG_IDMUX_DRQ_CTL2, 0x40015112 +.set CYREG_IDMUX_DRQ_CTL3, 0x40015113 +.set CYREG_IDMUX_DRQ_CTL4, 0x40015114 +.set CYREG_IDMUX_DRQ_CTL5, 0x40015115 +.set CYDEV_CACHERAM_BASE, 0x40030000 +.set CYDEV_CACHERAM_SIZE, 0x00000400 +.set CYREG_CACHERAM_DATA_MBASE, 0x40030000 +.set CYREG_CACHERAM_DATA_MSIZE, 0x00000400 +.set CYDEV_SFR_BASE, 0x40050100 +.set CYDEV_SFR_SIZE, 0x000000fb +.set CYREG_SFR_GPIO0, 0x40050180 +.set CYREG_SFR_GPIRD0, 0x40050189 +.set CYREG_SFR_GPIO0_SEL, 0x4005018a +.set CYREG_SFR_GPIO1, 0x40050190 +.set CYREG_SFR_GPIRD1, 0x40050191 +.set CYREG_SFR_GPIO2, 0x40050198 +.set CYREG_SFR_GPIRD2, 0x40050199 +.set CYREG_SFR_GPIO2_SEL, 0x4005019a +.set CYREG_SFR_GPIO1_SEL, 0x400501a2 +.set CYREG_SFR_GPIO3, 0x400501b0 +.set CYREG_SFR_GPIRD3, 0x400501b1 +.set CYREG_SFR_GPIO3_SEL, 0x400501b2 +.set CYREG_SFR_GPIO4, 0x400501c0 +.set CYREG_SFR_GPIRD4, 0x400501c1 +.set CYREG_SFR_GPIO4_SEL, 0x400501c2 +.set CYREG_SFR_GPIO5, 0x400501c8 +.set CYREG_SFR_GPIRD5, 0x400501c9 +.set CYREG_SFR_GPIO5_SEL, 0x400501ca +.set CYREG_SFR_GPIO6, 0x400501d8 +.set CYREG_SFR_GPIRD6, 0x400501d9 +.set CYREG_SFR_GPIO6_SEL, 0x400501da +.set CYREG_SFR_GPIO12, 0x400501e8 +.set CYREG_SFR_GPIRD12, 0x400501e9 +.set CYREG_SFR_GPIO12_SEL, 0x400501f2 +.set CYREG_SFR_GPIO15, 0x400501f8 +.set CYREG_SFR_GPIRD15, 0x400501f9 +.set CYREG_SFR_GPIO15_SEL, 0x400501fa +.set CYDEV_P3BA_BASE, 0x40050300 +.set CYDEV_P3BA_SIZE, 0x0000002b +.set CYREG_P3BA_Y_START, 0x40050300 +.set CYREG_P3BA_YROLL, 0x40050301 +.set CYREG_P3BA_YCFG, 0x40050302 +.set CYREG_P3BA_X_START1, 0x40050303 +.set CYREG_P3BA_X_START2, 0x40050304 +.set CYREG_P3BA_XROLL1, 0x40050305 +.set CYREG_P3BA_XROLL2, 0x40050306 +.set CYREG_P3BA_XINC, 0x40050307 +.set CYREG_P3BA_XCFG, 0x40050308 +.set CYREG_P3BA_OFFSETADDR1, 0x40050309 +.set CYREG_P3BA_OFFSETADDR2, 0x4005030a +.set CYREG_P3BA_OFFSETADDR3, 0x4005030b +.set CYREG_P3BA_ABSADDR1, 0x4005030c +.set CYREG_P3BA_ABSADDR2, 0x4005030d +.set CYREG_P3BA_ABSADDR3, 0x4005030e +.set CYREG_P3BA_ABSADDR4, 0x4005030f +.set CYREG_P3BA_DATCFG1, 0x40050310 +.set CYREG_P3BA_DATCFG2, 0x40050311 +.set CYREG_P3BA_CMP_RSLT1, 0x40050314 +.set CYREG_P3BA_CMP_RSLT2, 0x40050315 +.set CYREG_P3BA_CMP_RSLT3, 0x40050316 +.set CYREG_P3BA_CMP_RSLT4, 0x40050317 +.set CYREG_P3BA_DATA_REG1, 0x40050318 +.set CYREG_P3BA_DATA_REG2, 0x40050319 +.set CYREG_P3BA_DATA_REG3, 0x4005031a +.set CYREG_P3BA_DATA_REG4, 0x4005031b +.set CYREG_P3BA_EXP_DATA1, 0x4005031c +.set CYREG_P3BA_EXP_DATA2, 0x4005031d +.set CYREG_P3BA_EXP_DATA3, 0x4005031e +.set CYREG_P3BA_EXP_DATA4, 0x4005031f +.set CYREG_P3BA_MSTR_HRDATA1, 0x40050320 +.set CYREG_P3BA_MSTR_HRDATA2, 0x40050321 +.set CYREG_P3BA_MSTR_HRDATA3, 0x40050322 +.set CYREG_P3BA_MSTR_HRDATA4, 0x40050323 +.set CYREG_P3BA_BIST_EN, 0x40050324 +.set CYREG_P3BA_PHUB_MASTER_SSR, 0x40050325 +.set CYREG_P3BA_SEQCFG1, 0x40050326 +.set CYREG_P3BA_SEQCFG2, 0x40050327 +.set CYREG_P3BA_Y_CURR, 0x40050328 +.set CYREG_P3BA_X_CURR1, 0x40050329 +.set CYREG_P3BA_X_CURR2, 0x4005032a +.set CYDEV_PANTHER_BASE, 0x40080000 +.set CYDEV_PANTHER_SIZE, 0x00000020 +.set CYREG_PANTHER_STCALIB_CFG, 0x40080000 +.set CYREG_PANTHER_WAITPIPE, 0x40080004 +.set CYREG_PANTHER_TRACE_CFG, 0x40080008 +.set CYREG_PANTHER_DBG_CFG, 0x4008000c +.set CYREG_PANTHER_CM3_LCKRST_STAT, 0x40080018 +.set CYREG_PANTHER_DEVICE_ID, 0x4008001c +.set CYDEV_FLSECC_BASE, 0x48000000 +.set CYDEV_FLSECC_SIZE, 0x00008000 +.set CYREG_FLSECC_DATA_MBASE, 0x48000000 +.set CYREG_FLSECC_DATA_MSIZE, 0x00008000 +.set CYDEV_FLSHID_BASE, 0x49000000 +.set CYDEV_FLSHID_SIZE, 0x00000200 +.set CYREG_FLSHID_RSVD_MBASE, 0x49000000 +.set CYREG_FLSHID_RSVD_MSIZE, 0x00000080 +.set CYREG_FLSHID_CUST_MDATA_MBASE, 0x49000080 +.set CYREG_FLSHID_CUST_MDATA_MSIZE, 0x00000080 +.set CYDEV_FLSHID_CUST_TABLES_BASE, 0x49000100 +.set CYDEV_FLSHID_CUST_TABLES_SIZE, 0x00000040 +.set CYREG_FLSHID_CUST_TABLES_Y_LOC, 0x49000100 +.set CYREG_FLSHID_CUST_TABLES_X_LOC, 0x49000101 +.set CYREG_FLSHID_CUST_TABLES_WAFER_NUM, 0x49000102 +.set CYREG_FLSHID_CUST_TABLES_LOT_LSB, 0x49000103 +.set CYREG_FLSHID_CUST_TABLES_LOT_MSB, 0x49000104 +.set CYREG_FLSHID_CUST_TABLES_WRK_WK, 0x49000105 +.set CYREG_FLSHID_CUST_TABLES_FAB_YR, 0x49000106 +.set CYREG_FLSHID_CUST_TABLES_MINOR, 0x49000107 +.set CYREG_FLSHID_CUST_TABLES_IMO_3MHZ, 0x49000108 +.set CYREG_FLSHID_CUST_TABLES_IMO_6MHZ, 0x49000109 +.set CYREG_FLSHID_CUST_TABLES_IMO_12MHZ, 0x4900010a +.set CYREG_FLSHID_CUST_TABLES_IMO_24MHZ, 0x4900010b +.set CYREG_FLSHID_CUST_TABLES_IMO_67MHZ, 0x4900010c +.set CYREG_FLSHID_CUST_TABLES_IMO_80MHZ, 0x4900010d +.set CYREG_FLSHID_CUST_TABLES_IMO_92MHZ, 0x4900010e +.set CYREG_FLSHID_CUST_TABLES_IMO_USB, 0x4900010f +.set CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS, 0x49000110 +.set CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS, 0x49000111 +.set CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS, 0x49000112 +.set CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS, 0x49000113 +.set CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS, 0x49000114 +.set CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS, 0x49000115 +.set CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS, 0x49000116 +.set CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS, 0x49000117 +.set CYREG_FLSHID_CUST_TABLES_DEC_M1, 0x49000118 +.set CYREG_FLSHID_CUST_TABLES_DEC_M2, 0x49000119 +.set CYREG_FLSHID_CUST_TABLES_DEC_M3, 0x4900011a +.set CYREG_FLSHID_CUST_TABLES_DEC_M4, 0x4900011b +.set CYREG_FLSHID_CUST_TABLES_DEC_M5, 0x4900011c +.set CYREG_FLSHID_CUST_TABLES_DEC_M6, 0x4900011d +.set CYREG_FLSHID_CUST_TABLES_DEC_M7, 0x4900011e +.set CYREG_FLSHID_CUST_TABLES_DEC_M8, 0x4900011f +.set CYREG_FLSHID_CUST_TABLES_DAC0_M1, 0x49000120 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M2, 0x49000121 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M3, 0x49000122 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M4, 0x49000123 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M5, 0x49000124 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M6, 0x49000125 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M7, 0x49000126 +.set CYREG_FLSHID_CUST_TABLES_DAC0_M8, 0x49000127 +.set CYREG_FLSHID_CUST_TABLES_DAC2_M1, 0x49000128 +.set CYREG_FLSHID_CUST_TABLES_DAC2_M2, 0x49000129 +.set CYREG_FLSHID_CUST_TABLES_DAC2_M3, 0x4900012a +.set CYREG_FLSHID_CUST_TABLES_DAC2_M4, 0x4900012b +.set CYREG_FLSHID_CUST_TABLES_DAC2_M5, 0x4900012c +.set CYREG_FLSHID_CUST_TABLES_DAC2_M6, 0x4900012d +.set CYREG_FLSHID_CUST_TABLES_DAC2_M7, 0x4900012e +.set CYREG_FLSHID_CUST_TABLES_DAC2_M8, 0x4900012f +.set CYREG_FLSHID_CUST_TABLES_DAC1_M1, 0x49000130 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M2, 0x49000131 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M3, 0x49000132 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M4, 0x49000133 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M5, 0x49000134 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M6, 0x49000135 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M7, 0x49000136 +.set CYREG_FLSHID_CUST_TABLES_DAC1_M8, 0x49000137 +.set CYREG_FLSHID_CUST_TABLES_DAC3_M1, 0x49000138 +.set CYREG_FLSHID_CUST_TABLES_DAC3_M2, 0x49000139 +.set CYREG_FLSHID_CUST_TABLES_DAC3_M3, 0x4900013a +.set CYREG_FLSHID_CUST_TABLES_DAC3_M4, 0x4900013b +.set CYREG_FLSHID_CUST_TABLES_DAC3_M5, 0x4900013c +.set CYREG_FLSHID_CUST_TABLES_DAC3_M6, 0x4900013d +.set CYREG_FLSHID_CUST_TABLES_DAC3_M7, 0x4900013e +.set CYREG_FLSHID_CUST_TABLES_DAC3_M8, 0x4900013f +.set CYDEV_FLSHID_MFG_CFG_BASE, 0x49000180 +.set CYDEV_FLSHID_MFG_CFG_SIZE, 0x00000080 +.set CYREG_FLSHID_MFG_CFG_IMO_TR1, 0x49000188 +.set CYREG_FLSHID_MFG_CFG_CMP0_TR0, 0x490001ac +.set CYREG_FLSHID_MFG_CFG_CMP1_TR0, 0x490001ae +.set CYREG_FLSHID_MFG_CFG_CMP2_TR0, 0x490001b0 +.set CYREG_FLSHID_MFG_CFG_CMP3_TR0, 0x490001b2 +.set CYREG_FLSHID_MFG_CFG_CMP0_TR1, 0x490001b4 +.set CYREG_FLSHID_MFG_CFG_CMP1_TR1, 0x490001b6 +.set CYREG_FLSHID_MFG_CFG_CMP2_TR1, 0x490001b8 +.set CYREG_FLSHID_MFG_CFG_CMP3_TR1, 0x490001ba +.set CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM, 0x490001ce +.set CYDEV_EXTMEM_BASE, 0x60000000 +.set CYDEV_EXTMEM_SIZE, 0x00800000 +.set CYREG_EXTMEM_DATA_MBASE, 0x60000000 +.set CYREG_EXTMEM_DATA_MSIZE, 0x00800000 +.set CYDEV_ITM_BASE, 0xe0000000 +.set CYDEV_ITM_SIZE, 0x00001000 +.set CYREG_ITM_TRACE_EN, 0xe0000e00 +.set CYREG_ITM_TRACE_PRIVILEGE, 0xe0000e40 +.set CYREG_ITM_TRACE_CTRL, 0xe0000e80 +.set CYREG_ITM_LOCK_ACCESS, 0xe0000fb0 +.set CYREG_ITM_LOCK_STATUS, 0xe0000fb4 +.set CYREG_ITM_PID4, 0xe0000fd0 +.set CYREG_ITM_PID5, 0xe0000fd4 +.set CYREG_ITM_PID6, 0xe0000fd8 +.set CYREG_ITM_PID7, 0xe0000fdc +.set CYREG_ITM_PID0, 0xe0000fe0 +.set CYREG_ITM_PID1, 0xe0000fe4 +.set CYREG_ITM_PID2, 0xe0000fe8 +.set CYREG_ITM_PID3, 0xe0000fec +.set CYREG_ITM_CID0, 0xe0000ff0 +.set CYREG_ITM_CID1, 0xe0000ff4 +.set CYREG_ITM_CID2, 0xe0000ff8 +.set CYREG_ITM_CID3, 0xe0000ffc +.set CYDEV_DWT_BASE, 0xe0001000 +.set CYDEV_DWT_SIZE, 0x0000005c +.set CYREG_DWT_CTRL, 0xe0001000 +.set CYREG_DWT_CYCLE_COUNT, 0xe0001004 +.set CYREG_DWT_CPI_COUNT, 0xe0001008 +.set CYREG_DWT_EXC_OVHD_COUNT, 0xe000100c +.set CYREG_DWT_SLEEP_COUNT, 0xe0001010 +.set CYREG_DWT_LSU_COUNT, 0xe0001014 +.set CYREG_DWT_FOLD_COUNT, 0xe0001018 +.set CYREG_DWT_PC_SAMPLE, 0xe000101c +.set CYREG_DWT_COMP_0, 0xe0001020 +.set CYREG_DWT_MASK_0, 0xe0001024 +.set CYREG_DWT_FUNCTION_0, 0xe0001028 +.set CYREG_DWT_COMP_1, 0xe0001030 +.set CYREG_DWT_MASK_1, 0xe0001034 +.set CYREG_DWT_FUNCTION_1, 0xe0001038 +.set CYREG_DWT_COMP_2, 0xe0001040 +.set CYREG_DWT_MASK_2, 0xe0001044 +.set CYREG_DWT_FUNCTION_2, 0xe0001048 +.set CYREG_DWT_COMP_3, 0xe0001050 +.set CYREG_DWT_MASK_3, 0xe0001054 +.set CYREG_DWT_FUNCTION_3, 0xe0001058 +.set CYDEV_FPB_BASE, 0xe0002000 +.set CYDEV_FPB_SIZE, 0x00001000 +.set CYREG_FPB_CTRL, 0xe0002000 +.set CYREG_FPB_REMAP, 0xe0002004 +.set CYREG_FPB_FP_COMP_0, 0xe0002008 +.set CYREG_FPB_FP_COMP_1, 0xe000200c +.set CYREG_FPB_FP_COMP_2, 0xe0002010 +.set CYREG_FPB_FP_COMP_3, 0xe0002014 +.set CYREG_FPB_FP_COMP_4, 0xe0002018 +.set CYREG_FPB_FP_COMP_5, 0xe000201c +.set CYREG_FPB_FP_COMP_6, 0xe0002020 +.set CYREG_FPB_FP_COMP_7, 0xe0002024 +.set CYREG_FPB_PID4, 0xe0002fd0 +.set CYREG_FPB_PID5, 0xe0002fd4 +.set CYREG_FPB_PID6, 0xe0002fd8 +.set CYREG_FPB_PID7, 0xe0002fdc +.set CYREG_FPB_PID0, 0xe0002fe0 +.set CYREG_FPB_PID1, 0xe0002fe4 +.set CYREG_FPB_PID2, 0xe0002fe8 +.set CYREG_FPB_PID3, 0xe0002fec +.set CYREG_FPB_CID0, 0xe0002ff0 +.set CYREG_FPB_CID1, 0xe0002ff4 +.set CYREG_FPB_CID2, 0xe0002ff8 +.set CYREG_FPB_CID3, 0xe0002ffc +.set CYDEV_NVIC_BASE, 0xe000e000 +.set CYDEV_NVIC_SIZE, 0x00000d3c +.set CYREG_NVIC_INT_CTL_TYPE, 0xe000e004 +.set CYREG_NVIC_SYSTICK_CTL, 0xe000e010 +.set CYREG_NVIC_SYSTICK_RELOAD, 0xe000e014 +.set CYREG_NVIC_SYSTICK_CURRENT, 0xe000e018 +.set CYREG_NVIC_SYSTICK_CAL, 0xe000e01c +.set CYREG_NVIC_SETENA0, 0xe000e100 +.set CYREG_NVIC_CLRENA0, 0xe000e180 +.set CYREG_NVIC_SETPEND0, 0xe000e200 +.set CYREG_NVIC_CLRPEND0, 0xe000e280 +.set CYREG_NVIC_ACTIVE0, 0xe000e300 +.set CYREG_NVIC_PRI_0, 0xe000e400 +.set CYREG_NVIC_PRI_1, 0xe000e401 +.set CYREG_NVIC_PRI_2, 0xe000e402 +.set CYREG_NVIC_PRI_3, 0xe000e403 +.set CYREG_NVIC_PRI_4, 0xe000e404 +.set CYREG_NVIC_PRI_5, 0xe000e405 +.set CYREG_NVIC_PRI_6, 0xe000e406 +.set CYREG_NVIC_PRI_7, 0xe000e407 +.set CYREG_NVIC_PRI_8, 0xe000e408 +.set CYREG_NVIC_PRI_9, 0xe000e409 +.set CYREG_NVIC_PRI_10, 0xe000e40a +.set CYREG_NVIC_PRI_11, 0xe000e40b +.set CYREG_NVIC_PRI_12, 0xe000e40c +.set CYREG_NVIC_PRI_13, 0xe000e40d +.set CYREG_NVIC_PRI_14, 0xe000e40e +.set CYREG_NVIC_PRI_15, 0xe000e40f +.set CYREG_NVIC_PRI_16, 0xe000e410 +.set CYREG_NVIC_PRI_17, 0xe000e411 +.set CYREG_NVIC_PRI_18, 0xe000e412 +.set CYREG_NVIC_PRI_19, 0xe000e413 +.set CYREG_NVIC_PRI_20, 0xe000e414 +.set CYREG_NVIC_PRI_21, 0xe000e415 +.set CYREG_NVIC_PRI_22, 0xe000e416 +.set CYREG_NVIC_PRI_23, 0xe000e417 +.set CYREG_NVIC_PRI_24, 0xe000e418 +.set CYREG_NVIC_PRI_25, 0xe000e419 +.set CYREG_NVIC_PRI_26, 0xe000e41a +.set CYREG_NVIC_PRI_27, 0xe000e41b +.set CYREG_NVIC_PRI_28, 0xe000e41c +.set CYREG_NVIC_PRI_29, 0xe000e41d +.set CYREG_NVIC_PRI_30, 0xe000e41e +.set CYREG_NVIC_PRI_31, 0xe000e41f +.set CYREG_NVIC_CPUID_BASE, 0xe000ed00 +.set CYREG_NVIC_INTR_CTRL_STATE, 0xe000ed04 +.set CYREG_NVIC_VECT_OFFSET, 0xe000ed08 +.set CYREG_NVIC_APPLN_INTR, 0xe000ed0c +.set CYREG_NVIC_SYSTEM_CONTROL, 0xe000ed10 +.set CYREG_NVIC_CFG_CONTROL, 0xe000ed14 +.set CYREG_NVIC_SYS_PRIO_HANDLER_4_7, 0xe000ed18 +.set CYREG_NVIC_SYS_PRIO_HANDLER_8_11, 0xe000ed1c +.set CYREG_NVIC_SYS_PRIO_HANDLER_12_15, 0xe000ed20 +.set CYREG_NVIC_SYS_HANDLER_CSR, 0xe000ed24 +.set CYREG_NVIC_MEMMAN_FAULT_STATUS, 0xe000ed28 +.set CYREG_NVIC_BUS_FAULT_STATUS, 0xe000ed29 +.set CYREG_NVIC_USAGE_FAULT_STATUS, 0xe000ed2a +.set CYREG_NVIC_HARD_FAULT_STATUS, 0xe000ed2c +.set CYREG_NVIC_DEBUG_FAULT_STATUS, 0xe000ed30 +.set CYREG_NVIC_MEMMAN_FAULT_ADD, 0xe000ed34 +.set CYREG_NVIC_BUS_FAULT_ADD, 0xe000ed38 +.set CYDEV_CORE_DBG_BASE, 0xe000edf0 +.set CYDEV_CORE_DBG_SIZE, 0x00000010 +.set CYREG_CORE_DBG_DBG_HLT_CS, 0xe000edf0 +.set CYREG_CORE_DBG_DBG_REG_SEL, 0xe000edf4 +.set CYREG_CORE_DBG_DBG_REG_DATA, 0xe000edf8 +.set CYREG_CORE_DBG_EXC_MON_CTL, 0xe000edfc +.set CYDEV_TPIU_BASE, 0xe0040000 +.set CYDEV_TPIU_SIZE, 0x00001000 +.set CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ, 0xe0040000 +.set CYREG_TPIU_CURRENT_SYNC_PRT_SZ, 0xe0040004 +.set CYREG_TPIU_ASYNC_CLK_PRESCALER, 0xe0040010 +.set CYREG_TPIU_PROTOCOL, 0xe00400f0 +.set CYREG_TPIU_FORM_FLUSH_STAT, 0xe0040300 +.set CYREG_TPIU_FORM_FLUSH_CTRL, 0xe0040304 +.set CYREG_TPIU_TRIGGER, 0xe0040ee8 +.set CYREG_TPIU_ITETMDATA, 0xe0040eec +.set CYREG_TPIU_ITATBCTR2, 0xe0040ef0 +.set CYREG_TPIU_ITATBCTR0, 0xe0040ef8 +.set CYREG_TPIU_ITITMDATA, 0xe0040efc +.set CYREG_TPIU_ITCTRL, 0xe0040f00 +.set CYREG_TPIU_DEVID, 0xe0040fc8 +.set CYREG_TPIU_DEVTYPE, 0xe0040fcc +.set CYREG_TPIU_PID4, 0xe0040fd0 +.set CYREG_TPIU_PID5, 0xe0040fd4 +.set CYREG_TPIU_PID6, 0xe0040fd8 +.set CYREG_TPIU_PID7, 0xe0040fdc +.set CYREG_TPIU_PID0, 0xe0040fe0 +.set CYREG_TPIU_PID1, 0xe0040fe4 +.set CYREG_TPIU_PID2, 0xe0040fe8 +.set CYREG_TPIU_PID3, 0xe0040fec +.set CYREG_TPIU_CID0, 0xe0040ff0 +.set CYREG_TPIU_CID1, 0xe0040ff4 +.set CYREG_TPIU_CID2, 0xe0040ff8 +.set CYREG_TPIU_CID3, 0xe0040ffc +.set CYDEV_ETM_BASE, 0xe0041000 +.set CYDEV_ETM_SIZE, 0x00001000 +.set CYREG_ETM_CTL, 0xe0041000 +.set CYREG_ETM_CFG_CODE, 0xe0041004 +.set CYREG_ETM_TRIG_EVENT, 0xe0041008 +.set CYREG_ETM_STATUS, 0xe0041010 +.set CYREG_ETM_SYS_CFG, 0xe0041014 +.set CYREG_ETM_TRACE_ENB_EVENT, 0xe0041020 +.set CYREG_ETM_TRACE_EN_CTRL1, 0xe0041024 +.set CYREG_ETM_FIFOFULL_LEVEL, 0xe004102c +.set CYREG_ETM_SYNC_FREQ, 0xe00411e0 +.set CYREG_ETM_ETM_ID, 0xe00411e4 +.set CYREG_ETM_CFG_CODE_EXT, 0xe00411e8 +.set CYREG_ETM_TR_SS_EMBICE_CTRL, 0xe00411f0 +.set CYREG_ETM_CS_TRACE_ID, 0xe0041200 +.set CYREG_ETM_OS_LOCK_ACCESS, 0xe0041300 +.set CYREG_ETM_OS_LOCK_STATUS, 0xe0041304 +.set CYREG_ETM_PDSR, 0xe0041314 +.set CYREG_ETM_ITMISCIN, 0xe0041ee0 +.set CYREG_ETM_ITTRIGOUT, 0xe0041ee8 +.set CYREG_ETM_ITATBCTR2, 0xe0041ef0 +.set CYREG_ETM_ITATBCTR0, 0xe0041ef8 +.set CYREG_ETM_INT_MODE_CTRL, 0xe0041f00 +.set CYREG_ETM_CLM_TAG_SET, 0xe0041fa0 +.set CYREG_ETM_CLM_TAG_CLR, 0xe0041fa4 +.set CYREG_ETM_LOCK_ACCESS, 0xe0041fb0 +.set CYREG_ETM_LOCK_STATUS, 0xe0041fb4 +.set CYREG_ETM_AUTH_STATUS, 0xe0041fb8 +.set CYREG_ETM_DEV_TYPE, 0xe0041fcc +.set CYREG_ETM_PID4, 0xe0041fd0 +.set CYREG_ETM_PID5, 0xe0041fd4 +.set CYREG_ETM_PID6, 0xe0041fd8 +.set CYREG_ETM_PID7, 0xe0041fdc +.set CYREG_ETM_PID0, 0xe0041fe0 +.set CYREG_ETM_PID1, 0xe0041fe4 +.set CYREG_ETM_PID2, 0xe0041fe8 +.set CYREG_ETM_PID3, 0xe0041fec +.set CYREG_ETM_CID0, 0xe0041ff0 +.set CYREG_ETM_CID1, 0xe0041ff4 +.set CYREG_ETM_CID2, 0xe0041ff8 +.set CYREG_ETM_CID3, 0xe0041ffc +.set CYDEV_ROM_TABLE_BASE, 0xe00ff000 +.set CYDEV_ROM_TABLE_SIZE, 0x00001000 +.set CYREG_ROM_TABLE_NVIC, 0xe00ff000 +.set CYREG_ROM_TABLE_DWT, 0xe00ff004 +.set CYREG_ROM_TABLE_FPB, 0xe00ff008 +.set CYREG_ROM_TABLE_ITM, 0xe00ff00c +.set CYREG_ROM_TABLE_TPIU, 0xe00ff010 +.set CYREG_ROM_TABLE_ETM, 0xe00ff014 +.set CYREG_ROM_TABLE_END, 0xe00ff018 +.set CYREG_ROM_TABLE_MEMTYPE, 0xe00fffcc +.set CYREG_ROM_TABLE_PID4, 0xe00fffd0 +.set CYREG_ROM_TABLE_PID5, 0xe00fffd4 +.set CYREG_ROM_TABLE_PID6, 0xe00fffd8 +.set CYREG_ROM_TABLE_PID7, 0xe00fffdc +.set CYREG_ROM_TABLE_PID0, 0xe00fffe0 +.set CYREG_ROM_TABLE_PID1, 0xe00fffe4 +.set CYREG_ROM_TABLE_PID2, 0xe00fffe8 +.set CYREG_ROM_TABLE_PID3, 0xe00fffec +.set CYREG_ROM_TABLE_CID0, 0xe00ffff0 +.set CYREG_ROM_TABLE_CID1, 0xe00ffff4 +.set CYREG_ROM_TABLE_CID2, 0xe00ffff8 +.set CYREG_ROM_TABLE_CID3, 0xe00ffffc +.set CYDEV_FLS_SIZE, CYDEV_FLASH_SIZE +.set CYDEV_ECC_BASE, CYDEV_FLSECC_BASE +.set CYDEV_FLS_SECTOR_SIZE, 0x00010000 +.set CYDEV_FLS_ROW_SIZE, 0x00000100 +.set CYDEV_ECC_SECTOR_SIZE, 0x00002000 +.set CYDEV_ECC_ROW_SIZE, 0x00000020 +.set CYDEV_EEPROM_SECTOR_SIZE, 0x00000400 +.set CYDEV_EEPROM_ROW_SIZE, 0x00000010 +.set CYDEV_PERIPH_BASE, CYDEV_CLKDIST_BASE +.set CYCLK_LD_DISABLE, 0x00000004 +.set CYCLK_LD_SYNC_EN, 0x00000002 +.set CYCLK_LD_LOAD, 0x00000001 +.set CYCLK_PIPE, 0x00000080 +.set CYCLK_SSS, 0x00000040 +.set CYCLK_EARLY, 0x00000020 +.set CYCLK_DUTY, 0x00000010 +.set CYCLK_SYNC, 0x00000008 +.set CYCLK_SRC_SEL_CLK_SYNC_D, 0 +.set CYCLK_SRC_SEL_SYNC_DIG, 0 +.set CYCLK_SRC_SEL_IMO, 1 +.set CYCLK_SRC_SEL_XTAL_MHZ, 2 +.set CYCLK_SRC_SEL_XTALM, 2 +.set CYCLK_SRC_SEL_ILO, 3 +.set CYCLK_SRC_SEL_PLL, 4 +.set CYCLK_SRC_SEL_XTAL_KHZ, 5 +.set CYCLK_SRC_SEL_XTALK, 5 +.set CYCLK_SRC_SEL_DSI_G, 6 +.set CYCLK_SRC_SEL_DSI_D, 7 +.set CYCLK_SRC_SEL_CLK_SYNC_A, 0 +.set CYCLK_SRC_SEL_DSI_A, 7 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv.inc new file mode 100644 index 0000000..3c00a5d --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv.inc @@ -0,0 +1,16039 @@ +; +; FILENAME: cydevicerv.inc +; OBSOLETE: Do not use this file. Use the _trm version instead. +; PSoC Creator 2.2 Component Pack 6 +; +; DESCRIPTION: +; This file provides all of the address values for the entire PSoC device. +; +;------------------------------------------------------------------------------- +; Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + + IF :LNOT::DEF:CYDEV_FLASH_BASE +CYDEV_FLASH_BASE EQU 0x00000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLASH_SIZE +CYDEV_FLASH_SIZE EQU 0x00040000 + ENDIF + IF :LNOT::DEF:CYDEV_FLASH_DATA_MBASE +CYDEV_FLASH_DATA_MBASE EQU 0x00000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLASH_DATA_MSIZE +CYDEV_FLASH_DATA_MSIZE EQU 0x00040000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_BASE +CYDEV_SRAM_BASE EQU 0x1fff8000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_SIZE +CYDEV_SRAM_SIZE EQU 0x00010000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE64K_MBASE +CYDEV_SRAM_CODE64K_MBASE EQU 0x1fff8000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE64K_MSIZE +CYDEV_SRAM_CODE64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE32K_MBASE +CYDEV_SRAM_CODE32K_MBASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE32K_MSIZE +CYDEV_SRAM_CODE32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE16K_MBASE +CYDEV_SRAM_CODE16K_MBASE EQU 0x1fffe000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE16K_MSIZE +CYDEV_SRAM_CODE16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE_MBASE +CYDEV_SRAM_CODE_MBASE EQU 0x1fff8000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_CODE_MSIZE +CYDEV_SRAM_CODE_MSIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA_MBASE +CYDEV_SRAM_DATA_MBASE EQU 0x20000000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA_MSIZE +CYDEV_SRAM_DATA_MSIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA16K_MBASE +CYDEV_SRAM_DATA16K_MBASE EQU 0x20001000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA16K_MSIZE +CYDEV_SRAM_DATA16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA32K_MBASE +CYDEV_SRAM_DATA32K_MBASE EQU 0x20002000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA32K_MSIZE +CYDEV_SRAM_DATA32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA64K_MBASE +CYDEV_SRAM_DATA64K_MBASE EQU 0x20004000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_DATA64K_MSIZE +CYDEV_SRAM_DATA64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_BASE +CYDEV_DMA_BASE EQU 0x20008000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SIZE +CYDEV_DMA_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM64K_MBASE +CYDEV_DMA_SRAM64K_MBASE EQU 0x20008000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM64K_MSIZE +CYDEV_DMA_SRAM64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM32K_MBASE +CYDEV_DMA_SRAM32K_MBASE EQU 0x2000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM32K_MSIZE +CYDEV_DMA_SRAM32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM16K_MBASE +CYDEV_DMA_SRAM16K_MBASE EQU 0x2000e000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM16K_MSIZE +CYDEV_DMA_SRAM16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM_MBASE +CYDEV_DMA_SRAM_MBASE EQU 0x2000f000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SRAM_MSIZE +CYDEV_DMA_SRAM_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BASE +CYDEV_CLKDIST_BASE EQU 0x40004000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_SIZE +CYDEV_CLKDIST_SIZE EQU 0x00000110 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_CR +CYDEV_CLKDIST_CR EQU 0x40004000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_LD +CYDEV_CLKDIST_LD EQU 0x40004001 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_WRK0 +CYDEV_CLKDIST_WRK0 EQU 0x40004002 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_WRK1 +CYDEV_CLKDIST_WRK1 EQU 0x40004003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_MSTR0 +CYDEV_CLKDIST_MSTR0 EQU 0x40004004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_MSTR1 +CYDEV_CLKDIST_MSTR1 EQU 0x40004005 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BCFG0 +CYDEV_CLKDIST_BCFG0 EQU 0x40004006 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BCFG1 +CYDEV_CLKDIST_BCFG1 EQU 0x40004007 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BCFG2 +CYDEV_CLKDIST_BCFG2 EQU 0x40004008 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_UCFG +CYDEV_CLKDIST_UCFG EQU 0x40004009 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DLY0 +CYDEV_CLKDIST_DLY0 EQU 0x4000400a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DLY1 +CYDEV_CLKDIST_DLY1 EQU 0x4000400b + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DMASK +CYDEV_CLKDIST_DMASK EQU 0x40004010 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_AMASK +CYDEV_CLKDIST_AMASK EQU 0x40004014 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_BASE +CYDEV_CLKDIST_DCFG0_BASE EQU 0x40004080 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_SIZE +CYDEV_CLKDIST_DCFG0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_CFG0 +CYDEV_CLKDIST_DCFG0_CFG0 EQU 0x40004080 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_CFG1 +CYDEV_CLKDIST_DCFG0_CFG1 EQU 0x40004081 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_CFG2 +CYDEV_CLKDIST_DCFG0_CFG2 EQU 0x40004082 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_BASE +CYDEV_CLKDIST_DCFG1_BASE EQU 0x40004084 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_SIZE +CYDEV_CLKDIST_DCFG1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_CFG0 +CYDEV_CLKDIST_DCFG1_CFG0 EQU 0x40004084 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_CFG1 +CYDEV_CLKDIST_DCFG1_CFG1 EQU 0x40004085 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_CFG2 +CYDEV_CLKDIST_DCFG1_CFG2 EQU 0x40004086 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_BASE +CYDEV_CLKDIST_DCFG2_BASE EQU 0x40004088 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_SIZE +CYDEV_CLKDIST_DCFG2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_CFG0 +CYDEV_CLKDIST_DCFG2_CFG0 EQU 0x40004088 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_CFG1 +CYDEV_CLKDIST_DCFG2_CFG1 EQU 0x40004089 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_CFG2 +CYDEV_CLKDIST_DCFG2_CFG2 EQU 0x4000408a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_BASE +CYDEV_CLKDIST_DCFG3_BASE EQU 0x4000408c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_SIZE +CYDEV_CLKDIST_DCFG3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_CFG0 +CYDEV_CLKDIST_DCFG3_CFG0 EQU 0x4000408c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_CFG1 +CYDEV_CLKDIST_DCFG3_CFG1 EQU 0x4000408d + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_CFG2 +CYDEV_CLKDIST_DCFG3_CFG2 EQU 0x4000408e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_BASE +CYDEV_CLKDIST_DCFG4_BASE EQU 0x40004090 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_SIZE +CYDEV_CLKDIST_DCFG4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_CFG0 +CYDEV_CLKDIST_DCFG4_CFG0 EQU 0x40004090 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_CFG1 +CYDEV_CLKDIST_DCFG4_CFG1 EQU 0x40004091 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_CFG2 +CYDEV_CLKDIST_DCFG4_CFG2 EQU 0x40004092 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_BASE +CYDEV_CLKDIST_DCFG5_BASE EQU 0x40004094 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_SIZE +CYDEV_CLKDIST_DCFG5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_CFG0 +CYDEV_CLKDIST_DCFG5_CFG0 EQU 0x40004094 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_CFG1 +CYDEV_CLKDIST_DCFG5_CFG1 EQU 0x40004095 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_CFG2 +CYDEV_CLKDIST_DCFG5_CFG2 EQU 0x40004096 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_BASE +CYDEV_CLKDIST_DCFG6_BASE EQU 0x40004098 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_SIZE +CYDEV_CLKDIST_DCFG6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_CFG0 +CYDEV_CLKDIST_DCFG6_CFG0 EQU 0x40004098 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_CFG1 +CYDEV_CLKDIST_DCFG6_CFG1 EQU 0x40004099 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_CFG2 +CYDEV_CLKDIST_DCFG6_CFG2 EQU 0x4000409a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_BASE +CYDEV_CLKDIST_DCFG7_BASE EQU 0x4000409c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_SIZE +CYDEV_CLKDIST_DCFG7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_CFG0 +CYDEV_CLKDIST_DCFG7_CFG0 EQU 0x4000409c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_CFG1 +CYDEV_CLKDIST_DCFG7_CFG1 EQU 0x4000409d + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_CFG2 +CYDEV_CLKDIST_DCFG7_CFG2 EQU 0x4000409e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_BASE +CYDEV_CLKDIST_ACFG0_BASE EQU 0x40004100 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_SIZE +CYDEV_CLKDIST_ACFG0_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_CFG0 +CYDEV_CLKDIST_ACFG0_CFG0 EQU 0x40004100 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_CFG1 +CYDEV_CLKDIST_ACFG0_CFG1 EQU 0x40004101 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_CFG2 +CYDEV_CLKDIST_ACFG0_CFG2 EQU 0x40004102 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_CFG3 +CYDEV_CLKDIST_ACFG0_CFG3 EQU 0x40004103 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_BASE +CYDEV_CLKDIST_ACFG1_BASE EQU 0x40004104 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_SIZE +CYDEV_CLKDIST_ACFG1_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_CFG0 +CYDEV_CLKDIST_ACFG1_CFG0 EQU 0x40004104 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_CFG1 +CYDEV_CLKDIST_ACFG1_CFG1 EQU 0x40004105 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_CFG2 +CYDEV_CLKDIST_ACFG1_CFG2 EQU 0x40004106 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_CFG3 +CYDEV_CLKDIST_ACFG1_CFG3 EQU 0x40004107 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_BASE +CYDEV_CLKDIST_ACFG2_BASE EQU 0x40004108 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_SIZE +CYDEV_CLKDIST_ACFG2_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_CFG0 +CYDEV_CLKDIST_ACFG2_CFG0 EQU 0x40004108 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_CFG1 +CYDEV_CLKDIST_ACFG2_CFG1 EQU 0x40004109 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_CFG2 +CYDEV_CLKDIST_ACFG2_CFG2 EQU 0x4000410a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_CFG3 +CYDEV_CLKDIST_ACFG2_CFG3 EQU 0x4000410b + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_BASE +CYDEV_CLKDIST_ACFG3_BASE EQU 0x4000410c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_SIZE +CYDEV_CLKDIST_ACFG3_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_CFG0 +CYDEV_CLKDIST_ACFG3_CFG0 EQU 0x4000410c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_CFG1 +CYDEV_CLKDIST_ACFG3_CFG1 EQU 0x4000410d + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_CFG2 +CYDEV_CLKDIST_ACFG3_CFG2 EQU 0x4000410e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_CFG3 +CYDEV_CLKDIST_ACFG3_CFG3 EQU 0x4000410f + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_BASE +CYDEV_FASTCLK_BASE EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_SIZE +CYDEV_FASTCLK_SIZE EQU 0x00000026 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_BASE +CYDEV_FASTCLK_IMO_BASE EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_SIZE +CYDEV_FASTCLK_IMO_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_CR +CYDEV_FASTCLK_IMO_CR EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_BASE +CYDEV_FASTCLK_XMHZ_BASE EQU 0x40004210 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_SIZE +CYDEV_FASTCLK_XMHZ_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_CSR +CYDEV_FASTCLK_XMHZ_CSR EQU 0x40004210 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_CFG0 +CYDEV_FASTCLK_XMHZ_CFG0 EQU 0x40004212 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_CFG1 +CYDEV_FASTCLK_XMHZ_CFG1 EQU 0x40004213 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_BASE +CYDEV_FASTCLK_PLL_BASE EQU 0x40004220 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_SIZE +CYDEV_FASTCLK_PLL_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_CFG0 +CYDEV_FASTCLK_PLL_CFG0 EQU 0x40004220 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_CFG1 +CYDEV_FASTCLK_PLL_CFG1 EQU 0x40004221 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_P +CYDEV_FASTCLK_PLL_P EQU 0x40004222 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_Q +CYDEV_FASTCLK_PLL_Q EQU 0x40004223 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_SR +CYDEV_FASTCLK_PLL_SR EQU 0x40004225 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_BASE +CYDEV_SLOWCLK_BASE EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_SIZE +CYDEV_SLOWCLK_SIZE EQU 0x0000000b + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_BASE +CYDEV_SLOWCLK_ILO_BASE EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_SIZE +CYDEV_SLOWCLK_ILO_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_CR0 +CYDEV_SLOWCLK_ILO_CR0 EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_CR1 +CYDEV_SLOWCLK_ILO_CR1 EQU 0x40004301 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_BASE +CYDEV_SLOWCLK_X32_BASE EQU 0x40004308 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_SIZE +CYDEV_SLOWCLK_X32_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_CR +CYDEV_SLOWCLK_X32_CR EQU 0x40004308 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_CFG +CYDEV_SLOWCLK_X32_CFG EQU 0x40004309 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_TST +CYDEV_SLOWCLK_X32_TST EQU 0x4000430a + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_BASE +CYDEV_BOOST_BASE EQU 0x40004320 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_SIZE +CYDEV_BOOST_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR0 +CYDEV_BOOST_CR0 EQU 0x40004320 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR1 +CYDEV_BOOST_CR1 EQU 0x40004321 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR2 +CYDEV_BOOST_CR2 EQU 0x40004322 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR3 +CYDEV_BOOST_CR3 EQU 0x40004323 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_SR +CYDEV_BOOST_SR EQU 0x40004324 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_CR4 +CYDEV_BOOST_CR4 EQU 0x40004325 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_SR2 +CYDEV_BOOST_SR2 EQU 0x40004326 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_BASE +CYDEV_PWRSYS_BASE EQU 0x40004330 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_SIZE +CYDEV_PWRSYS_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_CR0 +CYDEV_PWRSYS_CR0 EQU 0x40004330 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_CR1 +CYDEV_PWRSYS_CR1 EQU 0x40004331 + ENDIF + IF :LNOT::DEF:CYDEV_PM_BASE +CYDEV_PM_BASE EQU 0x40004380 + ENDIF + IF :LNOT::DEF:CYDEV_PM_SIZE +CYDEV_PM_SIZE EQU 0x00000057 + ENDIF + IF :LNOT::DEF:CYDEV_PM_TW_CFG0 +CYDEV_PM_TW_CFG0 EQU 0x40004380 + ENDIF + IF :LNOT::DEF:CYDEV_PM_TW_CFG1 +CYDEV_PM_TW_CFG1 EQU 0x40004381 + ENDIF + IF :LNOT::DEF:CYDEV_PM_TW_CFG2 +CYDEV_PM_TW_CFG2 EQU 0x40004382 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WDT_CFG +CYDEV_PM_WDT_CFG EQU 0x40004383 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WDT_CR +CYDEV_PM_WDT_CR EQU 0x40004384 + ENDIF + IF :LNOT::DEF:CYDEV_PM_INT_SR +CYDEV_PM_INT_SR EQU 0x40004390 + ENDIF + IF :LNOT::DEF:CYDEV_PM_MODE_CFG0 +CYDEV_PM_MODE_CFG0 EQU 0x40004391 + ENDIF + IF :LNOT::DEF:CYDEV_PM_MODE_CFG1 +CYDEV_PM_MODE_CFG1 EQU 0x40004392 + ENDIF + IF :LNOT::DEF:CYDEV_PM_MODE_CSR +CYDEV_PM_MODE_CSR EQU 0x40004393 + ENDIF + IF :LNOT::DEF:CYDEV_PM_USB_CR0 +CYDEV_PM_USB_CR0 EQU 0x40004394 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WAKEUP_CFG0 +CYDEV_PM_WAKEUP_CFG0 EQU 0x40004398 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WAKEUP_CFG1 +CYDEV_PM_WAKEUP_CFG1 EQU 0x40004399 + ENDIF + IF :LNOT::DEF:CYDEV_PM_WAKEUP_CFG2 +CYDEV_PM_WAKEUP_CFG2 EQU 0x4000439a + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_BASE +CYDEV_PM_ACT_BASE EQU 0x400043a0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_SIZE +CYDEV_PM_ACT_SIZE EQU 0x0000000e + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG0 +CYDEV_PM_ACT_CFG0 EQU 0x400043a0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG1 +CYDEV_PM_ACT_CFG1 EQU 0x400043a1 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG2 +CYDEV_PM_ACT_CFG2 EQU 0x400043a2 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG3 +CYDEV_PM_ACT_CFG3 EQU 0x400043a3 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG4 +CYDEV_PM_ACT_CFG4 EQU 0x400043a4 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG5 +CYDEV_PM_ACT_CFG5 EQU 0x400043a5 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG6 +CYDEV_PM_ACT_CFG6 EQU 0x400043a6 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG7 +CYDEV_PM_ACT_CFG7 EQU 0x400043a7 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG8 +CYDEV_PM_ACT_CFG8 EQU 0x400043a8 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG9 +CYDEV_PM_ACT_CFG9 EQU 0x400043a9 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG10 +CYDEV_PM_ACT_CFG10 EQU 0x400043aa + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG11 +CYDEV_PM_ACT_CFG11 EQU 0x400043ab + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG12 +CYDEV_PM_ACT_CFG12 EQU 0x400043ac + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_CFG13 +CYDEV_PM_ACT_CFG13 EQU 0x400043ad + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_BASE +CYDEV_PM_STBY_BASE EQU 0x400043b0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_SIZE +CYDEV_PM_STBY_SIZE EQU 0x0000000e + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG0 +CYDEV_PM_STBY_CFG0 EQU 0x400043b0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG1 +CYDEV_PM_STBY_CFG1 EQU 0x400043b1 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG2 +CYDEV_PM_STBY_CFG2 EQU 0x400043b2 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG3 +CYDEV_PM_STBY_CFG3 EQU 0x400043b3 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG4 +CYDEV_PM_STBY_CFG4 EQU 0x400043b4 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG5 +CYDEV_PM_STBY_CFG5 EQU 0x400043b5 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG6 +CYDEV_PM_STBY_CFG6 EQU 0x400043b6 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG7 +CYDEV_PM_STBY_CFG7 EQU 0x400043b7 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG8 +CYDEV_PM_STBY_CFG8 EQU 0x400043b8 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG9 +CYDEV_PM_STBY_CFG9 EQU 0x400043b9 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG10 +CYDEV_PM_STBY_CFG10 EQU 0x400043ba + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG11 +CYDEV_PM_STBY_CFG11 EQU 0x400043bb + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG12 +CYDEV_PM_STBY_CFG12 EQU 0x400043bc + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_CFG13 +CYDEV_PM_STBY_CFG13 EQU 0x400043bd + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_BASE +CYDEV_PM_AVAIL_BASE EQU 0x400043c0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SIZE +CYDEV_PM_AVAIL_SIZE EQU 0x00000017 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR0 +CYDEV_PM_AVAIL_CR0 EQU 0x400043c0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR1 +CYDEV_PM_AVAIL_CR1 EQU 0x400043c1 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR2 +CYDEV_PM_AVAIL_CR2 EQU 0x400043c2 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR3 +CYDEV_PM_AVAIL_CR3 EQU 0x400043c3 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR4 +CYDEV_PM_AVAIL_CR4 EQU 0x400043c4 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR5 +CYDEV_PM_AVAIL_CR5 EQU 0x400043c5 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_CR6 +CYDEV_PM_AVAIL_CR6 EQU 0x400043c6 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR0 +CYDEV_PM_AVAIL_SR0 EQU 0x400043d0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR1 +CYDEV_PM_AVAIL_SR1 EQU 0x400043d1 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR2 +CYDEV_PM_AVAIL_SR2 EQU 0x400043d2 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR3 +CYDEV_PM_AVAIL_SR3 EQU 0x400043d3 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR4 +CYDEV_PM_AVAIL_SR4 EQU 0x400043d4 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR5 +CYDEV_PM_AVAIL_SR5 EQU 0x400043d5 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SR6 +CYDEV_PM_AVAIL_SR6 EQU 0x400043d6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_BASE +CYDEV_PICU_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SIZE +CYDEV_PICU_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_BASE +CYDEV_PICU_INTTYPE_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_SIZE +CYDEV_PICU_INTTYPE_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_BASE +CYDEV_PICU_INTTYPE_PICU0_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_SIZE +CYDEV_PICU_INTTYPE_PICU0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE0 EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE1 EQU 0x40004501 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE2 EQU 0x40004502 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE3 EQU 0x40004503 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE4 EQU 0x40004504 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE5 EQU 0x40004505 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE6 EQU 0x40004506 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU0_INTTYPE7 EQU 0x40004507 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_BASE +CYDEV_PICU_INTTYPE_PICU1_BASE EQU 0x40004508 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_SIZE +CYDEV_PICU_INTTYPE_PICU1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE0 EQU 0x40004508 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE1 EQU 0x40004509 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE2 EQU 0x4000450a + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE3 EQU 0x4000450b + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE4 EQU 0x4000450c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE5 EQU 0x4000450d + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE6 EQU 0x4000450e + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU1_INTTYPE7 EQU 0x4000450f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_BASE +CYDEV_PICU_INTTYPE_PICU2_BASE EQU 0x40004510 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_SIZE +CYDEV_PICU_INTTYPE_PICU2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE0 EQU 0x40004510 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE1 EQU 0x40004511 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE2 EQU 0x40004512 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE3 EQU 0x40004513 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE4 EQU 0x40004514 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE5 EQU 0x40004515 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE6 EQU 0x40004516 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU2_INTTYPE7 EQU 0x40004517 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_BASE +CYDEV_PICU_INTTYPE_PICU3_BASE EQU 0x40004518 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_SIZE +CYDEV_PICU_INTTYPE_PICU3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE0 EQU 0x40004518 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE1 EQU 0x40004519 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE2 EQU 0x4000451a + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE3 EQU 0x4000451b + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE4 EQU 0x4000451c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE5 EQU 0x4000451d + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE6 EQU 0x4000451e + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU3_INTTYPE7 EQU 0x4000451f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_BASE +CYDEV_PICU_INTTYPE_PICU4_BASE EQU 0x40004520 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_SIZE +CYDEV_PICU_INTTYPE_PICU4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE0 EQU 0x40004520 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE1 EQU 0x40004521 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE2 EQU 0x40004522 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE3 EQU 0x40004523 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE4 EQU 0x40004524 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE5 EQU 0x40004525 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE6 EQU 0x40004526 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU4_INTTYPE7 EQU 0x40004527 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_BASE +CYDEV_PICU_INTTYPE_PICU5_BASE EQU 0x40004528 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_SIZE +CYDEV_PICU_INTTYPE_PICU5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE0 EQU 0x40004528 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE1 EQU 0x40004529 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE2 EQU 0x4000452a + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE3 EQU 0x4000452b + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE4 EQU 0x4000452c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE5 EQU 0x4000452d + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE6 EQU 0x4000452e + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU5_INTTYPE7 EQU 0x4000452f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_BASE +CYDEV_PICU_INTTYPE_PICU6_BASE EQU 0x40004530 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_SIZE +CYDEV_PICU_INTTYPE_PICU6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE0 EQU 0x40004530 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE1 EQU 0x40004531 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE2 EQU 0x40004532 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE3 EQU 0x40004533 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE4 EQU 0x40004534 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE5 EQU 0x40004535 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE6 EQU 0x40004536 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU6_INTTYPE7 EQU 0x40004537 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_BASE +CYDEV_PICU_INTTYPE_PICU12_BASE EQU 0x40004560 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_SIZE +CYDEV_PICU_INTTYPE_PICU12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE0 EQU 0x40004560 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE1 EQU 0x40004561 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE2 EQU 0x40004562 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE3 EQU 0x40004563 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE4 EQU 0x40004564 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE5 EQU 0x40004565 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE6 EQU 0x40004566 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU12_INTTYPE7 EQU 0x40004567 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_BASE +CYDEV_PICU_INTTYPE_PICU15_BASE EQU 0x40004578 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_SIZE +CYDEV_PICU_INTTYPE_PICU15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE0 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE0 EQU 0x40004578 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE1 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE1 EQU 0x40004579 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE2 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE2 EQU 0x4000457a + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE3 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE3 EQU 0x4000457b + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE4 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE4 EQU 0x4000457c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE5 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE5 EQU 0x4000457d + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE6 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE6 EQU 0x4000457e + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_INTTYPE7 +CYDEV_PICU_INTTYPE_PICU15_INTTYPE7 EQU 0x4000457f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_BASE +CYDEV_PICU_STAT_BASE EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_SIZE +CYDEV_PICU_STAT_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_BASE +CYDEV_PICU_STAT_PICU0_BASE EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_SIZE +CYDEV_PICU_STAT_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_INTSTAT +CYDEV_PICU_STAT_PICU0_INTSTAT EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_BASE +CYDEV_PICU_STAT_PICU1_BASE EQU 0x40004581 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_SIZE +CYDEV_PICU_STAT_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_INTSTAT +CYDEV_PICU_STAT_PICU1_INTSTAT EQU 0x40004581 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_BASE +CYDEV_PICU_STAT_PICU2_BASE EQU 0x40004582 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_SIZE +CYDEV_PICU_STAT_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_INTSTAT +CYDEV_PICU_STAT_PICU2_INTSTAT EQU 0x40004582 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_BASE +CYDEV_PICU_STAT_PICU3_BASE EQU 0x40004583 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_SIZE +CYDEV_PICU_STAT_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_INTSTAT +CYDEV_PICU_STAT_PICU3_INTSTAT EQU 0x40004583 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_BASE +CYDEV_PICU_STAT_PICU4_BASE EQU 0x40004584 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_SIZE +CYDEV_PICU_STAT_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_INTSTAT +CYDEV_PICU_STAT_PICU4_INTSTAT EQU 0x40004584 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_BASE +CYDEV_PICU_STAT_PICU5_BASE EQU 0x40004585 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_SIZE +CYDEV_PICU_STAT_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_INTSTAT +CYDEV_PICU_STAT_PICU5_INTSTAT EQU 0x40004585 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_BASE +CYDEV_PICU_STAT_PICU6_BASE EQU 0x40004586 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_SIZE +CYDEV_PICU_STAT_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_INTSTAT +CYDEV_PICU_STAT_PICU6_INTSTAT EQU 0x40004586 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_BASE +CYDEV_PICU_STAT_PICU12_BASE EQU 0x4000458c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_SIZE +CYDEV_PICU_STAT_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_INTSTAT +CYDEV_PICU_STAT_PICU12_INTSTAT EQU 0x4000458c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_BASE +CYDEV_PICU_STAT_PICU15_BASE EQU 0x4000458f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_SIZE +CYDEV_PICU_STAT_PICU15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_INTSTAT +CYDEV_PICU_STAT_PICU15_INTSTAT EQU 0x4000458f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_BASE +CYDEV_PICU_SNAP_BASE EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_SIZE +CYDEV_PICU_SNAP_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_BASE +CYDEV_PICU_SNAP_PICU0_BASE EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_SIZE +CYDEV_PICU_SNAP_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_SNAP +CYDEV_PICU_SNAP_PICU0_SNAP EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_BASE +CYDEV_PICU_SNAP_PICU1_BASE EQU 0x40004591 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_SIZE +CYDEV_PICU_SNAP_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_SNAP +CYDEV_PICU_SNAP_PICU1_SNAP EQU 0x40004591 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_BASE +CYDEV_PICU_SNAP_PICU2_BASE EQU 0x40004592 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_SIZE +CYDEV_PICU_SNAP_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_SNAP +CYDEV_PICU_SNAP_PICU2_SNAP EQU 0x40004592 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_BASE +CYDEV_PICU_SNAP_PICU3_BASE EQU 0x40004593 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_SIZE +CYDEV_PICU_SNAP_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_SNAP +CYDEV_PICU_SNAP_PICU3_SNAP EQU 0x40004593 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_BASE +CYDEV_PICU_SNAP_PICU4_BASE EQU 0x40004594 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_SIZE +CYDEV_PICU_SNAP_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_SNAP +CYDEV_PICU_SNAP_PICU4_SNAP EQU 0x40004594 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_BASE +CYDEV_PICU_SNAP_PICU5_BASE EQU 0x40004595 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_SIZE +CYDEV_PICU_SNAP_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_SNAP +CYDEV_PICU_SNAP_PICU5_SNAP EQU 0x40004595 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_BASE +CYDEV_PICU_SNAP_PICU6_BASE EQU 0x40004596 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_SIZE +CYDEV_PICU_SNAP_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_SNAP +CYDEV_PICU_SNAP_PICU6_SNAP EQU 0x40004596 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_BASE +CYDEV_PICU_SNAP_PICU12_BASE EQU 0x4000459c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_SIZE +CYDEV_PICU_SNAP_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_SNAP +CYDEV_PICU_SNAP_PICU12_SNAP EQU 0x4000459c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_BASE +CYDEV_PICU_SNAP_PICU_15_BASE EQU 0x4000459f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_SIZE +CYDEV_PICU_SNAP_PICU_15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_SNAP_15 +CYDEV_PICU_SNAP_PICU_15_SNAP_15 EQU 0x4000459f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_BASE +CYDEV_PICU_DISABLE_COR_BASE EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_SIZE +CYDEV_PICU_DISABLE_COR_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_BASE +CYDEV_PICU_DISABLE_COR_PICU0_BASE EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_SIZE +CYDEV_PICU_DISABLE_COR_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU0_DISABLE_COR EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_BASE +CYDEV_PICU_DISABLE_COR_PICU1_BASE EQU 0x400045a1 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_SIZE +CYDEV_PICU_DISABLE_COR_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU1_DISABLE_COR EQU 0x400045a1 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_BASE +CYDEV_PICU_DISABLE_COR_PICU2_BASE EQU 0x400045a2 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_SIZE +CYDEV_PICU_DISABLE_COR_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU2_DISABLE_COR EQU 0x400045a2 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_BASE +CYDEV_PICU_DISABLE_COR_PICU3_BASE EQU 0x400045a3 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_SIZE +CYDEV_PICU_DISABLE_COR_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU3_DISABLE_COR EQU 0x400045a3 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_BASE +CYDEV_PICU_DISABLE_COR_PICU4_BASE EQU 0x400045a4 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_SIZE +CYDEV_PICU_DISABLE_COR_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU4_DISABLE_COR EQU 0x400045a4 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_BASE +CYDEV_PICU_DISABLE_COR_PICU5_BASE EQU 0x400045a5 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_SIZE +CYDEV_PICU_DISABLE_COR_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU5_DISABLE_COR EQU 0x400045a5 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_BASE +CYDEV_PICU_DISABLE_COR_PICU6_BASE EQU 0x400045a6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_SIZE +CYDEV_PICU_DISABLE_COR_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU6_DISABLE_COR EQU 0x400045a6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_BASE +CYDEV_PICU_DISABLE_COR_PICU12_BASE EQU 0x400045ac + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_SIZE +CYDEV_PICU_DISABLE_COR_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU12_DISABLE_COR EQU 0x400045ac + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_BASE +CYDEV_PICU_DISABLE_COR_PICU15_BASE EQU 0x400045af + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_SIZE +CYDEV_PICU_DISABLE_COR_PICU15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR +CYDEV_PICU_DISABLE_COR_PICU15_DISABLE_COR EQU 0x400045af + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_BASE +CYDEV_MFGCFG_BASE EQU 0x40004600 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_SIZE +CYDEV_MFGCFG_SIZE EQU 0x000000ed + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_BASE +CYDEV_MFGCFG_ANAIF_BASE EQU 0x40004600 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SIZE +CYDEV_MFGCFG_ANAIF_SIZE EQU 0x00000038 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_BASE +CYDEV_MFGCFG_ANAIF_DAC0_BASE EQU 0x40004608 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_SIZE +CYDEV_MFGCFG_ANAIF_DAC0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_TR +CYDEV_MFGCFG_ANAIF_DAC0_TR EQU 0x40004608 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_BASE +CYDEV_MFGCFG_ANAIF_DAC1_BASE EQU 0x40004609 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_SIZE +CYDEV_MFGCFG_ANAIF_DAC1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_TR +CYDEV_MFGCFG_ANAIF_DAC1_TR EQU 0x40004609 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_BASE +CYDEV_MFGCFG_ANAIF_DAC2_BASE EQU 0x4000460a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_SIZE +CYDEV_MFGCFG_ANAIF_DAC2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_TR +CYDEV_MFGCFG_ANAIF_DAC2_TR EQU 0x4000460a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_BASE +CYDEV_MFGCFG_ANAIF_DAC3_BASE EQU 0x4000460b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_SIZE +CYDEV_MFGCFG_ANAIF_DAC3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_TR +CYDEV_MFGCFG_ANAIF_DAC3_TR EQU 0x4000460b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE EQU 0x40004610 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0 +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_TR0 EQU 0x40004610 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE EQU 0x40004611 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0 +CYDEV_MFGCFG_ANAIF_NPUMP_SC_TR0 EQU 0x40004611 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE EQU 0x40004612 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0 +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_TR0 EQU 0x40004612 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_BASE +CYDEV_MFGCFG_ANAIF_SAR0_BASE EQU 0x40004614 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_SIZE +CYDEV_MFGCFG_ANAIF_SAR0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_TR0 +CYDEV_MFGCFG_ANAIF_SAR0_TR0 EQU 0x40004614 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_BASE +CYDEV_MFGCFG_ANAIF_SAR1_BASE EQU 0x40004616 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_SIZE +CYDEV_MFGCFG_ANAIF_SAR1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_TR0 +CYDEV_MFGCFG_ANAIF_SAR1_TR0 EQU 0x40004616 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_BASE +CYDEV_MFGCFG_ANAIF_OPAMP0_BASE EQU 0x40004620 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_TR0 +CYDEV_MFGCFG_ANAIF_OPAMP0_TR0 EQU 0x40004620 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_TR1 +CYDEV_MFGCFG_ANAIF_OPAMP0_TR1 EQU 0x40004621 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_BASE +CYDEV_MFGCFG_ANAIF_OPAMP1_BASE EQU 0x40004622 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_TR0 +CYDEV_MFGCFG_ANAIF_OPAMP1_TR0 EQU 0x40004622 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_TR1 +CYDEV_MFGCFG_ANAIF_OPAMP1_TR1 EQU 0x40004623 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_BASE +CYDEV_MFGCFG_ANAIF_OPAMP2_BASE EQU 0x40004624 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_TR0 +CYDEV_MFGCFG_ANAIF_OPAMP2_TR0 EQU 0x40004624 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_TR1 +CYDEV_MFGCFG_ANAIF_OPAMP2_TR1 EQU 0x40004625 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_BASE +CYDEV_MFGCFG_ANAIF_OPAMP3_BASE EQU 0x40004626 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_TR0 +CYDEV_MFGCFG_ANAIF_OPAMP3_TR0 EQU 0x40004626 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_TR1 +CYDEV_MFGCFG_ANAIF_OPAMP3_TR1 EQU 0x40004627 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_BASE +CYDEV_MFGCFG_ANAIF_CMP0_BASE EQU 0x40004630 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_SIZE +CYDEV_MFGCFG_ANAIF_CMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_TR0 +CYDEV_MFGCFG_ANAIF_CMP0_TR0 EQU 0x40004630 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_TR1 +CYDEV_MFGCFG_ANAIF_CMP0_TR1 EQU 0x40004631 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_BASE +CYDEV_MFGCFG_ANAIF_CMP1_BASE EQU 0x40004632 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_SIZE +CYDEV_MFGCFG_ANAIF_CMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_TR0 +CYDEV_MFGCFG_ANAIF_CMP1_TR0 EQU 0x40004632 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_TR1 +CYDEV_MFGCFG_ANAIF_CMP1_TR1 EQU 0x40004633 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_BASE +CYDEV_MFGCFG_ANAIF_CMP2_BASE EQU 0x40004634 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_SIZE +CYDEV_MFGCFG_ANAIF_CMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_TR0 +CYDEV_MFGCFG_ANAIF_CMP2_TR0 EQU 0x40004634 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_TR1 +CYDEV_MFGCFG_ANAIF_CMP2_TR1 EQU 0x40004635 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_BASE +CYDEV_MFGCFG_ANAIF_CMP3_BASE EQU 0x40004636 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_SIZE +CYDEV_MFGCFG_ANAIF_CMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_TR0 +CYDEV_MFGCFG_ANAIF_CMP3_TR0 EQU 0x40004636 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_TR1 +CYDEV_MFGCFG_ANAIF_CMP3_TR1 EQU 0x40004637 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BASE +CYDEV_MFGCFG_PWRSYS_BASE EQU 0x40004680 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_SIZE +CYDEV_MFGCFG_PWRSYS_SIZE EQU 0x0000000b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_HIB_TR0 +CYDEV_MFGCFG_PWRSYS_HIB_TR0 EQU 0x40004680 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_HIB_TR1 +CYDEV_MFGCFG_PWRSYS_HIB_TR1 EQU 0x40004681 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_I2C_TR +CYDEV_MFGCFG_PWRSYS_I2C_TR EQU 0x40004682 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_SLP_TR +CYDEV_MFGCFG_PWRSYS_SLP_TR EQU 0x40004683 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BUZZ_TR +CYDEV_MFGCFG_PWRSYS_BUZZ_TR EQU 0x40004684 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_WAKE_TR0 +CYDEV_MFGCFG_PWRSYS_WAKE_TR0 EQU 0x40004685 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_WAKE_TR1 +CYDEV_MFGCFG_PWRSYS_WAKE_TR1 EQU 0x40004686 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BREF_TR +CYDEV_MFGCFG_PWRSYS_BREF_TR EQU 0x40004687 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BG_TR +CYDEV_MFGCFG_PWRSYS_BG_TR EQU 0x40004688 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_WAKE_TR2 +CYDEV_MFGCFG_PWRSYS_WAKE_TR2 EQU 0x40004689 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_WAKE_TR3 +CYDEV_MFGCFG_PWRSYS_WAKE_TR3 EQU 0x4000468a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_BASE +CYDEV_MFGCFG_ILO_BASE EQU 0x40004690 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_SIZE +CYDEV_MFGCFG_ILO_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_TR0 +CYDEV_MFGCFG_ILO_TR0 EQU 0x40004690 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_TR1 +CYDEV_MFGCFG_ILO_TR1 EQU 0x40004691 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_BASE +CYDEV_MFGCFG_X32_BASE EQU 0x40004698 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_SIZE +CYDEV_MFGCFG_X32_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_TR +CYDEV_MFGCFG_X32_TR EQU 0x40004698 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_BASE +CYDEV_MFGCFG_IMO_BASE EQU 0x400046a0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_SIZE +CYDEV_MFGCFG_IMO_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_TR0 +CYDEV_MFGCFG_IMO_TR0 EQU 0x400046a0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_TR1 +CYDEV_MFGCFG_IMO_TR1 EQU 0x400046a1 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_GAIN +CYDEV_MFGCFG_IMO_GAIN EQU 0x400046a2 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_C36M +CYDEV_MFGCFG_IMO_C36M EQU 0x400046a3 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_TR2 +CYDEV_MFGCFG_IMO_TR2 EQU 0x400046a4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_BASE +CYDEV_MFGCFG_XMHZ_BASE EQU 0x400046a8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_SIZE +CYDEV_MFGCFG_XMHZ_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_TR +CYDEV_MFGCFG_XMHZ_TR EQU 0x400046a8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_DLY +CYDEV_MFGCFG_DLY EQU 0x400046c0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_BASE +CYDEV_MFGCFG_MLOGIC_BASE EQU 0x400046e0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SIZE +CYDEV_MFGCFG_MLOGIC_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_DMPSTR +CYDEV_MFGCFG_MLOGIC_DMPSTR EQU 0x400046e2 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_BASE +CYDEV_MFGCFG_MLOGIC_SEG_BASE EQU 0x400046e4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_SIZE +CYDEV_MFGCFG_MLOGIC_SEG_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_CR +CYDEV_MFGCFG_MLOGIC_SEG_CR EQU 0x400046e4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_CFG0 +CYDEV_MFGCFG_MLOGIC_SEG_CFG0 EQU 0x400046e5 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_DEBUG +CYDEV_MFGCFG_MLOGIC_DEBUG EQU 0x400046e8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE +CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE EQU 0x400046ea + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE +CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR +CYDEV_MFGCFG_MLOGIC_CPU_SCR_CPU_SCR EQU 0x400046ea + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_REV_ID +CYDEV_MFGCFG_MLOGIC_REV_ID EQU 0x400046ec + ENDIF + IF :LNOT::DEF:CYDEV_RESET_BASE +CYDEV_RESET_BASE EQU 0x400046f0 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SIZE +CYDEV_RESET_SIZE EQU 0x0000000f + ENDIF + IF :LNOT::DEF:CYDEV_RESET_IPOR_CR0 +CYDEV_RESET_IPOR_CR0 EQU 0x400046f0 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_IPOR_CR1 +CYDEV_RESET_IPOR_CR1 EQU 0x400046f1 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_IPOR_CR2 +CYDEV_RESET_IPOR_CR2 EQU 0x400046f2 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_IPOR_CR3 +CYDEV_RESET_IPOR_CR3 EQU 0x400046f3 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR0 +CYDEV_RESET_CR0 EQU 0x400046f4 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR1 +CYDEV_RESET_CR1 EQU 0x400046f5 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR2 +CYDEV_RESET_CR2 EQU 0x400046f6 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR3 +CYDEV_RESET_CR3 EQU 0x400046f7 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR4 +CYDEV_RESET_CR4 EQU 0x400046f8 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_CR5 +CYDEV_RESET_CR5 EQU 0x400046f9 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SR0 +CYDEV_RESET_SR0 EQU 0x400046fa + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SR1 +CYDEV_RESET_SR1 EQU 0x400046fb + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SR2 +CYDEV_RESET_SR2 EQU 0x400046fc + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SR3 +CYDEV_RESET_SR3 EQU 0x400046fd + ENDIF + IF :LNOT::DEF:CYDEV_RESET_TR +CYDEV_RESET_TR EQU 0x400046fe + ENDIF + IF :LNOT::DEF:CYDEV_SPC_BASE +CYDEV_SPC_BASE EQU 0x40004700 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_SIZE +CYDEV_SPC_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_FM_EE_CR +CYDEV_SPC_FM_EE_CR EQU 0x40004700 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_FM_EE_WAKE_CNT +CYDEV_SPC_FM_EE_WAKE_CNT EQU 0x40004701 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_EE_SCR +CYDEV_SPC_EE_SCR EQU 0x40004702 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_EE_ERR +CYDEV_SPC_EE_ERR EQU 0x40004703 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_CPU_DATA +CYDEV_SPC_CPU_DATA EQU 0x40004720 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMA_DATA +CYDEV_SPC_DMA_DATA EQU 0x40004721 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_SR +CYDEV_SPC_SR EQU 0x40004722 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_CR +CYDEV_SPC_CR EQU 0x40004723 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_BASE +CYDEV_SPC_DMM_MAP_BASE EQU 0x40004780 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_SIZE +CYDEV_SPC_DMM_MAP_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_SRAM_MBASE +CYDEV_SPC_DMM_MAP_SRAM_MBASE EQU 0x40004780 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_SRAM_MSIZE +CYDEV_SPC_DMM_MAP_SRAM_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_BASE +CYDEV_CACHE_BASE EQU 0x40004800 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_SIZE +CYDEV_CACHE_SIZE EQU 0x0000009c + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_CC_CTL +CYDEV_CACHE_CC_CTL EQU 0x40004800 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_ECC_CORR +CYDEV_CACHE_ECC_CORR EQU 0x40004880 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_ECC_ERR +CYDEV_CACHE_ECC_ERR EQU 0x40004888 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_FLASH_ERR +CYDEV_CACHE_FLASH_ERR EQU 0x40004890 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_HITMISS +CYDEV_CACHE_HITMISS EQU 0x40004898 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_BASE +CYDEV_I2C_BASE EQU 0x40004900 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_SIZE +CYDEV_I2C_SIZE EQU 0x000000e1 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_XCFG +CYDEV_I2C_XCFG EQU 0x400049c8 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_ADR +CYDEV_I2C_ADR EQU 0x400049ca + ENDIF + IF :LNOT::DEF:CYDEV_I2C_CFG +CYDEV_I2C_CFG EQU 0x400049d6 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_CSR +CYDEV_I2C_CSR EQU 0x400049d7 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_D +CYDEV_I2C_D EQU 0x400049d8 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_MCSR +CYDEV_I2C_MCSR EQU 0x400049d9 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_CLK_DIV1 +CYDEV_I2C_CLK_DIV1 EQU 0x400049db + ENDIF + IF :LNOT::DEF:CYDEV_I2C_CLK_DIV2 +CYDEV_I2C_CLK_DIV2 EQU 0x400049dc + ENDIF + IF :LNOT::DEF:CYDEV_I2C_TMOUT_CSR +CYDEV_I2C_TMOUT_CSR EQU 0x400049dd + ENDIF + IF :LNOT::DEF:CYDEV_I2C_TMOUT_SR +CYDEV_I2C_TMOUT_SR EQU 0x400049de + ENDIF + IF :LNOT::DEF:CYDEV_I2C_TMOUT_CFG0 +CYDEV_I2C_TMOUT_CFG0 EQU 0x400049df + ENDIF + IF :LNOT::DEF:CYDEV_I2C_TMOUT_CFG1 +CYDEV_I2C_TMOUT_CFG1 EQU 0x400049e0 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_BASE +CYDEV_DEC_BASE EQU 0x40004e00 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SIZE +CYDEV_DEC_SIZE EQU 0x00000015 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_CR +CYDEV_DEC_CR EQU 0x40004e00 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SR +CYDEV_DEC_SR EQU 0x40004e01 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SHIFT1 +CYDEV_DEC_SHIFT1 EQU 0x40004e02 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SHIFT2 +CYDEV_DEC_SHIFT2 EQU 0x40004e03 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_DR2 +CYDEV_DEC_DR2 EQU 0x40004e04 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_DR2H +CYDEV_DEC_DR2H EQU 0x40004e05 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_DR1 +CYDEV_DEC_DR1 EQU 0x40004e06 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OCOR +CYDEV_DEC_OCOR EQU 0x40004e08 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OCORM +CYDEV_DEC_OCORM EQU 0x40004e09 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OCORH +CYDEV_DEC_OCORH EQU 0x40004e0a + ENDIF + IF :LNOT::DEF:CYDEV_DEC_GCOR +CYDEV_DEC_GCOR EQU 0x40004e0c + ENDIF + IF :LNOT::DEF:CYDEV_DEC_GCORH +CYDEV_DEC_GCORH EQU 0x40004e0d + ENDIF + IF :LNOT::DEF:CYDEV_DEC_GVAL +CYDEV_DEC_GVAL EQU 0x40004e0e + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OUTSAMP +CYDEV_DEC_OUTSAMP EQU 0x40004e10 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OUTSAMPM +CYDEV_DEC_OUTSAMPM EQU 0x40004e11 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OUTSAMPH +CYDEV_DEC_OUTSAMPH EQU 0x40004e12 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_OUTSAMPS +CYDEV_DEC_OUTSAMPS EQU 0x40004e13 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_COHER +CYDEV_DEC_COHER EQU 0x40004e14 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_BASE +CYDEV_TMR0_BASE EQU 0x40004f00 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_SIZE +CYDEV_TMR0_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CFG0 +CYDEV_TMR0_CFG0 EQU 0x40004f00 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CFG1 +CYDEV_TMR0_CFG1 EQU 0x40004f01 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CFG2 +CYDEV_TMR0_CFG2 EQU 0x40004f02 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_SR0 +CYDEV_TMR0_SR0 EQU 0x40004f03 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_PER0 +CYDEV_TMR0_PER0 EQU 0x40004f04 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_PER1 +CYDEV_TMR0_PER1 EQU 0x40004f05 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CNT_CMP0 +CYDEV_TMR0_CNT_CMP0 EQU 0x40004f06 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CNT_CMP1 +CYDEV_TMR0_CNT_CMP1 EQU 0x40004f07 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CAP0 +CYDEV_TMR0_CAP0 EQU 0x40004f08 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_CAP1 +CYDEV_TMR0_CAP1 EQU 0x40004f09 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_RT0 +CYDEV_TMR0_RT0 EQU 0x40004f0a + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_RT1 +CYDEV_TMR0_RT1 EQU 0x40004f0b + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_BASE +CYDEV_TMR1_BASE EQU 0x40004f0c + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_SIZE +CYDEV_TMR1_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CFG0 +CYDEV_TMR1_CFG0 EQU 0x40004f0c + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CFG1 +CYDEV_TMR1_CFG1 EQU 0x40004f0d + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CFG2 +CYDEV_TMR1_CFG2 EQU 0x40004f0e + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_SR0 +CYDEV_TMR1_SR0 EQU 0x40004f0f + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_PER0 +CYDEV_TMR1_PER0 EQU 0x40004f10 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_PER1 +CYDEV_TMR1_PER1 EQU 0x40004f11 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CNT_CMP0 +CYDEV_TMR1_CNT_CMP0 EQU 0x40004f12 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CNT_CMP1 +CYDEV_TMR1_CNT_CMP1 EQU 0x40004f13 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CAP0 +CYDEV_TMR1_CAP0 EQU 0x40004f14 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_CAP1 +CYDEV_TMR1_CAP1 EQU 0x40004f15 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_RT0 +CYDEV_TMR1_RT0 EQU 0x40004f16 + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_RT1 +CYDEV_TMR1_RT1 EQU 0x40004f17 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_BASE +CYDEV_TMR2_BASE EQU 0x40004f18 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_SIZE +CYDEV_TMR2_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CFG0 +CYDEV_TMR2_CFG0 EQU 0x40004f18 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CFG1 +CYDEV_TMR2_CFG1 EQU 0x40004f19 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CFG2 +CYDEV_TMR2_CFG2 EQU 0x40004f1a + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_SR0 +CYDEV_TMR2_SR0 EQU 0x40004f1b + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_PER0 +CYDEV_TMR2_PER0 EQU 0x40004f1c + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_PER1 +CYDEV_TMR2_PER1 EQU 0x40004f1d + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CNT_CMP0 +CYDEV_TMR2_CNT_CMP0 EQU 0x40004f1e + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CNT_CMP1 +CYDEV_TMR2_CNT_CMP1 EQU 0x40004f1f + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CAP0 +CYDEV_TMR2_CAP0 EQU 0x40004f20 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_CAP1 +CYDEV_TMR2_CAP1 EQU 0x40004f21 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_RT0 +CYDEV_TMR2_RT0 EQU 0x40004f22 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_RT1 +CYDEV_TMR2_RT1 EQU 0x40004f23 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_BASE +CYDEV_TMR3_BASE EQU 0x40004f24 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_SIZE +CYDEV_TMR3_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CFG0 +CYDEV_TMR3_CFG0 EQU 0x40004f24 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CFG1 +CYDEV_TMR3_CFG1 EQU 0x40004f25 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CFG2 +CYDEV_TMR3_CFG2 EQU 0x40004f26 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_SR0 +CYDEV_TMR3_SR0 EQU 0x40004f27 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_PER0 +CYDEV_TMR3_PER0 EQU 0x40004f28 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_PER1 +CYDEV_TMR3_PER1 EQU 0x40004f29 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CNT_CMP0 +CYDEV_TMR3_CNT_CMP0 EQU 0x40004f2a + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CNT_CMP1 +CYDEV_TMR3_CNT_CMP1 EQU 0x40004f2b + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CAP0 +CYDEV_TMR3_CAP0 EQU 0x40004f2c + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_CAP1 +CYDEV_TMR3_CAP1 EQU 0x40004f2d + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_RT0 +CYDEV_TMR3_RT0 EQU 0x40004f2e + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_RT1 +CYDEV_TMR3_RT1 EQU 0x40004f2f + ENDIF + IF :LNOT::DEF:CYDEV_IO_BASE +CYDEV_IO_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_SIZE +CYDEV_IO_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_BASE +CYDEV_IO_PC_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_SIZE +CYDEV_IO_PC_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_BASE +CYDEV_IO_PC_PRT0_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_SIZE +CYDEV_IO_PC_PRT0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC0 +CYDEV_IO_PC_PRT0_PC0 EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC1 +CYDEV_IO_PC_PRT0_PC1 EQU 0x40005001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC2 +CYDEV_IO_PC_PRT0_PC2 EQU 0x40005002 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC3 +CYDEV_IO_PC_PRT0_PC3 EQU 0x40005003 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC4 +CYDEV_IO_PC_PRT0_PC4 EQU 0x40005004 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC5 +CYDEV_IO_PC_PRT0_PC5 EQU 0x40005005 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC6 +CYDEV_IO_PC_PRT0_PC6 EQU 0x40005006 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_PC7 +CYDEV_IO_PC_PRT0_PC7 EQU 0x40005007 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_BASE +CYDEV_IO_PC_PRT1_BASE EQU 0x40005008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_SIZE +CYDEV_IO_PC_PRT1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC0 +CYDEV_IO_PC_PRT1_PC0 EQU 0x40005008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC1 +CYDEV_IO_PC_PRT1_PC1 EQU 0x40005009 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC2 +CYDEV_IO_PC_PRT1_PC2 EQU 0x4000500a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC3 +CYDEV_IO_PC_PRT1_PC3 EQU 0x4000500b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC4 +CYDEV_IO_PC_PRT1_PC4 EQU 0x4000500c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC5 +CYDEV_IO_PC_PRT1_PC5 EQU 0x4000500d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC6 +CYDEV_IO_PC_PRT1_PC6 EQU 0x4000500e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_PC7 +CYDEV_IO_PC_PRT1_PC7 EQU 0x4000500f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_BASE +CYDEV_IO_PC_PRT2_BASE EQU 0x40005010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_SIZE +CYDEV_IO_PC_PRT2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC0 +CYDEV_IO_PC_PRT2_PC0 EQU 0x40005010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC1 +CYDEV_IO_PC_PRT2_PC1 EQU 0x40005011 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC2 +CYDEV_IO_PC_PRT2_PC2 EQU 0x40005012 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC3 +CYDEV_IO_PC_PRT2_PC3 EQU 0x40005013 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC4 +CYDEV_IO_PC_PRT2_PC4 EQU 0x40005014 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC5 +CYDEV_IO_PC_PRT2_PC5 EQU 0x40005015 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC6 +CYDEV_IO_PC_PRT2_PC6 EQU 0x40005016 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_PC7 +CYDEV_IO_PC_PRT2_PC7 EQU 0x40005017 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_BASE +CYDEV_IO_PC_PRT3_BASE EQU 0x40005018 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_SIZE +CYDEV_IO_PC_PRT3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC0 +CYDEV_IO_PC_PRT3_PC0 EQU 0x40005018 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC1 +CYDEV_IO_PC_PRT3_PC1 EQU 0x40005019 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC2 +CYDEV_IO_PC_PRT3_PC2 EQU 0x4000501a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC3 +CYDEV_IO_PC_PRT3_PC3 EQU 0x4000501b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC4 +CYDEV_IO_PC_PRT3_PC4 EQU 0x4000501c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC5 +CYDEV_IO_PC_PRT3_PC5 EQU 0x4000501d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC6 +CYDEV_IO_PC_PRT3_PC6 EQU 0x4000501e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_PC7 +CYDEV_IO_PC_PRT3_PC7 EQU 0x4000501f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_BASE +CYDEV_IO_PC_PRT4_BASE EQU 0x40005020 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_SIZE +CYDEV_IO_PC_PRT4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC0 +CYDEV_IO_PC_PRT4_PC0 EQU 0x40005020 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC1 +CYDEV_IO_PC_PRT4_PC1 EQU 0x40005021 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC2 +CYDEV_IO_PC_PRT4_PC2 EQU 0x40005022 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC3 +CYDEV_IO_PC_PRT4_PC3 EQU 0x40005023 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC4 +CYDEV_IO_PC_PRT4_PC4 EQU 0x40005024 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC5 +CYDEV_IO_PC_PRT4_PC5 EQU 0x40005025 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC6 +CYDEV_IO_PC_PRT4_PC6 EQU 0x40005026 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_PC7 +CYDEV_IO_PC_PRT4_PC7 EQU 0x40005027 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_BASE +CYDEV_IO_PC_PRT5_BASE EQU 0x40005028 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_SIZE +CYDEV_IO_PC_PRT5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC0 +CYDEV_IO_PC_PRT5_PC0 EQU 0x40005028 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC1 +CYDEV_IO_PC_PRT5_PC1 EQU 0x40005029 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC2 +CYDEV_IO_PC_PRT5_PC2 EQU 0x4000502a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC3 +CYDEV_IO_PC_PRT5_PC3 EQU 0x4000502b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC4 +CYDEV_IO_PC_PRT5_PC4 EQU 0x4000502c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC5 +CYDEV_IO_PC_PRT5_PC5 EQU 0x4000502d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC6 +CYDEV_IO_PC_PRT5_PC6 EQU 0x4000502e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_PC7 +CYDEV_IO_PC_PRT5_PC7 EQU 0x4000502f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_BASE +CYDEV_IO_PC_PRT6_BASE EQU 0x40005030 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_SIZE +CYDEV_IO_PC_PRT6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC0 +CYDEV_IO_PC_PRT6_PC0 EQU 0x40005030 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC1 +CYDEV_IO_PC_PRT6_PC1 EQU 0x40005031 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC2 +CYDEV_IO_PC_PRT6_PC2 EQU 0x40005032 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC3 +CYDEV_IO_PC_PRT6_PC3 EQU 0x40005033 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC4 +CYDEV_IO_PC_PRT6_PC4 EQU 0x40005034 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC5 +CYDEV_IO_PC_PRT6_PC5 EQU 0x40005035 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC6 +CYDEV_IO_PC_PRT6_PC6 EQU 0x40005036 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_PC7 +CYDEV_IO_PC_PRT6_PC7 EQU 0x40005037 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_BASE +CYDEV_IO_PC_PRT12_BASE EQU 0x40005060 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_SIZE +CYDEV_IO_PC_PRT12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC0 +CYDEV_IO_PC_PRT12_PC0 EQU 0x40005060 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC1 +CYDEV_IO_PC_PRT12_PC1 EQU 0x40005061 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC2 +CYDEV_IO_PC_PRT12_PC2 EQU 0x40005062 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC3 +CYDEV_IO_PC_PRT12_PC3 EQU 0x40005063 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC4 +CYDEV_IO_PC_PRT12_PC4 EQU 0x40005064 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC5 +CYDEV_IO_PC_PRT12_PC5 EQU 0x40005065 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC6 +CYDEV_IO_PC_PRT12_PC6 EQU 0x40005066 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_PC7 +CYDEV_IO_PC_PRT12_PC7 EQU 0x40005067 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_BASE +CYDEV_IO_PC_PRT15_BASE EQU 0x40005078 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_SIZE +CYDEV_IO_PC_PRT15_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC0 +CYDEV_IO_PC_PRT15_PC0 EQU 0x40005078 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC1 +CYDEV_IO_PC_PRT15_PC1 EQU 0x40005079 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC2 +CYDEV_IO_PC_PRT15_PC2 EQU 0x4000507a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC3 +CYDEV_IO_PC_PRT15_PC3 EQU 0x4000507b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC4 +CYDEV_IO_PC_PRT15_PC4 EQU 0x4000507c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_PC5 +CYDEV_IO_PC_PRT15_PC5 EQU 0x4000507d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_BASE +CYDEV_IO_PC_PRT15_7_6_BASE EQU 0x4000507e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_SIZE +CYDEV_IO_PC_PRT15_7_6_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_PC0 +CYDEV_IO_PC_PRT15_7_6_PC0 EQU 0x4000507e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_PC1 +CYDEV_IO_PC_PRT15_7_6_PC1 EQU 0x4000507f + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_BASE +CYDEV_IO_DR_BASE EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_SIZE +CYDEV_IO_DR_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_BASE +CYDEV_IO_DR_PRT0_BASE EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_SIZE +CYDEV_IO_DR_PRT0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_DR_ALIAS +CYDEV_IO_DR_PRT0_DR_ALIAS EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_BASE +CYDEV_IO_DR_PRT1_BASE EQU 0x40005081 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_SIZE +CYDEV_IO_DR_PRT1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_DR_ALIAS +CYDEV_IO_DR_PRT1_DR_ALIAS EQU 0x40005081 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_BASE +CYDEV_IO_DR_PRT2_BASE EQU 0x40005082 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_SIZE +CYDEV_IO_DR_PRT2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_DR_ALIAS +CYDEV_IO_DR_PRT2_DR_ALIAS EQU 0x40005082 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_BASE +CYDEV_IO_DR_PRT3_BASE EQU 0x40005083 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_SIZE +CYDEV_IO_DR_PRT3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_DR_ALIAS +CYDEV_IO_DR_PRT3_DR_ALIAS EQU 0x40005083 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_BASE +CYDEV_IO_DR_PRT4_BASE EQU 0x40005084 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_SIZE +CYDEV_IO_DR_PRT4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_DR_ALIAS +CYDEV_IO_DR_PRT4_DR_ALIAS EQU 0x40005084 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_BASE +CYDEV_IO_DR_PRT5_BASE EQU 0x40005085 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_SIZE +CYDEV_IO_DR_PRT5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_DR_ALIAS +CYDEV_IO_DR_PRT5_DR_ALIAS EQU 0x40005085 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_BASE +CYDEV_IO_DR_PRT6_BASE EQU 0x40005086 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_SIZE +CYDEV_IO_DR_PRT6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_DR_ALIAS +CYDEV_IO_DR_PRT6_DR_ALIAS EQU 0x40005086 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_BASE +CYDEV_IO_DR_PRT12_BASE EQU 0x4000508c + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_SIZE +CYDEV_IO_DR_PRT12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_DR_ALIAS +CYDEV_IO_DR_PRT12_DR_ALIAS EQU 0x4000508c + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_BASE +CYDEV_IO_DR_PRT15_BASE EQU 0x4000508f + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_SIZE +CYDEV_IO_DR_PRT15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_DR_15_ALIAS +CYDEV_IO_DR_PRT15_DR_15_ALIAS EQU 0x4000508f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_BASE +CYDEV_IO_PS_BASE EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_SIZE +CYDEV_IO_PS_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_BASE +CYDEV_IO_PS_PRT0_BASE EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_SIZE +CYDEV_IO_PS_PRT0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_PS_ALIAS +CYDEV_IO_PS_PRT0_PS_ALIAS EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_BASE +CYDEV_IO_PS_PRT1_BASE EQU 0x40005091 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_SIZE +CYDEV_IO_PS_PRT1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_PS_ALIAS +CYDEV_IO_PS_PRT1_PS_ALIAS EQU 0x40005091 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_BASE +CYDEV_IO_PS_PRT2_BASE EQU 0x40005092 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_SIZE +CYDEV_IO_PS_PRT2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_PS_ALIAS +CYDEV_IO_PS_PRT2_PS_ALIAS EQU 0x40005092 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_BASE +CYDEV_IO_PS_PRT3_BASE EQU 0x40005093 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_SIZE +CYDEV_IO_PS_PRT3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_PS_ALIAS +CYDEV_IO_PS_PRT3_PS_ALIAS EQU 0x40005093 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_BASE +CYDEV_IO_PS_PRT4_BASE EQU 0x40005094 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_SIZE +CYDEV_IO_PS_PRT4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_PS_ALIAS +CYDEV_IO_PS_PRT4_PS_ALIAS EQU 0x40005094 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_BASE +CYDEV_IO_PS_PRT5_BASE EQU 0x40005095 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_SIZE +CYDEV_IO_PS_PRT5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_PS_ALIAS +CYDEV_IO_PS_PRT5_PS_ALIAS EQU 0x40005095 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_BASE +CYDEV_IO_PS_PRT6_BASE EQU 0x40005096 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_SIZE +CYDEV_IO_PS_PRT6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_PS_ALIAS +CYDEV_IO_PS_PRT6_PS_ALIAS EQU 0x40005096 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_BASE +CYDEV_IO_PS_PRT12_BASE EQU 0x4000509c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_SIZE +CYDEV_IO_PS_PRT12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_PS_ALIAS +CYDEV_IO_PS_PRT12_PS_ALIAS EQU 0x4000509c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_BASE +CYDEV_IO_PS_PRT15_BASE EQU 0x4000509f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_SIZE +CYDEV_IO_PS_PRT15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_PS15_ALIAS +CYDEV_IO_PS_PRT15_PS15_ALIAS EQU 0x4000509f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_BASE +CYDEV_IO_PRT_BASE EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_SIZE +CYDEV_IO_PRT_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BASE +CYDEV_IO_PRT_PRT0_BASE EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_SIZE +CYDEV_IO_PRT_PRT0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_DR +CYDEV_IO_PRT_PRT0_DR EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_PS +CYDEV_IO_PRT_PRT0_PS EQU 0x40005101 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_DM0 +CYDEV_IO_PRT_PRT0_DM0 EQU 0x40005102 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_DM1 +CYDEV_IO_PRT_PRT0_DM1 EQU 0x40005103 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_DM2 +CYDEV_IO_PRT_PRT0_DM2 EQU 0x40005104 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_SLW +CYDEV_IO_PRT_PRT0_SLW EQU 0x40005105 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BYP +CYDEV_IO_PRT_PRT0_BYP EQU 0x40005106 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BIE +CYDEV_IO_PRT_PRT0_BIE EQU 0x40005107 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_INP_DIS +CYDEV_IO_PRT_PRT0_INP_DIS EQU 0x40005108 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_CTL +CYDEV_IO_PRT_PRT0_CTL EQU 0x40005109 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_PRT +CYDEV_IO_PRT_PRT0_PRT EQU 0x4000510a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BIT_MASK +CYDEV_IO_PRT_PRT0_BIT_MASK EQU 0x4000510b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_AMUX +CYDEV_IO_PRT_PRT0_AMUX EQU 0x4000510c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_AG +CYDEV_IO_PRT_PRT0_AG EQU 0x4000510d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_LCD_COM_SEG +CYDEV_IO_PRT_PRT0_LCD_COM_SEG EQU 0x4000510e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_LCD_EN +CYDEV_IO_PRT_PRT0_LCD_EN EQU 0x4000510f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BASE +CYDEV_IO_PRT_PRT1_BASE EQU 0x40005110 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_SIZE +CYDEV_IO_PRT_PRT1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_DR +CYDEV_IO_PRT_PRT1_DR EQU 0x40005110 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_PS +CYDEV_IO_PRT_PRT1_PS EQU 0x40005111 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_DM0 +CYDEV_IO_PRT_PRT1_DM0 EQU 0x40005112 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_DM1 +CYDEV_IO_PRT_PRT1_DM1 EQU 0x40005113 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_DM2 +CYDEV_IO_PRT_PRT1_DM2 EQU 0x40005114 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_SLW +CYDEV_IO_PRT_PRT1_SLW EQU 0x40005115 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BYP +CYDEV_IO_PRT_PRT1_BYP EQU 0x40005116 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BIE +CYDEV_IO_PRT_PRT1_BIE EQU 0x40005117 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_INP_DIS +CYDEV_IO_PRT_PRT1_INP_DIS EQU 0x40005118 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_CTL +CYDEV_IO_PRT_PRT1_CTL EQU 0x40005119 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_PRT +CYDEV_IO_PRT_PRT1_PRT EQU 0x4000511a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BIT_MASK +CYDEV_IO_PRT_PRT1_BIT_MASK EQU 0x4000511b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_AMUX +CYDEV_IO_PRT_PRT1_AMUX EQU 0x4000511c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_AG +CYDEV_IO_PRT_PRT1_AG EQU 0x4000511d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_LCD_COM_SEG +CYDEV_IO_PRT_PRT1_LCD_COM_SEG EQU 0x4000511e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_LCD_EN +CYDEV_IO_PRT_PRT1_LCD_EN EQU 0x4000511f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BASE +CYDEV_IO_PRT_PRT2_BASE EQU 0x40005120 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_SIZE +CYDEV_IO_PRT_PRT2_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_DR +CYDEV_IO_PRT_PRT2_DR EQU 0x40005120 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_PS +CYDEV_IO_PRT_PRT2_PS EQU 0x40005121 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_DM0 +CYDEV_IO_PRT_PRT2_DM0 EQU 0x40005122 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_DM1 +CYDEV_IO_PRT_PRT2_DM1 EQU 0x40005123 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_DM2 +CYDEV_IO_PRT_PRT2_DM2 EQU 0x40005124 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_SLW +CYDEV_IO_PRT_PRT2_SLW EQU 0x40005125 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BYP +CYDEV_IO_PRT_PRT2_BYP EQU 0x40005126 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BIE +CYDEV_IO_PRT_PRT2_BIE EQU 0x40005127 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_INP_DIS +CYDEV_IO_PRT_PRT2_INP_DIS EQU 0x40005128 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_CTL +CYDEV_IO_PRT_PRT2_CTL EQU 0x40005129 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_PRT +CYDEV_IO_PRT_PRT2_PRT EQU 0x4000512a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BIT_MASK +CYDEV_IO_PRT_PRT2_BIT_MASK EQU 0x4000512b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_AMUX +CYDEV_IO_PRT_PRT2_AMUX EQU 0x4000512c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_AG +CYDEV_IO_PRT_PRT2_AG EQU 0x4000512d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_LCD_COM_SEG +CYDEV_IO_PRT_PRT2_LCD_COM_SEG EQU 0x4000512e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_LCD_EN +CYDEV_IO_PRT_PRT2_LCD_EN EQU 0x4000512f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BASE +CYDEV_IO_PRT_PRT3_BASE EQU 0x40005130 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_SIZE +CYDEV_IO_PRT_PRT3_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_DR +CYDEV_IO_PRT_PRT3_DR EQU 0x40005130 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_PS +CYDEV_IO_PRT_PRT3_PS EQU 0x40005131 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_DM0 +CYDEV_IO_PRT_PRT3_DM0 EQU 0x40005132 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_DM1 +CYDEV_IO_PRT_PRT3_DM1 EQU 0x40005133 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_DM2 +CYDEV_IO_PRT_PRT3_DM2 EQU 0x40005134 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_SLW +CYDEV_IO_PRT_PRT3_SLW EQU 0x40005135 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BYP +CYDEV_IO_PRT_PRT3_BYP EQU 0x40005136 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BIE +CYDEV_IO_PRT_PRT3_BIE EQU 0x40005137 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_INP_DIS +CYDEV_IO_PRT_PRT3_INP_DIS EQU 0x40005138 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_CTL +CYDEV_IO_PRT_PRT3_CTL EQU 0x40005139 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_PRT +CYDEV_IO_PRT_PRT3_PRT EQU 0x4000513a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BIT_MASK +CYDEV_IO_PRT_PRT3_BIT_MASK EQU 0x4000513b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_AMUX +CYDEV_IO_PRT_PRT3_AMUX EQU 0x4000513c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_AG +CYDEV_IO_PRT_PRT3_AG EQU 0x4000513d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_LCD_COM_SEG +CYDEV_IO_PRT_PRT3_LCD_COM_SEG EQU 0x4000513e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_LCD_EN +CYDEV_IO_PRT_PRT3_LCD_EN EQU 0x4000513f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BASE +CYDEV_IO_PRT_PRT4_BASE EQU 0x40005140 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_SIZE +CYDEV_IO_PRT_PRT4_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_DR +CYDEV_IO_PRT_PRT4_DR EQU 0x40005140 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_PS +CYDEV_IO_PRT_PRT4_PS EQU 0x40005141 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_DM0 +CYDEV_IO_PRT_PRT4_DM0 EQU 0x40005142 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_DM1 +CYDEV_IO_PRT_PRT4_DM1 EQU 0x40005143 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_DM2 +CYDEV_IO_PRT_PRT4_DM2 EQU 0x40005144 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_SLW +CYDEV_IO_PRT_PRT4_SLW EQU 0x40005145 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BYP +CYDEV_IO_PRT_PRT4_BYP EQU 0x40005146 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BIE +CYDEV_IO_PRT_PRT4_BIE EQU 0x40005147 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_INP_DIS +CYDEV_IO_PRT_PRT4_INP_DIS EQU 0x40005148 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_CTL +CYDEV_IO_PRT_PRT4_CTL EQU 0x40005149 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_PRT +CYDEV_IO_PRT_PRT4_PRT EQU 0x4000514a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BIT_MASK +CYDEV_IO_PRT_PRT4_BIT_MASK EQU 0x4000514b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_AMUX +CYDEV_IO_PRT_PRT4_AMUX EQU 0x4000514c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_AG +CYDEV_IO_PRT_PRT4_AG EQU 0x4000514d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_LCD_COM_SEG +CYDEV_IO_PRT_PRT4_LCD_COM_SEG EQU 0x4000514e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_LCD_EN +CYDEV_IO_PRT_PRT4_LCD_EN EQU 0x4000514f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BASE +CYDEV_IO_PRT_PRT5_BASE EQU 0x40005150 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_SIZE +CYDEV_IO_PRT_PRT5_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_DR +CYDEV_IO_PRT_PRT5_DR EQU 0x40005150 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_PS +CYDEV_IO_PRT_PRT5_PS EQU 0x40005151 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_DM0 +CYDEV_IO_PRT_PRT5_DM0 EQU 0x40005152 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_DM1 +CYDEV_IO_PRT_PRT5_DM1 EQU 0x40005153 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_DM2 +CYDEV_IO_PRT_PRT5_DM2 EQU 0x40005154 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_SLW +CYDEV_IO_PRT_PRT5_SLW EQU 0x40005155 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BYP +CYDEV_IO_PRT_PRT5_BYP EQU 0x40005156 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BIE +CYDEV_IO_PRT_PRT5_BIE EQU 0x40005157 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_INP_DIS +CYDEV_IO_PRT_PRT5_INP_DIS EQU 0x40005158 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_CTL +CYDEV_IO_PRT_PRT5_CTL EQU 0x40005159 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_PRT +CYDEV_IO_PRT_PRT5_PRT EQU 0x4000515a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BIT_MASK +CYDEV_IO_PRT_PRT5_BIT_MASK EQU 0x4000515b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_AMUX +CYDEV_IO_PRT_PRT5_AMUX EQU 0x4000515c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_AG +CYDEV_IO_PRT_PRT5_AG EQU 0x4000515d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_LCD_COM_SEG +CYDEV_IO_PRT_PRT5_LCD_COM_SEG EQU 0x4000515e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_LCD_EN +CYDEV_IO_PRT_PRT5_LCD_EN EQU 0x4000515f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BASE +CYDEV_IO_PRT_PRT6_BASE EQU 0x40005160 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_SIZE +CYDEV_IO_PRT_PRT6_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_DR +CYDEV_IO_PRT_PRT6_DR EQU 0x40005160 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_PS +CYDEV_IO_PRT_PRT6_PS EQU 0x40005161 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_DM0 +CYDEV_IO_PRT_PRT6_DM0 EQU 0x40005162 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_DM1 +CYDEV_IO_PRT_PRT6_DM1 EQU 0x40005163 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_DM2 +CYDEV_IO_PRT_PRT6_DM2 EQU 0x40005164 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_SLW +CYDEV_IO_PRT_PRT6_SLW EQU 0x40005165 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BYP +CYDEV_IO_PRT_PRT6_BYP EQU 0x40005166 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BIE +CYDEV_IO_PRT_PRT6_BIE EQU 0x40005167 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_INP_DIS +CYDEV_IO_PRT_PRT6_INP_DIS EQU 0x40005168 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_CTL +CYDEV_IO_PRT_PRT6_CTL EQU 0x40005169 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_PRT +CYDEV_IO_PRT_PRT6_PRT EQU 0x4000516a + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BIT_MASK +CYDEV_IO_PRT_PRT6_BIT_MASK EQU 0x4000516b + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_AMUX +CYDEV_IO_PRT_PRT6_AMUX EQU 0x4000516c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_AG +CYDEV_IO_PRT_PRT6_AG EQU 0x4000516d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_LCD_COM_SEG +CYDEV_IO_PRT_PRT6_LCD_COM_SEG EQU 0x4000516e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_LCD_EN +CYDEV_IO_PRT_PRT6_LCD_EN EQU 0x4000516f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BASE +CYDEV_IO_PRT_PRT12_BASE EQU 0x400051c0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIZE +CYDEV_IO_PRT_PRT12_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_DR +CYDEV_IO_PRT_PRT12_DR EQU 0x400051c0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_PS +CYDEV_IO_PRT_PRT12_PS EQU 0x400051c1 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_DM0 +CYDEV_IO_PRT_PRT12_DM0 EQU 0x400051c2 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_DM1 +CYDEV_IO_PRT_PRT12_DM1 EQU 0x400051c3 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_DM2 +CYDEV_IO_PRT_PRT12_DM2 EQU 0x400051c4 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SLW +CYDEV_IO_PRT_PRT12_SLW EQU 0x400051c5 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BYP +CYDEV_IO_PRT_PRT12_BYP EQU 0x400051c6 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BIE +CYDEV_IO_PRT_PRT12_BIE EQU 0x400051c7 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_INP_DIS +CYDEV_IO_PRT_PRT12_INP_DIS EQU 0x400051c8 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIO_HYST_EN +CYDEV_IO_PRT_PRT12_SIO_HYST_EN EQU 0x400051c9 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_PRT +CYDEV_IO_PRT_PRT12_PRT EQU 0x400051ca + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BIT_MASK +CYDEV_IO_PRT_PRT12_BIT_MASK EQU 0x400051cb + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ +CYDEV_IO_PRT_PRT12_SIO_REG_HIFREQ EQU 0x400051cc + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_AG +CYDEV_IO_PRT_PRT12_AG EQU 0x400051cd + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIO_CFG +CYDEV_IO_PRT_PRT12_SIO_CFG EQU 0x400051ce + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIO_DIFF +CYDEV_IO_PRT_PRT12_SIO_DIFF EQU 0x400051cf + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BASE +CYDEV_IO_PRT_PRT15_BASE EQU 0x400051f0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_SIZE +CYDEV_IO_PRT_PRT15_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_DR +CYDEV_IO_PRT_PRT15_DR EQU 0x400051f0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_PS +CYDEV_IO_PRT_PRT15_PS EQU 0x400051f1 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_DM0 +CYDEV_IO_PRT_PRT15_DM0 EQU 0x400051f2 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_DM1 +CYDEV_IO_PRT_PRT15_DM1 EQU 0x400051f3 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_DM2 +CYDEV_IO_PRT_PRT15_DM2 EQU 0x400051f4 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_SLW +CYDEV_IO_PRT_PRT15_SLW EQU 0x400051f5 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BYP +CYDEV_IO_PRT_PRT15_BYP EQU 0x400051f6 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BIE +CYDEV_IO_PRT_PRT15_BIE EQU 0x400051f7 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_INP_DIS +CYDEV_IO_PRT_PRT15_INP_DIS EQU 0x400051f8 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_CTL +CYDEV_IO_PRT_PRT15_CTL EQU 0x400051f9 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_PRT +CYDEV_IO_PRT_PRT15_PRT EQU 0x400051fa + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BIT_MASK +CYDEV_IO_PRT_PRT15_BIT_MASK EQU 0x400051fb + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_AMUX +CYDEV_IO_PRT_PRT15_AMUX EQU 0x400051fc + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_AG +CYDEV_IO_PRT_PRT15_AG EQU 0x400051fd + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_LCD_COM_SEG +CYDEV_IO_PRT_PRT15_LCD_COM_SEG EQU 0x400051fe + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_LCD_EN +CYDEV_IO_PRT_PRT15_LCD_EN EQU 0x400051ff + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_BASE +CYDEV_PRTDSI_BASE EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_SIZE +CYDEV_PRTDSI_SIZE EQU 0x0000007f + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_BASE +CYDEV_PRTDSI_PRT0_BASE EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_SIZE +CYDEV_PRTDSI_PRT0_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_OUT_SEL0 +CYDEV_PRTDSI_PRT0_OUT_SEL0 EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_OUT_SEL1 +CYDEV_PRTDSI_PRT0_OUT_SEL1 EQU 0x40005201 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_OE_SEL0 +CYDEV_PRTDSI_PRT0_OE_SEL0 EQU 0x40005202 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_OE_SEL1 +CYDEV_PRTDSI_PRT0_OE_SEL1 EQU 0x40005203 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_DBL_SYNC_IN +CYDEV_PRTDSI_PRT0_DBL_SYNC_IN EQU 0x40005204 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_SYNC_OUT +CYDEV_PRTDSI_PRT0_SYNC_OUT EQU 0x40005205 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_CAPS_SEL +CYDEV_PRTDSI_PRT0_CAPS_SEL EQU 0x40005206 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_BASE +CYDEV_PRTDSI_PRT1_BASE EQU 0x40005208 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_SIZE +CYDEV_PRTDSI_PRT1_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_OUT_SEL0 +CYDEV_PRTDSI_PRT1_OUT_SEL0 EQU 0x40005208 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_OUT_SEL1 +CYDEV_PRTDSI_PRT1_OUT_SEL1 EQU 0x40005209 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_OE_SEL0 +CYDEV_PRTDSI_PRT1_OE_SEL0 EQU 0x4000520a + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_OE_SEL1 +CYDEV_PRTDSI_PRT1_OE_SEL1 EQU 0x4000520b + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_DBL_SYNC_IN +CYDEV_PRTDSI_PRT1_DBL_SYNC_IN EQU 0x4000520c + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_SYNC_OUT +CYDEV_PRTDSI_PRT1_SYNC_OUT EQU 0x4000520d + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_CAPS_SEL +CYDEV_PRTDSI_PRT1_CAPS_SEL EQU 0x4000520e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_BASE +CYDEV_PRTDSI_PRT2_BASE EQU 0x40005210 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_SIZE +CYDEV_PRTDSI_PRT2_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_OUT_SEL0 +CYDEV_PRTDSI_PRT2_OUT_SEL0 EQU 0x40005210 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_OUT_SEL1 +CYDEV_PRTDSI_PRT2_OUT_SEL1 EQU 0x40005211 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_OE_SEL0 +CYDEV_PRTDSI_PRT2_OE_SEL0 EQU 0x40005212 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_OE_SEL1 +CYDEV_PRTDSI_PRT2_OE_SEL1 EQU 0x40005213 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_DBL_SYNC_IN +CYDEV_PRTDSI_PRT2_DBL_SYNC_IN EQU 0x40005214 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_SYNC_OUT +CYDEV_PRTDSI_PRT2_SYNC_OUT EQU 0x40005215 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_CAPS_SEL +CYDEV_PRTDSI_PRT2_CAPS_SEL EQU 0x40005216 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_BASE +CYDEV_PRTDSI_PRT3_BASE EQU 0x40005218 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_SIZE +CYDEV_PRTDSI_PRT3_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_OUT_SEL0 +CYDEV_PRTDSI_PRT3_OUT_SEL0 EQU 0x40005218 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_OUT_SEL1 +CYDEV_PRTDSI_PRT3_OUT_SEL1 EQU 0x40005219 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_OE_SEL0 +CYDEV_PRTDSI_PRT3_OE_SEL0 EQU 0x4000521a + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_OE_SEL1 +CYDEV_PRTDSI_PRT3_OE_SEL1 EQU 0x4000521b + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_DBL_SYNC_IN +CYDEV_PRTDSI_PRT3_DBL_SYNC_IN EQU 0x4000521c + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_SYNC_OUT +CYDEV_PRTDSI_PRT3_SYNC_OUT EQU 0x4000521d + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_CAPS_SEL +CYDEV_PRTDSI_PRT3_CAPS_SEL EQU 0x4000521e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_BASE +CYDEV_PRTDSI_PRT4_BASE EQU 0x40005220 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_SIZE +CYDEV_PRTDSI_PRT4_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_OUT_SEL0 +CYDEV_PRTDSI_PRT4_OUT_SEL0 EQU 0x40005220 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_OUT_SEL1 +CYDEV_PRTDSI_PRT4_OUT_SEL1 EQU 0x40005221 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_OE_SEL0 +CYDEV_PRTDSI_PRT4_OE_SEL0 EQU 0x40005222 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_OE_SEL1 +CYDEV_PRTDSI_PRT4_OE_SEL1 EQU 0x40005223 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_DBL_SYNC_IN +CYDEV_PRTDSI_PRT4_DBL_SYNC_IN EQU 0x40005224 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_SYNC_OUT +CYDEV_PRTDSI_PRT4_SYNC_OUT EQU 0x40005225 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_CAPS_SEL +CYDEV_PRTDSI_PRT4_CAPS_SEL EQU 0x40005226 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_BASE +CYDEV_PRTDSI_PRT5_BASE EQU 0x40005228 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_SIZE +CYDEV_PRTDSI_PRT5_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_OUT_SEL0 +CYDEV_PRTDSI_PRT5_OUT_SEL0 EQU 0x40005228 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_OUT_SEL1 +CYDEV_PRTDSI_PRT5_OUT_SEL1 EQU 0x40005229 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_OE_SEL0 +CYDEV_PRTDSI_PRT5_OE_SEL0 EQU 0x4000522a + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_OE_SEL1 +CYDEV_PRTDSI_PRT5_OE_SEL1 EQU 0x4000522b + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_DBL_SYNC_IN +CYDEV_PRTDSI_PRT5_DBL_SYNC_IN EQU 0x4000522c + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_SYNC_OUT +CYDEV_PRTDSI_PRT5_SYNC_OUT EQU 0x4000522d + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_CAPS_SEL +CYDEV_PRTDSI_PRT5_CAPS_SEL EQU 0x4000522e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_BASE +CYDEV_PRTDSI_PRT6_BASE EQU 0x40005230 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_SIZE +CYDEV_PRTDSI_PRT6_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_OUT_SEL0 +CYDEV_PRTDSI_PRT6_OUT_SEL0 EQU 0x40005230 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_OUT_SEL1 +CYDEV_PRTDSI_PRT6_OUT_SEL1 EQU 0x40005231 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_OE_SEL0 +CYDEV_PRTDSI_PRT6_OE_SEL0 EQU 0x40005232 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_OE_SEL1 +CYDEV_PRTDSI_PRT6_OE_SEL1 EQU 0x40005233 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_DBL_SYNC_IN +CYDEV_PRTDSI_PRT6_DBL_SYNC_IN EQU 0x40005234 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_SYNC_OUT +CYDEV_PRTDSI_PRT6_SYNC_OUT EQU 0x40005235 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_CAPS_SEL +CYDEV_PRTDSI_PRT6_CAPS_SEL EQU 0x40005236 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_BASE +CYDEV_PRTDSI_PRT12_BASE EQU 0x40005260 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_SIZE +CYDEV_PRTDSI_PRT12_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_OUT_SEL0 +CYDEV_PRTDSI_PRT12_OUT_SEL0 EQU 0x40005260 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_OUT_SEL1 +CYDEV_PRTDSI_PRT12_OUT_SEL1 EQU 0x40005261 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_OE_SEL0 +CYDEV_PRTDSI_PRT12_OE_SEL0 EQU 0x40005262 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_OE_SEL1 +CYDEV_PRTDSI_PRT12_OE_SEL1 EQU 0x40005263 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_DBL_SYNC_IN +CYDEV_PRTDSI_PRT12_DBL_SYNC_IN EQU 0x40005264 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_SYNC_OUT +CYDEV_PRTDSI_PRT12_SYNC_OUT EQU 0x40005265 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_BASE +CYDEV_PRTDSI_PRT15_BASE EQU 0x40005278 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_SIZE +CYDEV_PRTDSI_PRT15_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_OUT_SEL0 +CYDEV_PRTDSI_PRT15_OUT_SEL0 EQU 0x40005278 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_OUT_SEL1 +CYDEV_PRTDSI_PRT15_OUT_SEL1 EQU 0x40005279 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_OE_SEL0 +CYDEV_PRTDSI_PRT15_OE_SEL0 EQU 0x4000527a + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_OE_SEL1 +CYDEV_PRTDSI_PRT15_OE_SEL1 EQU 0x4000527b + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_DBL_SYNC_IN +CYDEV_PRTDSI_PRT15_DBL_SYNC_IN EQU 0x4000527c + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_SYNC_OUT +CYDEV_PRTDSI_PRT15_SYNC_OUT EQU 0x4000527d + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_CAPS_SEL +CYDEV_PRTDSI_PRT15_CAPS_SEL EQU 0x4000527e + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_BASE +CYDEV_EMIF_BASE EQU 0x40005400 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_SIZE +CYDEV_EMIF_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_NO_UDB +CYDEV_EMIF_NO_UDB EQU 0x40005400 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_RP_WAIT_STATES +CYDEV_EMIF_RP_WAIT_STATES EQU 0x40005401 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_MEM_DWN +CYDEV_EMIF_MEM_DWN EQU 0x40005402 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_MEMCLK_DIV +CYDEV_EMIF_MEMCLK_DIV EQU 0x40005403 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_CLOCK_EN +CYDEV_EMIF_CLOCK_EN EQU 0x40005404 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_EM_TYPE +CYDEV_EMIF_EM_TYPE EQU 0x40005405 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_WP_WAIT_STATES +CYDEV_EMIF_WP_WAIT_STATES EQU 0x40005406 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_BASE +CYDEV_ANAIF_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_SIZE +CYDEV_ANAIF_SIZE EQU 0x000003a9 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BASE +CYDEV_ANAIF_CFG_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SIZE +CYDEV_ANAIF_CFG_SIZE EQU 0x0000010f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_BASE +CYDEV_ANAIF_CFG_SC0_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_SIZE +CYDEV_ANAIF_CFG_SC0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_CR0 +CYDEV_ANAIF_CFG_SC0_CR0 EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_CR1 +CYDEV_ANAIF_CFG_SC0_CR1 EQU 0x40005801 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_CR2 +CYDEV_ANAIF_CFG_SC0_CR2 EQU 0x40005802 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_BASE +CYDEV_ANAIF_CFG_SC1_BASE EQU 0x40005804 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_SIZE +CYDEV_ANAIF_CFG_SC1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_CR0 +CYDEV_ANAIF_CFG_SC1_CR0 EQU 0x40005804 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_CR1 +CYDEV_ANAIF_CFG_SC1_CR1 EQU 0x40005805 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_CR2 +CYDEV_ANAIF_CFG_SC1_CR2 EQU 0x40005806 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_BASE +CYDEV_ANAIF_CFG_SC2_BASE EQU 0x40005808 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_SIZE +CYDEV_ANAIF_CFG_SC2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_CR0 +CYDEV_ANAIF_CFG_SC2_CR0 EQU 0x40005808 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_CR1 +CYDEV_ANAIF_CFG_SC2_CR1 EQU 0x40005809 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_CR2 +CYDEV_ANAIF_CFG_SC2_CR2 EQU 0x4000580a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_BASE +CYDEV_ANAIF_CFG_SC3_BASE EQU 0x4000580c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_SIZE +CYDEV_ANAIF_CFG_SC3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_CR0 +CYDEV_ANAIF_CFG_SC3_CR0 EQU 0x4000580c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_CR1 +CYDEV_ANAIF_CFG_SC3_CR1 EQU 0x4000580d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_CR2 +CYDEV_ANAIF_CFG_SC3_CR2 EQU 0x4000580e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_BASE +CYDEV_ANAIF_CFG_DAC0_BASE EQU 0x40005820 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_SIZE +CYDEV_ANAIF_CFG_DAC0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_CR0 +CYDEV_ANAIF_CFG_DAC0_CR0 EQU 0x40005820 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_CR1 +CYDEV_ANAIF_CFG_DAC0_CR1 EQU 0x40005821 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_TST +CYDEV_ANAIF_CFG_DAC0_TST EQU 0x40005822 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_BASE +CYDEV_ANAIF_CFG_DAC1_BASE EQU 0x40005824 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_SIZE +CYDEV_ANAIF_CFG_DAC1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_CR0 +CYDEV_ANAIF_CFG_DAC1_CR0 EQU 0x40005824 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_CR1 +CYDEV_ANAIF_CFG_DAC1_CR1 EQU 0x40005825 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_TST +CYDEV_ANAIF_CFG_DAC1_TST EQU 0x40005826 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_BASE +CYDEV_ANAIF_CFG_DAC2_BASE EQU 0x40005828 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_SIZE +CYDEV_ANAIF_CFG_DAC2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_CR0 +CYDEV_ANAIF_CFG_DAC2_CR0 EQU 0x40005828 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_CR1 +CYDEV_ANAIF_CFG_DAC2_CR1 EQU 0x40005829 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_TST +CYDEV_ANAIF_CFG_DAC2_TST EQU 0x4000582a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_BASE +CYDEV_ANAIF_CFG_DAC3_BASE EQU 0x4000582c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_SIZE +CYDEV_ANAIF_CFG_DAC3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_CR0 +CYDEV_ANAIF_CFG_DAC3_CR0 EQU 0x4000582c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_CR1 +CYDEV_ANAIF_CFG_DAC3_CR1 EQU 0x4000582d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_TST +CYDEV_ANAIF_CFG_DAC3_TST EQU 0x4000582e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_BASE +CYDEV_ANAIF_CFG_CMP0_BASE EQU 0x40005840 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_SIZE +CYDEV_ANAIF_CFG_CMP0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_CR +CYDEV_ANAIF_CFG_CMP0_CR EQU 0x40005840 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_BASE +CYDEV_ANAIF_CFG_CMP1_BASE EQU 0x40005841 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_SIZE +CYDEV_ANAIF_CFG_CMP1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_CR +CYDEV_ANAIF_CFG_CMP1_CR EQU 0x40005841 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_BASE +CYDEV_ANAIF_CFG_CMP2_BASE EQU 0x40005842 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_SIZE +CYDEV_ANAIF_CFG_CMP2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_CR +CYDEV_ANAIF_CFG_CMP2_CR EQU 0x40005842 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_BASE +CYDEV_ANAIF_CFG_CMP3_BASE EQU 0x40005843 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_SIZE +CYDEV_ANAIF_CFG_CMP3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_CR +CYDEV_ANAIF_CFG_CMP3_CR EQU 0x40005843 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_BASE +CYDEV_ANAIF_CFG_LUT0_BASE EQU 0x40005848 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_SIZE +CYDEV_ANAIF_CFG_LUT0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_CR +CYDEV_ANAIF_CFG_LUT0_CR EQU 0x40005848 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_MX +CYDEV_ANAIF_CFG_LUT0_MX EQU 0x40005849 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_BASE +CYDEV_ANAIF_CFG_LUT1_BASE EQU 0x4000584a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_SIZE +CYDEV_ANAIF_CFG_LUT1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_CR +CYDEV_ANAIF_CFG_LUT1_CR EQU 0x4000584a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_MX +CYDEV_ANAIF_CFG_LUT1_MX EQU 0x4000584b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_BASE +CYDEV_ANAIF_CFG_LUT2_BASE EQU 0x4000584c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_SIZE +CYDEV_ANAIF_CFG_LUT2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_CR +CYDEV_ANAIF_CFG_LUT2_CR EQU 0x4000584c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_MX +CYDEV_ANAIF_CFG_LUT2_MX EQU 0x4000584d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_BASE +CYDEV_ANAIF_CFG_LUT3_BASE EQU 0x4000584e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_SIZE +CYDEV_ANAIF_CFG_LUT3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_CR +CYDEV_ANAIF_CFG_LUT3_CR EQU 0x4000584e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_MX +CYDEV_ANAIF_CFG_LUT3_MX EQU 0x4000584f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_BASE +CYDEV_ANAIF_CFG_OPAMP0_BASE EQU 0x40005858 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_SIZE +CYDEV_ANAIF_CFG_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_CR +CYDEV_ANAIF_CFG_OPAMP0_CR EQU 0x40005858 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_RSVD +CYDEV_ANAIF_CFG_OPAMP0_RSVD EQU 0x40005859 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_BASE +CYDEV_ANAIF_CFG_OPAMP1_BASE EQU 0x4000585a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_SIZE +CYDEV_ANAIF_CFG_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_CR +CYDEV_ANAIF_CFG_OPAMP1_CR EQU 0x4000585a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_RSVD +CYDEV_ANAIF_CFG_OPAMP1_RSVD EQU 0x4000585b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_BASE +CYDEV_ANAIF_CFG_OPAMP2_BASE EQU 0x4000585c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_SIZE +CYDEV_ANAIF_CFG_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_CR +CYDEV_ANAIF_CFG_OPAMP2_CR EQU 0x4000585c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_RSVD +CYDEV_ANAIF_CFG_OPAMP2_RSVD EQU 0x4000585d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_BASE +CYDEV_ANAIF_CFG_OPAMP3_BASE EQU 0x4000585e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_SIZE +CYDEV_ANAIF_CFG_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_CR +CYDEV_ANAIF_CFG_OPAMP3_CR EQU 0x4000585e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_RSVD +CYDEV_ANAIF_CFG_OPAMP3_RSVD EQU 0x4000585f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_BASE +CYDEV_ANAIF_CFG_LCDDAC_BASE EQU 0x40005868 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_SIZE +CYDEV_ANAIF_CFG_LCDDAC_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_CR0 +CYDEV_ANAIF_CFG_LCDDAC_CR0 EQU 0x40005868 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_CR1 +CYDEV_ANAIF_CFG_LCDDAC_CR1 EQU 0x40005869 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_BASE +CYDEV_ANAIF_CFG_LCDDRV_BASE EQU 0x4000586a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_SIZE +CYDEV_ANAIF_CFG_LCDDRV_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_CR +CYDEV_ANAIF_CFG_LCDDRV_CR EQU 0x4000586a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_BASE +CYDEV_ANAIF_CFG_LCDTMR_BASE EQU 0x4000586b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_SIZE +CYDEV_ANAIF_CFG_LCDTMR_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_CFG +CYDEV_ANAIF_CFG_LCDTMR_CFG EQU 0x4000586b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_BASE +CYDEV_ANAIF_CFG_BG_BASE EQU 0x4000586c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_SIZE +CYDEV_ANAIF_CFG_BG_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_CR0 +CYDEV_ANAIF_CFG_BG_CR0 EQU 0x4000586c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_RSVD +CYDEV_ANAIF_CFG_BG_RSVD EQU 0x4000586d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_DFT0 +CYDEV_ANAIF_CFG_BG_DFT0 EQU 0x4000586e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_DFT1 +CYDEV_ANAIF_CFG_BG_DFT1 EQU 0x4000586f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_BASE +CYDEV_ANAIF_CFG_CAPSL_BASE EQU 0x40005870 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_SIZE +CYDEV_ANAIF_CFG_CAPSL_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_CFG0 +CYDEV_ANAIF_CFG_CAPSL_CFG0 EQU 0x40005870 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_CFG1 +CYDEV_ANAIF_CFG_CAPSL_CFG1 EQU 0x40005871 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_BASE +CYDEV_ANAIF_CFG_CAPSR_BASE EQU 0x40005872 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_SIZE +CYDEV_ANAIF_CFG_CAPSR_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_CFG0 +CYDEV_ANAIF_CFG_CAPSR_CFG0 EQU 0x40005872 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_CFG1 +CYDEV_ANAIF_CFG_CAPSR_CFG1 EQU 0x40005873 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_BASE +CYDEV_ANAIF_CFG_PUMP_BASE EQU 0x40005876 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_SIZE +CYDEV_ANAIF_CFG_PUMP_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_CR0 +CYDEV_ANAIF_CFG_PUMP_CR0 EQU 0x40005876 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_CR1 +CYDEV_ANAIF_CFG_PUMP_CR1 EQU 0x40005877 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_BASE +CYDEV_ANAIF_CFG_LPF0_BASE EQU 0x40005878 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_SIZE +CYDEV_ANAIF_CFG_LPF0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_CR0 +CYDEV_ANAIF_CFG_LPF0_CR0 EQU 0x40005878 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_RSVD +CYDEV_ANAIF_CFG_LPF0_RSVD EQU 0x40005879 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_BASE +CYDEV_ANAIF_CFG_LPF1_BASE EQU 0x4000587a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_SIZE +CYDEV_ANAIF_CFG_LPF1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_CR0 +CYDEV_ANAIF_CFG_LPF1_CR0 EQU 0x4000587a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_RSVD +CYDEV_ANAIF_CFG_LPF1_RSVD EQU 0x4000587b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_BASE +CYDEV_ANAIF_CFG_MISC_BASE EQU 0x4000587c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_SIZE +CYDEV_ANAIF_CFG_MISC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_CR0 +CYDEV_ANAIF_CFG_MISC_CR0 EQU 0x4000587c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BASE +CYDEV_ANAIF_CFG_DSM0_BASE EQU 0x40005880 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_SIZE +CYDEV_ANAIF_CFG_DSM0_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR0 +CYDEV_ANAIF_CFG_DSM0_CR0 EQU 0x40005880 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR1 +CYDEV_ANAIF_CFG_DSM0_CR1 EQU 0x40005881 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR2 +CYDEV_ANAIF_CFG_DSM0_CR2 EQU 0x40005882 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR3 +CYDEV_ANAIF_CFG_DSM0_CR3 EQU 0x40005883 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR4 +CYDEV_ANAIF_CFG_DSM0_CR4 EQU 0x40005884 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR5 +CYDEV_ANAIF_CFG_DSM0_CR5 EQU 0x40005885 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR6 +CYDEV_ANAIF_CFG_DSM0_CR6 EQU 0x40005886 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR7 +CYDEV_ANAIF_CFG_DSM0_CR7 EQU 0x40005887 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR8 +CYDEV_ANAIF_CFG_DSM0_CR8 EQU 0x40005888 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR9 +CYDEV_ANAIF_CFG_DSM0_CR9 EQU 0x40005889 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR10 +CYDEV_ANAIF_CFG_DSM0_CR10 EQU 0x4000588a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR11 +CYDEV_ANAIF_CFG_DSM0_CR11 EQU 0x4000588b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR12 +CYDEV_ANAIF_CFG_DSM0_CR12 EQU 0x4000588c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR13 +CYDEV_ANAIF_CFG_DSM0_CR13 EQU 0x4000588d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR14 +CYDEV_ANAIF_CFG_DSM0_CR14 EQU 0x4000588e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR15 +CYDEV_ANAIF_CFG_DSM0_CR15 EQU 0x4000588f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR16 +CYDEV_ANAIF_CFG_DSM0_CR16 EQU 0x40005890 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_CR17 +CYDEV_ANAIF_CFG_DSM0_CR17 EQU 0x40005891 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_REF0 +CYDEV_ANAIF_CFG_DSM0_REF0 EQU 0x40005892 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_REF1 +CYDEV_ANAIF_CFG_DSM0_REF1 EQU 0x40005893 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_REF2 +CYDEV_ANAIF_CFG_DSM0_REF2 EQU 0x40005894 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_REF3 +CYDEV_ANAIF_CFG_DSM0_REF3 EQU 0x40005895 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_DEM0 +CYDEV_ANAIF_CFG_DSM0_DEM0 EQU 0x40005896 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_DEM1 +CYDEV_ANAIF_CFG_DSM0_DEM1 EQU 0x40005897 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_TST0 +CYDEV_ANAIF_CFG_DSM0_TST0 EQU 0x40005898 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_TST1 +CYDEV_ANAIF_CFG_DSM0_TST1 EQU 0x40005899 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BUF0 +CYDEV_ANAIF_CFG_DSM0_BUF0 EQU 0x4000589a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BUF1 +CYDEV_ANAIF_CFG_DSM0_BUF1 EQU 0x4000589b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BUF2 +CYDEV_ANAIF_CFG_DSM0_BUF2 EQU 0x4000589c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BUF3 +CYDEV_ANAIF_CFG_DSM0_BUF3 EQU 0x4000589d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_MISC +CYDEV_ANAIF_CFG_DSM0_MISC EQU 0x4000589e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_RSVD1 +CYDEV_ANAIF_CFG_DSM0_RSVD1 EQU 0x4000589f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_BASE +CYDEV_ANAIF_CFG_SAR0_BASE EQU 0x40005900 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_SIZE +CYDEV_ANAIF_CFG_SAR0_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR0 +CYDEV_ANAIF_CFG_SAR0_CSR0 EQU 0x40005900 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR1 +CYDEV_ANAIF_CFG_SAR0_CSR1 EQU 0x40005901 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR2 +CYDEV_ANAIF_CFG_SAR0_CSR2 EQU 0x40005902 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR3 +CYDEV_ANAIF_CFG_SAR0_CSR3 EQU 0x40005903 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR4 +CYDEV_ANAIF_CFG_SAR0_CSR4 EQU 0x40005904 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR5 +CYDEV_ANAIF_CFG_SAR0_CSR5 EQU 0x40005905 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_CSR6 +CYDEV_ANAIF_CFG_SAR0_CSR6 EQU 0x40005906 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_BASE +CYDEV_ANAIF_CFG_SAR1_BASE EQU 0x40005908 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_SIZE +CYDEV_ANAIF_CFG_SAR1_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR0 +CYDEV_ANAIF_CFG_SAR1_CSR0 EQU 0x40005908 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR1 +CYDEV_ANAIF_CFG_SAR1_CSR1 EQU 0x40005909 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR2 +CYDEV_ANAIF_CFG_SAR1_CSR2 EQU 0x4000590a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR3 +CYDEV_ANAIF_CFG_SAR1_CSR3 EQU 0x4000590b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR4 +CYDEV_ANAIF_CFG_SAR1_CSR4 EQU 0x4000590c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR5 +CYDEV_ANAIF_CFG_SAR1_CSR5 EQU 0x4000590d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_CSR6 +CYDEV_ANAIF_CFG_SAR1_CSR6 EQU 0x4000590e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BASE +CYDEV_ANAIF_RT_BASE EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SIZE +CYDEV_ANAIF_RT_SIZE EQU 0x00000162 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_BASE +CYDEV_ANAIF_RT_SC0_BASE EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SIZE +CYDEV_ANAIF_RT_SC0_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW0 +CYDEV_ANAIF_RT_SC0_SW0 EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW2 +CYDEV_ANAIF_RT_SC0_SW2 EQU 0x40005a02 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW3 +CYDEV_ANAIF_RT_SC0_SW3 EQU 0x40005a03 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW4 +CYDEV_ANAIF_RT_SC0_SW4 EQU 0x40005a04 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW6 +CYDEV_ANAIF_RT_SC0_SW6 EQU 0x40005a06 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW7 +CYDEV_ANAIF_RT_SC0_SW7 EQU 0x40005a07 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW8 +CYDEV_ANAIF_RT_SC0_SW8 EQU 0x40005a08 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SW10 +CYDEV_ANAIF_RT_SC0_SW10 EQU 0x40005a0a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_CLK +CYDEV_ANAIF_RT_SC0_CLK EQU 0x40005a0b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_BST +CYDEV_ANAIF_RT_SC0_BST EQU 0x40005a0c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_BASE +CYDEV_ANAIF_RT_SC1_BASE EQU 0x40005a10 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SIZE +CYDEV_ANAIF_RT_SC1_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW0 +CYDEV_ANAIF_RT_SC1_SW0 EQU 0x40005a10 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW2 +CYDEV_ANAIF_RT_SC1_SW2 EQU 0x40005a12 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW3 +CYDEV_ANAIF_RT_SC1_SW3 EQU 0x40005a13 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW4 +CYDEV_ANAIF_RT_SC1_SW4 EQU 0x40005a14 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW6 +CYDEV_ANAIF_RT_SC1_SW6 EQU 0x40005a16 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW7 +CYDEV_ANAIF_RT_SC1_SW7 EQU 0x40005a17 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW8 +CYDEV_ANAIF_RT_SC1_SW8 EQU 0x40005a18 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SW10 +CYDEV_ANAIF_RT_SC1_SW10 EQU 0x40005a1a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_CLK +CYDEV_ANAIF_RT_SC1_CLK EQU 0x40005a1b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_BST +CYDEV_ANAIF_RT_SC1_BST EQU 0x40005a1c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_BASE +CYDEV_ANAIF_RT_SC2_BASE EQU 0x40005a20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SIZE +CYDEV_ANAIF_RT_SC2_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW0 +CYDEV_ANAIF_RT_SC2_SW0 EQU 0x40005a20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW2 +CYDEV_ANAIF_RT_SC2_SW2 EQU 0x40005a22 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW3 +CYDEV_ANAIF_RT_SC2_SW3 EQU 0x40005a23 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW4 +CYDEV_ANAIF_RT_SC2_SW4 EQU 0x40005a24 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW6 +CYDEV_ANAIF_RT_SC2_SW6 EQU 0x40005a26 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW7 +CYDEV_ANAIF_RT_SC2_SW7 EQU 0x40005a27 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW8 +CYDEV_ANAIF_RT_SC2_SW8 EQU 0x40005a28 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SW10 +CYDEV_ANAIF_RT_SC2_SW10 EQU 0x40005a2a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_CLK +CYDEV_ANAIF_RT_SC2_CLK EQU 0x40005a2b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_BST +CYDEV_ANAIF_RT_SC2_BST EQU 0x40005a2c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_BASE +CYDEV_ANAIF_RT_SC3_BASE EQU 0x40005a30 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SIZE +CYDEV_ANAIF_RT_SC3_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW0 +CYDEV_ANAIF_RT_SC3_SW0 EQU 0x40005a30 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW2 +CYDEV_ANAIF_RT_SC3_SW2 EQU 0x40005a32 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW3 +CYDEV_ANAIF_RT_SC3_SW3 EQU 0x40005a33 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW4 +CYDEV_ANAIF_RT_SC3_SW4 EQU 0x40005a34 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW6 +CYDEV_ANAIF_RT_SC3_SW6 EQU 0x40005a36 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW7 +CYDEV_ANAIF_RT_SC3_SW7 EQU 0x40005a37 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW8 +CYDEV_ANAIF_RT_SC3_SW8 EQU 0x40005a38 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SW10 +CYDEV_ANAIF_RT_SC3_SW10 EQU 0x40005a3a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_CLK +CYDEV_ANAIF_RT_SC3_CLK EQU 0x40005a3b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_BST +CYDEV_ANAIF_RT_SC3_BST EQU 0x40005a3c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_BASE +CYDEV_ANAIF_RT_DAC0_BASE EQU 0x40005a80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SIZE +CYDEV_ANAIF_RT_DAC0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SW0 +CYDEV_ANAIF_RT_DAC0_SW0 EQU 0x40005a80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SW2 +CYDEV_ANAIF_RT_DAC0_SW2 EQU 0x40005a82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SW3 +CYDEV_ANAIF_RT_DAC0_SW3 EQU 0x40005a83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SW4 +CYDEV_ANAIF_RT_DAC0_SW4 EQU 0x40005a84 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_STROBE +CYDEV_ANAIF_RT_DAC0_STROBE EQU 0x40005a87 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_BASE +CYDEV_ANAIF_RT_DAC1_BASE EQU 0x40005a88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SIZE +CYDEV_ANAIF_RT_DAC1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SW0 +CYDEV_ANAIF_RT_DAC1_SW0 EQU 0x40005a88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SW2 +CYDEV_ANAIF_RT_DAC1_SW2 EQU 0x40005a8a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SW3 +CYDEV_ANAIF_RT_DAC1_SW3 EQU 0x40005a8b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SW4 +CYDEV_ANAIF_RT_DAC1_SW4 EQU 0x40005a8c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_STROBE +CYDEV_ANAIF_RT_DAC1_STROBE EQU 0x40005a8f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_BASE +CYDEV_ANAIF_RT_DAC2_BASE EQU 0x40005a90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SIZE +CYDEV_ANAIF_RT_DAC2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SW0 +CYDEV_ANAIF_RT_DAC2_SW0 EQU 0x40005a90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SW2 +CYDEV_ANAIF_RT_DAC2_SW2 EQU 0x40005a92 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SW3 +CYDEV_ANAIF_RT_DAC2_SW3 EQU 0x40005a93 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SW4 +CYDEV_ANAIF_RT_DAC2_SW4 EQU 0x40005a94 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_STROBE +CYDEV_ANAIF_RT_DAC2_STROBE EQU 0x40005a97 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_BASE +CYDEV_ANAIF_RT_DAC3_BASE EQU 0x40005a98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SIZE +CYDEV_ANAIF_RT_DAC3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SW0 +CYDEV_ANAIF_RT_DAC3_SW0 EQU 0x40005a98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SW2 +CYDEV_ANAIF_RT_DAC3_SW2 EQU 0x40005a9a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SW3 +CYDEV_ANAIF_RT_DAC3_SW3 EQU 0x40005a9b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SW4 +CYDEV_ANAIF_RT_DAC3_SW4 EQU 0x40005a9c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_STROBE +CYDEV_ANAIF_RT_DAC3_STROBE EQU 0x40005a9f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_BASE +CYDEV_ANAIF_RT_CMP0_BASE EQU 0x40005ac0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SIZE +CYDEV_ANAIF_RT_CMP0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW0 +CYDEV_ANAIF_RT_CMP0_SW0 EQU 0x40005ac0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW2 +CYDEV_ANAIF_RT_CMP0_SW2 EQU 0x40005ac2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW3 +CYDEV_ANAIF_RT_CMP0_SW3 EQU 0x40005ac3 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW4 +CYDEV_ANAIF_RT_CMP0_SW4 EQU 0x40005ac4 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SW6 +CYDEV_ANAIF_RT_CMP0_SW6 EQU 0x40005ac6 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_CLK +CYDEV_ANAIF_RT_CMP0_CLK EQU 0x40005ac7 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_BASE +CYDEV_ANAIF_RT_CMP1_BASE EQU 0x40005ac8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SIZE +CYDEV_ANAIF_RT_CMP1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW0 +CYDEV_ANAIF_RT_CMP1_SW0 EQU 0x40005ac8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW2 +CYDEV_ANAIF_RT_CMP1_SW2 EQU 0x40005aca + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW3 +CYDEV_ANAIF_RT_CMP1_SW3 EQU 0x40005acb + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW4 +CYDEV_ANAIF_RT_CMP1_SW4 EQU 0x40005acc + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SW6 +CYDEV_ANAIF_RT_CMP1_SW6 EQU 0x40005ace + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_CLK +CYDEV_ANAIF_RT_CMP1_CLK EQU 0x40005acf + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_BASE +CYDEV_ANAIF_RT_CMP2_BASE EQU 0x40005ad0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SIZE +CYDEV_ANAIF_RT_CMP2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW0 +CYDEV_ANAIF_RT_CMP2_SW0 EQU 0x40005ad0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW2 +CYDEV_ANAIF_RT_CMP2_SW2 EQU 0x40005ad2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW3 +CYDEV_ANAIF_RT_CMP2_SW3 EQU 0x40005ad3 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW4 +CYDEV_ANAIF_RT_CMP2_SW4 EQU 0x40005ad4 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SW6 +CYDEV_ANAIF_RT_CMP2_SW6 EQU 0x40005ad6 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_CLK +CYDEV_ANAIF_RT_CMP2_CLK EQU 0x40005ad7 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_BASE +CYDEV_ANAIF_RT_CMP3_BASE EQU 0x40005ad8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SIZE +CYDEV_ANAIF_RT_CMP3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW0 +CYDEV_ANAIF_RT_CMP3_SW0 EQU 0x40005ad8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW2 +CYDEV_ANAIF_RT_CMP3_SW2 EQU 0x40005ada + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW3 +CYDEV_ANAIF_RT_CMP3_SW3 EQU 0x40005adb + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW4 +CYDEV_ANAIF_RT_CMP3_SW4 EQU 0x40005adc + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SW6 +CYDEV_ANAIF_RT_CMP3_SW6 EQU 0x40005ade + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_CLK +CYDEV_ANAIF_RT_CMP3_CLK EQU 0x40005adf + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_BASE +CYDEV_ANAIF_RT_DSM0_BASE EQU 0x40005b00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SIZE +CYDEV_ANAIF_RT_DSM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW0 +CYDEV_ANAIF_RT_DSM0_SW0 EQU 0x40005b00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW2 +CYDEV_ANAIF_RT_DSM0_SW2 EQU 0x40005b02 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW3 +CYDEV_ANAIF_RT_DSM0_SW3 EQU 0x40005b03 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW4 +CYDEV_ANAIF_RT_DSM0_SW4 EQU 0x40005b04 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SW6 +CYDEV_ANAIF_RT_DSM0_SW6 EQU 0x40005b06 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_CLK +CYDEV_ANAIF_RT_DSM0_CLK EQU 0x40005b07 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_BASE +CYDEV_ANAIF_RT_SAR0_BASE EQU 0x40005b20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SIZE +CYDEV_ANAIF_RT_SAR0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW0 +CYDEV_ANAIF_RT_SAR0_SW0 EQU 0x40005b20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW2 +CYDEV_ANAIF_RT_SAR0_SW2 EQU 0x40005b22 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW3 +CYDEV_ANAIF_RT_SAR0_SW3 EQU 0x40005b23 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW4 +CYDEV_ANAIF_RT_SAR0_SW4 EQU 0x40005b24 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SW6 +CYDEV_ANAIF_RT_SAR0_SW6 EQU 0x40005b26 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_CLK +CYDEV_ANAIF_RT_SAR0_CLK EQU 0x40005b27 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_BASE +CYDEV_ANAIF_RT_SAR1_BASE EQU 0x40005b28 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SIZE +CYDEV_ANAIF_RT_SAR1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW0 +CYDEV_ANAIF_RT_SAR1_SW0 EQU 0x40005b28 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW2 +CYDEV_ANAIF_RT_SAR1_SW2 EQU 0x40005b2a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW3 +CYDEV_ANAIF_RT_SAR1_SW3 EQU 0x40005b2b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW4 +CYDEV_ANAIF_RT_SAR1_SW4 EQU 0x40005b2c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SW6 +CYDEV_ANAIF_RT_SAR1_SW6 EQU 0x40005b2e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_CLK +CYDEV_ANAIF_RT_SAR1_CLK EQU 0x40005b2f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_BASE +CYDEV_ANAIF_RT_OPAMP0_BASE EQU 0x40005b40 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_SIZE +CYDEV_ANAIF_RT_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_MX +CYDEV_ANAIF_RT_OPAMP0_MX EQU 0x40005b40 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_SW +CYDEV_ANAIF_RT_OPAMP0_SW EQU 0x40005b41 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_BASE +CYDEV_ANAIF_RT_OPAMP1_BASE EQU 0x40005b42 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_SIZE +CYDEV_ANAIF_RT_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_MX +CYDEV_ANAIF_RT_OPAMP1_MX EQU 0x40005b42 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_SW +CYDEV_ANAIF_RT_OPAMP1_SW EQU 0x40005b43 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_BASE +CYDEV_ANAIF_RT_OPAMP2_BASE EQU 0x40005b44 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_SIZE +CYDEV_ANAIF_RT_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_MX +CYDEV_ANAIF_RT_OPAMP2_MX EQU 0x40005b44 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_SW +CYDEV_ANAIF_RT_OPAMP2_SW EQU 0x40005b45 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_BASE +CYDEV_ANAIF_RT_OPAMP3_BASE EQU 0x40005b46 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_SIZE +CYDEV_ANAIF_RT_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_MX +CYDEV_ANAIF_RT_OPAMP3_MX EQU 0x40005b46 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_SW +CYDEV_ANAIF_RT_OPAMP3_SW EQU 0x40005b47 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_BASE +CYDEV_ANAIF_RT_LCDDAC_BASE EQU 0x40005b50 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SIZE +CYDEV_ANAIF_RT_LCDDAC_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW0 +CYDEV_ANAIF_RT_LCDDAC_SW0 EQU 0x40005b50 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW1 +CYDEV_ANAIF_RT_LCDDAC_SW1 EQU 0x40005b51 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW2 +CYDEV_ANAIF_RT_LCDDAC_SW2 EQU 0x40005b52 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW3 +CYDEV_ANAIF_RT_LCDDAC_SW3 EQU 0x40005b53 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SW4 +CYDEV_ANAIF_RT_LCDDAC_SW4 EQU 0x40005b54 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_BASE +CYDEV_ANAIF_RT_SC_BASE EQU 0x40005b56 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_SIZE +CYDEV_ANAIF_RT_SC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_MISC +CYDEV_ANAIF_RT_SC_MISC EQU 0x40005b56 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_BASE +CYDEV_ANAIF_RT_BUS_BASE EQU 0x40005b58 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SIZE +CYDEV_ANAIF_RT_BUS_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SW0 +CYDEV_ANAIF_RT_BUS_SW0 EQU 0x40005b58 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SW2 +CYDEV_ANAIF_RT_BUS_SW2 EQU 0x40005b5a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SW3 +CYDEV_ANAIF_RT_BUS_SW3 EQU 0x40005b5b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_BASE +CYDEV_ANAIF_RT_DFT_BASE EQU 0x40005b5c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_SIZE +CYDEV_ANAIF_RT_DFT_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR0 +CYDEV_ANAIF_RT_DFT_CR0 EQU 0x40005b5c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR1 +CYDEV_ANAIF_RT_DFT_CR1 EQU 0x40005b5d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR2 +CYDEV_ANAIF_RT_DFT_CR2 EQU 0x40005b5e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR3 +CYDEV_ANAIF_RT_DFT_CR3 EQU 0x40005b5f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR4 +CYDEV_ANAIF_RT_DFT_CR4 EQU 0x40005b60 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_CR5 +CYDEV_ANAIF_RT_DFT_CR5 EQU 0x40005b61 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_BASE +CYDEV_ANAIF_WRK_BASE EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SIZE +CYDEV_ANAIF_WRK_SIZE EQU 0x00000029 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_BASE +CYDEV_ANAIF_WRK_DAC0_BASE EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_SIZE +CYDEV_ANAIF_WRK_DAC0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_D +CYDEV_ANAIF_WRK_DAC0_D EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_BASE +CYDEV_ANAIF_WRK_DAC1_BASE EQU 0x40005b81 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_SIZE +CYDEV_ANAIF_WRK_DAC1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_D +CYDEV_ANAIF_WRK_DAC1_D EQU 0x40005b81 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_BASE +CYDEV_ANAIF_WRK_DAC2_BASE EQU 0x40005b82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_SIZE +CYDEV_ANAIF_WRK_DAC2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_D +CYDEV_ANAIF_WRK_DAC2_D EQU 0x40005b82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_BASE +CYDEV_ANAIF_WRK_DAC3_BASE EQU 0x40005b83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_SIZE +CYDEV_ANAIF_WRK_DAC3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_D +CYDEV_ANAIF_WRK_DAC3_D EQU 0x40005b83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_BASE +CYDEV_ANAIF_WRK_DSM0_BASE EQU 0x40005b88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_SIZE +CYDEV_ANAIF_WRK_DSM0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_OUT0 +CYDEV_ANAIF_WRK_DSM0_OUT0 EQU 0x40005b88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_OUT1 +CYDEV_ANAIF_WRK_DSM0_OUT1 EQU 0x40005b89 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_BASE +CYDEV_ANAIF_WRK_LUT_BASE EQU 0x40005b90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_SIZE +CYDEV_ANAIF_WRK_LUT_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_SR +CYDEV_ANAIF_WRK_LUT_SR EQU 0x40005b90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_WRK1 +CYDEV_ANAIF_WRK_LUT_WRK1 EQU 0x40005b91 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_MSK +CYDEV_ANAIF_WRK_LUT_MSK EQU 0x40005b92 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_CLK +CYDEV_ANAIF_WRK_LUT_CLK EQU 0x40005b93 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_CPTR +CYDEV_ANAIF_WRK_LUT_CPTR EQU 0x40005b94 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_BASE +CYDEV_ANAIF_WRK_CMP_BASE EQU 0x40005b96 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_SIZE +CYDEV_ANAIF_WRK_CMP_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_WRK +CYDEV_ANAIF_WRK_CMP_WRK EQU 0x40005b96 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_TST +CYDEV_ANAIF_WRK_CMP_TST EQU 0x40005b97 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_BASE +CYDEV_ANAIF_WRK_SC_BASE EQU 0x40005b98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_SIZE +CYDEV_ANAIF_WRK_SC_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_SR +CYDEV_ANAIF_WRK_SC_SR EQU 0x40005b98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_WRK1 +CYDEV_ANAIF_WRK_SC_WRK1 EQU 0x40005b99 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_MSK +CYDEV_ANAIF_WRK_SC_MSK EQU 0x40005b9a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_CMPINV +CYDEV_ANAIF_WRK_SC_CMPINV EQU 0x40005b9b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_CPTR +CYDEV_ANAIF_WRK_SC_CPTR EQU 0x40005b9c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_BASE +CYDEV_ANAIF_WRK_SAR0_BASE EQU 0x40005ba0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_SIZE +CYDEV_ANAIF_WRK_SAR0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_WRK0 +CYDEV_ANAIF_WRK_SAR0_WRK0 EQU 0x40005ba0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_WRK1 +CYDEV_ANAIF_WRK_SAR0_WRK1 EQU 0x40005ba1 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_BASE +CYDEV_ANAIF_WRK_SAR1_BASE EQU 0x40005ba2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_SIZE +CYDEV_ANAIF_WRK_SAR1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_WRK0 +CYDEV_ANAIF_WRK_SAR1_WRK0 EQU 0x40005ba2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_WRK1 +CYDEV_ANAIF_WRK_SAR1_WRK1 EQU 0x40005ba3 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_BASE +CYDEV_ANAIF_WRK_SARS_BASE EQU 0x40005ba8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_SIZE +CYDEV_ANAIF_WRK_SARS_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_SOF +CYDEV_ANAIF_WRK_SARS_SOF EQU 0x40005ba8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_BASE +CYDEV_USB_BASE EQU 0x40006000 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIZE +CYDEV_USB_SIZE EQU 0x00000300 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR0 +CYDEV_USB_EP0_DR0 EQU 0x40006000 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR1 +CYDEV_USB_EP0_DR1 EQU 0x40006001 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR2 +CYDEV_USB_EP0_DR2 EQU 0x40006002 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR3 +CYDEV_USB_EP0_DR3 EQU 0x40006003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR4 +CYDEV_USB_EP0_DR4 EQU 0x40006004 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR5 +CYDEV_USB_EP0_DR5 EQU 0x40006005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR6 +CYDEV_USB_EP0_DR6 EQU 0x40006006 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_DR7 +CYDEV_USB_EP0_DR7 EQU 0x40006007 + ENDIF + IF :LNOT::DEF:CYDEV_USB_CR0 +CYDEV_USB_CR0 EQU 0x40006008 + ENDIF + IF :LNOT::DEF:CYDEV_USB_CR1 +CYDEV_USB_CR1 EQU 0x40006009 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP_INT_EN +CYDEV_USB_SIE_EP_INT_EN EQU 0x4000600a + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP_INT_SR +CYDEV_USB_SIE_EP_INT_SR EQU 0x4000600b + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_BASE +CYDEV_USB_SIE_EP1_BASE EQU 0x4000600c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_SIZE +CYDEV_USB_SIE_EP1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_CNT0 +CYDEV_USB_SIE_EP1_CNT0 EQU 0x4000600c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_CNT1 +CYDEV_USB_SIE_EP1_CNT1 EQU 0x4000600d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_CR0 +CYDEV_USB_SIE_EP1_CR0 EQU 0x4000600e + ENDIF + IF :LNOT::DEF:CYDEV_USB_USBIO_CR0 +CYDEV_USB_USBIO_CR0 EQU 0x40006010 + ENDIF + IF :LNOT::DEF:CYDEV_USB_USBIO_CR1 +CYDEV_USB_USBIO_CR1 EQU 0x40006012 + ENDIF + IF :LNOT::DEF:CYDEV_USB_DYN_RECONFIG +CYDEV_USB_DYN_RECONFIG EQU 0x40006014 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SOF0 +CYDEV_USB_SOF0 EQU 0x40006018 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SOF1 +CYDEV_USB_SOF1 EQU 0x40006019 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_BASE +CYDEV_USB_SIE_EP2_BASE EQU 0x4000601c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_SIZE +CYDEV_USB_SIE_EP2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_CNT0 +CYDEV_USB_SIE_EP2_CNT0 EQU 0x4000601c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_CNT1 +CYDEV_USB_SIE_EP2_CNT1 EQU 0x4000601d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_CR0 +CYDEV_USB_SIE_EP2_CR0 EQU 0x4000601e + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_CR +CYDEV_USB_EP0_CR EQU 0x40006028 + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP0_CNT +CYDEV_USB_EP0_CNT EQU 0x40006029 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_BASE +CYDEV_USB_SIE_EP3_BASE EQU 0x4000602c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_SIZE +CYDEV_USB_SIE_EP3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_CNT0 +CYDEV_USB_SIE_EP3_CNT0 EQU 0x4000602c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_CNT1 +CYDEV_USB_SIE_EP3_CNT1 EQU 0x4000602d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_CR0 +CYDEV_USB_SIE_EP3_CR0 EQU 0x4000602e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_BASE +CYDEV_USB_SIE_EP4_BASE EQU 0x4000603c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_SIZE +CYDEV_USB_SIE_EP4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_CNT0 +CYDEV_USB_SIE_EP4_CNT0 EQU 0x4000603c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_CNT1 +CYDEV_USB_SIE_EP4_CNT1 EQU 0x4000603d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_CR0 +CYDEV_USB_SIE_EP4_CR0 EQU 0x4000603e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_BASE +CYDEV_USB_SIE_EP5_BASE EQU 0x4000604c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_SIZE +CYDEV_USB_SIE_EP5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_CNT0 +CYDEV_USB_SIE_EP5_CNT0 EQU 0x4000604c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_CNT1 +CYDEV_USB_SIE_EP5_CNT1 EQU 0x4000604d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_CR0 +CYDEV_USB_SIE_EP5_CR0 EQU 0x4000604e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_BASE +CYDEV_USB_SIE_EP6_BASE EQU 0x4000605c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_SIZE +CYDEV_USB_SIE_EP6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_CNT0 +CYDEV_USB_SIE_EP6_CNT0 EQU 0x4000605c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_CNT1 +CYDEV_USB_SIE_EP6_CNT1 EQU 0x4000605d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_CR0 +CYDEV_USB_SIE_EP6_CR0 EQU 0x4000605e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_BASE +CYDEV_USB_SIE_EP7_BASE EQU 0x4000606c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_SIZE +CYDEV_USB_SIE_EP7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_CNT0 +CYDEV_USB_SIE_EP7_CNT0 EQU 0x4000606c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_CNT1 +CYDEV_USB_SIE_EP7_CNT1 EQU 0x4000606d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_CR0 +CYDEV_USB_SIE_EP7_CR0 EQU 0x4000606e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_BASE +CYDEV_USB_SIE_EP8_BASE EQU 0x4000607c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_SIZE +CYDEV_USB_SIE_EP8_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_CNT0 +CYDEV_USB_SIE_EP8_CNT0 EQU 0x4000607c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_CNT1 +CYDEV_USB_SIE_EP8_CNT1 EQU 0x4000607d + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_CR0 +CYDEV_USB_SIE_EP8_CR0 EQU 0x4000607e + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_BASE +CYDEV_USB_ARB_EP1_BASE EQU 0x40006080 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_SIZE +CYDEV_USB_ARB_EP1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_CFG +CYDEV_USB_ARB_EP1_CFG EQU 0x40006080 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_INT_EN +CYDEV_USB_ARB_EP1_INT_EN EQU 0x40006081 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_SR +CYDEV_USB_ARB_EP1_SR EQU 0x40006082 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_BASE +CYDEV_USB_ARB_RW1_BASE EQU 0x40006084 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_SIZE +CYDEV_USB_ARB_RW1_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_WA +CYDEV_USB_ARB_RW1_WA EQU 0x40006084 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_WA_MSB +CYDEV_USB_ARB_RW1_WA_MSB EQU 0x40006085 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_RA +CYDEV_USB_ARB_RW1_RA EQU 0x40006086 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_RA_MSB +CYDEV_USB_ARB_RW1_RA_MSB EQU 0x40006087 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_DR +CYDEV_USB_ARB_RW1_DR EQU 0x40006088 + ENDIF + IF :LNOT::DEF:CYDEV_USB_BUF_SIZE +CYDEV_USB_BUF_SIZE EQU 0x4000608c + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP_ACTIVE +CYDEV_USB_EP_ACTIVE EQU 0x4000608e + ENDIF + IF :LNOT::DEF:CYDEV_USB_EP_TYPE +CYDEV_USB_EP_TYPE EQU 0x4000608f + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_BASE +CYDEV_USB_ARB_EP2_BASE EQU 0x40006090 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_SIZE +CYDEV_USB_ARB_EP2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_CFG +CYDEV_USB_ARB_EP2_CFG EQU 0x40006090 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_INT_EN +CYDEV_USB_ARB_EP2_INT_EN EQU 0x40006091 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_SR +CYDEV_USB_ARB_EP2_SR EQU 0x40006092 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_BASE +CYDEV_USB_ARB_RW2_BASE EQU 0x40006094 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_SIZE +CYDEV_USB_ARB_RW2_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_WA +CYDEV_USB_ARB_RW2_WA EQU 0x40006094 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_WA_MSB +CYDEV_USB_ARB_RW2_WA_MSB EQU 0x40006095 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_RA +CYDEV_USB_ARB_RW2_RA EQU 0x40006096 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_RA_MSB +CYDEV_USB_ARB_RW2_RA_MSB EQU 0x40006097 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_DR +CYDEV_USB_ARB_RW2_DR EQU 0x40006098 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_CFG +CYDEV_USB_ARB_CFG EQU 0x4000609c + ENDIF + IF :LNOT::DEF:CYDEV_USB_USB_CLK_EN +CYDEV_USB_USB_CLK_EN EQU 0x4000609d + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_INT_EN +CYDEV_USB_ARB_INT_EN EQU 0x4000609e + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_INT_SR +CYDEV_USB_ARB_INT_SR EQU 0x4000609f + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_BASE +CYDEV_USB_ARB_EP3_BASE EQU 0x400060a0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_SIZE +CYDEV_USB_ARB_EP3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_CFG +CYDEV_USB_ARB_EP3_CFG EQU 0x400060a0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_INT_EN +CYDEV_USB_ARB_EP3_INT_EN EQU 0x400060a1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_SR +CYDEV_USB_ARB_EP3_SR EQU 0x400060a2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_BASE +CYDEV_USB_ARB_RW3_BASE EQU 0x400060a4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_SIZE +CYDEV_USB_ARB_RW3_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_WA +CYDEV_USB_ARB_RW3_WA EQU 0x400060a4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_WA_MSB +CYDEV_USB_ARB_RW3_WA_MSB EQU 0x400060a5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_RA +CYDEV_USB_ARB_RW3_RA EQU 0x400060a6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_RA_MSB +CYDEV_USB_ARB_RW3_RA_MSB EQU 0x400060a7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_DR +CYDEV_USB_ARB_RW3_DR EQU 0x400060a8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_CWA +CYDEV_USB_CWA EQU 0x400060ac + ENDIF + IF :LNOT::DEF:CYDEV_USB_CWA_MSB +CYDEV_USB_CWA_MSB EQU 0x400060ad + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_BASE +CYDEV_USB_ARB_EP4_BASE EQU 0x400060b0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_SIZE +CYDEV_USB_ARB_EP4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_CFG +CYDEV_USB_ARB_EP4_CFG EQU 0x400060b0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_INT_EN +CYDEV_USB_ARB_EP4_INT_EN EQU 0x400060b1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_SR +CYDEV_USB_ARB_EP4_SR EQU 0x400060b2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_BASE +CYDEV_USB_ARB_RW4_BASE EQU 0x400060b4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_SIZE +CYDEV_USB_ARB_RW4_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_WA +CYDEV_USB_ARB_RW4_WA EQU 0x400060b4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_WA_MSB +CYDEV_USB_ARB_RW4_WA_MSB EQU 0x400060b5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_RA +CYDEV_USB_ARB_RW4_RA EQU 0x400060b6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_RA_MSB +CYDEV_USB_ARB_RW4_RA_MSB EQU 0x400060b7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_DR +CYDEV_USB_ARB_RW4_DR EQU 0x400060b8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_DMA_THRES +CYDEV_USB_DMA_THRES EQU 0x400060bc + ENDIF + IF :LNOT::DEF:CYDEV_USB_DMA_THRES_MSB +CYDEV_USB_DMA_THRES_MSB EQU 0x400060bd + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_BASE +CYDEV_USB_ARB_EP5_BASE EQU 0x400060c0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_SIZE +CYDEV_USB_ARB_EP5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_CFG +CYDEV_USB_ARB_EP5_CFG EQU 0x400060c0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_INT_EN +CYDEV_USB_ARB_EP5_INT_EN EQU 0x400060c1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_SR +CYDEV_USB_ARB_EP5_SR EQU 0x400060c2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_BASE +CYDEV_USB_ARB_RW5_BASE EQU 0x400060c4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_SIZE +CYDEV_USB_ARB_RW5_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_WA +CYDEV_USB_ARB_RW5_WA EQU 0x400060c4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_WA_MSB +CYDEV_USB_ARB_RW5_WA_MSB EQU 0x400060c5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_RA +CYDEV_USB_ARB_RW5_RA EQU 0x400060c6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_RA_MSB +CYDEV_USB_ARB_RW5_RA_MSB EQU 0x400060c7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_DR +CYDEV_USB_ARB_RW5_DR EQU 0x400060c8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_BUS_RST_CNT +CYDEV_USB_BUS_RST_CNT EQU 0x400060cc + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_BASE +CYDEV_USB_ARB_EP6_BASE EQU 0x400060d0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_SIZE +CYDEV_USB_ARB_EP6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_CFG +CYDEV_USB_ARB_EP6_CFG EQU 0x400060d0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_INT_EN +CYDEV_USB_ARB_EP6_INT_EN EQU 0x400060d1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_SR +CYDEV_USB_ARB_EP6_SR EQU 0x400060d2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_BASE +CYDEV_USB_ARB_RW6_BASE EQU 0x400060d4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_SIZE +CYDEV_USB_ARB_RW6_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_WA +CYDEV_USB_ARB_RW6_WA EQU 0x400060d4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_WA_MSB +CYDEV_USB_ARB_RW6_WA_MSB EQU 0x400060d5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_RA +CYDEV_USB_ARB_RW6_RA EQU 0x400060d6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_RA_MSB +CYDEV_USB_ARB_RW6_RA_MSB EQU 0x400060d7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_DR +CYDEV_USB_ARB_RW6_DR EQU 0x400060d8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_BASE +CYDEV_USB_ARB_EP7_BASE EQU 0x400060e0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_SIZE +CYDEV_USB_ARB_EP7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_CFG +CYDEV_USB_ARB_EP7_CFG EQU 0x400060e0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_INT_EN +CYDEV_USB_ARB_EP7_INT_EN EQU 0x400060e1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_SR +CYDEV_USB_ARB_EP7_SR EQU 0x400060e2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_BASE +CYDEV_USB_ARB_RW7_BASE EQU 0x400060e4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_SIZE +CYDEV_USB_ARB_RW7_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_WA +CYDEV_USB_ARB_RW7_WA EQU 0x400060e4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_WA_MSB +CYDEV_USB_ARB_RW7_WA_MSB EQU 0x400060e5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_RA +CYDEV_USB_ARB_RW7_RA EQU 0x400060e6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_RA_MSB +CYDEV_USB_ARB_RW7_RA_MSB EQU 0x400060e7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_DR +CYDEV_USB_ARB_RW7_DR EQU 0x400060e8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_BASE +CYDEV_USB_ARB_EP8_BASE EQU 0x400060f0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_SIZE +CYDEV_USB_ARB_EP8_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_CFG +CYDEV_USB_ARB_EP8_CFG EQU 0x400060f0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_INT_EN +CYDEV_USB_ARB_EP8_INT_EN EQU 0x400060f1 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_SR +CYDEV_USB_ARB_EP8_SR EQU 0x400060f2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_BASE +CYDEV_USB_ARB_RW8_BASE EQU 0x400060f4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_SIZE +CYDEV_USB_ARB_RW8_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_WA +CYDEV_USB_ARB_RW8_WA EQU 0x400060f4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_WA_MSB +CYDEV_USB_ARB_RW8_WA_MSB EQU 0x400060f5 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_RA +CYDEV_USB_ARB_RW8_RA EQU 0x400060f6 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_RA_MSB +CYDEV_USB_ARB_RW8_RA_MSB EQU 0x400060f7 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_DR +CYDEV_USB_ARB_RW8_DR EQU 0x400060f8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_BASE +CYDEV_USB_MEM_BASE EQU 0x40006100 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_SIZE +CYDEV_USB_MEM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_DATA_MBASE +CYDEV_USB_MEM_DATA_MBASE EQU 0x40006100 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_DATA_MSIZE +CYDEV_USB_MEM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_BASE +CYDEV_UWRK_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_SIZE +CYDEV_UWRK_SIZE EQU 0x00000b60 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_BASE +CYDEV_UWRK_UWRK8_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_SIZE +CYDEV_UWRK_UWRK8_SIZE EQU 0x000003b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_BASE +CYDEV_UWRK_UWRK8_B0_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_SIZE +CYDEV_UWRK_UWRK8_B0_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_A0 +CYDEV_UWRK_UWRK8_B0_UDB00_A0 EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_A0 +CYDEV_UWRK_UWRK8_B0_UDB01_A0 EQU 0x40006401 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_A0 +CYDEV_UWRK_UWRK8_B0_UDB02_A0 EQU 0x40006402 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_A0 +CYDEV_UWRK_UWRK8_B0_UDB03_A0 EQU 0x40006403 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_A0 +CYDEV_UWRK_UWRK8_B0_UDB04_A0 EQU 0x40006404 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_A0 +CYDEV_UWRK_UWRK8_B0_UDB05_A0 EQU 0x40006405 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_A0 +CYDEV_UWRK_UWRK8_B0_UDB06_A0 EQU 0x40006406 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_A0 +CYDEV_UWRK_UWRK8_B0_UDB07_A0 EQU 0x40006407 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_A0 +CYDEV_UWRK_UWRK8_B0_UDB08_A0 EQU 0x40006408 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_A0 +CYDEV_UWRK_UWRK8_B0_UDB09_A0 EQU 0x40006409 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_A0 +CYDEV_UWRK_UWRK8_B0_UDB10_A0 EQU 0x4000640a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_A0 +CYDEV_UWRK_UWRK8_B0_UDB11_A0 EQU 0x4000640b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_A0 +CYDEV_UWRK_UWRK8_B0_UDB12_A0 EQU 0x4000640c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_A0 +CYDEV_UWRK_UWRK8_B0_UDB13_A0 EQU 0x4000640d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_A0 +CYDEV_UWRK_UWRK8_B0_UDB14_A0 EQU 0x4000640e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_A0 +CYDEV_UWRK_UWRK8_B0_UDB15_A0 EQU 0x4000640f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_A1 +CYDEV_UWRK_UWRK8_B0_UDB00_A1 EQU 0x40006410 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_A1 +CYDEV_UWRK_UWRK8_B0_UDB01_A1 EQU 0x40006411 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_A1 +CYDEV_UWRK_UWRK8_B0_UDB02_A1 EQU 0x40006412 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_A1 +CYDEV_UWRK_UWRK8_B0_UDB03_A1 EQU 0x40006413 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_A1 +CYDEV_UWRK_UWRK8_B0_UDB04_A1 EQU 0x40006414 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_A1 +CYDEV_UWRK_UWRK8_B0_UDB05_A1 EQU 0x40006415 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_A1 +CYDEV_UWRK_UWRK8_B0_UDB06_A1 EQU 0x40006416 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_A1 +CYDEV_UWRK_UWRK8_B0_UDB07_A1 EQU 0x40006417 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_A1 +CYDEV_UWRK_UWRK8_B0_UDB08_A1 EQU 0x40006418 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_A1 +CYDEV_UWRK_UWRK8_B0_UDB09_A1 EQU 0x40006419 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_A1 +CYDEV_UWRK_UWRK8_B0_UDB10_A1 EQU 0x4000641a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_A1 +CYDEV_UWRK_UWRK8_B0_UDB11_A1 EQU 0x4000641b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_A1 +CYDEV_UWRK_UWRK8_B0_UDB12_A1 EQU 0x4000641c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_A1 +CYDEV_UWRK_UWRK8_B0_UDB13_A1 EQU 0x4000641d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_A1 +CYDEV_UWRK_UWRK8_B0_UDB14_A1 EQU 0x4000641e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_A1 +CYDEV_UWRK_UWRK8_B0_UDB15_A1 EQU 0x4000641f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_D0 +CYDEV_UWRK_UWRK8_B0_UDB00_D0 EQU 0x40006420 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_D0 +CYDEV_UWRK_UWRK8_B0_UDB01_D0 EQU 0x40006421 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_D0 +CYDEV_UWRK_UWRK8_B0_UDB02_D0 EQU 0x40006422 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_D0 +CYDEV_UWRK_UWRK8_B0_UDB03_D0 EQU 0x40006423 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_D0 +CYDEV_UWRK_UWRK8_B0_UDB04_D0 EQU 0x40006424 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_D0 +CYDEV_UWRK_UWRK8_B0_UDB05_D0 EQU 0x40006425 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_D0 +CYDEV_UWRK_UWRK8_B0_UDB06_D0 EQU 0x40006426 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_D0 +CYDEV_UWRK_UWRK8_B0_UDB07_D0 EQU 0x40006427 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_D0 +CYDEV_UWRK_UWRK8_B0_UDB08_D0 EQU 0x40006428 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_D0 +CYDEV_UWRK_UWRK8_B0_UDB09_D0 EQU 0x40006429 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_D0 +CYDEV_UWRK_UWRK8_B0_UDB10_D0 EQU 0x4000642a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_D0 +CYDEV_UWRK_UWRK8_B0_UDB11_D0 EQU 0x4000642b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_D0 +CYDEV_UWRK_UWRK8_B0_UDB12_D0 EQU 0x4000642c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_D0 +CYDEV_UWRK_UWRK8_B0_UDB13_D0 EQU 0x4000642d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_D0 +CYDEV_UWRK_UWRK8_B0_UDB14_D0 EQU 0x4000642e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_D0 +CYDEV_UWRK_UWRK8_B0_UDB15_D0 EQU 0x4000642f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_D1 +CYDEV_UWRK_UWRK8_B0_UDB00_D1 EQU 0x40006430 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_D1 +CYDEV_UWRK_UWRK8_B0_UDB01_D1 EQU 0x40006431 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_D1 +CYDEV_UWRK_UWRK8_B0_UDB02_D1 EQU 0x40006432 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_D1 +CYDEV_UWRK_UWRK8_B0_UDB03_D1 EQU 0x40006433 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_D1 +CYDEV_UWRK_UWRK8_B0_UDB04_D1 EQU 0x40006434 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_D1 +CYDEV_UWRK_UWRK8_B0_UDB05_D1 EQU 0x40006435 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_D1 +CYDEV_UWRK_UWRK8_B0_UDB06_D1 EQU 0x40006436 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_D1 +CYDEV_UWRK_UWRK8_B0_UDB07_D1 EQU 0x40006437 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_D1 +CYDEV_UWRK_UWRK8_B0_UDB08_D1 EQU 0x40006438 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_D1 +CYDEV_UWRK_UWRK8_B0_UDB09_D1 EQU 0x40006439 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_D1 +CYDEV_UWRK_UWRK8_B0_UDB10_D1 EQU 0x4000643a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_D1 +CYDEV_UWRK_UWRK8_B0_UDB11_D1 EQU 0x4000643b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_D1 +CYDEV_UWRK_UWRK8_B0_UDB12_D1 EQU 0x4000643c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_D1 +CYDEV_UWRK_UWRK8_B0_UDB13_D1 EQU 0x4000643d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_D1 +CYDEV_UWRK_UWRK8_B0_UDB14_D1 EQU 0x4000643e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_D1 +CYDEV_UWRK_UWRK8_B0_UDB15_D1 EQU 0x4000643f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_F0 +CYDEV_UWRK_UWRK8_B0_UDB00_F0 EQU 0x40006440 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_F0 +CYDEV_UWRK_UWRK8_B0_UDB01_F0 EQU 0x40006441 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_F0 +CYDEV_UWRK_UWRK8_B0_UDB02_F0 EQU 0x40006442 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_F0 +CYDEV_UWRK_UWRK8_B0_UDB03_F0 EQU 0x40006443 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_F0 +CYDEV_UWRK_UWRK8_B0_UDB04_F0 EQU 0x40006444 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_F0 +CYDEV_UWRK_UWRK8_B0_UDB05_F0 EQU 0x40006445 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_F0 +CYDEV_UWRK_UWRK8_B0_UDB06_F0 EQU 0x40006446 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_F0 +CYDEV_UWRK_UWRK8_B0_UDB07_F0 EQU 0x40006447 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_F0 +CYDEV_UWRK_UWRK8_B0_UDB08_F0 EQU 0x40006448 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_F0 +CYDEV_UWRK_UWRK8_B0_UDB09_F0 EQU 0x40006449 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_F0 +CYDEV_UWRK_UWRK8_B0_UDB10_F0 EQU 0x4000644a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_F0 +CYDEV_UWRK_UWRK8_B0_UDB11_F0 EQU 0x4000644b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_F0 +CYDEV_UWRK_UWRK8_B0_UDB12_F0 EQU 0x4000644c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_F0 +CYDEV_UWRK_UWRK8_B0_UDB13_F0 EQU 0x4000644d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_F0 +CYDEV_UWRK_UWRK8_B0_UDB14_F0 EQU 0x4000644e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_F0 +CYDEV_UWRK_UWRK8_B0_UDB15_F0 EQU 0x4000644f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_F1 +CYDEV_UWRK_UWRK8_B0_UDB00_F1 EQU 0x40006450 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_F1 +CYDEV_UWRK_UWRK8_B0_UDB01_F1 EQU 0x40006451 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_F1 +CYDEV_UWRK_UWRK8_B0_UDB02_F1 EQU 0x40006452 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_F1 +CYDEV_UWRK_UWRK8_B0_UDB03_F1 EQU 0x40006453 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_F1 +CYDEV_UWRK_UWRK8_B0_UDB04_F1 EQU 0x40006454 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_F1 +CYDEV_UWRK_UWRK8_B0_UDB05_F1 EQU 0x40006455 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_F1 +CYDEV_UWRK_UWRK8_B0_UDB06_F1 EQU 0x40006456 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_F1 +CYDEV_UWRK_UWRK8_B0_UDB07_F1 EQU 0x40006457 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_F1 +CYDEV_UWRK_UWRK8_B0_UDB08_F1 EQU 0x40006458 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_F1 +CYDEV_UWRK_UWRK8_B0_UDB09_F1 EQU 0x40006459 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_F1 +CYDEV_UWRK_UWRK8_B0_UDB10_F1 EQU 0x4000645a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_F1 +CYDEV_UWRK_UWRK8_B0_UDB11_F1 EQU 0x4000645b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_F1 +CYDEV_UWRK_UWRK8_B0_UDB12_F1 EQU 0x4000645c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_F1 +CYDEV_UWRK_UWRK8_B0_UDB13_F1 EQU 0x4000645d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_F1 +CYDEV_UWRK_UWRK8_B0_UDB14_F1 EQU 0x4000645e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_F1 +CYDEV_UWRK_UWRK8_B0_UDB15_F1 EQU 0x4000645f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_ST +CYDEV_UWRK_UWRK8_B0_UDB00_ST EQU 0x40006460 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_ST +CYDEV_UWRK_UWRK8_B0_UDB01_ST EQU 0x40006461 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_ST +CYDEV_UWRK_UWRK8_B0_UDB02_ST EQU 0x40006462 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_ST +CYDEV_UWRK_UWRK8_B0_UDB03_ST EQU 0x40006463 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_ST +CYDEV_UWRK_UWRK8_B0_UDB04_ST EQU 0x40006464 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_ST +CYDEV_UWRK_UWRK8_B0_UDB05_ST EQU 0x40006465 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_ST +CYDEV_UWRK_UWRK8_B0_UDB06_ST EQU 0x40006466 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_ST +CYDEV_UWRK_UWRK8_B0_UDB07_ST EQU 0x40006467 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_ST +CYDEV_UWRK_UWRK8_B0_UDB08_ST EQU 0x40006468 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_ST +CYDEV_UWRK_UWRK8_B0_UDB09_ST EQU 0x40006469 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_ST +CYDEV_UWRK_UWRK8_B0_UDB10_ST EQU 0x4000646a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_ST +CYDEV_UWRK_UWRK8_B0_UDB11_ST EQU 0x4000646b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_ST +CYDEV_UWRK_UWRK8_B0_UDB12_ST EQU 0x4000646c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_ST +CYDEV_UWRK_UWRK8_B0_UDB13_ST EQU 0x4000646d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_ST +CYDEV_UWRK_UWRK8_B0_UDB14_ST EQU 0x4000646e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_ST +CYDEV_UWRK_UWRK8_B0_UDB15_ST EQU 0x4000646f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_CTL +CYDEV_UWRK_UWRK8_B0_UDB00_CTL EQU 0x40006470 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_CTL +CYDEV_UWRK_UWRK8_B0_UDB01_CTL EQU 0x40006471 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_CTL +CYDEV_UWRK_UWRK8_B0_UDB02_CTL EQU 0x40006472 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_CTL +CYDEV_UWRK_UWRK8_B0_UDB03_CTL EQU 0x40006473 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_CTL +CYDEV_UWRK_UWRK8_B0_UDB04_CTL EQU 0x40006474 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_CTL +CYDEV_UWRK_UWRK8_B0_UDB05_CTL EQU 0x40006475 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_CTL +CYDEV_UWRK_UWRK8_B0_UDB06_CTL EQU 0x40006476 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_CTL +CYDEV_UWRK_UWRK8_B0_UDB07_CTL EQU 0x40006477 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_CTL +CYDEV_UWRK_UWRK8_B0_UDB08_CTL EQU 0x40006478 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_CTL +CYDEV_UWRK_UWRK8_B0_UDB09_CTL EQU 0x40006479 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_CTL +CYDEV_UWRK_UWRK8_B0_UDB10_CTL EQU 0x4000647a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_CTL +CYDEV_UWRK_UWRK8_B0_UDB11_CTL EQU 0x4000647b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_CTL +CYDEV_UWRK_UWRK8_B0_UDB12_CTL EQU 0x4000647c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_CTL +CYDEV_UWRK_UWRK8_B0_UDB13_CTL EQU 0x4000647d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_CTL +CYDEV_UWRK_UWRK8_B0_UDB14_CTL EQU 0x4000647e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_CTL +CYDEV_UWRK_UWRK8_B0_UDB15_CTL EQU 0x4000647f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_MSK +CYDEV_UWRK_UWRK8_B0_UDB00_MSK EQU 0x40006480 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_MSK +CYDEV_UWRK_UWRK8_B0_UDB01_MSK EQU 0x40006481 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_MSK +CYDEV_UWRK_UWRK8_B0_UDB02_MSK EQU 0x40006482 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_MSK +CYDEV_UWRK_UWRK8_B0_UDB03_MSK EQU 0x40006483 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_MSK +CYDEV_UWRK_UWRK8_B0_UDB04_MSK EQU 0x40006484 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_MSK +CYDEV_UWRK_UWRK8_B0_UDB05_MSK EQU 0x40006485 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_MSK +CYDEV_UWRK_UWRK8_B0_UDB06_MSK EQU 0x40006486 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_MSK +CYDEV_UWRK_UWRK8_B0_UDB07_MSK EQU 0x40006487 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_MSK +CYDEV_UWRK_UWRK8_B0_UDB08_MSK EQU 0x40006488 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_MSK +CYDEV_UWRK_UWRK8_B0_UDB09_MSK EQU 0x40006489 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_MSK +CYDEV_UWRK_UWRK8_B0_UDB10_MSK EQU 0x4000648a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_MSK +CYDEV_UWRK_UWRK8_B0_UDB11_MSK EQU 0x4000648b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_MSK +CYDEV_UWRK_UWRK8_B0_UDB12_MSK EQU 0x4000648c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_MSK +CYDEV_UWRK_UWRK8_B0_UDB13_MSK EQU 0x4000648d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_MSK +CYDEV_UWRK_UWRK8_B0_UDB14_MSK EQU 0x4000648e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_MSK +CYDEV_UWRK_UWRK8_B0_UDB15_MSK EQU 0x4000648f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_ACTL +CYDEV_UWRK_UWRK8_B0_UDB00_ACTL EQU 0x40006490 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_ACTL +CYDEV_UWRK_UWRK8_B0_UDB01_ACTL EQU 0x40006491 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_ACTL +CYDEV_UWRK_UWRK8_B0_UDB02_ACTL EQU 0x40006492 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_ACTL +CYDEV_UWRK_UWRK8_B0_UDB03_ACTL EQU 0x40006493 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_ACTL +CYDEV_UWRK_UWRK8_B0_UDB04_ACTL EQU 0x40006494 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_ACTL +CYDEV_UWRK_UWRK8_B0_UDB05_ACTL EQU 0x40006495 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_ACTL +CYDEV_UWRK_UWRK8_B0_UDB06_ACTL EQU 0x40006496 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_ACTL +CYDEV_UWRK_UWRK8_B0_UDB07_ACTL EQU 0x40006497 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_ACTL +CYDEV_UWRK_UWRK8_B0_UDB08_ACTL EQU 0x40006498 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_ACTL +CYDEV_UWRK_UWRK8_B0_UDB09_ACTL EQU 0x40006499 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_ACTL +CYDEV_UWRK_UWRK8_B0_UDB10_ACTL EQU 0x4000649a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_ACTL +CYDEV_UWRK_UWRK8_B0_UDB11_ACTL EQU 0x4000649b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_ACTL +CYDEV_UWRK_UWRK8_B0_UDB12_ACTL EQU 0x4000649c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_ACTL +CYDEV_UWRK_UWRK8_B0_UDB13_ACTL EQU 0x4000649d + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_ACTL +CYDEV_UWRK_UWRK8_B0_UDB14_ACTL EQU 0x4000649e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_ACTL +CYDEV_UWRK_UWRK8_B0_UDB15_ACTL EQU 0x4000649f + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB00_MC +CYDEV_UWRK_UWRK8_B0_UDB00_MC EQU 0x400064a0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB01_MC +CYDEV_UWRK_UWRK8_B0_UDB01_MC EQU 0x400064a1 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB02_MC +CYDEV_UWRK_UWRK8_B0_UDB02_MC EQU 0x400064a2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB03_MC +CYDEV_UWRK_UWRK8_B0_UDB03_MC EQU 0x400064a3 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB04_MC +CYDEV_UWRK_UWRK8_B0_UDB04_MC EQU 0x400064a4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB05_MC +CYDEV_UWRK_UWRK8_B0_UDB05_MC EQU 0x400064a5 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB06_MC +CYDEV_UWRK_UWRK8_B0_UDB06_MC EQU 0x400064a6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB07_MC +CYDEV_UWRK_UWRK8_B0_UDB07_MC EQU 0x400064a7 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB08_MC +CYDEV_UWRK_UWRK8_B0_UDB08_MC EQU 0x400064a8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB09_MC +CYDEV_UWRK_UWRK8_B0_UDB09_MC EQU 0x400064a9 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB10_MC +CYDEV_UWRK_UWRK8_B0_UDB10_MC EQU 0x400064aa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB11_MC +CYDEV_UWRK_UWRK8_B0_UDB11_MC EQU 0x400064ab + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB12_MC +CYDEV_UWRK_UWRK8_B0_UDB12_MC EQU 0x400064ac + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB13_MC +CYDEV_UWRK_UWRK8_B0_UDB13_MC EQU 0x400064ad + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB14_MC +CYDEV_UWRK_UWRK8_B0_UDB14_MC EQU 0x400064ae + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_UDB15_MC +CYDEV_UWRK_UWRK8_B0_UDB15_MC EQU 0x400064af + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_BASE +CYDEV_UWRK_UWRK8_B1_BASE EQU 0x40006500 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_SIZE +CYDEV_UWRK_UWRK8_B1_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_A0 +CYDEV_UWRK_UWRK8_B1_UDB04_A0 EQU 0x40006504 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_A0 +CYDEV_UWRK_UWRK8_B1_UDB05_A0 EQU 0x40006505 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_A0 +CYDEV_UWRK_UWRK8_B1_UDB06_A0 EQU 0x40006506 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_A0 +CYDEV_UWRK_UWRK8_B1_UDB07_A0 EQU 0x40006507 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_A0 +CYDEV_UWRK_UWRK8_B1_UDB08_A0 EQU 0x40006508 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_A0 +CYDEV_UWRK_UWRK8_B1_UDB09_A0 EQU 0x40006509 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_A0 +CYDEV_UWRK_UWRK8_B1_UDB10_A0 EQU 0x4000650a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_A0 +CYDEV_UWRK_UWRK8_B1_UDB11_A0 EQU 0x4000650b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_A1 +CYDEV_UWRK_UWRK8_B1_UDB04_A1 EQU 0x40006514 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_A1 +CYDEV_UWRK_UWRK8_B1_UDB05_A1 EQU 0x40006515 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_A1 +CYDEV_UWRK_UWRK8_B1_UDB06_A1 EQU 0x40006516 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_A1 +CYDEV_UWRK_UWRK8_B1_UDB07_A1 EQU 0x40006517 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_A1 +CYDEV_UWRK_UWRK8_B1_UDB08_A1 EQU 0x40006518 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_A1 +CYDEV_UWRK_UWRK8_B1_UDB09_A1 EQU 0x40006519 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_A1 +CYDEV_UWRK_UWRK8_B1_UDB10_A1 EQU 0x4000651a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_A1 +CYDEV_UWRK_UWRK8_B1_UDB11_A1 EQU 0x4000651b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_D0 +CYDEV_UWRK_UWRK8_B1_UDB04_D0 EQU 0x40006524 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_D0 +CYDEV_UWRK_UWRK8_B1_UDB05_D0 EQU 0x40006525 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_D0 +CYDEV_UWRK_UWRK8_B1_UDB06_D0 EQU 0x40006526 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_D0 +CYDEV_UWRK_UWRK8_B1_UDB07_D0 EQU 0x40006527 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_D0 +CYDEV_UWRK_UWRK8_B1_UDB08_D0 EQU 0x40006528 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_D0 +CYDEV_UWRK_UWRK8_B1_UDB09_D0 EQU 0x40006529 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_D0 +CYDEV_UWRK_UWRK8_B1_UDB10_D0 EQU 0x4000652a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_D0 +CYDEV_UWRK_UWRK8_B1_UDB11_D0 EQU 0x4000652b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_D1 +CYDEV_UWRK_UWRK8_B1_UDB04_D1 EQU 0x40006534 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_D1 +CYDEV_UWRK_UWRK8_B1_UDB05_D1 EQU 0x40006535 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_D1 +CYDEV_UWRK_UWRK8_B1_UDB06_D1 EQU 0x40006536 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_D1 +CYDEV_UWRK_UWRK8_B1_UDB07_D1 EQU 0x40006537 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_D1 +CYDEV_UWRK_UWRK8_B1_UDB08_D1 EQU 0x40006538 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_D1 +CYDEV_UWRK_UWRK8_B1_UDB09_D1 EQU 0x40006539 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_D1 +CYDEV_UWRK_UWRK8_B1_UDB10_D1 EQU 0x4000653a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_D1 +CYDEV_UWRK_UWRK8_B1_UDB11_D1 EQU 0x4000653b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_F0 +CYDEV_UWRK_UWRK8_B1_UDB04_F0 EQU 0x40006544 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_F0 +CYDEV_UWRK_UWRK8_B1_UDB05_F0 EQU 0x40006545 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_F0 +CYDEV_UWRK_UWRK8_B1_UDB06_F0 EQU 0x40006546 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_F0 +CYDEV_UWRK_UWRK8_B1_UDB07_F0 EQU 0x40006547 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_F0 +CYDEV_UWRK_UWRK8_B1_UDB08_F0 EQU 0x40006548 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_F0 +CYDEV_UWRK_UWRK8_B1_UDB09_F0 EQU 0x40006549 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_F0 +CYDEV_UWRK_UWRK8_B1_UDB10_F0 EQU 0x4000654a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_F0 +CYDEV_UWRK_UWRK8_B1_UDB11_F0 EQU 0x4000654b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_F1 +CYDEV_UWRK_UWRK8_B1_UDB04_F1 EQU 0x40006554 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_F1 +CYDEV_UWRK_UWRK8_B1_UDB05_F1 EQU 0x40006555 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_F1 +CYDEV_UWRK_UWRK8_B1_UDB06_F1 EQU 0x40006556 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_F1 +CYDEV_UWRK_UWRK8_B1_UDB07_F1 EQU 0x40006557 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_F1 +CYDEV_UWRK_UWRK8_B1_UDB08_F1 EQU 0x40006558 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_F1 +CYDEV_UWRK_UWRK8_B1_UDB09_F1 EQU 0x40006559 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_F1 +CYDEV_UWRK_UWRK8_B1_UDB10_F1 EQU 0x4000655a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_F1 +CYDEV_UWRK_UWRK8_B1_UDB11_F1 EQU 0x4000655b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_ST +CYDEV_UWRK_UWRK8_B1_UDB04_ST EQU 0x40006564 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_ST +CYDEV_UWRK_UWRK8_B1_UDB05_ST EQU 0x40006565 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_ST +CYDEV_UWRK_UWRK8_B1_UDB06_ST EQU 0x40006566 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_ST +CYDEV_UWRK_UWRK8_B1_UDB07_ST EQU 0x40006567 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_ST +CYDEV_UWRK_UWRK8_B1_UDB08_ST EQU 0x40006568 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_ST +CYDEV_UWRK_UWRK8_B1_UDB09_ST EQU 0x40006569 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_ST +CYDEV_UWRK_UWRK8_B1_UDB10_ST EQU 0x4000656a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_ST +CYDEV_UWRK_UWRK8_B1_UDB11_ST EQU 0x4000656b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_CTL +CYDEV_UWRK_UWRK8_B1_UDB04_CTL EQU 0x40006574 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_CTL +CYDEV_UWRK_UWRK8_B1_UDB05_CTL EQU 0x40006575 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_CTL +CYDEV_UWRK_UWRK8_B1_UDB06_CTL EQU 0x40006576 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_CTL +CYDEV_UWRK_UWRK8_B1_UDB07_CTL EQU 0x40006577 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_CTL +CYDEV_UWRK_UWRK8_B1_UDB08_CTL EQU 0x40006578 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_CTL +CYDEV_UWRK_UWRK8_B1_UDB09_CTL EQU 0x40006579 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_CTL +CYDEV_UWRK_UWRK8_B1_UDB10_CTL EQU 0x4000657a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_CTL +CYDEV_UWRK_UWRK8_B1_UDB11_CTL EQU 0x4000657b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_MSK +CYDEV_UWRK_UWRK8_B1_UDB04_MSK EQU 0x40006584 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_MSK +CYDEV_UWRK_UWRK8_B1_UDB05_MSK EQU 0x40006585 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_MSK +CYDEV_UWRK_UWRK8_B1_UDB06_MSK EQU 0x40006586 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_MSK +CYDEV_UWRK_UWRK8_B1_UDB07_MSK EQU 0x40006587 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_MSK +CYDEV_UWRK_UWRK8_B1_UDB08_MSK EQU 0x40006588 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_MSK +CYDEV_UWRK_UWRK8_B1_UDB09_MSK EQU 0x40006589 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_MSK +CYDEV_UWRK_UWRK8_B1_UDB10_MSK EQU 0x4000658a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_MSK +CYDEV_UWRK_UWRK8_B1_UDB11_MSK EQU 0x4000658b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_ACTL +CYDEV_UWRK_UWRK8_B1_UDB04_ACTL EQU 0x40006594 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_ACTL +CYDEV_UWRK_UWRK8_B1_UDB05_ACTL EQU 0x40006595 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_ACTL +CYDEV_UWRK_UWRK8_B1_UDB06_ACTL EQU 0x40006596 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_ACTL +CYDEV_UWRK_UWRK8_B1_UDB07_ACTL EQU 0x40006597 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_ACTL +CYDEV_UWRK_UWRK8_B1_UDB08_ACTL EQU 0x40006598 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_ACTL +CYDEV_UWRK_UWRK8_B1_UDB09_ACTL EQU 0x40006599 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_ACTL +CYDEV_UWRK_UWRK8_B1_UDB10_ACTL EQU 0x4000659a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_ACTL +CYDEV_UWRK_UWRK8_B1_UDB11_ACTL EQU 0x4000659b + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB04_MC +CYDEV_UWRK_UWRK8_B1_UDB04_MC EQU 0x400065a4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB05_MC +CYDEV_UWRK_UWRK8_B1_UDB05_MC EQU 0x400065a5 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB06_MC +CYDEV_UWRK_UWRK8_B1_UDB06_MC EQU 0x400065a6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB07_MC +CYDEV_UWRK_UWRK8_B1_UDB07_MC EQU 0x400065a7 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB08_MC +CYDEV_UWRK_UWRK8_B1_UDB08_MC EQU 0x400065a8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB09_MC +CYDEV_UWRK_UWRK8_B1_UDB09_MC EQU 0x400065a9 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB10_MC +CYDEV_UWRK_UWRK8_B1_UDB10_MC EQU 0x400065aa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_UDB11_MC +CYDEV_UWRK_UWRK8_B1_UDB11_MC EQU 0x400065ab + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_BASE +CYDEV_UWRK_UWRK16_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_SIZE +CYDEV_UWRK_UWRK16_SIZE EQU 0x00000760 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_BASE +CYDEV_UWRK_UWRK16_CAT_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_SIZE +CYDEV_UWRK_UWRK16_CAT_SIZE EQU 0x00000760 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_BASE +CYDEV_UWRK_UWRK16_CAT_B0_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_SIZE +CYDEV_UWRK_UWRK16_CAT_B0_SIZE EQU 0x00000160 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_A0_A1 EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_A0_A1 EQU 0x40006802 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_A0_A1 EQU 0x40006804 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_A0_A1 EQU 0x40006806 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_A0_A1 EQU 0x40006808 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_A0_A1 EQU 0x4000680a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_A0_A1 EQU 0x4000680c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_A0_A1 EQU 0x4000680e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_A0_A1 EQU 0x40006810 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_A0_A1 EQU 0x40006812 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_A0_A1 EQU 0x40006814 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_A0_A1 EQU 0x40006816 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_A0_A1 EQU 0x40006818 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_A0_A1 EQU 0x4000681a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_A0_A1 EQU 0x4000681c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_A0_A1 EQU 0x4000681e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_D0_D1 EQU 0x40006840 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_D0_D1 EQU 0x40006842 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_D0_D1 EQU 0x40006844 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_D0_D1 EQU 0x40006846 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_D0_D1 EQU 0x40006848 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_D0_D1 EQU 0x4000684a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_D0_D1 EQU 0x4000684c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_D0_D1 EQU 0x4000684e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_D0_D1 EQU 0x40006850 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_D0_D1 EQU 0x40006852 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_D0_D1 EQU 0x40006854 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_D0_D1 EQU 0x40006856 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_D0_D1 EQU 0x40006858 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_D0_D1 EQU 0x4000685a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_D0_D1 EQU 0x4000685c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_D0_D1 EQU 0x4000685e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_F0_F1 EQU 0x40006880 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_F0_F1 EQU 0x40006882 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_F0_F1 EQU 0x40006884 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_F0_F1 EQU 0x40006886 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_F0_F1 EQU 0x40006888 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_F0_F1 EQU 0x4000688a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_F0_F1 EQU 0x4000688c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_F0_F1 EQU 0x4000688e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_F0_F1 EQU 0x40006890 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_F0_F1 EQU 0x40006892 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_F0_F1 EQU 0x40006894 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_F0_F1 EQU 0x40006896 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_F0_F1 EQU 0x40006898 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_F0_F1 EQU 0x4000689a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_F0_F1 EQU 0x4000689c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_F0_F1 EQU 0x4000689e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_ST_CTL EQU 0x400068c0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_ST_CTL EQU 0x400068c2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_ST_CTL EQU 0x400068c4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_ST_CTL EQU 0x400068c6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_ST_CTL EQU 0x400068c8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_ST_CTL EQU 0x400068ca + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_ST_CTL EQU 0x400068cc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_ST_CTL EQU 0x400068ce + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_ST_CTL EQU 0x400068d0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_ST_CTL EQU 0x400068d2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_ST_CTL EQU 0x400068d4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_ST_CTL EQU 0x400068d6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_ST_CTL EQU 0x400068d8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_ST_CTL EQU 0x400068da + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_ST_CTL EQU 0x400068dc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_ST_CTL EQU 0x400068de + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MSK_ACTL EQU 0x40006900 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MSK_ACTL EQU 0x40006902 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MSK_ACTL EQU 0x40006904 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MSK_ACTL EQU 0x40006906 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MSK_ACTL EQU 0x40006908 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MSK_ACTL EQU 0x4000690a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MSK_ACTL EQU 0x4000690c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MSK_ACTL EQU 0x4000690e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MSK_ACTL EQU 0x40006910 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MSK_ACTL EQU 0x40006912 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MSK_ACTL EQU 0x40006914 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MSK_ACTL EQU 0x40006916 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MSK_ACTL EQU 0x40006918 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MSK_ACTL EQU 0x4000691a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MSK_ACTL EQU 0x4000691c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MSK_ACTL EQU 0x4000691e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB00_MC_00 EQU 0x40006940 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB01_MC_00 EQU 0x40006942 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB02_MC_00 EQU 0x40006944 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB03_MC_00 EQU 0x40006946 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB04_MC_00 EQU 0x40006948 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB05_MC_00 EQU 0x4000694a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB06_MC_00 EQU 0x4000694c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB07_MC_00 EQU 0x4000694e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB08_MC_00 EQU 0x40006950 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB09_MC_00 EQU 0x40006952 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB10_MC_00 EQU 0x40006954 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB11_MC_00 EQU 0x40006956 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB12_MC_00 EQU 0x40006958 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB13_MC_00 EQU 0x4000695a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB14_MC_00 EQU 0x4000695c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00 +CYDEV_UWRK_UWRK16_CAT_B0_UDB15_MC_00 EQU 0x4000695e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_BASE +CYDEV_UWRK_UWRK16_CAT_B1_BASE EQU 0x40006a00 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_SIZE +CYDEV_UWRK_UWRK16_CAT_B1_SIZE EQU 0x00000160 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_A0_A1 EQU 0x40006a08 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_A0_A1 EQU 0x40006a0a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_A0_A1 EQU 0x40006a0c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_A0_A1 EQU 0x40006a0e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_A0_A1 EQU 0x40006a10 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_A0_A1 EQU 0x40006a12 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_A0_A1 EQU 0x40006a14 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_A0_A1 EQU 0x40006a16 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_D0_D1 EQU 0x40006a48 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_D0_D1 EQU 0x40006a4a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_D0_D1 EQU 0x40006a4c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_D0_D1 EQU 0x40006a4e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_D0_D1 EQU 0x40006a50 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_D0_D1 EQU 0x40006a52 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_D0_D1 EQU 0x40006a54 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_D0_D1 EQU 0x40006a56 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_F0_F1 EQU 0x40006a88 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_F0_F1 EQU 0x40006a8a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_F0_F1 EQU 0x40006a8c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_F0_F1 EQU 0x40006a8e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_F0_F1 EQU 0x40006a90 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_F0_F1 EQU 0x40006a92 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_F0_F1 EQU 0x40006a94 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1 +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_F0_F1 EQU 0x40006a96 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_ST_CTL EQU 0x40006ac8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_ST_CTL EQU 0x40006aca + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_ST_CTL EQU 0x40006acc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_ST_CTL EQU 0x40006ace + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_ST_CTL EQU 0x40006ad0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_ST_CTL EQU 0x40006ad2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_ST_CTL EQU 0x40006ad4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_ST_CTL EQU 0x40006ad6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MSK_ACTL EQU 0x40006b08 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MSK_ACTL EQU 0x40006b0a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MSK_ACTL EQU 0x40006b0c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MSK_ACTL EQU 0x40006b0e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MSK_ACTL EQU 0x40006b10 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MSK_ACTL EQU 0x40006b12 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MSK_ACTL EQU 0x40006b14 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MSK_ACTL EQU 0x40006b16 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB04_MC_00 EQU 0x40006b48 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB05_MC_00 EQU 0x40006b4a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB06_MC_00 EQU 0x40006b4c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB07_MC_00 EQU 0x40006b4e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB08_MC_00 EQU 0x40006b50 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB09_MC_00 EQU 0x40006b52 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB10_MC_00 EQU 0x40006b54 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00 +CYDEV_UWRK_UWRK16_CAT_B1_UDB11_MC_00 EQU 0x40006b56 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_BASE +CYDEV_UWRK_UWRK16_DEF_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_SIZE +CYDEV_UWRK_UWRK16_DEF_SIZE EQU 0x0000075e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_BASE +CYDEV_UWRK_UWRK16_DEF_B0_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_SIZE +CYDEV_UWRK_UWRK16_DEF_B0_SIZE EQU 0x0000015e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A0 EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A0 EQU 0x40006802 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A0 EQU 0x40006804 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A0 EQU 0x40006806 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A0 EQU 0x40006808 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A0 EQU 0x4000680a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A0 EQU 0x4000680c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A0 EQU 0x4000680e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A0 EQU 0x40006810 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A0 EQU 0x40006812 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A0 EQU 0x40006814 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A0 EQU 0x40006816 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A0 EQU 0x40006818 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A0 EQU 0x4000681a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A0 EQU 0x4000681c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_A1 EQU 0x40006820 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_A1 EQU 0x40006822 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_A1 EQU 0x40006824 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_A1 EQU 0x40006826 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_A1 EQU 0x40006828 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_A1 EQU 0x4000682a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_A1 EQU 0x4000682c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_A1 EQU 0x4000682e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_A1 EQU 0x40006830 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_A1 EQU 0x40006832 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_A1 EQU 0x40006834 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_A1 EQU 0x40006836 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_A1 EQU 0x40006838 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_A1 EQU 0x4000683a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_A1 EQU 0x4000683c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D0 EQU 0x40006840 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D0 EQU 0x40006842 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D0 EQU 0x40006844 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D0 EQU 0x40006846 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D0 EQU 0x40006848 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D0 EQU 0x4000684a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D0 EQU 0x4000684c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D0 EQU 0x4000684e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D0 EQU 0x40006850 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D0 EQU 0x40006852 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D0 EQU 0x40006854 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D0 EQU 0x40006856 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D0 EQU 0x40006858 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D0 EQU 0x4000685a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D0 EQU 0x4000685c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_D1 EQU 0x40006860 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_D1 EQU 0x40006862 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_D1 EQU 0x40006864 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_D1 EQU 0x40006866 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_D1 EQU 0x40006868 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_D1 EQU 0x4000686a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_D1 EQU 0x4000686c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_D1 EQU 0x4000686e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_D1 EQU 0x40006870 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_D1 EQU 0x40006872 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_D1 EQU 0x40006874 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_D1 EQU 0x40006876 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_D1 EQU 0x40006878 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_D1 EQU 0x4000687a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_D1 EQU 0x4000687c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F0 EQU 0x40006880 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F0 EQU 0x40006882 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F0 EQU 0x40006884 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F0 EQU 0x40006886 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F0 EQU 0x40006888 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F0 EQU 0x4000688a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F0 EQU 0x4000688c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F0 EQU 0x4000688e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F0 EQU 0x40006890 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F0 EQU 0x40006892 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F0 EQU 0x40006894 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F0 EQU 0x40006896 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F0 EQU 0x40006898 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F0 EQU 0x4000689a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F0 EQU 0x4000689c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_F1 EQU 0x400068a0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_F1 EQU 0x400068a2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_F1 EQU 0x400068a4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_F1 EQU 0x400068a6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_F1 EQU 0x400068a8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_F1 EQU 0x400068aa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_F1 EQU 0x400068ac + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_F1 EQU 0x400068ae + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_F1 EQU 0x400068b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_F1 EQU 0x400068b2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_F1 EQU 0x400068b4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_F1 EQU 0x400068b6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_F1 EQU 0x400068b8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_F1 EQU 0x400068ba + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1 +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_F1 EQU 0x400068bc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ST EQU 0x400068c0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ST EQU 0x400068c2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ST EQU 0x400068c4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ST EQU 0x400068c6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ST EQU 0x400068c8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ST EQU 0x400068ca + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ST EQU 0x400068cc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ST EQU 0x400068ce + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ST EQU 0x400068d0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ST EQU 0x400068d2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ST EQU 0x400068d4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ST EQU 0x400068d6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ST EQU 0x400068d8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ST EQU 0x400068da + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ST EQU 0x400068dc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_CTL EQU 0x400068e0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_CTL EQU 0x400068e2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_CTL EQU 0x400068e4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_CTL EQU 0x400068e6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_CTL EQU 0x400068e8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_CTL EQU 0x400068ea + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_CTL EQU 0x400068ec + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_CTL EQU 0x400068ee + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_CTL EQU 0x400068f0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_CTL EQU 0x400068f2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_CTL EQU 0x400068f4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_CTL EQU 0x400068f6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_CTL EQU 0x400068f8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_CTL EQU 0x400068fa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_CTL EQU 0x400068fc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MSK EQU 0x40006900 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MSK EQU 0x40006902 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MSK EQU 0x40006904 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MSK EQU 0x40006906 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MSK EQU 0x40006908 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MSK EQU 0x4000690a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MSK EQU 0x4000690c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MSK EQU 0x4000690e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MSK EQU 0x40006910 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MSK EQU 0x40006912 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MSK EQU 0x40006914 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MSK EQU 0x40006916 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MSK EQU 0x40006918 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MSK EQU 0x4000691a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MSK EQU 0x4000691c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_ACTL EQU 0x40006920 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_ACTL EQU 0x40006922 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_ACTL EQU 0x40006924 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_ACTL EQU 0x40006926 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_ACTL EQU 0x40006928 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_ACTL EQU 0x4000692a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_ACTL EQU 0x4000692c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_ACTL EQU 0x4000692e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_ACTL EQU 0x40006930 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_ACTL EQU 0x40006932 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_ACTL EQU 0x40006934 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_ACTL EQU 0x40006936 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_ACTL EQU 0x40006938 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_ACTL EQU 0x4000693a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_ACTL EQU 0x4000693c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB00_01_MC EQU 0x40006940 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB01_02_MC EQU 0x40006942 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB02_03_MC EQU 0x40006944 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB03_04_MC EQU 0x40006946 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB04_05_MC EQU 0x40006948 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB05_06_MC EQU 0x4000694a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB06_07_MC EQU 0x4000694c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB07_08_MC EQU 0x4000694e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB08_09_MC EQU 0x40006950 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB09_10_MC EQU 0x40006952 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB10_11_MC EQU 0x40006954 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB11_12_MC EQU 0x40006956 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB12_13_MC EQU 0x40006958 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB13_14_MC EQU 0x4000695a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC +CYDEV_UWRK_UWRK16_DEF_B0_UDB14_15_MC EQU 0x4000695c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_BASE +CYDEV_UWRK_UWRK16_DEF_B1_BASE EQU 0x40006a00 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_SIZE +CYDEV_UWRK_UWRK16_DEF_B1_SIZE EQU 0x0000015e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A0 EQU 0x40006a08 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A0 EQU 0x40006a0a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A0 EQU 0x40006a0c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A0 EQU 0x40006a0e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A0 EQU 0x40006a10 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A0 EQU 0x40006a12 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A0 EQU 0x40006a14 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A0 EQU 0x40006a16 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_A1 EQU 0x40006a28 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_A1 EQU 0x40006a2a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_A1 EQU 0x40006a2c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_A1 EQU 0x40006a2e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_A1 EQU 0x40006a30 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_A1 EQU 0x40006a32 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_A1 EQU 0x40006a34 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_A1 EQU 0x40006a36 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D0 EQU 0x40006a48 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D0 EQU 0x40006a4a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D0 EQU 0x40006a4c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D0 EQU 0x40006a4e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D0 EQU 0x40006a50 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D0 EQU 0x40006a52 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D0 EQU 0x40006a54 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D0 EQU 0x40006a56 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_D1 EQU 0x40006a68 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_D1 EQU 0x40006a6a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_D1 EQU 0x40006a6c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_D1 EQU 0x40006a6e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_D1 EQU 0x40006a70 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_D1 EQU 0x40006a72 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_D1 EQU 0x40006a74 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_D1 EQU 0x40006a76 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F0 EQU 0x40006a88 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F0 EQU 0x40006a8a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F0 EQU 0x40006a8c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F0 EQU 0x40006a8e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F0 EQU 0x40006a90 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F0 EQU 0x40006a92 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F0 EQU 0x40006a94 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F0 EQU 0x40006a96 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_F1 EQU 0x40006aa8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_F1 EQU 0x40006aaa + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_F1 EQU 0x40006aac + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_F1 EQU 0x40006aae + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_F1 EQU 0x40006ab0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_F1 EQU 0x40006ab2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_F1 EQU 0x40006ab4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1 +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_F1 EQU 0x40006ab6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ST EQU 0x40006ac8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ST EQU 0x40006aca + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ST EQU 0x40006acc + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ST EQU 0x40006ace + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ST EQU 0x40006ad0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ST EQU 0x40006ad2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ST EQU 0x40006ad4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ST EQU 0x40006ad6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_CTL EQU 0x40006ae8 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_CTL EQU 0x40006aea + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_CTL EQU 0x40006aec + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_CTL EQU 0x40006aee + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_CTL EQU 0x40006af0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_CTL EQU 0x40006af2 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_CTL EQU 0x40006af4 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_CTL EQU 0x40006af6 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MSK EQU 0x40006b08 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MSK EQU 0x40006b0a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MSK EQU 0x40006b0c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MSK EQU 0x40006b0e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MSK EQU 0x40006b10 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MSK EQU 0x40006b12 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MSK EQU 0x40006b14 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MSK EQU 0x40006b16 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_ACTL EQU 0x40006b28 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_ACTL EQU 0x40006b2a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_ACTL EQU 0x40006b2c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_ACTL EQU 0x40006b2e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_ACTL EQU 0x40006b30 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_ACTL EQU 0x40006b32 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_ACTL EQU 0x40006b34 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_ACTL EQU 0x40006b36 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB04_05_MC EQU 0x40006b48 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB05_06_MC EQU 0x40006b4a + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB06_07_MC EQU 0x40006b4c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB07_08_MC EQU 0x40006b4e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB08_09_MC EQU 0x40006b50 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB09_10_MC EQU 0x40006b52 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB10_11_MC EQU 0x40006b54 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC +CYDEV_UWRK_UWRK16_DEF_B1_UDB11_12_MC EQU 0x40006b56 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_BASE +CYDEV_PHUB_BASE EQU 0x40007000 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_SIZE +CYDEV_PHUB_SIZE EQU 0x00000c00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFG +CYDEV_PHUB_CFG EQU 0x40007000 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_ERR +CYDEV_PHUB_ERR EQU 0x40007004 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_ERR_ADR +CYDEV_PHUB_ERR_ADR EQU 0x40007008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_BASE +CYDEV_PHUB_CH0_BASE EQU 0x40007010 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_SIZE +CYDEV_PHUB_CH0_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_BASIC_CFG +CYDEV_PHUB_CH0_BASIC_CFG EQU 0x40007010 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_ACTION +CYDEV_PHUB_CH0_ACTION EQU 0x40007014 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_BASIC_STATUS +CYDEV_PHUB_CH0_BASIC_STATUS EQU 0x40007018 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_BASE +CYDEV_PHUB_CH1_BASE EQU 0x40007020 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_SIZE +CYDEV_PHUB_CH1_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_BASIC_CFG +CYDEV_PHUB_CH1_BASIC_CFG EQU 0x40007020 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_ACTION +CYDEV_PHUB_CH1_ACTION EQU 0x40007024 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_BASIC_STATUS +CYDEV_PHUB_CH1_BASIC_STATUS EQU 0x40007028 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_BASE +CYDEV_PHUB_CH2_BASE EQU 0x40007030 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_SIZE +CYDEV_PHUB_CH2_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_BASIC_CFG +CYDEV_PHUB_CH2_BASIC_CFG EQU 0x40007030 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_ACTION +CYDEV_PHUB_CH2_ACTION EQU 0x40007034 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_BASIC_STATUS +CYDEV_PHUB_CH2_BASIC_STATUS EQU 0x40007038 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_BASE +CYDEV_PHUB_CH3_BASE EQU 0x40007040 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_SIZE +CYDEV_PHUB_CH3_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_BASIC_CFG +CYDEV_PHUB_CH3_BASIC_CFG EQU 0x40007040 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_ACTION +CYDEV_PHUB_CH3_ACTION EQU 0x40007044 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_BASIC_STATUS +CYDEV_PHUB_CH3_BASIC_STATUS EQU 0x40007048 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_BASE +CYDEV_PHUB_CH4_BASE EQU 0x40007050 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_SIZE +CYDEV_PHUB_CH4_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_BASIC_CFG +CYDEV_PHUB_CH4_BASIC_CFG EQU 0x40007050 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_ACTION +CYDEV_PHUB_CH4_ACTION EQU 0x40007054 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_BASIC_STATUS +CYDEV_PHUB_CH4_BASIC_STATUS EQU 0x40007058 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_BASE +CYDEV_PHUB_CH5_BASE EQU 0x40007060 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_SIZE +CYDEV_PHUB_CH5_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_BASIC_CFG +CYDEV_PHUB_CH5_BASIC_CFG EQU 0x40007060 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_ACTION +CYDEV_PHUB_CH5_ACTION EQU 0x40007064 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_BASIC_STATUS +CYDEV_PHUB_CH5_BASIC_STATUS EQU 0x40007068 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_BASE +CYDEV_PHUB_CH6_BASE EQU 0x40007070 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_SIZE +CYDEV_PHUB_CH6_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_BASIC_CFG +CYDEV_PHUB_CH6_BASIC_CFG EQU 0x40007070 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_ACTION +CYDEV_PHUB_CH6_ACTION EQU 0x40007074 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_BASIC_STATUS +CYDEV_PHUB_CH6_BASIC_STATUS EQU 0x40007078 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_BASE +CYDEV_PHUB_CH7_BASE EQU 0x40007080 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_SIZE +CYDEV_PHUB_CH7_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_BASIC_CFG +CYDEV_PHUB_CH7_BASIC_CFG EQU 0x40007080 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_ACTION +CYDEV_PHUB_CH7_ACTION EQU 0x40007084 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_BASIC_STATUS +CYDEV_PHUB_CH7_BASIC_STATUS EQU 0x40007088 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_BASE +CYDEV_PHUB_CH8_BASE EQU 0x40007090 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_SIZE +CYDEV_PHUB_CH8_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_BASIC_CFG +CYDEV_PHUB_CH8_BASIC_CFG EQU 0x40007090 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_ACTION +CYDEV_PHUB_CH8_ACTION EQU 0x40007094 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_BASIC_STATUS +CYDEV_PHUB_CH8_BASIC_STATUS EQU 0x40007098 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_BASE +CYDEV_PHUB_CH9_BASE EQU 0x400070a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_SIZE +CYDEV_PHUB_CH9_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_BASIC_CFG +CYDEV_PHUB_CH9_BASIC_CFG EQU 0x400070a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_ACTION +CYDEV_PHUB_CH9_ACTION EQU 0x400070a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_BASIC_STATUS +CYDEV_PHUB_CH9_BASIC_STATUS EQU 0x400070a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_BASE +CYDEV_PHUB_CH10_BASE EQU 0x400070b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_SIZE +CYDEV_PHUB_CH10_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_BASIC_CFG +CYDEV_PHUB_CH10_BASIC_CFG EQU 0x400070b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_ACTION +CYDEV_PHUB_CH10_ACTION EQU 0x400070b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_BASIC_STATUS +CYDEV_PHUB_CH10_BASIC_STATUS EQU 0x400070b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_BASE +CYDEV_PHUB_CH11_BASE EQU 0x400070c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_SIZE +CYDEV_PHUB_CH11_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_BASIC_CFG +CYDEV_PHUB_CH11_BASIC_CFG EQU 0x400070c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_ACTION +CYDEV_PHUB_CH11_ACTION EQU 0x400070c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_BASIC_STATUS +CYDEV_PHUB_CH11_BASIC_STATUS EQU 0x400070c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_BASE +CYDEV_PHUB_CH12_BASE EQU 0x400070d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_SIZE +CYDEV_PHUB_CH12_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_BASIC_CFG +CYDEV_PHUB_CH12_BASIC_CFG EQU 0x400070d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_ACTION +CYDEV_PHUB_CH12_ACTION EQU 0x400070d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_BASIC_STATUS +CYDEV_PHUB_CH12_BASIC_STATUS EQU 0x400070d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_BASE +CYDEV_PHUB_CH13_BASE EQU 0x400070e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_SIZE +CYDEV_PHUB_CH13_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_BASIC_CFG +CYDEV_PHUB_CH13_BASIC_CFG EQU 0x400070e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_ACTION +CYDEV_PHUB_CH13_ACTION EQU 0x400070e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_BASIC_STATUS +CYDEV_PHUB_CH13_BASIC_STATUS EQU 0x400070e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_BASE +CYDEV_PHUB_CH14_BASE EQU 0x400070f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_SIZE +CYDEV_PHUB_CH14_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_BASIC_CFG +CYDEV_PHUB_CH14_BASIC_CFG EQU 0x400070f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_ACTION +CYDEV_PHUB_CH14_ACTION EQU 0x400070f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_BASIC_STATUS +CYDEV_PHUB_CH14_BASIC_STATUS EQU 0x400070f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_BASE +CYDEV_PHUB_CH15_BASE EQU 0x40007100 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_SIZE +CYDEV_PHUB_CH15_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_BASIC_CFG +CYDEV_PHUB_CH15_BASIC_CFG EQU 0x40007100 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_ACTION +CYDEV_PHUB_CH15_ACTION EQU 0x40007104 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_BASIC_STATUS +CYDEV_PHUB_CH15_BASIC_STATUS EQU 0x40007108 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_BASE +CYDEV_PHUB_CH16_BASE EQU 0x40007110 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_SIZE +CYDEV_PHUB_CH16_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_BASIC_CFG +CYDEV_PHUB_CH16_BASIC_CFG EQU 0x40007110 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_ACTION +CYDEV_PHUB_CH16_ACTION EQU 0x40007114 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_BASIC_STATUS +CYDEV_PHUB_CH16_BASIC_STATUS EQU 0x40007118 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_BASE +CYDEV_PHUB_CH17_BASE EQU 0x40007120 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_SIZE +CYDEV_PHUB_CH17_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_BASIC_CFG +CYDEV_PHUB_CH17_BASIC_CFG EQU 0x40007120 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_ACTION +CYDEV_PHUB_CH17_ACTION EQU 0x40007124 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_BASIC_STATUS +CYDEV_PHUB_CH17_BASIC_STATUS EQU 0x40007128 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_BASE +CYDEV_PHUB_CH18_BASE EQU 0x40007130 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_SIZE +CYDEV_PHUB_CH18_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_BASIC_CFG +CYDEV_PHUB_CH18_BASIC_CFG EQU 0x40007130 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_ACTION +CYDEV_PHUB_CH18_ACTION EQU 0x40007134 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_BASIC_STATUS +CYDEV_PHUB_CH18_BASIC_STATUS EQU 0x40007138 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_BASE +CYDEV_PHUB_CH19_BASE EQU 0x40007140 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_SIZE +CYDEV_PHUB_CH19_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_BASIC_CFG +CYDEV_PHUB_CH19_BASIC_CFG EQU 0x40007140 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_ACTION +CYDEV_PHUB_CH19_ACTION EQU 0x40007144 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_BASIC_STATUS +CYDEV_PHUB_CH19_BASIC_STATUS EQU 0x40007148 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_BASE +CYDEV_PHUB_CH20_BASE EQU 0x40007150 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_SIZE +CYDEV_PHUB_CH20_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_BASIC_CFG +CYDEV_PHUB_CH20_BASIC_CFG EQU 0x40007150 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_ACTION +CYDEV_PHUB_CH20_ACTION EQU 0x40007154 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_BASIC_STATUS +CYDEV_PHUB_CH20_BASIC_STATUS EQU 0x40007158 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_BASE +CYDEV_PHUB_CH21_BASE EQU 0x40007160 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_SIZE +CYDEV_PHUB_CH21_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_BASIC_CFG +CYDEV_PHUB_CH21_BASIC_CFG EQU 0x40007160 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_ACTION +CYDEV_PHUB_CH21_ACTION EQU 0x40007164 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_BASIC_STATUS +CYDEV_PHUB_CH21_BASIC_STATUS EQU 0x40007168 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_BASE +CYDEV_PHUB_CH22_BASE EQU 0x40007170 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_SIZE +CYDEV_PHUB_CH22_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_BASIC_CFG +CYDEV_PHUB_CH22_BASIC_CFG EQU 0x40007170 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_ACTION +CYDEV_PHUB_CH22_ACTION EQU 0x40007174 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_BASIC_STATUS +CYDEV_PHUB_CH22_BASIC_STATUS EQU 0x40007178 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_BASE +CYDEV_PHUB_CH23_BASE EQU 0x40007180 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_SIZE +CYDEV_PHUB_CH23_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_BASIC_CFG +CYDEV_PHUB_CH23_BASIC_CFG EQU 0x40007180 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_ACTION +CYDEV_PHUB_CH23_ACTION EQU 0x40007184 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_BASIC_STATUS +CYDEV_PHUB_CH23_BASIC_STATUS EQU 0x40007188 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_BASE +CYDEV_PHUB_CFGMEM0_BASE EQU 0x40007600 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_SIZE +CYDEV_PHUB_CFGMEM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_CFG0 +CYDEV_PHUB_CFGMEM0_CFG0 EQU 0x40007600 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_CFG1 +CYDEV_PHUB_CFGMEM0_CFG1 EQU 0x40007604 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_BASE +CYDEV_PHUB_CFGMEM1_BASE EQU 0x40007608 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_SIZE +CYDEV_PHUB_CFGMEM1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_CFG0 +CYDEV_PHUB_CFGMEM1_CFG0 EQU 0x40007608 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_CFG1 +CYDEV_PHUB_CFGMEM1_CFG1 EQU 0x4000760c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_BASE +CYDEV_PHUB_CFGMEM2_BASE EQU 0x40007610 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_SIZE +CYDEV_PHUB_CFGMEM2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_CFG0 +CYDEV_PHUB_CFGMEM2_CFG0 EQU 0x40007610 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_CFG1 +CYDEV_PHUB_CFGMEM2_CFG1 EQU 0x40007614 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_BASE +CYDEV_PHUB_CFGMEM3_BASE EQU 0x40007618 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_SIZE +CYDEV_PHUB_CFGMEM3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_CFG0 +CYDEV_PHUB_CFGMEM3_CFG0 EQU 0x40007618 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_CFG1 +CYDEV_PHUB_CFGMEM3_CFG1 EQU 0x4000761c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_BASE +CYDEV_PHUB_CFGMEM4_BASE EQU 0x40007620 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_SIZE +CYDEV_PHUB_CFGMEM4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_CFG0 +CYDEV_PHUB_CFGMEM4_CFG0 EQU 0x40007620 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_CFG1 +CYDEV_PHUB_CFGMEM4_CFG1 EQU 0x40007624 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_BASE +CYDEV_PHUB_CFGMEM5_BASE EQU 0x40007628 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_SIZE +CYDEV_PHUB_CFGMEM5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_CFG0 +CYDEV_PHUB_CFGMEM5_CFG0 EQU 0x40007628 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_CFG1 +CYDEV_PHUB_CFGMEM5_CFG1 EQU 0x4000762c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_BASE +CYDEV_PHUB_CFGMEM6_BASE EQU 0x40007630 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_SIZE +CYDEV_PHUB_CFGMEM6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_CFG0 +CYDEV_PHUB_CFGMEM6_CFG0 EQU 0x40007630 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_CFG1 +CYDEV_PHUB_CFGMEM6_CFG1 EQU 0x40007634 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_BASE +CYDEV_PHUB_CFGMEM7_BASE EQU 0x40007638 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_SIZE +CYDEV_PHUB_CFGMEM7_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_CFG0 +CYDEV_PHUB_CFGMEM7_CFG0 EQU 0x40007638 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_CFG1 +CYDEV_PHUB_CFGMEM7_CFG1 EQU 0x4000763c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_BASE +CYDEV_PHUB_CFGMEM8_BASE EQU 0x40007640 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_SIZE +CYDEV_PHUB_CFGMEM8_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_CFG0 +CYDEV_PHUB_CFGMEM8_CFG0 EQU 0x40007640 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_CFG1 +CYDEV_PHUB_CFGMEM8_CFG1 EQU 0x40007644 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_BASE +CYDEV_PHUB_CFGMEM9_BASE EQU 0x40007648 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_SIZE +CYDEV_PHUB_CFGMEM9_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_CFG0 +CYDEV_PHUB_CFGMEM9_CFG0 EQU 0x40007648 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_CFG1 +CYDEV_PHUB_CFGMEM9_CFG1 EQU 0x4000764c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_BASE +CYDEV_PHUB_CFGMEM10_BASE EQU 0x40007650 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_SIZE +CYDEV_PHUB_CFGMEM10_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_CFG0 +CYDEV_PHUB_CFGMEM10_CFG0 EQU 0x40007650 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_CFG1 +CYDEV_PHUB_CFGMEM10_CFG1 EQU 0x40007654 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_BASE +CYDEV_PHUB_CFGMEM11_BASE EQU 0x40007658 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_SIZE +CYDEV_PHUB_CFGMEM11_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_CFG0 +CYDEV_PHUB_CFGMEM11_CFG0 EQU 0x40007658 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_CFG1 +CYDEV_PHUB_CFGMEM11_CFG1 EQU 0x4000765c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_BASE +CYDEV_PHUB_CFGMEM12_BASE EQU 0x40007660 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_SIZE +CYDEV_PHUB_CFGMEM12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_CFG0 +CYDEV_PHUB_CFGMEM12_CFG0 EQU 0x40007660 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_CFG1 +CYDEV_PHUB_CFGMEM12_CFG1 EQU 0x40007664 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_BASE +CYDEV_PHUB_CFGMEM13_BASE EQU 0x40007668 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_SIZE +CYDEV_PHUB_CFGMEM13_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_CFG0 +CYDEV_PHUB_CFGMEM13_CFG0 EQU 0x40007668 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_CFG1 +CYDEV_PHUB_CFGMEM13_CFG1 EQU 0x4000766c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_BASE +CYDEV_PHUB_CFGMEM14_BASE EQU 0x40007670 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_SIZE +CYDEV_PHUB_CFGMEM14_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_CFG0 +CYDEV_PHUB_CFGMEM14_CFG0 EQU 0x40007670 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_CFG1 +CYDEV_PHUB_CFGMEM14_CFG1 EQU 0x40007674 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_BASE +CYDEV_PHUB_CFGMEM15_BASE EQU 0x40007678 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_SIZE +CYDEV_PHUB_CFGMEM15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_CFG0 +CYDEV_PHUB_CFGMEM15_CFG0 EQU 0x40007678 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_CFG1 +CYDEV_PHUB_CFGMEM15_CFG1 EQU 0x4000767c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_BASE +CYDEV_PHUB_CFGMEM16_BASE EQU 0x40007680 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_SIZE +CYDEV_PHUB_CFGMEM16_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_CFG0 +CYDEV_PHUB_CFGMEM16_CFG0 EQU 0x40007680 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_CFG1 +CYDEV_PHUB_CFGMEM16_CFG1 EQU 0x40007684 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_BASE +CYDEV_PHUB_CFGMEM17_BASE EQU 0x40007688 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_SIZE +CYDEV_PHUB_CFGMEM17_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_CFG0 +CYDEV_PHUB_CFGMEM17_CFG0 EQU 0x40007688 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_CFG1 +CYDEV_PHUB_CFGMEM17_CFG1 EQU 0x4000768c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_BASE +CYDEV_PHUB_CFGMEM18_BASE EQU 0x40007690 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_SIZE +CYDEV_PHUB_CFGMEM18_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_CFG0 +CYDEV_PHUB_CFGMEM18_CFG0 EQU 0x40007690 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_CFG1 +CYDEV_PHUB_CFGMEM18_CFG1 EQU 0x40007694 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_BASE +CYDEV_PHUB_CFGMEM19_BASE EQU 0x40007698 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_SIZE +CYDEV_PHUB_CFGMEM19_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_CFG0 +CYDEV_PHUB_CFGMEM19_CFG0 EQU 0x40007698 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_CFG1 +CYDEV_PHUB_CFGMEM19_CFG1 EQU 0x4000769c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_BASE +CYDEV_PHUB_CFGMEM20_BASE EQU 0x400076a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_SIZE +CYDEV_PHUB_CFGMEM20_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_CFG0 +CYDEV_PHUB_CFGMEM20_CFG0 EQU 0x400076a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_CFG1 +CYDEV_PHUB_CFGMEM20_CFG1 EQU 0x400076a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_BASE +CYDEV_PHUB_CFGMEM21_BASE EQU 0x400076a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_SIZE +CYDEV_PHUB_CFGMEM21_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_CFG0 +CYDEV_PHUB_CFGMEM21_CFG0 EQU 0x400076a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_CFG1 +CYDEV_PHUB_CFGMEM21_CFG1 EQU 0x400076ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_BASE +CYDEV_PHUB_CFGMEM22_BASE EQU 0x400076b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_SIZE +CYDEV_PHUB_CFGMEM22_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_CFG0 +CYDEV_PHUB_CFGMEM22_CFG0 EQU 0x400076b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_CFG1 +CYDEV_PHUB_CFGMEM22_CFG1 EQU 0x400076b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_BASE +CYDEV_PHUB_CFGMEM23_BASE EQU 0x400076b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_SIZE +CYDEV_PHUB_CFGMEM23_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_CFG0 +CYDEV_PHUB_CFGMEM23_CFG0 EQU 0x400076b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_CFG1 +CYDEV_PHUB_CFGMEM23_CFG1 EQU 0x400076bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_BASE +CYDEV_PHUB_TDMEM0_BASE EQU 0x40007800 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_SIZE +CYDEV_PHUB_TDMEM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_ORIG_TD0 +CYDEV_PHUB_TDMEM0_ORIG_TD0 EQU 0x40007800 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_ORIG_TD1 +CYDEV_PHUB_TDMEM0_ORIG_TD1 EQU 0x40007804 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_BASE +CYDEV_PHUB_TDMEM1_BASE EQU 0x40007808 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_SIZE +CYDEV_PHUB_TDMEM1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_ORIG_TD0 +CYDEV_PHUB_TDMEM1_ORIG_TD0 EQU 0x40007808 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_ORIG_TD1 +CYDEV_PHUB_TDMEM1_ORIG_TD1 EQU 0x4000780c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_BASE +CYDEV_PHUB_TDMEM2_BASE EQU 0x40007810 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_SIZE +CYDEV_PHUB_TDMEM2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_ORIG_TD0 +CYDEV_PHUB_TDMEM2_ORIG_TD0 EQU 0x40007810 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_ORIG_TD1 +CYDEV_PHUB_TDMEM2_ORIG_TD1 EQU 0x40007814 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_BASE +CYDEV_PHUB_TDMEM3_BASE EQU 0x40007818 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_SIZE +CYDEV_PHUB_TDMEM3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_ORIG_TD0 +CYDEV_PHUB_TDMEM3_ORIG_TD0 EQU 0x40007818 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_ORIG_TD1 +CYDEV_PHUB_TDMEM3_ORIG_TD1 EQU 0x4000781c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_BASE +CYDEV_PHUB_TDMEM4_BASE EQU 0x40007820 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_SIZE +CYDEV_PHUB_TDMEM4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_ORIG_TD0 +CYDEV_PHUB_TDMEM4_ORIG_TD0 EQU 0x40007820 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_ORIG_TD1 +CYDEV_PHUB_TDMEM4_ORIG_TD1 EQU 0x40007824 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_BASE +CYDEV_PHUB_TDMEM5_BASE EQU 0x40007828 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_SIZE +CYDEV_PHUB_TDMEM5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_ORIG_TD0 +CYDEV_PHUB_TDMEM5_ORIG_TD0 EQU 0x40007828 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_ORIG_TD1 +CYDEV_PHUB_TDMEM5_ORIG_TD1 EQU 0x4000782c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_BASE +CYDEV_PHUB_TDMEM6_BASE EQU 0x40007830 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_SIZE +CYDEV_PHUB_TDMEM6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_ORIG_TD0 +CYDEV_PHUB_TDMEM6_ORIG_TD0 EQU 0x40007830 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_ORIG_TD1 +CYDEV_PHUB_TDMEM6_ORIG_TD1 EQU 0x40007834 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_BASE +CYDEV_PHUB_TDMEM7_BASE EQU 0x40007838 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_SIZE +CYDEV_PHUB_TDMEM7_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_ORIG_TD0 +CYDEV_PHUB_TDMEM7_ORIG_TD0 EQU 0x40007838 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_ORIG_TD1 +CYDEV_PHUB_TDMEM7_ORIG_TD1 EQU 0x4000783c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_BASE +CYDEV_PHUB_TDMEM8_BASE EQU 0x40007840 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_SIZE +CYDEV_PHUB_TDMEM8_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_ORIG_TD0 +CYDEV_PHUB_TDMEM8_ORIG_TD0 EQU 0x40007840 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_ORIG_TD1 +CYDEV_PHUB_TDMEM8_ORIG_TD1 EQU 0x40007844 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_BASE +CYDEV_PHUB_TDMEM9_BASE EQU 0x40007848 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_SIZE +CYDEV_PHUB_TDMEM9_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_ORIG_TD0 +CYDEV_PHUB_TDMEM9_ORIG_TD0 EQU 0x40007848 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_ORIG_TD1 +CYDEV_PHUB_TDMEM9_ORIG_TD1 EQU 0x4000784c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_BASE +CYDEV_PHUB_TDMEM10_BASE EQU 0x40007850 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_SIZE +CYDEV_PHUB_TDMEM10_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_ORIG_TD0 +CYDEV_PHUB_TDMEM10_ORIG_TD0 EQU 0x40007850 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_ORIG_TD1 +CYDEV_PHUB_TDMEM10_ORIG_TD1 EQU 0x40007854 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_BASE +CYDEV_PHUB_TDMEM11_BASE EQU 0x40007858 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_SIZE +CYDEV_PHUB_TDMEM11_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_ORIG_TD0 +CYDEV_PHUB_TDMEM11_ORIG_TD0 EQU 0x40007858 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_ORIG_TD1 +CYDEV_PHUB_TDMEM11_ORIG_TD1 EQU 0x4000785c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_BASE +CYDEV_PHUB_TDMEM12_BASE EQU 0x40007860 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_SIZE +CYDEV_PHUB_TDMEM12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_ORIG_TD0 +CYDEV_PHUB_TDMEM12_ORIG_TD0 EQU 0x40007860 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_ORIG_TD1 +CYDEV_PHUB_TDMEM12_ORIG_TD1 EQU 0x40007864 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_BASE +CYDEV_PHUB_TDMEM13_BASE EQU 0x40007868 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_SIZE +CYDEV_PHUB_TDMEM13_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_ORIG_TD0 +CYDEV_PHUB_TDMEM13_ORIG_TD0 EQU 0x40007868 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_ORIG_TD1 +CYDEV_PHUB_TDMEM13_ORIG_TD1 EQU 0x4000786c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_BASE +CYDEV_PHUB_TDMEM14_BASE EQU 0x40007870 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_SIZE +CYDEV_PHUB_TDMEM14_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_ORIG_TD0 +CYDEV_PHUB_TDMEM14_ORIG_TD0 EQU 0x40007870 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_ORIG_TD1 +CYDEV_PHUB_TDMEM14_ORIG_TD1 EQU 0x40007874 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_BASE +CYDEV_PHUB_TDMEM15_BASE EQU 0x40007878 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_SIZE +CYDEV_PHUB_TDMEM15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_ORIG_TD0 +CYDEV_PHUB_TDMEM15_ORIG_TD0 EQU 0x40007878 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_ORIG_TD1 +CYDEV_PHUB_TDMEM15_ORIG_TD1 EQU 0x4000787c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_BASE +CYDEV_PHUB_TDMEM16_BASE EQU 0x40007880 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_SIZE +CYDEV_PHUB_TDMEM16_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_ORIG_TD0 +CYDEV_PHUB_TDMEM16_ORIG_TD0 EQU 0x40007880 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_ORIG_TD1 +CYDEV_PHUB_TDMEM16_ORIG_TD1 EQU 0x40007884 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_BASE +CYDEV_PHUB_TDMEM17_BASE EQU 0x40007888 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_SIZE +CYDEV_PHUB_TDMEM17_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_ORIG_TD0 +CYDEV_PHUB_TDMEM17_ORIG_TD0 EQU 0x40007888 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_ORIG_TD1 +CYDEV_PHUB_TDMEM17_ORIG_TD1 EQU 0x4000788c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_BASE +CYDEV_PHUB_TDMEM18_BASE EQU 0x40007890 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_SIZE +CYDEV_PHUB_TDMEM18_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_ORIG_TD0 +CYDEV_PHUB_TDMEM18_ORIG_TD0 EQU 0x40007890 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_ORIG_TD1 +CYDEV_PHUB_TDMEM18_ORIG_TD1 EQU 0x40007894 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_BASE +CYDEV_PHUB_TDMEM19_BASE EQU 0x40007898 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_SIZE +CYDEV_PHUB_TDMEM19_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_ORIG_TD0 +CYDEV_PHUB_TDMEM19_ORIG_TD0 EQU 0x40007898 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_ORIG_TD1 +CYDEV_PHUB_TDMEM19_ORIG_TD1 EQU 0x4000789c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_BASE +CYDEV_PHUB_TDMEM20_BASE EQU 0x400078a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_SIZE +CYDEV_PHUB_TDMEM20_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_ORIG_TD0 +CYDEV_PHUB_TDMEM20_ORIG_TD0 EQU 0x400078a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_ORIG_TD1 +CYDEV_PHUB_TDMEM20_ORIG_TD1 EQU 0x400078a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_BASE +CYDEV_PHUB_TDMEM21_BASE EQU 0x400078a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_SIZE +CYDEV_PHUB_TDMEM21_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_ORIG_TD0 +CYDEV_PHUB_TDMEM21_ORIG_TD0 EQU 0x400078a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_ORIG_TD1 +CYDEV_PHUB_TDMEM21_ORIG_TD1 EQU 0x400078ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_BASE +CYDEV_PHUB_TDMEM22_BASE EQU 0x400078b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_SIZE +CYDEV_PHUB_TDMEM22_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_ORIG_TD0 +CYDEV_PHUB_TDMEM22_ORIG_TD0 EQU 0x400078b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_ORIG_TD1 +CYDEV_PHUB_TDMEM22_ORIG_TD1 EQU 0x400078b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_BASE +CYDEV_PHUB_TDMEM23_BASE EQU 0x400078b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_SIZE +CYDEV_PHUB_TDMEM23_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_ORIG_TD0 +CYDEV_PHUB_TDMEM23_ORIG_TD0 EQU 0x400078b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_ORIG_TD1 +CYDEV_PHUB_TDMEM23_ORIG_TD1 EQU 0x400078bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_BASE +CYDEV_PHUB_TDMEM24_BASE EQU 0x400078c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_SIZE +CYDEV_PHUB_TDMEM24_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_ORIG_TD0 +CYDEV_PHUB_TDMEM24_ORIG_TD0 EQU 0x400078c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_ORIG_TD1 +CYDEV_PHUB_TDMEM24_ORIG_TD1 EQU 0x400078c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_BASE +CYDEV_PHUB_TDMEM25_BASE EQU 0x400078c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_SIZE +CYDEV_PHUB_TDMEM25_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_ORIG_TD0 +CYDEV_PHUB_TDMEM25_ORIG_TD0 EQU 0x400078c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_ORIG_TD1 +CYDEV_PHUB_TDMEM25_ORIG_TD1 EQU 0x400078cc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_BASE +CYDEV_PHUB_TDMEM26_BASE EQU 0x400078d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_SIZE +CYDEV_PHUB_TDMEM26_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_ORIG_TD0 +CYDEV_PHUB_TDMEM26_ORIG_TD0 EQU 0x400078d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_ORIG_TD1 +CYDEV_PHUB_TDMEM26_ORIG_TD1 EQU 0x400078d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_BASE +CYDEV_PHUB_TDMEM27_BASE EQU 0x400078d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_SIZE +CYDEV_PHUB_TDMEM27_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_ORIG_TD0 +CYDEV_PHUB_TDMEM27_ORIG_TD0 EQU 0x400078d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_ORIG_TD1 +CYDEV_PHUB_TDMEM27_ORIG_TD1 EQU 0x400078dc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_BASE +CYDEV_PHUB_TDMEM28_BASE EQU 0x400078e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_SIZE +CYDEV_PHUB_TDMEM28_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_ORIG_TD0 +CYDEV_PHUB_TDMEM28_ORIG_TD0 EQU 0x400078e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_ORIG_TD1 +CYDEV_PHUB_TDMEM28_ORIG_TD1 EQU 0x400078e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_BASE +CYDEV_PHUB_TDMEM29_BASE EQU 0x400078e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_SIZE +CYDEV_PHUB_TDMEM29_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_ORIG_TD0 +CYDEV_PHUB_TDMEM29_ORIG_TD0 EQU 0x400078e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_ORIG_TD1 +CYDEV_PHUB_TDMEM29_ORIG_TD1 EQU 0x400078ec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_BASE +CYDEV_PHUB_TDMEM30_BASE EQU 0x400078f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_SIZE +CYDEV_PHUB_TDMEM30_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_ORIG_TD0 +CYDEV_PHUB_TDMEM30_ORIG_TD0 EQU 0x400078f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_ORIG_TD1 +CYDEV_PHUB_TDMEM30_ORIG_TD1 EQU 0x400078f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_BASE +CYDEV_PHUB_TDMEM31_BASE EQU 0x400078f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_SIZE +CYDEV_PHUB_TDMEM31_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_ORIG_TD0 +CYDEV_PHUB_TDMEM31_ORIG_TD0 EQU 0x400078f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_ORIG_TD1 +CYDEV_PHUB_TDMEM31_ORIG_TD1 EQU 0x400078fc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_BASE +CYDEV_PHUB_TDMEM32_BASE EQU 0x40007900 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_SIZE +CYDEV_PHUB_TDMEM32_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_ORIG_TD0 +CYDEV_PHUB_TDMEM32_ORIG_TD0 EQU 0x40007900 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_ORIG_TD1 +CYDEV_PHUB_TDMEM32_ORIG_TD1 EQU 0x40007904 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_BASE +CYDEV_PHUB_TDMEM33_BASE EQU 0x40007908 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_SIZE +CYDEV_PHUB_TDMEM33_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_ORIG_TD0 +CYDEV_PHUB_TDMEM33_ORIG_TD0 EQU 0x40007908 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_ORIG_TD1 +CYDEV_PHUB_TDMEM33_ORIG_TD1 EQU 0x4000790c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_BASE +CYDEV_PHUB_TDMEM34_BASE EQU 0x40007910 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_SIZE +CYDEV_PHUB_TDMEM34_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_ORIG_TD0 +CYDEV_PHUB_TDMEM34_ORIG_TD0 EQU 0x40007910 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_ORIG_TD1 +CYDEV_PHUB_TDMEM34_ORIG_TD1 EQU 0x40007914 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_BASE +CYDEV_PHUB_TDMEM35_BASE EQU 0x40007918 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_SIZE +CYDEV_PHUB_TDMEM35_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_ORIG_TD0 +CYDEV_PHUB_TDMEM35_ORIG_TD0 EQU 0x40007918 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_ORIG_TD1 +CYDEV_PHUB_TDMEM35_ORIG_TD1 EQU 0x4000791c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_BASE +CYDEV_PHUB_TDMEM36_BASE EQU 0x40007920 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_SIZE +CYDEV_PHUB_TDMEM36_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_ORIG_TD0 +CYDEV_PHUB_TDMEM36_ORIG_TD0 EQU 0x40007920 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_ORIG_TD1 +CYDEV_PHUB_TDMEM36_ORIG_TD1 EQU 0x40007924 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_BASE +CYDEV_PHUB_TDMEM37_BASE EQU 0x40007928 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_SIZE +CYDEV_PHUB_TDMEM37_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_ORIG_TD0 +CYDEV_PHUB_TDMEM37_ORIG_TD0 EQU 0x40007928 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_ORIG_TD1 +CYDEV_PHUB_TDMEM37_ORIG_TD1 EQU 0x4000792c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_BASE +CYDEV_PHUB_TDMEM38_BASE EQU 0x40007930 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_SIZE +CYDEV_PHUB_TDMEM38_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_ORIG_TD0 +CYDEV_PHUB_TDMEM38_ORIG_TD0 EQU 0x40007930 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_ORIG_TD1 +CYDEV_PHUB_TDMEM38_ORIG_TD1 EQU 0x40007934 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_BASE +CYDEV_PHUB_TDMEM39_BASE EQU 0x40007938 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_SIZE +CYDEV_PHUB_TDMEM39_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_ORIG_TD0 +CYDEV_PHUB_TDMEM39_ORIG_TD0 EQU 0x40007938 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_ORIG_TD1 +CYDEV_PHUB_TDMEM39_ORIG_TD1 EQU 0x4000793c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_BASE +CYDEV_PHUB_TDMEM40_BASE EQU 0x40007940 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_SIZE +CYDEV_PHUB_TDMEM40_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_ORIG_TD0 +CYDEV_PHUB_TDMEM40_ORIG_TD0 EQU 0x40007940 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_ORIG_TD1 +CYDEV_PHUB_TDMEM40_ORIG_TD1 EQU 0x40007944 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_BASE +CYDEV_PHUB_TDMEM41_BASE EQU 0x40007948 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_SIZE +CYDEV_PHUB_TDMEM41_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_ORIG_TD0 +CYDEV_PHUB_TDMEM41_ORIG_TD0 EQU 0x40007948 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_ORIG_TD1 +CYDEV_PHUB_TDMEM41_ORIG_TD1 EQU 0x4000794c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_BASE +CYDEV_PHUB_TDMEM42_BASE EQU 0x40007950 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_SIZE +CYDEV_PHUB_TDMEM42_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_ORIG_TD0 +CYDEV_PHUB_TDMEM42_ORIG_TD0 EQU 0x40007950 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_ORIG_TD1 +CYDEV_PHUB_TDMEM42_ORIG_TD1 EQU 0x40007954 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_BASE +CYDEV_PHUB_TDMEM43_BASE EQU 0x40007958 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_SIZE +CYDEV_PHUB_TDMEM43_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_ORIG_TD0 +CYDEV_PHUB_TDMEM43_ORIG_TD0 EQU 0x40007958 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_ORIG_TD1 +CYDEV_PHUB_TDMEM43_ORIG_TD1 EQU 0x4000795c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_BASE +CYDEV_PHUB_TDMEM44_BASE EQU 0x40007960 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_SIZE +CYDEV_PHUB_TDMEM44_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_ORIG_TD0 +CYDEV_PHUB_TDMEM44_ORIG_TD0 EQU 0x40007960 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_ORIG_TD1 +CYDEV_PHUB_TDMEM44_ORIG_TD1 EQU 0x40007964 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_BASE +CYDEV_PHUB_TDMEM45_BASE EQU 0x40007968 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_SIZE +CYDEV_PHUB_TDMEM45_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_ORIG_TD0 +CYDEV_PHUB_TDMEM45_ORIG_TD0 EQU 0x40007968 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_ORIG_TD1 +CYDEV_PHUB_TDMEM45_ORIG_TD1 EQU 0x4000796c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_BASE +CYDEV_PHUB_TDMEM46_BASE EQU 0x40007970 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_SIZE +CYDEV_PHUB_TDMEM46_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_ORIG_TD0 +CYDEV_PHUB_TDMEM46_ORIG_TD0 EQU 0x40007970 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_ORIG_TD1 +CYDEV_PHUB_TDMEM46_ORIG_TD1 EQU 0x40007974 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_BASE +CYDEV_PHUB_TDMEM47_BASE EQU 0x40007978 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_SIZE +CYDEV_PHUB_TDMEM47_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_ORIG_TD0 +CYDEV_PHUB_TDMEM47_ORIG_TD0 EQU 0x40007978 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_ORIG_TD1 +CYDEV_PHUB_TDMEM47_ORIG_TD1 EQU 0x4000797c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_BASE +CYDEV_PHUB_TDMEM48_BASE EQU 0x40007980 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_SIZE +CYDEV_PHUB_TDMEM48_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_ORIG_TD0 +CYDEV_PHUB_TDMEM48_ORIG_TD0 EQU 0x40007980 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_ORIG_TD1 +CYDEV_PHUB_TDMEM48_ORIG_TD1 EQU 0x40007984 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_BASE +CYDEV_PHUB_TDMEM49_BASE EQU 0x40007988 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_SIZE +CYDEV_PHUB_TDMEM49_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_ORIG_TD0 +CYDEV_PHUB_TDMEM49_ORIG_TD0 EQU 0x40007988 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_ORIG_TD1 +CYDEV_PHUB_TDMEM49_ORIG_TD1 EQU 0x4000798c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_BASE +CYDEV_PHUB_TDMEM50_BASE EQU 0x40007990 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_SIZE +CYDEV_PHUB_TDMEM50_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_ORIG_TD0 +CYDEV_PHUB_TDMEM50_ORIG_TD0 EQU 0x40007990 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_ORIG_TD1 +CYDEV_PHUB_TDMEM50_ORIG_TD1 EQU 0x40007994 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_BASE +CYDEV_PHUB_TDMEM51_BASE EQU 0x40007998 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_SIZE +CYDEV_PHUB_TDMEM51_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_ORIG_TD0 +CYDEV_PHUB_TDMEM51_ORIG_TD0 EQU 0x40007998 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_ORIG_TD1 +CYDEV_PHUB_TDMEM51_ORIG_TD1 EQU 0x4000799c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_BASE +CYDEV_PHUB_TDMEM52_BASE EQU 0x400079a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_SIZE +CYDEV_PHUB_TDMEM52_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_ORIG_TD0 +CYDEV_PHUB_TDMEM52_ORIG_TD0 EQU 0x400079a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_ORIG_TD1 +CYDEV_PHUB_TDMEM52_ORIG_TD1 EQU 0x400079a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_BASE +CYDEV_PHUB_TDMEM53_BASE EQU 0x400079a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_SIZE +CYDEV_PHUB_TDMEM53_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_ORIG_TD0 +CYDEV_PHUB_TDMEM53_ORIG_TD0 EQU 0x400079a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_ORIG_TD1 +CYDEV_PHUB_TDMEM53_ORIG_TD1 EQU 0x400079ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_BASE +CYDEV_PHUB_TDMEM54_BASE EQU 0x400079b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_SIZE +CYDEV_PHUB_TDMEM54_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_ORIG_TD0 +CYDEV_PHUB_TDMEM54_ORIG_TD0 EQU 0x400079b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_ORIG_TD1 +CYDEV_PHUB_TDMEM54_ORIG_TD1 EQU 0x400079b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_BASE +CYDEV_PHUB_TDMEM55_BASE EQU 0x400079b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_SIZE +CYDEV_PHUB_TDMEM55_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_ORIG_TD0 +CYDEV_PHUB_TDMEM55_ORIG_TD0 EQU 0x400079b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_ORIG_TD1 +CYDEV_PHUB_TDMEM55_ORIG_TD1 EQU 0x400079bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_BASE +CYDEV_PHUB_TDMEM56_BASE EQU 0x400079c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_SIZE +CYDEV_PHUB_TDMEM56_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_ORIG_TD0 +CYDEV_PHUB_TDMEM56_ORIG_TD0 EQU 0x400079c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_ORIG_TD1 +CYDEV_PHUB_TDMEM56_ORIG_TD1 EQU 0x400079c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_BASE +CYDEV_PHUB_TDMEM57_BASE EQU 0x400079c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_SIZE +CYDEV_PHUB_TDMEM57_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_ORIG_TD0 +CYDEV_PHUB_TDMEM57_ORIG_TD0 EQU 0x400079c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_ORIG_TD1 +CYDEV_PHUB_TDMEM57_ORIG_TD1 EQU 0x400079cc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_BASE +CYDEV_PHUB_TDMEM58_BASE EQU 0x400079d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_SIZE +CYDEV_PHUB_TDMEM58_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_ORIG_TD0 +CYDEV_PHUB_TDMEM58_ORIG_TD0 EQU 0x400079d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_ORIG_TD1 +CYDEV_PHUB_TDMEM58_ORIG_TD1 EQU 0x400079d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_BASE +CYDEV_PHUB_TDMEM59_BASE EQU 0x400079d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_SIZE +CYDEV_PHUB_TDMEM59_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_ORIG_TD0 +CYDEV_PHUB_TDMEM59_ORIG_TD0 EQU 0x400079d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_ORIG_TD1 +CYDEV_PHUB_TDMEM59_ORIG_TD1 EQU 0x400079dc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_BASE +CYDEV_PHUB_TDMEM60_BASE EQU 0x400079e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_SIZE +CYDEV_PHUB_TDMEM60_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_ORIG_TD0 +CYDEV_PHUB_TDMEM60_ORIG_TD0 EQU 0x400079e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_ORIG_TD1 +CYDEV_PHUB_TDMEM60_ORIG_TD1 EQU 0x400079e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_BASE +CYDEV_PHUB_TDMEM61_BASE EQU 0x400079e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_SIZE +CYDEV_PHUB_TDMEM61_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_ORIG_TD0 +CYDEV_PHUB_TDMEM61_ORIG_TD0 EQU 0x400079e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_ORIG_TD1 +CYDEV_PHUB_TDMEM61_ORIG_TD1 EQU 0x400079ec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_BASE +CYDEV_PHUB_TDMEM62_BASE EQU 0x400079f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_SIZE +CYDEV_PHUB_TDMEM62_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_ORIG_TD0 +CYDEV_PHUB_TDMEM62_ORIG_TD0 EQU 0x400079f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_ORIG_TD1 +CYDEV_PHUB_TDMEM62_ORIG_TD1 EQU 0x400079f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_BASE +CYDEV_PHUB_TDMEM63_BASE EQU 0x400079f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_SIZE +CYDEV_PHUB_TDMEM63_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_ORIG_TD0 +CYDEV_PHUB_TDMEM63_ORIG_TD0 EQU 0x400079f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_ORIG_TD1 +CYDEV_PHUB_TDMEM63_ORIG_TD1 EQU 0x400079fc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_BASE +CYDEV_PHUB_TDMEM64_BASE EQU 0x40007a00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_SIZE +CYDEV_PHUB_TDMEM64_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_ORIG_TD0 +CYDEV_PHUB_TDMEM64_ORIG_TD0 EQU 0x40007a00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_ORIG_TD1 +CYDEV_PHUB_TDMEM64_ORIG_TD1 EQU 0x40007a04 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_BASE +CYDEV_PHUB_TDMEM65_BASE EQU 0x40007a08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_SIZE +CYDEV_PHUB_TDMEM65_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_ORIG_TD0 +CYDEV_PHUB_TDMEM65_ORIG_TD0 EQU 0x40007a08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_ORIG_TD1 +CYDEV_PHUB_TDMEM65_ORIG_TD1 EQU 0x40007a0c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_BASE +CYDEV_PHUB_TDMEM66_BASE EQU 0x40007a10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_SIZE +CYDEV_PHUB_TDMEM66_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_ORIG_TD0 +CYDEV_PHUB_TDMEM66_ORIG_TD0 EQU 0x40007a10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_ORIG_TD1 +CYDEV_PHUB_TDMEM66_ORIG_TD1 EQU 0x40007a14 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_BASE +CYDEV_PHUB_TDMEM67_BASE EQU 0x40007a18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_SIZE +CYDEV_PHUB_TDMEM67_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_ORIG_TD0 +CYDEV_PHUB_TDMEM67_ORIG_TD0 EQU 0x40007a18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_ORIG_TD1 +CYDEV_PHUB_TDMEM67_ORIG_TD1 EQU 0x40007a1c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_BASE +CYDEV_PHUB_TDMEM68_BASE EQU 0x40007a20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_SIZE +CYDEV_PHUB_TDMEM68_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_ORIG_TD0 +CYDEV_PHUB_TDMEM68_ORIG_TD0 EQU 0x40007a20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_ORIG_TD1 +CYDEV_PHUB_TDMEM68_ORIG_TD1 EQU 0x40007a24 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_BASE +CYDEV_PHUB_TDMEM69_BASE EQU 0x40007a28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_SIZE +CYDEV_PHUB_TDMEM69_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_ORIG_TD0 +CYDEV_PHUB_TDMEM69_ORIG_TD0 EQU 0x40007a28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_ORIG_TD1 +CYDEV_PHUB_TDMEM69_ORIG_TD1 EQU 0x40007a2c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_BASE +CYDEV_PHUB_TDMEM70_BASE EQU 0x40007a30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_SIZE +CYDEV_PHUB_TDMEM70_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_ORIG_TD0 +CYDEV_PHUB_TDMEM70_ORIG_TD0 EQU 0x40007a30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_ORIG_TD1 +CYDEV_PHUB_TDMEM70_ORIG_TD1 EQU 0x40007a34 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_BASE +CYDEV_PHUB_TDMEM71_BASE EQU 0x40007a38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_SIZE +CYDEV_PHUB_TDMEM71_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_ORIG_TD0 +CYDEV_PHUB_TDMEM71_ORIG_TD0 EQU 0x40007a38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_ORIG_TD1 +CYDEV_PHUB_TDMEM71_ORIG_TD1 EQU 0x40007a3c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_BASE +CYDEV_PHUB_TDMEM72_BASE EQU 0x40007a40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_SIZE +CYDEV_PHUB_TDMEM72_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_ORIG_TD0 +CYDEV_PHUB_TDMEM72_ORIG_TD0 EQU 0x40007a40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_ORIG_TD1 +CYDEV_PHUB_TDMEM72_ORIG_TD1 EQU 0x40007a44 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_BASE +CYDEV_PHUB_TDMEM73_BASE EQU 0x40007a48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_SIZE +CYDEV_PHUB_TDMEM73_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_ORIG_TD0 +CYDEV_PHUB_TDMEM73_ORIG_TD0 EQU 0x40007a48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_ORIG_TD1 +CYDEV_PHUB_TDMEM73_ORIG_TD1 EQU 0x40007a4c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_BASE +CYDEV_PHUB_TDMEM74_BASE EQU 0x40007a50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_SIZE +CYDEV_PHUB_TDMEM74_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_ORIG_TD0 +CYDEV_PHUB_TDMEM74_ORIG_TD0 EQU 0x40007a50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_ORIG_TD1 +CYDEV_PHUB_TDMEM74_ORIG_TD1 EQU 0x40007a54 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_BASE +CYDEV_PHUB_TDMEM75_BASE EQU 0x40007a58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_SIZE +CYDEV_PHUB_TDMEM75_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_ORIG_TD0 +CYDEV_PHUB_TDMEM75_ORIG_TD0 EQU 0x40007a58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_ORIG_TD1 +CYDEV_PHUB_TDMEM75_ORIG_TD1 EQU 0x40007a5c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_BASE +CYDEV_PHUB_TDMEM76_BASE EQU 0x40007a60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_SIZE +CYDEV_PHUB_TDMEM76_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_ORIG_TD0 +CYDEV_PHUB_TDMEM76_ORIG_TD0 EQU 0x40007a60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_ORIG_TD1 +CYDEV_PHUB_TDMEM76_ORIG_TD1 EQU 0x40007a64 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_BASE +CYDEV_PHUB_TDMEM77_BASE EQU 0x40007a68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_SIZE +CYDEV_PHUB_TDMEM77_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_ORIG_TD0 +CYDEV_PHUB_TDMEM77_ORIG_TD0 EQU 0x40007a68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_ORIG_TD1 +CYDEV_PHUB_TDMEM77_ORIG_TD1 EQU 0x40007a6c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_BASE +CYDEV_PHUB_TDMEM78_BASE EQU 0x40007a70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_SIZE +CYDEV_PHUB_TDMEM78_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_ORIG_TD0 +CYDEV_PHUB_TDMEM78_ORIG_TD0 EQU 0x40007a70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_ORIG_TD1 +CYDEV_PHUB_TDMEM78_ORIG_TD1 EQU 0x40007a74 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_BASE +CYDEV_PHUB_TDMEM79_BASE EQU 0x40007a78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_SIZE +CYDEV_PHUB_TDMEM79_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_ORIG_TD0 +CYDEV_PHUB_TDMEM79_ORIG_TD0 EQU 0x40007a78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_ORIG_TD1 +CYDEV_PHUB_TDMEM79_ORIG_TD1 EQU 0x40007a7c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_BASE +CYDEV_PHUB_TDMEM80_BASE EQU 0x40007a80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_SIZE +CYDEV_PHUB_TDMEM80_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_ORIG_TD0 +CYDEV_PHUB_TDMEM80_ORIG_TD0 EQU 0x40007a80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_ORIG_TD1 +CYDEV_PHUB_TDMEM80_ORIG_TD1 EQU 0x40007a84 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_BASE +CYDEV_PHUB_TDMEM81_BASE EQU 0x40007a88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_SIZE +CYDEV_PHUB_TDMEM81_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_ORIG_TD0 +CYDEV_PHUB_TDMEM81_ORIG_TD0 EQU 0x40007a88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_ORIG_TD1 +CYDEV_PHUB_TDMEM81_ORIG_TD1 EQU 0x40007a8c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_BASE +CYDEV_PHUB_TDMEM82_BASE EQU 0x40007a90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_SIZE +CYDEV_PHUB_TDMEM82_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_ORIG_TD0 +CYDEV_PHUB_TDMEM82_ORIG_TD0 EQU 0x40007a90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_ORIG_TD1 +CYDEV_PHUB_TDMEM82_ORIG_TD1 EQU 0x40007a94 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_BASE +CYDEV_PHUB_TDMEM83_BASE EQU 0x40007a98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_SIZE +CYDEV_PHUB_TDMEM83_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_ORIG_TD0 +CYDEV_PHUB_TDMEM83_ORIG_TD0 EQU 0x40007a98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_ORIG_TD1 +CYDEV_PHUB_TDMEM83_ORIG_TD1 EQU 0x40007a9c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_BASE +CYDEV_PHUB_TDMEM84_BASE EQU 0x40007aa0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_SIZE +CYDEV_PHUB_TDMEM84_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_ORIG_TD0 +CYDEV_PHUB_TDMEM84_ORIG_TD0 EQU 0x40007aa0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_ORIG_TD1 +CYDEV_PHUB_TDMEM84_ORIG_TD1 EQU 0x40007aa4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_BASE +CYDEV_PHUB_TDMEM85_BASE EQU 0x40007aa8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_SIZE +CYDEV_PHUB_TDMEM85_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_ORIG_TD0 +CYDEV_PHUB_TDMEM85_ORIG_TD0 EQU 0x40007aa8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_ORIG_TD1 +CYDEV_PHUB_TDMEM85_ORIG_TD1 EQU 0x40007aac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_BASE +CYDEV_PHUB_TDMEM86_BASE EQU 0x40007ab0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_SIZE +CYDEV_PHUB_TDMEM86_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_ORIG_TD0 +CYDEV_PHUB_TDMEM86_ORIG_TD0 EQU 0x40007ab0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_ORIG_TD1 +CYDEV_PHUB_TDMEM86_ORIG_TD1 EQU 0x40007ab4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_BASE +CYDEV_PHUB_TDMEM87_BASE EQU 0x40007ab8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_SIZE +CYDEV_PHUB_TDMEM87_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_ORIG_TD0 +CYDEV_PHUB_TDMEM87_ORIG_TD0 EQU 0x40007ab8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_ORIG_TD1 +CYDEV_PHUB_TDMEM87_ORIG_TD1 EQU 0x40007abc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_BASE +CYDEV_PHUB_TDMEM88_BASE EQU 0x40007ac0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_SIZE +CYDEV_PHUB_TDMEM88_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_ORIG_TD0 +CYDEV_PHUB_TDMEM88_ORIG_TD0 EQU 0x40007ac0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_ORIG_TD1 +CYDEV_PHUB_TDMEM88_ORIG_TD1 EQU 0x40007ac4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_BASE +CYDEV_PHUB_TDMEM89_BASE EQU 0x40007ac8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_SIZE +CYDEV_PHUB_TDMEM89_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_ORIG_TD0 +CYDEV_PHUB_TDMEM89_ORIG_TD0 EQU 0x40007ac8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_ORIG_TD1 +CYDEV_PHUB_TDMEM89_ORIG_TD1 EQU 0x40007acc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_BASE +CYDEV_PHUB_TDMEM90_BASE EQU 0x40007ad0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_SIZE +CYDEV_PHUB_TDMEM90_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_ORIG_TD0 +CYDEV_PHUB_TDMEM90_ORIG_TD0 EQU 0x40007ad0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_ORIG_TD1 +CYDEV_PHUB_TDMEM90_ORIG_TD1 EQU 0x40007ad4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_BASE +CYDEV_PHUB_TDMEM91_BASE EQU 0x40007ad8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_SIZE +CYDEV_PHUB_TDMEM91_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_ORIG_TD0 +CYDEV_PHUB_TDMEM91_ORIG_TD0 EQU 0x40007ad8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_ORIG_TD1 +CYDEV_PHUB_TDMEM91_ORIG_TD1 EQU 0x40007adc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_BASE +CYDEV_PHUB_TDMEM92_BASE EQU 0x40007ae0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_SIZE +CYDEV_PHUB_TDMEM92_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_ORIG_TD0 +CYDEV_PHUB_TDMEM92_ORIG_TD0 EQU 0x40007ae0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_ORIG_TD1 +CYDEV_PHUB_TDMEM92_ORIG_TD1 EQU 0x40007ae4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_BASE +CYDEV_PHUB_TDMEM93_BASE EQU 0x40007ae8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_SIZE +CYDEV_PHUB_TDMEM93_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_ORIG_TD0 +CYDEV_PHUB_TDMEM93_ORIG_TD0 EQU 0x40007ae8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_ORIG_TD1 +CYDEV_PHUB_TDMEM93_ORIG_TD1 EQU 0x40007aec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_BASE +CYDEV_PHUB_TDMEM94_BASE EQU 0x40007af0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_SIZE +CYDEV_PHUB_TDMEM94_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_ORIG_TD0 +CYDEV_PHUB_TDMEM94_ORIG_TD0 EQU 0x40007af0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_ORIG_TD1 +CYDEV_PHUB_TDMEM94_ORIG_TD1 EQU 0x40007af4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_BASE +CYDEV_PHUB_TDMEM95_BASE EQU 0x40007af8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_SIZE +CYDEV_PHUB_TDMEM95_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_ORIG_TD0 +CYDEV_PHUB_TDMEM95_ORIG_TD0 EQU 0x40007af8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_ORIG_TD1 +CYDEV_PHUB_TDMEM95_ORIG_TD1 EQU 0x40007afc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_BASE +CYDEV_PHUB_TDMEM96_BASE EQU 0x40007b00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_SIZE +CYDEV_PHUB_TDMEM96_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_ORIG_TD0 +CYDEV_PHUB_TDMEM96_ORIG_TD0 EQU 0x40007b00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_ORIG_TD1 +CYDEV_PHUB_TDMEM96_ORIG_TD1 EQU 0x40007b04 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_BASE +CYDEV_PHUB_TDMEM97_BASE EQU 0x40007b08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_SIZE +CYDEV_PHUB_TDMEM97_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_ORIG_TD0 +CYDEV_PHUB_TDMEM97_ORIG_TD0 EQU 0x40007b08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_ORIG_TD1 +CYDEV_PHUB_TDMEM97_ORIG_TD1 EQU 0x40007b0c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_BASE +CYDEV_PHUB_TDMEM98_BASE EQU 0x40007b10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_SIZE +CYDEV_PHUB_TDMEM98_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_ORIG_TD0 +CYDEV_PHUB_TDMEM98_ORIG_TD0 EQU 0x40007b10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_ORIG_TD1 +CYDEV_PHUB_TDMEM98_ORIG_TD1 EQU 0x40007b14 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_BASE +CYDEV_PHUB_TDMEM99_BASE EQU 0x40007b18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_SIZE +CYDEV_PHUB_TDMEM99_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_ORIG_TD0 +CYDEV_PHUB_TDMEM99_ORIG_TD0 EQU 0x40007b18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_ORIG_TD1 +CYDEV_PHUB_TDMEM99_ORIG_TD1 EQU 0x40007b1c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_BASE +CYDEV_PHUB_TDMEM100_BASE EQU 0x40007b20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_SIZE +CYDEV_PHUB_TDMEM100_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_ORIG_TD0 +CYDEV_PHUB_TDMEM100_ORIG_TD0 EQU 0x40007b20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_ORIG_TD1 +CYDEV_PHUB_TDMEM100_ORIG_TD1 EQU 0x40007b24 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_BASE +CYDEV_PHUB_TDMEM101_BASE EQU 0x40007b28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_SIZE +CYDEV_PHUB_TDMEM101_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_ORIG_TD0 +CYDEV_PHUB_TDMEM101_ORIG_TD0 EQU 0x40007b28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_ORIG_TD1 +CYDEV_PHUB_TDMEM101_ORIG_TD1 EQU 0x40007b2c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_BASE +CYDEV_PHUB_TDMEM102_BASE EQU 0x40007b30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_SIZE +CYDEV_PHUB_TDMEM102_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_ORIG_TD0 +CYDEV_PHUB_TDMEM102_ORIG_TD0 EQU 0x40007b30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_ORIG_TD1 +CYDEV_PHUB_TDMEM102_ORIG_TD1 EQU 0x40007b34 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_BASE +CYDEV_PHUB_TDMEM103_BASE EQU 0x40007b38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_SIZE +CYDEV_PHUB_TDMEM103_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_ORIG_TD0 +CYDEV_PHUB_TDMEM103_ORIG_TD0 EQU 0x40007b38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_ORIG_TD1 +CYDEV_PHUB_TDMEM103_ORIG_TD1 EQU 0x40007b3c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_BASE +CYDEV_PHUB_TDMEM104_BASE EQU 0x40007b40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_SIZE +CYDEV_PHUB_TDMEM104_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_ORIG_TD0 +CYDEV_PHUB_TDMEM104_ORIG_TD0 EQU 0x40007b40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_ORIG_TD1 +CYDEV_PHUB_TDMEM104_ORIG_TD1 EQU 0x40007b44 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_BASE +CYDEV_PHUB_TDMEM105_BASE EQU 0x40007b48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_SIZE +CYDEV_PHUB_TDMEM105_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_ORIG_TD0 +CYDEV_PHUB_TDMEM105_ORIG_TD0 EQU 0x40007b48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_ORIG_TD1 +CYDEV_PHUB_TDMEM105_ORIG_TD1 EQU 0x40007b4c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_BASE +CYDEV_PHUB_TDMEM106_BASE EQU 0x40007b50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_SIZE +CYDEV_PHUB_TDMEM106_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_ORIG_TD0 +CYDEV_PHUB_TDMEM106_ORIG_TD0 EQU 0x40007b50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_ORIG_TD1 +CYDEV_PHUB_TDMEM106_ORIG_TD1 EQU 0x40007b54 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_BASE +CYDEV_PHUB_TDMEM107_BASE EQU 0x40007b58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_SIZE +CYDEV_PHUB_TDMEM107_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_ORIG_TD0 +CYDEV_PHUB_TDMEM107_ORIG_TD0 EQU 0x40007b58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_ORIG_TD1 +CYDEV_PHUB_TDMEM107_ORIG_TD1 EQU 0x40007b5c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_BASE +CYDEV_PHUB_TDMEM108_BASE EQU 0x40007b60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_SIZE +CYDEV_PHUB_TDMEM108_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_ORIG_TD0 +CYDEV_PHUB_TDMEM108_ORIG_TD0 EQU 0x40007b60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_ORIG_TD1 +CYDEV_PHUB_TDMEM108_ORIG_TD1 EQU 0x40007b64 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_BASE +CYDEV_PHUB_TDMEM109_BASE EQU 0x40007b68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_SIZE +CYDEV_PHUB_TDMEM109_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_ORIG_TD0 +CYDEV_PHUB_TDMEM109_ORIG_TD0 EQU 0x40007b68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_ORIG_TD1 +CYDEV_PHUB_TDMEM109_ORIG_TD1 EQU 0x40007b6c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_BASE +CYDEV_PHUB_TDMEM110_BASE EQU 0x40007b70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_SIZE +CYDEV_PHUB_TDMEM110_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_ORIG_TD0 +CYDEV_PHUB_TDMEM110_ORIG_TD0 EQU 0x40007b70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_ORIG_TD1 +CYDEV_PHUB_TDMEM110_ORIG_TD1 EQU 0x40007b74 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_BASE +CYDEV_PHUB_TDMEM111_BASE EQU 0x40007b78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_SIZE +CYDEV_PHUB_TDMEM111_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_ORIG_TD0 +CYDEV_PHUB_TDMEM111_ORIG_TD0 EQU 0x40007b78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_ORIG_TD1 +CYDEV_PHUB_TDMEM111_ORIG_TD1 EQU 0x40007b7c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_BASE +CYDEV_PHUB_TDMEM112_BASE EQU 0x40007b80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_SIZE +CYDEV_PHUB_TDMEM112_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_ORIG_TD0 +CYDEV_PHUB_TDMEM112_ORIG_TD0 EQU 0x40007b80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_ORIG_TD1 +CYDEV_PHUB_TDMEM112_ORIG_TD1 EQU 0x40007b84 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_BASE +CYDEV_PHUB_TDMEM113_BASE EQU 0x40007b88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_SIZE +CYDEV_PHUB_TDMEM113_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_ORIG_TD0 +CYDEV_PHUB_TDMEM113_ORIG_TD0 EQU 0x40007b88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_ORIG_TD1 +CYDEV_PHUB_TDMEM113_ORIG_TD1 EQU 0x40007b8c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_BASE +CYDEV_PHUB_TDMEM114_BASE EQU 0x40007b90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_SIZE +CYDEV_PHUB_TDMEM114_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_ORIG_TD0 +CYDEV_PHUB_TDMEM114_ORIG_TD0 EQU 0x40007b90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_ORIG_TD1 +CYDEV_PHUB_TDMEM114_ORIG_TD1 EQU 0x40007b94 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_BASE +CYDEV_PHUB_TDMEM115_BASE EQU 0x40007b98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_SIZE +CYDEV_PHUB_TDMEM115_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_ORIG_TD0 +CYDEV_PHUB_TDMEM115_ORIG_TD0 EQU 0x40007b98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_ORIG_TD1 +CYDEV_PHUB_TDMEM115_ORIG_TD1 EQU 0x40007b9c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_BASE +CYDEV_PHUB_TDMEM116_BASE EQU 0x40007ba0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_SIZE +CYDEV_PHUB_TDMEM116_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_ORIG_TD0 +CYDEV_PHUB_TDMEM116_ORIG_TD0 EQU 0x40007ba0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_ORIG_TD1 +CYDEV_PHUB_TDMEM116_ORIG_TD1 EQU 0x40007ba4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_BASE +CYDEV_PHUB_TDMEM117_BASE EQU 0x40007ba8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_SIZE +CYDEV_PHUB_TDMEM117_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_ORIG_TD0 +CYDEV_PHUB_TDMEM117_ORIG_TD0 EQU 0x40007ba8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_ORIG_TD1 +CYDEV_PHUB_TDMEM117_ORIG_TD1 EQU 0x40007bac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_BASE +CYDEV_PHUB_TDMEM118_BASE EQU 0x40007bb0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_SIZE +CYDEV_PHUB_TDMEM118_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_ORIG_TD0 +CYDEV_PHUB_TDMEM118_ORIG_TD0 EQU 0x40007bb0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_ORIG_TD1 +CYDEV_PHUB_TDMEM118_ORIG_TD1 EQU 0x40007bb4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_BASE +CYDEV_PHUB_TDMEM119_BASE EQU 0x40007bb8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_SIZE +CYDEV_PHUB_TDMEM119_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_ORIG_TD0 +CYDEV_PHUB_TDMEM119_ORIG_TD0 EQU 0x40007bb8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_ORIG_TD1 +CYDEV_PHUB_TDMEM119_ORIG_TD1 EQU 0x40007bbc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_BASE +CYDEV_PHUB_TDMEM120_BASE EQU 0x40007bc0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_SIZE +CYDEV_PHUB_TDMEM120_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_ORIG_TD0 +CYDEV_PHUB_TDMEM120_ORIG_TD0 EQU 0x40007bc0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_ORIG_TD1 +CYDEV_PHUB_TDMEM120_ORIG_TD1 EQU 0x40007bc4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_BASE +CYDEV_PHUB_TDMEM121_BASE EQU 0x40007bc8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_SIZE +CYDEV_PHUB_TDMEM121_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_ORIG_TD0 +CYDEV_PHUB_TDMEM121_ORIG_TD0 EQU 0x40007bc8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_ORIG_TD1 +CYDEV_PHUB_TDMEM121_ORIG_TD1 EQU 0x40007bcc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_BASE +CYDEV_PHUB_TDMEM122_BASE EQU 0x40007bd0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_SIZE +CYDEV_PHUB_TDMEM122_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_ORIG_TD0 +CYDEV_PHUB_TDMEM122_ORIG_TD0 EQU 0x40007bd0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_ORIG_TD1 +CYDEV_PHUB_TDMEM122_ORIG_TD1 EQU 0x40007bd4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_BASE +CYDEV_PHUB_TDMEM123_BASE EQU 0x40007bd8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_SIZE +CYDEV_PHUB_TDMEM123_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_ORIG_TD0 +CYDEV_PHUB_TDMEM123_ORIG_TD0 EQU 0x40007bd8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_ORIG_TD1 +CYDEV_PHUB_TDMEM123_ORIG_TD1 EQU 0x40007bdc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_BASE +CYDEV_PHUB_TDMEM124_BASE EQU 0x40007be0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_SIZE +CYDEV_PHUB_TDMEM124_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_ORIG_TD0 +CYDEV_PHUB_TDMEM124_ORIG_TD0 EQU 0x40007be0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_ORIG_TD1 +CYDEV_PHUB_TDMEM124_ORIG_TD1 EQU 0x40007be4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_BASE +CYDEV_PHUB_TDMEM125_BASE EQU 0x40007be8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_SIZE +CYDEV_PHUB_TDMEM125_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_ORIG_TD0 +CYDEV_PHUB_TDMEM125_ORIG_TD0 EQU 0x40007be8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_ORIG_TD1 +CYDEV_PHUB_TDMEM125_ORIG_TD1 EQU 0x40007bec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_BASE +CYDEV_PHUB_TDMEM126_BASE EQU 0x40007bf0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_SIZE +CYDEV_PHUB_TDMEM126_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_ORIG_TD0 +CYDEV_PHUB_TDMEM126_ORIG_TD0 EQU 0x40007bf0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_ORIG_TD1 +CYDEV_PHUB_TDMEM126_ORIG_TD1 EQU 0x40007bf4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_BASE +CYDEV_PHUB_TDMEM127_BASE EQU 0x40007bf8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_SIZE +CYDEV_PHUB_TDMEM127_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_ORIG_TD0 +CYDEV_PHUB_TDMEM127_ORIG_TD0 EQU 0x40007bf8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_ORIG_TD1 +CYDEV_PHUB_TDMEM127_ORIG_TD1 EQU 0x40007bfc + ENDIF + IF :LNOT::DEF:CYDEV_EE_BASE +CYDEV_EE_BASE EQU 0x40008000 + ENDIF + IF :LNOT::DEF:CYDEV_EE_SIZE +CYDEV_EE_SIZE EQU 0x00000800 + ENDIF + IF :LNOT::DEF:CYDEV_EE_DATA_MBASE +CYDEV_EE_DATA_MBASE EQU 0x40008000 + ENDIF + IF :LNOT::DEF:CYDEV_EE_DATA_MSIZE +CYDEV_EE_DATA_MSIZE EQU 0x00000800 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_BASE +CYDEV_CAN0_BASE EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_SIZE +CYDEV_CAN0_SIZE EQU 0x000002a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_BASE +CYDEV_CAN0_CSR_BASE EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_SIZE +CYDEV_CAN0_CSR_SIZE EQU 0x00000018 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_INT_SR +CYDEV_CAN0_CSR_INT_SR EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_INT_EN +CYDEV_CAN0_CSR_INT_EN EQU 0x4000a004 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_BUF_SR +CYDEV_CAN0_CSR_BUF_SR EQU 0x4000a008 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_ERR_SR +CYDEV_CAN0_CSR_ERR_SR EQU 0x4000a00c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_CMD +CYDEV_CAN0_CSR_CMD EQU 0x4000a010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_CFG +CYDEV_CAN0_CSR_CFG EQU 0x4000a014 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_BASE +CYDEV_CAN0_TX0_BASE EQU 0x4000a020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_SIZE +CYDEV_CAN0_TX0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_CMD +CYDEV_CAN0_TX0_CMD EQU 0x4000a020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_ID +CYDEV_CAN0_TX0_ID EQU 0x4000a024 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_DH +CYDEV_CAN0_TX0_DH EQU 0x4000a028 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_DL +CYDEV_CAN0_TX0_DL EQU 0x4000a02c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_BASE +CYDEV_CAN0_TX1_BASE EQU 0x4000a030 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_SIZE +CYDEV_CAN0_TX1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_CMD +CYDEV_CAN0_TX1_CMD EQU 0x4000a030 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_ID +CYDEV_CAN0_TX1_ID EQU 0x4000a034 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_DH +CYDEV_CAN0_TX1_DH EQU 0x4000a038 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_DL +CYDEV_CAN0_TX1_DL EQU 0x4000a03c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_BASE +CYDEV_CAN0_TX2_BASE EQU 0x4000a040 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_SIZE +CYDEV_CAN0_TX2_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_CMD +CYDEV_CAN0_TX2_CMD EQU 0x4000a040 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_ID +CYDEV_CAN0_TX2_ID EQU 0x4000a044 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_DH +CYDEV_CAN0_TX2_DH EQU 0x4000a048 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_DL +CYDEV_CAN0_TX2_DL EQU 0x4000a04c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_BASE +CYDEV_CAN0_TX3_BASE EQU 0x4000a050 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_SIZE +CYDEV_CAN0_TX3_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_CMD +CYDEV_CAN0_TX3_CMD EQU 0x4000a050 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_ID +CYDEV_CAN0_TX3_ID EQU 0x4000a054 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_DH +CYDEV_CAN0_TX3_DH EQU 0x4000a058 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_DL +CYDEV_CAN0_TX3_DL EQU 0x4000a05c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_BASE +CYDEV_CAN0_TX4_BASE EQU 0x4000a060 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_SIZE +CYDEV_CAN0_TX4_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_CMD +CYDEV_CAN0_TX4_CMD EQU 0x4000a060 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_ID +CYDEV_CAN0_TX4_ID EQU 0x4000a064 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_DH +CYDEV_CAN0_TX4_DH EQU 0x4000a068 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_DL +CYDEV_CAN0_TX4_DL EQU 0x4000a06c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_BASE +CYDEV_CAN0_TX5_BASE EQU 0x4000a070 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_SIZE +CYDEV_CAN0_TX5_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_CMD +CYDEV_CAN0_TX5_CMD EQU 0x4000a070 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_ID +CYDEV_CAN0_TX5_ID EQU 0x4000a074 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_DH +CYDEV_CAN0_TX5_DH EQU 0x4000a078 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_DL +CYDEV_CAN0_TX5_DL EQU 0x4000a07c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_BASE +CYDEV_CAN0_TX6_BASE EQU 0x4000a080 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_SIZE +CYDEV_CAN0_TX6_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_CMD +CYDEV_CAN0_TX6_CMD EQU 0x4000a080 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_ID +CYDEV_CAN0_TX6_ID EQU 0x4000a084 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_DH +CYDEV_CAN0_TX6_DH EQU 0x4000a088 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_DL +CYDEV_CAN0_TX6_DL EQU 0x4000a08c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_BASE +CYDEV_CAN0_TX7_BASE EQU 0x4000a090 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_SIZE +CYDEV_CAN0_TX7_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_CMD +CYDEV_CAN0_TX7_CMD EQU 0x4000a090 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_ID +CYDEV_CAN0_TX7_ID EQU 0x4000a094 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_DH +CYDEV_CAN0_TX7_DH EQU 0x4000a098 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_DL +CYDEV_CAN0_TX7_DL EQU 0x4000a09c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_BASE +CYDEV_CAN0_RX0_BASE EQU 0x4000a0a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_SIZE +CYDEV_CAN0_RX0_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_CMD +CYDEV_CAN0_RX0_CMD EQU 0x4000a0a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_ID +CYDEV_CAN0_RX0_ID EQU 0x4000a0a4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_DH +CYDEV_CAN0_RX0_DH EQU 0x4000a0a8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_DL +CYDEV_CAN0_RX0_DL EQU 0x4000a0ac + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_AMR +CYDEV_CAN0_RX0_AMR EQU 0x4000a0b0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_ACR +CYDEV_CAN0_RX0_ACR EQU 0x4000a0b4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_AMRD +CYDEV_CAN0_RX0_AMRD EQU 0x4000a0b8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_ACRD +CYDEV_CAN0_RX0_ACRD EQU 0x4000a0bc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_BASE +CYDEV_CAN0_RX1_BASE EQU 0x4000a0c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_SIZE +CYDEV_CAN0_RX1_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_CMD +CYDEV_CAN0_RX1_CMD EQU 0x4000a0c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_ID +CYDEV_CAN0_RX1_ID EQU 0x4000a0c4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_DH +CYDEV_CAN0_RX1_DH EQU 0x4000a0c8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_DL +CYDEV_CAN0_RX1_DL EQU 0x4000a0cc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_AMR +CYDEV_CAN0_RX1_AMR EQU 0x4000a0d0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_ACR +CYDEV_CAN0_RX1_ACR EQU 0x4000a0d4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_AMRD +CYDEV_CAN0_RX1_AMRD EQU 0x4000a0d8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_ACRD +CYDEV_CAN0_RX1_ACRD EQU 0x4000a0dc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_BASE +CYDEV_CAN0_RX2_BASE EQU 0x4000a0e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_SIZE +CYDEV_CAN0_RX2_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_CMD +CYDEV_CAN0_RX2_CMD EQU 0x4000a0e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_ID +CYDEV_CAN0_RX2_ID EQU 0x4000a0e4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_DH +CYDEV_CAN0_RX2_DH EQU 0x4000a0e8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_DL +CYDEV_CAN0_RX2_DL EQU 0x4000a0ec + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_AMR +CYDEV_CAN0_RX2_AMR EQU 0x4000a0f0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_ACR +CYDEV_CAN0_RX2_ACR EQU 0x4000a0f4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_AMRD +CYDEV_CAN0_RX2_AMRD EQU 0x4000a0f8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_ACRD +CYDEV_CAN0_RX2_ACRD EQU 0x4000a0fc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_BASE +CYDEV_CAN0_RX3_BASE EQU 0x4000a100 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_SIZE +CYDEV_CAN0_RX3_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_CMD +CYDEV_CAN0_RX3_CMD EQU 0x4000a100 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_ID +CYDEV_CAN0_RX3_ID EQU 0x4000a104 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_DH +CYDEV_CAN0_RX3_DH EQU 0x4000a108 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_DL +CYDEV_CAN0_RX3_DL EQU 0x4000a10c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_AMR +CYDEV_CAN0_RX3_AMR EQU 0x4000a110 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_ACR +CYDEV_CAN0_RX3_ACR EQU 0x4000a114 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_AMRD +CYDEV_CAN0_RX3_AMRD EQU 0x4000a118 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_ACRD +CYDEV_CAN0_RX3_ACRD EQU 0x4000a11c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_BASE +CYDEV_CAN0_RX4_BASE EQU 0x4000a120 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_SIZE +CYDEV_CAN0_RX4_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_CMD +CYDEV_CAN0_RX4_CMD EQU 0x4000a120 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_ID +CYDEV_CAN0_RX4_ID EQU 0x4000a124 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_DH +CYDEV_CAN0_RX4_DH EQU 0x4000a128 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_DL +CYDEV_CAN0_RX4_DL EQU 0x4000a12c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_AMR +CYDEV_CAN0_RX4_AMR EQU 0x4000a130 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_ACR +CYDEV_CAN0_RX4_ACR EQU 0x4000a134 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_AMRD +CYDEV_CAN0_RX4_AMRD EQU 0x4000a138 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_ACRD +CYDEV_CAN0_RX4_ACRD EQU 0x4000a13c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_BASE +CYDEV_CAN0_RX5_BASE EQU 0x4000a140 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_SIZE +CYDEV_CAN0_RX5_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_CMD +CYDEV_CAN0_RX5_CMD EQU 0x4000a140 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_ID +CYDEV_CAN0_RX5_ID EQU 0x4000a144 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_DH +CYDEV_CAN0_RX5_DH EQU 0x4000a148 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_DL +CYDEV_CAN0_RX5_DL EQU 0x4000a14c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_AMR +CYDEV_CAN0_RX5_AMR EQU 0x4000a150 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_ACR +CYDEV_CAN0_RX5_ACR EQU 0x4000a154 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_AMRD +CYDEV_CAN0_RX5_AMRD EQU 0x4000a158 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_ACRD +CYDEV_CAN0_RX5_ACRD EQU 0x4000a15c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_BASE +CYDEV_CAN0_RX6_BASE EQU 0x4000a160 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_SIZE +CYDEV_CAN0_RX6_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_CMD +CYDEV_CAN0_RX6_CMD EQU 0x4000a160 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_ID +CYDEV_CAN0_RX6_ID EQU 0x4000a164 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_DH +CYDEV_CAN0_RX6_DH EQU 0x4000a168 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_DL +CYDEV_CAN0_RX6_DL EQU 0x4000a16c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_AMR +CYDEV_CAN0_RX6_AMR EQU 0x4000a170 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_ACR +CYDEV_CAN0_RX6_ACR EQU 0x4000a174 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_AMRD +CYDEV_CAN0_RX6_AMRD EQU 0x4000a178 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_ACRD +CYDEV_CAN0_RX6_ACRD EQU 0x4000a17c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_BASE +CYDEV_CAN0_RX7_BASE EQU 0x4000a180 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_SIZE +CYDEV_CAN0_RX7_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_CMD +CYDEV_CAN0_RX7_CMD EQU 0x4000a180 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_ID +CYDEV_CAN0_RX7_ID EQU 0x4000a184 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_DH +CYDEV_CAN0_RX7_DH EQU 0x4000a188 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_DL +CYDEV_CAN0_RX7_DL EQU 0x4000a18c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_AMR +CYDEV_CAN0_RX7_AMR EQU 0x4000a190 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_ACR +CYDEV_CAN0_RX7_ACR EQU 0x4000a194 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_AMRD +CYDEV_CAN0_RX7_AMRD EQU 0x4000a198 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_ACRD +CYDEV_CAN0_RX7_ACRD EQU 0x4000a19c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_BASE +CYDEV_CAN0_RX8_BASE EQU 0x4000a1a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_SIZE +CYDEV_CAN0_RX8_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_CMD +CYDEV_CAN0_RX8_CMD EQU 0x4000a1a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_ID +CYDEV_CAN0_RX8_ID EQU 0x4000a1a4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_DH +CYDEV_CAN0_RX8_DH EQU 0x4000a1a8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_DL +CYDEV_CAN0_RX8_DL EQU 0x4000a1ac + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_AMR +CYDEV_CAN0_RX8_AMR EQU 0x4000a1b0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_ACR +CYDEV_CAN0_RX8_ACR EQU 0x4000a1b4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_AMRD +CYDEV_CAN0_RX8_AMRD EQU 0x4000a1b8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_ACRD +CYDEV_CAN0_RX8_ACRD EQU 0x4000a1bc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_BASE +CYDEV_CAN0_RX9_BASE EQU 0x4000a1c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_SIZE +CYDEV_CAN0_RX9_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_CMD +CYDEV_CAN0_RX9_CMD EQU 0x4000a1c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_ID +CYDEV_CAN0_RX9_ID EQU 0x4000a1c4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_DH +CYDEV_CAN0_RX9_DH EQU 0x4000a1c8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_DL +CYDEV_CAN0_RX9_DL EQU 0x4000a1cc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_AMR +CYDEV_CAN0_RX9_AMR EQU 0x4000a1d0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_ACR +CYDEV_CAN0_RX9_ACR EQU 0x4000a1d4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_AMRD +CYDEV_CAN0_RX9_AMRD EQU 0x4000a1d8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_ACRD +CYDEV_CAN0_RX9_ACRD EQU 0x4000a1dc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_BASE +CYDEV_CAN0_RX10_BASE EQU 0x4000a1e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_SIZE +CYDEV_CAN0_RX10_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_CMD +CYDEV_CAN0_RX10_CMD EQU 0x4000a1e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_ID +CYDEV_CAN0_RX10_ID EQU 0x4000a1e4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_DH +CYDEV_CAN0_RX10_DH EQU 0x4000a1e8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_DL +CYDEV_CAN0_RX10_DL EQU 0x4000a1ec + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_AMR +CYDEV_CAN0_RX10_AMR EQU 0x4000a1f0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_ACR +CYDEV_CAN0_RX10_ACR EQU 0x4000a1f4 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_AMRD +CYDEV_CAN0_RX10_AMRD EQU 0x4000a1f8 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_ACRD +CYDEV_CAN0_RX10_ACRD EQU 0x4000a1fc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_BASE +CYDEV_CAN0_RX11_BASE EQU 0x4000a200 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_SIZE +CYDEV_CAN0_RX11_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_CMD +CYDEV_CAN0_RX11_CMD EQU 0x4000a200 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_ID +CYDEV_CAN0_RX11_ID EQU 0x4000a204 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_DH +CYDEV_CAN0_RX11_DH EQU 0x4000a208 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_DL +CYDEV_CAN0_RX11_DL EQU 0x4000a20c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_AMR +CYDEV_CAN0_RX11_AMR EQU 0x4000a210 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_ACR +CYDEV_CAN0_RX11_ACR EQU 0x4000a214 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_AMRD +CYDEV_CAN0_RX11_AMRD EQU 0x4000a218 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_ACRD +CYDEV_CAN0_RX11_ACRD EQU 0x4000a21c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_BASE +CYDEV_CAN0_RX12_BASE EQU 0x4000a220 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_SIZE +CYDEV_CAN0_RX12_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_CMD +CYDEV_CAN0_RX12_CMD EQU 0x4000a220 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_ID +CYDEV_CAN0_RX12_ID EQU 0x4000a224 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_DH +CYDEV_CAN0_RX12_DH EQU 0x4000a228 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_DL +CYDEV_CAN0_RX12_DL EQU 0x4000a22c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_AMR +CYDEV_CAN0_RX12_AMR EQU 0x4000a230 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_ACR +CYDEV_CAN0_RX12_ACR EQU 0x4000a234 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_AMRD +CYDEV_CAN0_RX12_AMRD EQU 0x4000a238 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_ACRD +CYDEV_CAN0_RX12_ACRD EQU 0x4000a23c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_BASE +CYDEV_CAN0_RX13_BASE EQU 0x4000a240 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_SIZE +CYDEV_CAN0_RX13_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_CMD +CYDEV_CAN0_RX13_CMD EQU 0x4000a240 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_ID +CYDEV_CAN0_RX13_ID EQU 0x4000a244 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_DH +CYDEV_CAN0_RX13_DH EQU 0x4000a248 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_DL +CYDEV_CAN0_RX13_DL EQU 0x4000a24c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_AMR +CYDEV_CAN0_RX13_AMR EQU 0x4000a250 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_ACR +CYDEV_CAN0_RX13_ACR EQU 0x4000a254 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_AMRD +CYDEV_CAN0_RX13_AMRD EQU 0x4000a258 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_ACRD +CYDEV_CAN0_RX13_ACRD EQU 0x4000a25c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_BASE +CYDEV_CAN0_RX14_BASE EQU 0x4000a260 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_SIZE +CYDEV_CAN0_RX14_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_CMD +CYDEV_CAN0_RX14_CMD EQU 0x4000a260 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_ID +CYDEV_CAN0_RX14_ID EQU 0x4000a264 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_DH +CYDEV_CAN0_RX14_DH EQU 0x4000a268 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_DL +CYDEV_CAN0_RX14_DL EQU 0x4000a26c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_AMR +CYDEV_CAN0_RX14_AMR EQU 0x4000a270 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_ACR +CYDEV_CAN0_RX14_ACR EQU 0x4000a274 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_AMRD +CYDEV_CAN0_RX14_AMRD EQU 0x4000a278 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_ACRD +CYDEV_CAN0_RX14_ACRD EQU 0x4000a27c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_BASE +CYDEV_CAN0_RX15_BASE EQU 0x4000a280 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_SIZE +CYDEV_CAN0_RX15_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_CMD +CYDEV_CAN0_RX15_CMD EQU 0x4000a280 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_ID +CYDEV_CAN0_RX15_ID EQU 0x4000a284 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_DH +CYDEV_CAN0_RX15_DH EQU 0x4000a288 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_DL +CYDEV_CAN0_RX15_DL EQU 0x4000a28c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_AMR +CYDEV_CAN0_RX15_AMR EQU 0x4000a290 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_ACR +CYDEV_CAN0_RX15_ACR EQU 0x4000a294 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_AMRD +CYDEV_CAN0_RX15_AMRD EQU 0x4000a298 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_ACRD +CYDEV_CAN0_RX15_ACRD EQU 0x4000a29c + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_BASE +CYDEV_DFB0_BASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_SIZE +CYDEV_DFB0_SIZE EQU 0x000007b5 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_BASE +CYDEV_DFB0_DPA_SRAM_BASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_SIZE +CYDEV_DFB0_DPA_SRAM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_DATA_MBASE +CYDEV_DFB0_DPA_SRAM_DATA_MBASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_DATA_MSIZE +CYDEV_DFB0_DPA_SRAM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_BASE +CYDEV_DFB0_DPB_SRAM_BASE EQU 0x4000c200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_SIZE +CYDEV_DFB0_DPB_SRAM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_DATA_MBASE +CYDEV_DFB0_DPB_SRAM_DATA_MBASE EQU 0x4000c200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_DATA_MSIZE +CYDEV_DFB0_DPB_SRAM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_BASE +CYDEV_DFB0_CSA_SRAM_BASE EQU 0x4000c400 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_SIZE +CYDEV_DFB0_CSA_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_DATA_MBASE +CYDEV_DFB0_CSA_SRAM_DATA_MBASE EQU 0x4000c400 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_DATA_MSIZE +CYDEV_DFB0_CSA_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_BASE +CYDEV_DFB0_CSB_SRAM_BASE EQU 0x4000c500 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_SIZE +CYDEV_DFB0_CSB_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_DATA_MBASE +CYDEV_DFB0_CSB_SRAM_DATA_MBASE EQU 0x4000c500 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_DATA_MSIZE +CYDEV_DFB0_CSB_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_BASE +CYDEV_DFB0_FSM_SRAM_BASE EQU 0x4000c600 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_SIZE +CYDEV_DFB0_FSM_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_DATA_MBASE +CYDEV_DFB0_FSM_SRAM_DATA_MBASE EQU 0x4000c600 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_DATA_MSIZE +CYDEV_DFB0_FSM_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_BASE +CYDEV_DFB0_ACU_SRAM_BASE EQU 0x4000c700 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_SIZE +CYDEV_DFB0_ACU_SRAM_SIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_DATA_MBASE +CYDEV_DFB0_ACU_SRAM_DATA_MBASE EQU 0x4000c700 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_DATA_MSIZE +CYDEV_DFB0_ACU_SRAM_DATA_MSIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CR +CYDEV_DFB0_CR EQU 0x4000c780 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_SR +CYDEV_DFB0_SR EQU 0x4000c784 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_RAM_EN +CYDEV_DFB0_RAM_EN EQU 0x4000c788 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_RAM_DIR +CYDEV_DFB0_RAM_DIR EQU 0x4000c78c + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_SEMA +CYDEV_DFB0_SEMA EQU 0x4000c790 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DSI_CTRL +CYDEV_DFB0_DSI_CTRL EQU 0x4000c794 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_INT_CTRL +CYDEV_DFB0_INT_CTRL EQU 0x4000c798 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DMA_CTRL +CYDEV_DFB0_DMA_CTRL EQU 0x4000c79c + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEA +CYDEV_DFB0_STAGEA EQU 0x4000c7a0 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEAM +CYDEV_DFB0_STAGEAM EQU 0x4000c7a1 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEAH +CYDEV_DFB0_STAGEAH EQU 0x4000c7a2 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEB +CYDEV_DFB0_STAGEB EQU 0x4000c7a4 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEBM +CYDEV_DFB0_STAGEBM EQU 0x4000c7a5 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_STAGEBH +CYDEV_DFB0_STAGEBH EQU 0x4000c7a6 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDA +CYDEV_DFB0_HOLDA EQU 0x4000c7a8 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDAM +CYDEV_DFB0_HOLDAM EQU 0x4000c7a9 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDAH +CYDEV_DFB0_HOLDAH EQU 0x4000c7aa + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDAS +CYDEV_DFB0_HOLDAS EQU 0x4000c7ab + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDB +CYDEV_DFB0_HOLDB EQU 0x4000c7ac + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDBM +CYDEV_DFB0_HOLDBM EQU 0x4000c7ad + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDBH +CYDEV_DFB0_HOLDBH EQU 0x4000c7ae + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_HOLDBS +CYDEV_DFB0_HOLDBS EQU 0x4000c7af + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_COHER +CYDEV_DFB0_COHER EQU 0x4000c7b0 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DALIGN +CYDEV_DFB0_DALIGN EQU 0x4000c7b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BASE +CYDEV_UCFG_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_SIZE +CYDEV_UCFG_SIZE EQU 0x00005040 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_BASE +CYDEV_UCFG_B0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_SIZE +CYDEV_UCFG_B0_SIZE EQU 0x00000fef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_BASE +CYDEV_UCFG_B0_P0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_SIZE +CYDEV_UCFG_B0_P0_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_BASE +CYDEV_UCFG_B0_P0_U0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_SIZE +CYDEV_UCFG_B0_P0_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT0 +CYDEV_UCFG_B0_P0_U0_PLD_IT0 EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT1 +CYDEV_UCFG_B0_P0_U0_PLD_IT1 EQU 0x40010004 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT2 +CYDEV_UCFG_B0_P0_U0_PLD_IT2 EQU 0x40010008 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT3 +CYDEV_UCFG_B0_P0_U0_PLD_IT3 EQU 0x4001000c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT4 +CYDEV_UCFG_B0_P0_U0_PLD_IT4 EQU 0x40010010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT5 +CYDEV_UCFG_B0_P0_U0_PLD_IT5 EQU 0x40010014 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT6 +CYDEV_UCFG_B0_P0_U0_PLD_IT6 EQU 0x40010018 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT7 +CYDEV_UCFG_B0_P0_U0_PLD_IT7 EQU 0x4001001c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT8 +CYDEV_UCFG_B0_P0_U0_PLD_IT8 EQU 0x40010020 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT9 +CYDEV_UCFG_B0_P0_U0_PLD_IT9 EQU 0x40010024 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT10 +CYDEV_UCFG_B0_P0_U0_PLD_IT10 EQU 0x40010028 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_IT11 +CYDEV_UCFG_B0_P0_U0_PLD_IT11 EQU 0x4001002c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_ORT0 +CYDEV_UCFG_B0_P0_U0_PLD_ORT0 EQU 0x40010030 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_ORT1 +CYDEV_UCFG_B0_P0_U0_PLD_ORT1 EQU 0x40010032 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_ORT2 +CYDEV_UCFG_B0_P0_U0_PLD_ORT2 EQU 0x40010034 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_PLD_ORT3 +CYDEV_UCFG_B0_P0_U0_PLD_ORT3 EQU 0x40010036 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P0_U0_MC_CFG_CEN_CONST EQU 0x40010038 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P0_U0_MC_CFG_XORFB EQU 0x4001003a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P0_U0_MC_CFG_SET_RESET EQU 0x4001003c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P0_U0_MC_CFG_BYPASS EQU 0x4001003e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG0 +CYDEV_UCFG_B0_P0_U0_CFG0 EQU 0x40010040 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG1 +CYDEV_UCFG_B0_P0_U0_CFG1 EQU 0x40010041 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG2 +CYDEV_UCFG_B0_P0_U0_CFG2 EQU 0x40010042 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG3 +CYDEV_UCFG_B0_P0_U0_CFG3 EQU 0x40010043 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG4 +CYDEV_UCFG_B0_P0_U0_CFG4 EQU 0x40010044 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG5 +CYDEV_UCFG_B0_P0_U0_CFG5 EQU 0x40010045 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG6 +CYDEV_UCFG_B0_P0_U0_CFG6 EQU 0x40010046 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG7 +CYDEV_UCFG_B0_P0_U0_CFG7 EQU 0x40010047 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG8 +CYDEV_UCFG_B0_P0_U0_CFG8 EQU 0x40010048 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG9 +CYDEV_UCFG_B0_P0_U0_CFG9 EQU 0x40010049 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG10 +CYDEV_UCFG_B0_P0_U0_CFG10 EQU 0x4001004a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG11 +CYDEV_UCFG_B0_P0_U0_CFG11 EQU 0x4001004b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG12 +CYDEV_UCFG_B0_P0_U0_CFG12 EQU 0x4001004c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG13 +CYDEV_UCFG_B0_P0_U0_CFG13 EQU 0x4001004d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG14 +CYDEV_UCFG_B0_P0_U0_CFG14 EQU 0x4001004e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG15 +CYDEV_UCFG_B0_P0_U0_CFG15 EQU 0x4001004f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG16 +CYDEV_UCFG_B0_P0_U0_CFG16 EQU 0x40010050 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG17 +CYDEV_UCFG_B0_P0_U0_CFG17 EQU 0x40010051 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG18 +CYDEV_UCFG_B0_P0_U0_CFG18 EQU 0x40010052 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG19 +CYDEV_UCFG_B0_P0_U0_CFG19 EQU 0x40010053 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG20 +CYDEV_UCFG_B0_P0_U0_CFG20 EQU 0x40010054 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG21 +CYDEV_UCFG_B0_P0_U0_CFG21 EQU 0x40010055 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG22 +CYDEV_UCFG_B0_P0_U0_CFG22 EQU 0x40010056 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG23 +CYDEV_UCFG_B0_P0_U0_CFG23 EQU 0x40010057 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG24 +CYDEV_UCFG_B0_P0_U0_CFG24 EQU 0x40010058 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG25 +CYDEV_UCFG_B0_P0_U0_CFG25 EQU 0x40010059 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG26 +CYDEV_UCFG_B0_P0_U0_CFG26 EQU 0x4001005a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG27 +CYDEV_UCFG_B0_P0_U0_CFG27 EQU 0x4001005b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG28 +CYDEV_UCFG_B0_P0_U0_CFG28 EQU 0x4001005c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG29 +CYDEV_UCFG_B0_P0_U0_CFG29 EQU 0x4001005d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG30 +CYDEV_UCFG_B0_P0_U0_CFG30 EQU 0x4001005e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_CFG31 +CYDEV_UCFG_B0_P0_U0_CFG31 EQU 0x4001005f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG0 +CYDEV_UCFG_B0_P0_U0_DCFG0 EQU 0x40010060 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG1 +CYDEV_UCFG_B0_P0_U0_DCFG1 EQU 0x40010062 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG2 +CYDEV_UCFG_B0_P0_U0_DCFG2 EQU 0x40010064 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG3 +CYDEV_UCFG_B0_P0_U0_DCFG3 EQU 0x40010066 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG4 +CYDEV_UCFG_B0_P0_U0_DCFG4 EQU 0x40010068 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG5 +CYDEV_UCFG_B0_P0_U0_DCFG5 EQU 0x4001006a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG6 +CYDEV_UCFG_B0_P0_U0_DCFG6 EQU 0x4001006c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_DCFG7 +CYDEV_UCFG_B0_P0_U0_DCFG7 EQU 0x4001006e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_BASE +CYDEV_UCFG_B0_P0_U1_BASE EQU 0x40010080 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_SIZE +CYDEV_UCFG_B0_P0_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT0 +CYDEV_UCFG_B0_P0_U1_PLD_IT0 EQU 0x40010080 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT1 +CYDEV_UCFG_B0_P0_U1_PLD_IT1 EQU 0x40010084 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT2 +CYDEV_UCFG_B0_P0_U1_PLD_IT2 EQU 0x40010088 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT3 +CYDEV_UCFG_B0_P0_U1_PLD_IT3 EQU 0x4001008c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT4 +CYDEV_UCFG_B0_P0_U1_PLD_IT4 EQU 0x40010090 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT5 +CYDEV_UCFG_B0_P0_U1_PLD_IT5 EQU 0x40010094 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT6 +CYDEV_UCFG_B0_P0_U1_PLD_IT6 EQU 0x40010098 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT7 +CYDEV_UCFG_B0_P0_U1_PLD_IT7 EQU 0x4001009c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT8 +CYDEV_UCFG_B0_P0_U1_PLD_IT8 EQU 0x400100a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT9 +CYDEV_UCFG_B0_P0_U1_PLD_IT9 EQU 0x400100a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT10 +CYDEV_UCFG_B0_P0_U1_PLD_IT10 EQU 0x400100a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_IT11 +CYDEV_UCFG_B0_P0_U1_PLD_IT11 EQU 0x400100ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_ORT0 +CYDEV_UCFG_B0_P0_U1_PLD_ORT0 EQU 0x400100b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_ORT1 +CYDEV_UCFG_B0_P0_U1_PLD_ORT1 EQU 0x400100b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_ORT2 +CYDEV_UCFG_B0_P0_U1_PLD_ORT2 EQU 0x400100b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_PLD_ORT3 +CYDEV_UCFG_B0_P0_U1_PLD_ORT3 EQU 0x400100b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P0_U1_MC_CFG_CEN_CONST EQU 0x400100b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P0_U1_MC_CFG_XORFB EQU 0x400100ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P0_U1_MC_CFG_SET_RESET EQU 0x400100bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P0_U1_MC_CFG_BYPASS EQU 0x400100be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG0 +CYDEV_UCFG_B0_P0_U1_CFG0 EQU 0x400100c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG1 +CYDEV_UCFG_B0_P0_U1_CFG1 EQU 0x400100c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG2 +CYDEV_UCFG_B0_P0_U1_CFG2 EQU 0x400100c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG3 +CYDEV_UCFG_B0_P0_U1_CFG3 EQU 0x400100c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG4 +CYDEV_UCFG_B0_P0_U1_CFG4 EQU 0x400100c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG5 +CYDEV_UCFG_B0_P0_U1_CFG5 EQU 0x400100c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG6 +CYDEV_UCFG_B0_P0_U1_CFG6 EQU 0x400100c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG7 +CYDEV_UCFG_B0_P0_U1_CFG7 EQU 0x400100c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG8 +CYDEV_UCFG_B0_P0_U1_CFG8 EQU 0x400100c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG9 +CYDEV_UCFG_B0_P0_U1_CFG9 EQU 0x400100c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG10 +CYDEV_UCFG_B0_P0_U1_CFG10 EQU 0x400100ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG11 +CYDEV_UCFG_B0_P0_U1_CFG11 EQU 0x400100cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG12 +CYDEV_UCFG_B0_P0_U1_CFG12 EQU 0x400100cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG13 +CYDEV_UCFG_B0_P0_U1_CFG13 EQU 0x400100cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG14 +CYDEV_UCFG_B0_P0_U1_CFG14 EQU 0x400100ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG15 +CYDEV_UCFG_B0_P0_U1_CFG15 EQU 0x400100cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG16 +CYDEV_UCFG_B0_P0_U1_CFG16 EQU 0x400100d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG17 +CYDEV_UCFG_B0_P0_U1_CFG17 EQU 0x400100d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG18 +CYDEV_UCFG_B0_P0_U1_CFG18 EQU 0x400100d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG19 +CYDEV_UCFG_B0_P0_U1_CFG19 EQU 0x400100d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG20 +CYDEV_UCFG_B0_P0_U1_CFG20 EQU 0x400100d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG21 +CYDEV_UCFG_B0_P0_U1_CFG21 EQU 0x400100d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG22 +CYDEV_UCFG_B0_P0_U1_CFG22 EQU 0x400100d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG23 +CYDEV_UCFG_B0_P0_U1_CFG23 EQU 0x400100d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG24 +CYDEV_UCFG_B0_P0_U1_CFG24 EQU 0x400100d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG25 +CYDEV_UCFG_B0_P0_U1_CFG25 EQU 0x400100d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG26 +CYDEV_UCFG_B0_P0_U1_CFG26 EQU 0x400100da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG27 +CYDEV_UCFG_B0_P0_U1_CFG27 EQU 0x400100db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG28 +CYDEV_UCFG_B0_P0_U1_CFG28 EQU 0x400100dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG29 +CYDEV_UCFG_B0_P0_U1_CFG29 EQU 0x400100dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG30 +CYDEV_UCFG_B0_P0_U1_CFG30 EQU 0x400100de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_CFG31 +CYDEV_UCFG_B0_P0_U1_CFG31 EQU 0x400100df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG0 +CYDEV_UCFG_B0_P0_U1_DCFG0 EQU 0x400100e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG1 +CYDEV_UCFG_B0_P0_U1_DCFG1 EQU 0x400100e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG2 +CYDEV_UCFG_B0_P0_U1_DCFG2 EQU 0x400100e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG3 +CYDEV_UCFG_B0_P0_U1_DCFG3 EQU 0x400100e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG4 +CYDEV_UCFG_B0_P0_U1_DCFG4 EQU 0x400100e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG5 +CYDEV_UCFG_B0_P0_U1_DCFG5 EQU 0x400100ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG6 +CYDEV_UCFG_B0_P0_U1_DCFG6 EQU 0x400100ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_DCFG7 +CYDEV_UCFG_B0_P0_U1_DCFG7 EQU 0x400100ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_ROUTE_BASE +CYDEV_UCFG_B0_P0_ROUTE_BASE EQU 0x40010100 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_ROUTE_SIZE +CYDEV_UCFG_B0_P0_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_BASE +CYDEV_UCFG_B0_P1_BASE EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_SIZE +CYDEV_UCFG_B0_P1_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_BASE +CYDEV_UCFG_B0_P1_U0_BASE EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_SIZE +CYDEV_UCFG_B0_P1_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT0 +CYDEV_UCFG_B0_P1_U0_PLD_IT0 EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT1 +CYDEV_UCFG_B0_P1_U0_PLD_IT1 EQU 0x40010204 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT2 +CYDEV_UCFG_B0_P1_U0_PLD_IT2 EQU 0x40010208 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT3 +CYDEV_UCFG_B0_P1_U0_PLD_IT3 EQU 0x4001020c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT4 +CYDEV_UCFG_B0_P1_U0_PLD_IT4 EQU 0x40010210 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT5 +CYDEV_UCFG_B0_P1_U0_PLD_IT5 EQU 0x40010214 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT6 +CYDEV_UCFG_B0_P1_U0_PLD_IT6 EQU 0x40010218 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT7 +CYDEV_UCFG_B0_P1_U0_PLD_IT7 EQU 0x4001021c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT8 +CYDEV_UCFG_B0_P1_U0_PLD_IT8 EQU 0x40010220 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT9 +CYDEV_UCFG_B0_P1_U0_PLD_IT9 EQU 0x40010224 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT10 +CYDEV_UCFG_B0_P1_U0_PLD_IT10 EQU 0x40010228 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_IT11 +CYDEV_UCFG_B0_P1_U0_PLD_IT11 EQU 0x4001022c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_ORT0 +CYDEV_UCFG_B0_P1_U0_PLD_ORT0 EQU 0x40010230 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_ORT1 +CYDEV_UCFG_B0_P1_U0_PLD_ORT1 EQU 0x40010232 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_ORT2 +CYDEV_UCFG_B0_P1_U0_PLD_ORT2 EQU 0x40010234 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_PLD_ORT3 +CYDEV_UCFG_B0_P1_U0_PLD_ORT3 EQU 0x40010236 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P1_U0_MC_CFG_CEN_CONST EQU 0x40010238 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P1_U0_MC_CFG_XORFB EQU 0x4001023a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P1_U0_MC_CFG_SET_RESET EQU 0x4001023c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P1_U0_MC_CFG_BYPASS EQU 0x4001023e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG0 +CYDEV_UCFG_B0_P1_U0_CFG0 EQU 0x40010240 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG1 +CYDEV_UCFG_B0_P1_U0_CFG1 EQU 0x40010241 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG2 +CYDEV_UCFG_B0_P1_U0_CFG2 EQU 0x40010242 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG3 +CYDEV_UCFG_B0_P1_U0_CFG3 EQU 0x40010243 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG4 +CYDEV_UCFG_B0_P1_U0_CFG4 EQU 0x40010244 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG5 +CYDEV_UCFG_B0_P1_U0_CFG5 EQU 0x40010245 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG6 +CYDEV_UCFG_B0_P1_U0_CFG6 EQU 0x40010246 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG7 +CYDEV_UCFG_B0_P1_U0_CFG7 EQU 0x40010247 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG8 +CYDEV_UCFG_B0_P1_U0_CFG8 EQU 0x40010248 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG9 +CYDEV_UCFG_B0_P1_U0_CFG9 EQU 0x40010249 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG10 +CYDEV_UCFG_B0_P1_U0_CFG10 EQU 0x4001024a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG11 +CYDEV_UCFG_B0_P1_U0_CFG11 EQU 0x4001024b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG12 +CYDEV_UCFG_B0_P1_U0_CFG12 EQU 0x4001024c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG13 +CYDEV_UCFG_B0_P1_U0_CFG13 EQU 0x4001024d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG14 +CYDEV_UCFG_B0_P1_U0_CFG14 EQU 0x4001024e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG15 +CYDEV_UCFG_B0_P1_U0_CFG15 EQU 0x4001024f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG16 +CYDEV_UCFG_B0_P1_U0_CFG16 EQU 0x40010250 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG17 +CYDEV_UCFG_B0_P1_U0_CFG17 EQU 0x40010251 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG18 +CYDEV_UCFG_B0_P1_U0_CFG18 EQU 0x40010252 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG19 +CYDEV_UCFG_B0_P1_U0_CFG19 EQU 0x40010253 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG20 +CYDEV_UCFG_B0_P1_U0_CFG20 EQU 0x40010254 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG21 +CYDEV_UCFG_B0_P1_U0_CFG21 EQU 0x40010255 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG22 +CYDEV_UCFG_B0_P1_U0_CFG22 EQU 0x40010256 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG23 +CYDEV_UCFG_B0_P1_U0_CFG23 EQU 0x40010257 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG24 +CYDEV_UCFG_B0_P1_U0_CFG24 EQU 0x40010258 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG25 +CYDEV_UCFG_B0_P1_U0_CFG25 EQU 0x40010259 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG26 +CYDEV_UCFG_B0_P1_U0_CFG26 EQU 0x4001025a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG27 +CYDEV_UCFG_B0_P1_U0_CFG27 EQU 0x4001025b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG28 +CYDEV_UCFG_B0_P1_U0_CFG28 EQU 0x4001025c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG29 +CYDEV_UCFG_B0_P1_U0_CFG29 EQU 0x4001025d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG30 +CYDEV_UCFG_B0_P1_U0_CFG30 EQU 0x4001025e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_CFG31 +CYDEV_UCFG_B0_P1_U0_CFG31 EQU 0x4001025f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG0 +CYDEV_UCFG_B0_P1_U0_DCFG0 EQU 0x40010260 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG1 +CYDEV_UCFG_B0_P1_U0_DCFG1 EQU 0x40010262 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG2 +CYDEV_UCFG_B0_P1_U0_DCFG2 EQU 0x40010264 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG3 +CYDEV_UCFG_B0_P1_U0_DCFG3 EQU 0x40010266 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG4 +CYDEV_UCFG_B0_P1_U0_DCFG4 EQU 0x40010268 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG5 +CYDEV_UCFG_B0_P1_U0_DCFG5 EQU 0x4001026a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG6 +CYDEV_UCFG_B0_P1_U0_DCFG6 EQU 0x4001026c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_DCFG7 +CYDEV_UCFG_B0_P1_U0_DCFG7 EQU 0x4001026e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_BASE +CYDEV_UCFG_B0_P1_U1_BASE EQU 0x40010280 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_SIZE +CYDEV_UCFG_B0_P1_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT0 +CYDEV_UCFG_B0_P1_U1_PLD_IT0 EQU 0x40010280 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT1 +CYDEV_UCFG_B0_P1_U1_PLD_IT1 EQU 0x40010284 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT2 +CYDEV_UCFG_B0_P1_U1_PLD_IT2 EQU 0x40010288 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT3 +CYDEV_UCFG_B0_P1_U1_PLD_IT3 EQU 0x4001028c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT4 +CYDEV_UCFG_B0_P1_U1_PLD_IT4 EQU 0x40010290 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT5 +CYDEV_UCFG_B0_P1_U1_PLD_IT5 EQU 0x40010294 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT6 +CYDEV_UCFG_B0_P1_U1_PLD_IT6 EQU 0x40010298 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT7 +CYDEV_UCFG_B0_P1_U1_PLD_IT7 EQU 0x4001029c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT8 +CYDEV_UCFG_B0_P1_U1_PLD_IT8 EQU 0x400102a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT9 +CYDEV_UCFG_B0_P1_U1_PLD_IT9 EQU 0x400102a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT10 +CYDEV_UCFG_B0_P1_U1_PLD_IT10 EQU 0x400102a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_IT11 +CYDEV_UCFG_B0_P1_U1_PLD_IT11 EQU 0x400102ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_ORT0 +CYDEV_UCFG_B0_P1_U1_PLD_ORT0 EQU 0x400102b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_ORT1 +CYDEV_UCFG_B0_P1_U1_PLD_ORT1 EQU 0x400102b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_ORT2 +CYDEV_UCFG_B0_P1_U1_PLD_ORT2 EQU 0x400102b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_PLD_ORT3 +CYDEV_UCFG_B0_P1_U1_PLD_ORT3 EQU 0x400102b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P1_U1_MC_CFG_CEN_CONST EQU 0x400102b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P1_U1_MC_CFG_XORFB EQU 0x400102ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P1_U1_MC_CFG_SET_RESET EQU 0x400102bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P1_U1_MC_CFG_BYPASS EQU 0x400102be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG0 +CYDEV_UCFG_B0_P1_U1_CFG0 EQU 0x400102c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG1 +CYDEV_UCFG_B0_P1_U1_CFG1 EQU 0x400102c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG2 +CYDEV_UCFG_B0_P1_U1_CFG2 EQU 0x400102c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG3 +CYDEV_UCFG_B0_P1_U1_CFG3 EQU 0x400102c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG4 +CYDEV_UCFG_B0_P1_U1_CFG4 EQU 0x400102c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG5 +CYDEV_UCFG_B0_P1_U1_CFG5 EQU 0x400102c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG6 +CYDEV_UCFG_B0_P1_U1_CFG6 EQU 0x400102c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG7 +CYDEV_UCFG_B0_P1_U1_CFG7 EQU 0x400102c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG8 +CYDEV_UCFG_B0_P1_U1_CFG8 EQU 0x400102c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG9 +CYDEV_UCFG_B0_P1_U1_CFG9 EQU 0x400102c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG10 +CYDEV_UCFG_B0_P1_U1_CFG10 EQU 0x400102ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG11 +CYDEV_UCFG_B0_P1_U1_CFG11 EQU 0x400102cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG12 +CYDEV_UCFG_B0_P1_U1_CFG12 EQU 0x400102cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG13 +CYDEV_UCFG_B0_P1_U1_CFG13 EQU 0x400102cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG14 +CYDEV_UCFG_B0_P1_U1_CFG14 EQU 0x400102ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG15 +CYDEV_UCFG_B0_P1_U1_CFG15 EQU 0x400102cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG16 +CYDEV_UCFG_B0_P1_U1_CFG16 EQU 0x400102d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG17 +CYDEV_UCFG_B0_P1_U1_CFG17 EQU 0x400102d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG18 +CYDEV_UCFG_B0_P1_U1_CFG18 EQU 0x400102d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG19 +CYDEV_UCFG_B0_P1_U1_CFG19 EQU 0x400102d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG20 +CYDEV_UCFG_B0_P1_U1_CFG20 EQU 0x400102d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG21 +CYDEV_UCFG_B0_P1_U1_CFG21 EQU 0x400102d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG22 +CYDEV_UCFG_B0_P1_U1_CFG22 EQU 0x400102d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG23 +CYDEV_UCFG_B0_P1_U1_CFG23 EQU 0x400102d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG24 +CYDEV_UCFG_B0_P1_U1_CFG24 EQU 0x400102d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG25 +CYDEV_UCFG_B0_P1_U1_CFG25 EQU 0x400102d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG26 +CYDEV_UCFG_B0_P1_U1_CFG26 EQU 0x400102da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG27 +CYDEV_UCFG_B0_P1_U1_CFG27 EQU 0x400102db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG28 +CYDEV_UCFG_B0_P1_U1_CFG28 EQU 0x400102dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG29 +CYDEV_UCFG_B0_P1_U1_CFG29 EQU 0x400102dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG30 +CYDEV_UCFG_B0_P1_U1_CFG30 EQU 0x400102de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_CFG31 +CYDEV_UCFG_B0_P1_U1_CFG31 EQU 0x400102df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG0 +CYDEV_UCFG_B0_P1_U1_DCFG0 EQU 0x400102e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG1 +CYDEV_UCFG_B0_P1_U1_DCFG1 EQU 0x400102e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG2 +CYDEV_UCFG_B0_P1_U1_DCFG2 EQU 0x400102e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG3 +CYDEV_UCFG_B0_P1_U1_DCFG3 EQU 0x400102e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG4 +CYDEV_UCFG_B0_P1_U1_DCFG4 EQU 0x400102e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG5 +CYDEV_UCFG_B0_P1_U1_DCFG5 EQU 0x400102ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG6 +CYDEV_UCFG_B0_P1_U1_DCFG6 EQU 0x400102ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_DCFG7 +CYDEV_UCFG_B0_P1_U1_DCFG7 EQU 0x400102ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_ROUTE_BASE +CYDEV_UCFG_B0_P1_ROUTE_BASE EQU 0x40010300 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_ROUTE_SIZE +CYDEV_UCFG_B0_P1_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_BASE +CYDEV_UCFG_B0_P2_BASE EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_SIZE +CYDEV_UCFG_B0_P2_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_BASE +CYDEV_UCFG_B0_P2_U0_BASE EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_SIZE +CYDEV_UCFG_B0_P2_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT0 +CYDEV_UCFG_B0_P2_U0_PLD_IT0 EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT1 +CYDEV_UCFG_B0_P2_U0_PLD_IT1 EQU 0x40010404 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT2 +CYDEV_UCFG_B0_P2_U0_PLD_IT2 EQU 0x40010408 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT3 +CYDEV_UCFG_B0_P2_U0_PLD_IT3 EQU 0x4001040c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT4 +CYDEV_UCFG_B0_P2_U0_PLD_IT4 EQU 0x40010410 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT5 +CYDEV_UCFG_B0_P2_U0_PLD_IT5 EQU 0x40010414 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT6 +CYDEV_UCFG_B0_P2_U0_PLD_IT6 EQU 0x40010418 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT7 +CYDEV_UCFG_B0_P2_U0_PLD_IT7 EQU 0x4001041c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT8 +CYDEV_UCFG_B0_P2_U0_PLD_IT8 EQU 0x40010420 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT9 +CYDEV_UCFG_B0_P2_U0_PLD_IT9 EQU 0x40010424 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT10 +CYDEV_UCFG_B0_P2_U0_PLD_IT10 EQU 0x40010428 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_IT11 +CYDEV_UCFG_B0_P2_U0_PLD_IT11 EQU 0x4001042c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_ORT0 +CYDEV_UCFG_B0_P2_U0_PLD_ORT0 EQU 0x40010430 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_ORT1 +CYDEV_UCFG_B0_P2_U0_PLD_ORT1 EQU 0x40010432 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_ORT2 +CYDEV_UCFG_B0_P2_U0_PLD_ORT2 EQU 0x40010434 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_PLD_ORT3 +CYDEV_UCFG_B0_P2_U0_PLD_ORT3 EQU 0x40010436 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P2_U0_MC_CFG_CEN_CONST EQU 0x40010438 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P2_U0_MC_CFG_XORFB EQU 0x4001043a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P2_U0_MC_CFG_SET_RESET EQU 0x4001043c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P2_U0_MC_CFG_BYPASS EQU 0x4001043e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG0 +CYDEV_UCFG_B0_P2_U0_CFG0 EQU 0x40010440 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG1 +CYDEV_UCFG_B0_P2_U0_CFG1 EQU 0x40010441 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG2 +CYDEV_UCFG_B0_P2_U0_CFG2 EQU 0x40010442 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG3 +CYDEV_UCFG_B0_P2_U0_CFG3 EQU 0x40010443 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG4 +CYDEV_UCFG_B0_P2_U0_CFG4 EQU 0x40010444 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG5 +CYDEV_UCFG_B0_P2_U0_CFG5 EQU 0x40010445 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG6 +CYDEV_UCFG_B0_P2_U0_CFG6 EQU 0x40010446 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG7 +CYDEV_UCFG_B0_P2_U0_CFG7 EQU 0x40010447 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG8 +CYDEV_UCFG_B0_P2_U0_CFG8 EQU 0x40010448 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG9 +CYDEV_UCFG_B0_P2_U0_CFG9 EQU 0x40010449 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG10 +CYDEV_UCFG_B0_P2_U0_CFG10 EQU 0x4001044a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG11 +CYDEV_UCFG_B0_P2_U0_CFG11 EQU 0x4001044b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG12 +CYDEV_UCFG_B0_P2_U0_CFG12 EQU 0x4001044c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG13 +CYDEV_UCFG_B0_P2_U0_CFG13 EQU 0x4001044d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG14 +CYDEV_UCFG_B0_P2_U0_CFG14 EQU 0x4001044e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG15 +CYDEV_UCFG_B0_P2_U0_CFG15 EQU 0x4001044f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG16 +CYDEV_UCFG_B0_P2_U0_CFG16 EQU 0x40010450 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG17 +CYDEV_UCFG_B0_P2_U0_CFG17 EQU 0x40010451 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG18 +CYDEV_UCFG_B0_P2_U0_CFG18 EQU 0x40010452 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG19 +CYDEV_UCFG_B0_P2_U0_CFG19 EQU 0x40010453 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG20 +CYDEV_UCFG_B0_P2_U0_CFG20 EQU 0x40010454 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG21 +CYDEV_UCFG_B0_P2_U0_CFG21 EQU 0x40010455 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG22 +CYDEV_UCFG_B0_P2_U0_CFG22 EQU 0x40010456 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG23 +CYDEV_UCFG_B0_P2_U0_CFG23 EQU 0x40010457 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG24 +CYDEV_UCFG_B0_P2_U0_CFG24 EQU 0x40010458 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG25 +CYDEV_UCFG_B0_P2_U0_CFG25 EQU 0x40010459 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG26 +CYDEV_UCFG_B0_P2_U0_CFG26 EQU 0x4001045a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG27 +CYDEV_UCFG_B0_P2_U0_CFG27 EQU 0x4001045b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG28 +CYDEV_UCFG_B0_P2_U0_CFG28 EQU 0x4001045c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG29 +CYDEV_UCFG_B0_P2_U0_CFG29 EQU 0x4001045d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG30 +CYDEV_UCFG_B0_P2_U0_CFG30 EQU 0x4001045e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_CFG31 +CYDEV_UCFG_B0_P2_U0_CFG31 EQU 0x4001045f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG0 +CYDEV_UCFG_B0_P2_U0_DCFG0 EQU 0x40010460 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG1 +CYDEV_UCFG_B0_P2_U0_DCFG1 EQU 0x40010462 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG2 +CYDEV_UCFG_B0_P2_U0_DCFG2 EQU 0x40010464 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG3 +CYDEV_UCFG_B0_P2_U0_DCFG3 EQU 0x40010466 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG4 +CYDEV_UCFG_B0_P2_U0_DCFG4 EQU 0x40010468 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG5 +CYDEV_UCFG_B0_P2_U0_DCFG5 EQU 0x4001046a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG6 +CYDEV_UCFG_B0_P2_U0_DCFG6 EQU 0x4001046c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_DCFG7 +CYDEV_UCFG_B0_P2_U0_DCFG7 EQU 0x4001046e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_BASE +CYDEV_UCFG_B0_P2_U1_BASE EQU 0x40010480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_SIZE +CYDEV_UCFG_B0_P2_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT0 +CYDEV_UCFG_B0_P2_U1_PLD_IT0 EQU 0x40010480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT1 +CYDEV_UCFG_B0_P2_U1_PLD_IT1 EQU 0x40010484 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT2 +CYDEV_UCFG_B0_P2_U1_PLD_IT2 EQU 0x40010488 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT3 +CYDEV_UCFG_B0_P2_U1_PLD_IT3 EQU 0x4001048c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT4 +CYDEV_UCFG_B0_P2_U1_PLD_IT4 EQU 0x40010490 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT5 +CYDEV_UCFG_B0_P2_U1_PLD_IT5 EQU 0x40010494 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT6 +CYDEV_UCFG_B0_P2_U1_PLD_IT6 EQU 0x40010498 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT7 +CYDEV_UCFG_B0_P2_U1_PLD_IT7 EQU 0x4001049c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT8 +CYDEV_UCFG_B0_P2_U1_PLD_IT8 EQU 0x400104a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT9 +CYDEV_UCFG_B0_P2_U1_PLD_IT9 EQU 0x400104a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT10 +CYDEV_UCFG_B0_P2_U1_PLD_IT10 EQU 0x400104a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_IT11 +CYDEV_UCFG_B0_P2_U1_PLD_IT11 EQU 0x400104ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_ORT0 +CYDEV_UCFG_B0_P2_U1_PLD_ORT0 EQU 0x400104b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_ORT1 +CYDEV_UCFG_B0_P2_U1_PLD_ORT1 EQU 0x400104b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_ORT2 +CYDEV_UCFG_B0_P2_U1_PLD_ORT2 EQU 0x400104b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_PLD_ORT3 +CYDEV_UCFG_B0_P2_U1_PLD_ORT3 EQU 0x400104b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P2_U1_MC_CFG_CEN_CONST EQU 0x400104b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P2_U1_MC_CFG_XORFB EQU 0x400104ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P2_U1_MC_CFG_SET_RESET EQU 0x400104bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P2_U1_MC_CFG_BYPASS EQU 0x400104be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG0 +CYDEV_UCFG_B0_P2_U1_CFG0 EQU 0x400104c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG1 +CYDEV_UCFG_B0_P2_U1_CFG1 EQU 0x400104c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG2 +CYDEV_UCFG_B0_P2_U1_CFG2 EQU 0x400104c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG3 +CYDEV_UCFG_B0_P2_U1_CFG3 EQU 0x400104c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG4 +CYDEV_UCFG_B0_P2_U1_CFG4 EQU 0x400104c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG5 +CYDEV_UCFG_B0_P2_U1_CFG5 EQU 0x400104c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG6 +CYDEV_UCFG_B0_P2_U1_CFG6 EQU 0x400104c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG7 +CYDEV_UCFG_B0_P2_U1_CFG7 EQU 0x400104c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG8 +CYDEV_UCFG_B0_P2_U1_CFG8 EQU 0x400104c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG9 +CYDEV_UCFG_B0_P2_U1_CFG9 EQU 0x400104c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG10 +CYDEV_UCFG_B0_P2_U1_CFG10 EQU 0x400104ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG11 +CYDEV_UCFG_B0_P2_U1_CFG11 EQU 0x400104cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG12 +CYDEV_UCFG_B0_P2_U1_CFG12 EQU 0x400104cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG13 +CYDEV_UCFG_B0_P2_U1_CFG13 EQU 0x400104cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG14 +CYDEV_UCFG_B0_P2_U1_CFG14 EQU 0x400104ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG15 +CYDEV_UCFG_B0_P2_U1_CFG15 EQU 0x400104cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG16 +CYDEV_UCFG_B0_P2_U1_CFG16 EQU 0x400104d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG17 +CYDEV_UCFG_B0_P2_U1_CFG17 EQU 0x400104d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG18 +CYDEV_UCFG_B0_P2_U1_CFG18 EQU 0x400104d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG19 +CYDEV_UCFG_B0_P2_U1_CFG19 EQU 0x400104d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG20 +CYDEV_UCFG_B0_P2_U1_CFG20 EQU 0x400104d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG21 +CYDEV_UCFG_B0_P2_U1_CFG21 EQU 0x400104d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG22 +CYDEV_UCFG_B0_P2_U1_CFG22 EQU 0x400104d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG23 +CYDEV_UCFG_B0_P2_U1_CFG23 EQU 0x400104d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG24 +CYDEV_UCFG_B0_P2_U1_CFG24 EQU 0x400104d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG25 +CYDEV_UCFG_B0_P2_U1_CFG25 EQU 0x400104d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG26 +CYDEV_UCFG_B0_P2_U1_CFG26 EQU 0x400104da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG27 +CYDEV_UCFG_B0_P2_U1_CFG27 EQU 0x400104db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG28 +CYDEV_UCFG_B0_P2_U1_CFG28 EQU 0x400104dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG29 +CYDEV_UCFG_B0_P2_U1_CFG29 EQU 0x400104dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG30 +CYDEV_UCFG_B0_P2_U1_CFG30 EQU 0x400104de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_CFG31 +CYDEV_UCFG_B0_P2_U1_CFG31 EQU 0x400104df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG0 +CYDEV_UCFG_B0_P2_U1_DCFG0 EQU 0x400104e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG1 +CYDEV_UCFG_B0_P2_U1_DCFG1 EQU 0x400104e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG2 +CYDEV_UCFG_B0_P2_U1_DCFG2 EQU 0x400104e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG3 +CYDEV_UCFG_B0_P2_U1_DCFG3 EQU 0x400104e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG4 +CYDEV_UCFG_B0_P2_U1_DCFG4 EQU 0x400104e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG5 +CYDEV_UCFG_B0_P2_U1_DCFG5 EQU 0x400104ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG6 +CYDEV_UCFG_B0_P2_U1_DCFG6 EQU 0x400104ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_DCFG7 +CYDEV_UCFG_B0_P2_U1_DCFG7 EQU 0x400104ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_ROUTE_BASE +CYDEV_UCFG_B0_P2_ROUTE_BASE EQU 0x40010500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_ROUTE_SIZE +CYDEV_UCFG_B0_P2_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_BASE +CYDEV_UCFG_B0_P3_BASE EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_SIZE +CYDEV_UCFG_B0_P3_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_BASE +CYDEV_UCFG_B0_P3_U0_BASE EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_SIZE +CYDEV_UCFG_B0_P3_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT0 +CYDEV_UCFG_B0_P3_U0_PLD_IT0 EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT1 +CYDEV_UCFG_B0_P3_U0_PLD_IT1 EQU 0x40010604 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT2 +CYDEV_UCFG_B0_P3_U0_PLD_IT2 EQU 0x40010608 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT3 +CYDEV_UCFG_B0_P3_U0_PLD_IT3 EQU 0x4001060c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT4 +CYDEV_UCFG_B0_P3_U0_PLD_IT4 EQU 0x40010610 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT5 +CYDEV_UCFG_B0_P3_U0_PLD_IT5 EQU 0x40010614 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT6 +CYDEV_UCFG_B0_P3_U0_PLD_IT6 EQU 0x40010618 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT7 +CYDEV_UCFG_B0_P3_U0_PLD_IT7 EQU 0x4001061c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT8 +CYDEV_UCFG_B0_P3_U0_PLD_IT8 EQU 0x40010620 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT9 +CYDEV_UCFG_B0_P3_U0_PLD_IT9 EQU 0x40010624 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT10 +CYDEV_UCFG_B0_P3_U0_PLD_IT10 EQU 0x40010628 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_IT11 +CYDEV_UCFG_B0_P3_U0_PLD_IT11 EQU 0x4001062c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_ORT0 +CYDEV_UCFG_B0_P3_U0_PLD_ORT0 EQU 0x40010630 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_ORT1 +CYDEV_UCFG_B0_P3_U0_PLD_ORT1 EQU 0x40010632 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_ORT2 +CYDEV_UCFG_B0_P3_U0_PLD_ORT2 EQU 0x40010634 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_PLD_ORT3 +CYDEV_UCFG_B0_P3_U0_PLD_ORT3 EQU 0x40010636 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P3_U0_MC_CFG_CEN_CONST EQU 0x40010638 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P3_U0_MC_CFG_XORFB EQU 0x4001063a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P3_U0_MC_CFG_SET_RESET EQU 0x4001063c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P3_U0_MC_CFG_BYPASS EQU 0x4001063e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG0 +CYDEV_UCFG_B0_P3_U0_CFG0 EQU 0x40010640 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG1 +CYDEV_UCFG_B0_P3_U0_CFG1 EQU 0x40010641 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG2 +CYDEV_UCFG_B0_P3_U0_CFG2 EQU 0x40010642 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG3 +CYDEV_UCFG_B0_P3_U0_CFG3 EQU 0x40010643 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG4 +CYDEV_UCFG_B0_P3_U0_CFG4 EQU 0x40010644 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG5 +CYDEV_UCFG_B0_P3_U0_CFG5 EQU 0x40010645 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG6 +CYDEV_UCFG_B0_P3_U0_CFG6 EQU 0x40010646 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG7 +CYDEV_UCFG_B0_P3_U0_CFG7 EQU 0x40010647 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG8 +CYDEV_UCFG_B0_P3_U0_CFG8 EQU 0x40010648 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG9 +CYDEV_UCFG_B0_P3_U0_CFG9 EQU 0x40010649 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG10 +CYDEV_UCFG_B0_P3_U0_CFG10 EQU 0x4001064a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG11 +CYDEV_UCFG_B0_P3_U0_CFG11 EQU 0x4001064b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG12 +CYDEV_UCFG_B0_P3_U0_CFG12 EQU 0x4001064c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG13 +CYDEV_UCFG_B0_P3_U0_CFG13 EQU 0x4001064d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG14 +CYDEV_UCFG_B0_P3_U0_CFG14 EQU 0x4001064e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG15 +CYDEV_UCFG_B0_P3_U0_CFG15 EQU 0x4001064f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG16 +CYDEV_UCFG_B0_P3_U0_CFG16 EQU 0x40010650 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG17 +CYDEV_UCFG_B0_P3_U0_CFG17 EQU 0x40010651 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG18 +CYDEV_UCFG_B0_P3_U0_CFG18 EQU 0x40010652 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG19 +CYDEV_UCFG_B0_P3_U0_CFG19 EQU 0x40010653 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG20 +CYDEV_UCFG_B0_P3_U0_CFG20 EQU 0x40010654 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG21 +CYDEV_UCFG_B0_P3_U0_CFG21 EQU 0x40010655 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG22 +CYDEV_UCFG_B0_P3_U0_CFG22 EQU 0x40010656 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG23 +CYDEV_UCFG_B0_P3_U0_CFG23 EQU 0x40010657 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG24 +CYDEV_UCFG_B0_P3_U0_CFG24 EQU 0x40010658 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG25 +CYDEV_UCFG_B0_P3_U0_CFG25 EQU 0x40010659 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG26 +CYDEV_UCFG_B0_P3_U0_CFG26 EQU 0x4001065a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG27 +CYDEV_UCFG_B0_P3_U0_CFG27 EQU 0x4001065b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG28 +CYDEV_UCFG_B0_P3_U0_CFG28 EQU 0x4001065c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG29 +CYDEV_UCFG_B0_P3_U0_CFG29 EQU 0x4001065d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG30 +CYDEV_UCFG_B0_P3_U0_CFG30 EQU 0x4001065e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_CFG31 +CYDEV_UCFG_B0_P3_U0_CFG31 EQU 0x4001065f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG0 +CYDEV_UCFG_B0_P3_U0_DCFG0 EQU 0x40010660 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG1 +CYDEV_UCFG_B0_P3_U0_DCFG1 EQU 0x40010662 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG2 +CYDEV_UCFG_B0_P3_U0_DCFG2 EQU 0x40010664 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG3 +CYDEV_UCFG_B0_P3_U0_DCFG3 EQU 0x40010666 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG4 +CYDEV_UCFG_B0_P3_U0_DCFG4 EQU 0x40010668 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG5 +CYDEV_UCFG_B0_P3_U0_DCFG5 EQU 0x4001066a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG6 +CYDEV_UCFG_B0_P3_U0_DCFG6 EQU 0x4001066c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_DCFG7 +CYDEV_UCFG_B0_P3_U0_DCFG7 EQU 0x4001066e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_BASE +CYDEV_UCFG_B0_P3_U1_BASE EQU 0x40010680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_SIZE +CYDEV_UCFG_B0_P3_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT0 +CYDEV_UCFG_B0_P3_U1_PLD_IT0 EQU 0x40010680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT1 +CYDEV_UCFG_B0_P3_U1_PLD_IT1 EQU 0x40010684 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT2 +CYDEV_UCFG_B0_P3_U1_PLD_IT2 EQU 0x40010688 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT3 +CYDEV_UCFG_B0_P3_U1_PLD_IT3 EQU 0x4001068c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT4 +CYDEV_UCFG_B0_P3_U1_PLD_IT4 EQU 0x40010690 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT5 +CYDEV_UCFG_B0_P3_U1_PLD_IT5 EQU 0x40010694 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT6 +CYDEV_UCFG_B0_P3_U1_PLD_IT6 EQU 0x40010698 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT7 +CYDEV_UCFG_B0_P3_U1_PLD_IT7 EQU 0x4001069c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT8 +CYDEV_UCFG_B0_P3_U1_PLD_IT8 EQU 0x400106a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT9 +CYDEV_UCFG_B0_P3_U1_PLD_IT9 EQU 0x400106a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT10 +CYDEV_UCFG_B0_P3_U1_PLD_IT10 EQU 0x400106a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_IT11 +CYDEV_UCFG_B0_P3_U1_PLD_IT11 EQU 0x400106ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_ORT0 +CYDEV_UCFG_B0_P3_U1_PLD_ORT0 EQU 0x400106b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_ORT1 +CYDEV_UCFG_B0_P3_U1_PLD_ORT1 EQU 0x400106b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_ORT2 +CYDEV_UCFG_B0_P3_U1_PLD_ORT2 EQU 0x400106b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_PLD_ORT3 +CYDEV_UCFG_B0_P3_U1_PLD_ORT3 EQU 0x400106b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P3_U1_MC_CFG_CEN_CONST EQU 0x400106b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P3_U1_MC_CFG_XORFB EQU 0x400106ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P3_U1_MC_CFG_SET_RESET EQU 0x400106bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P3_U1_MC_CFG_BYPASS EQU 0x400106be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG0 +CYDEV_UCFG_B0_P3_U1_CFG0 EQU 0x400106c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG1 +CYDEV_UCFG_B0_P3_U1_CFG1 EQU 0x400106c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG2 +CYDEV_UCFG_B0_P3_U1_CFG2 EQU 0x400106c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG3 +CYDEV_UCFG_B0_P3_U1_CFG3 EQU 0x400106c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG4 +CYDEV_UCFG_B0_P3_U1_CFG4 EQU 0x400106c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG5 +CYDEV_UCFG_B0_P3_U1_CFG5 EQU 0x400106c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG6 +CYDEV_UCFG_B0_P3_U1_CFG6 EQU 0x400106c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG7 +CYDEV_UCFG_B0_P3_U1_CFG7 EQU 0x400106c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG8 +CYDEV_UCFG_B0_P3_U1_CFG8 EQU 0x400106c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG9 +CYDEV_UCFG_B0_P3_U1_CFG9 EQU 0x400106c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG10 +CYDEV_UCFG_B0_P3_U1_CFG10 EQU 0x400106ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG11 +CYDEV_UCFG_B0_P3_U1_CFG11 EQU 0x400106cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG12 +CYDEV_UCFG_B0_P3_U1_CFG12 EQU 0x400106cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG13 +CYDEV_UCFG_B0_P3_U1_CFG13 EQU 0x400106cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG14 +CYDEV_UCFG_B0_P3_U1_CFG14 EQU 0x400106ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG15 +CYDEV_UCFG_B0_P3_U1_CFG15 EQU 0x400106cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG16 +CYDEV_UCFG_B0_P3_U1_CFG16 EQU 0x400106d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG17 +CYDEV_UCFG_B0_P3_U1_CFG17 EQU 0x400106d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG18 +CYDEV_UCFG_B0_P3_U1_CFG18 EQU 0x400106d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG19 +CYDEV_UCFG_B0_P3_U1_CFG19 EQU 0x400106d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG20 +CYDEV_UCFG_B0_P3_U1_CFG20 EQU 0x400106d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG21 +CYDEV_UCFG_B0_P3_U1_CFG21 EQU 0x400106d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG22 +CYDEV_UCFG_B0_P3_U1_CFG22 EQU 0x400106d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG23 +CYDEV_UCFG_B0_P3_U1_CFG23 EQU 0x400106d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG24 +CYDEV_UCFG_B0_P3_U1_CFG24 EQU 0x400106d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG25 +CYDEV_UCFG_B0_P3_U1_CFG25 EQU 0x400106d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG26 +CYDEV_UCFG_B0_P3_U1_CFG26 EQU 0x400106da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG27 +CYDEV_UCFG_B0_P3_U1_CFG27 EQU 0x400106db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG28 +CYDEV_UCFG_B0_P3_U1_CFG28 EQU 0x400106dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG29 +CYDEV_UCFG_B0_P3_U1_CFG29 EQU 0x400106dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG30 +CYDEV_UCFG_B0_P3_U1_CFG30 EQU 0x400106de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_CFG31 +CYDEV_UCFG_B0_P3_U1_CFG31 EQU 0x400106df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG0 +CYDEV_UCFG_B0_P3_U1_DCFG0 EQU 0x400106e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG1 +CYDEV_UCFG_B0_P3_U1_DCFG1 EQU 0x400106e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG2 +CYDEV_UCFG_B0_P3_U1_DCFG2 EQU 0x400106e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG3 +CYDEV_UCFG_B0_P3_U1_DCFG3 EQU 0x400106e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG4 +CYDEV_UCFG_B0_P3_U1_DCFG4 EQU 0x400106e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG5 +CYDEV_UCFG_B0_P3_U1_DCFG5 EQU 0x400106ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG6 +CYDEV_UCFG_B0_P3_U1_DCFG6 EQU 0x400106ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_DCFG7 +CYDEV_UCFG_B0_P3_U1_DCFG7 EQU 0x400106ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_ROUTE_BASE +CYDEV_UCFG_B0_P3_ROUTE_BASE EQU 0x40010700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_ROUTE_SIZE +CYDEV_UCFG_B0_P3_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_BASE +CYDEV_UCFG_B0_P4_BASE EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_SIZE +CYDEV_UCFG_B0_P4_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_BASE +CYDEV_UCFG_B0_P4_U0_BASE EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_SIZE +CYDEV_UCFG_B0_P4_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT0 +CYDEV_UCFG_B0_P4_U0_PLD_IT0 EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT1 +CYDEV_UCFG_B0_P4_U0_PLD_IT1 EQU 0x40010804 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT2 +CYDEV_UCFG_B0_P4_U0_PLD_IT2 EQU 0x40010808 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT3 +CYDEV_UCFG_B0_P4_U0_PLD_IT3 EQU 0x4001080c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT4 +CYDEV_UCFG_B0_P4_U0_PLD_IT4 EQU 0x40010810 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT5 +CYDEV_UCFG_B0_P4_U0_PLD_IT5 EQU 0x40010814 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT6 +CYDEV_UCFG_B0_P4_U0_PLD_IT6 EQU 0x40010818 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT7 +CYDEV_UCFG_B0_P4_U0_PLD_IT7 EQU 0x4001081c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT8 +CYDEV_UCFG_B0_P4_U0_PLD_IT8 EQU 0x40010820 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT9 +CYDEV_UCFG_B0_P4_U0_PLD_IT9 EQU 0x40010824 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT10 +CYDEV_UCFG_B0_P4_U0_PLD_IT10 EQU 0x40010828 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_IT11 +CYDEV_UCFG_B0_P4_U0_PLD_IT11 EQU 0x4001082c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_ORT0 +CYDEV_UCFG_B0_P4_U0_PLD_ORT0 EQU 0x40010830 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_ORT1 +CYDEV_UCFG_B0_P4_U0_PLD_ORT1 EQU 0x40010832 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_ORT2 +CYDEV_UCFG_B0_P4_U0_PLD_ORT2 EQU 0x40010834 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_PLD_ORT3 +CYDEV_UCFG_B0_P4_U0_PLD_ORT3 EQU 0x40010836 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P4_U0_MC_CFG_CEN_CONST EQU 0x40010838 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P4_U0_MC_CFG_XORFB EQU 0x4001083a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P4_U0_MC_CFG_SET_RESET EQU 0x4001083c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P4_U0_MC_CFG_BYPASS EQU 0x4001083e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG0 +CYDEV_UCFG_B0_P4_U0_CFG0 EQU 0x40010840 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG1 +CYDEV_UCFG_B0_P4_U0_CFG1 EQU 0x40010841 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG2 +CYDEV_UCFG_B0_P4_U0_CFG2 EQU 0x40010842 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG3 +CYDEV_UCFG_B0_P4_U0_CFG3 EQU 0x40010843 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG4 +CYDEV_UCFG_B0_P4_U0_CFG4 EQU 0x40010844 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG5 +CYDEV_UCFG_B0_P4_U0_CFG5 EQU 0x40010845 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG6 +CYDEV_UCFG_B0_P4_U0_CFG6 EQU 0x40010846 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG7 +CYDEV_UCFG_B0_P4_U0_CFG7 EQU 0x40010847 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG8 +CYDEV_UCFG_B0_P4_U0_CFG8 EQU 0x40010848 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG9 +CYDEV_UCFG_B0_P4_U0_CFG9 EQU 0x40010849 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG10 +CYDEV_UCFG_B0_P4_U0_CFG10 EQU 0x4001084a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG11 +CYDEV_UCFG_B0_P4_U0_CFG11 EQU 0x4001084b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG12 +CYDEV_UCFG_B0_P4_U0_CFG12 EQU 0x4001084c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG13 +CYDEV_UCFG_B0_P4_U0_CFG13 EQU 0x4001084d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG14 +CYDEV_UCFG_B0_P4_U0_CFG14 EQU 0x4001084e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG15 +CYDEV_UCFG_B0_P4_U0_CFG15 EQU 0x4001084f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG16 +CYDEV_UCFG_B0_P4_U0_CFG16 EQU 0x40010850 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG17 +CYDEV_UCFG_B0_P4_U0_CFG17 EQU 0x40010851 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG18 +CYDEV_UCFG_B0_P4_U0_CFG18 EQU 0x40010852 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG19 +CYDEV_UCFG_B0_P4_U0_CFG19 EQU 0x40010853 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG20 +CYDEV_UCFG_B0_P4_U0_CFG20 EQU 0x40010854 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG21 +CYDEV_UCFG_B0_P4_U0_CFG21 EQU 0x40010855 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG22 +CYDEV_UCFG_B0_P4_U0_CFG22 EQU 0x40010856 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG23 +CYDEV_UCFG_B0_P4_U0_CFG23 EQU 0x40010857 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG24 +CYDEV_UCFG_B0_P4_U0_CFG24 EQU 0x40010858 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG25 +CYDEV_UCFG_B0_P4_U0_CFG25 EQU 0x40010859 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG26 +CYDEV_UCFG_B0_P4_U0_CFG26 EQU 0x4001085a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG27 +CYDEV_UCFG_B0_P4_U0_CFG27 EQU 0x4001085b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG28 +CYDEV_UCFG_B0_P4_U0_CFG28 EQU 0x4001085c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG29 +CYDEV_UCFG_B0_P4_U0_CFG29 EQU 0x4001085d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG30 +CYDEV_UCFG_B0_P4_U0_CFG30 EQU 0x4001085e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_CFG31 +CYDEV_UCFG_B0_P4_U0_CFG31 EQU 0x4001085f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG0 +CYDEV_UCFG_B0_P4_U0_DCFG0 EQU 0x40010860 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG1 +CYDEV_UCFG_B0_P4_U0_DCFG1 EQU 0x40010862 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG2 +CYDEV_UCFG_B0_P4_U0_DCFG2 EQU 0x40010864 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG3 +CYDEV_UCFG_B0_P4_U0_DCFG3 EQU 0x40010866 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG4 +CYDEV_UCFG_B0_P4_U0_DCFG4 EQU 0x40010868 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG5 +CYDEV_UCFG_B0_P4_U0_DCFG5 EQU 0x4001086a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG6 +CYDEV_UCFG_B0_P4_U0_DCFG6 EQU 0x4001086c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_DCFG7 +CYDEV_UCFG_B0_P4_U0_DCFG7 EQU 0x4001086e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_BASE +CYDEV_UCFG_B0_P4_U1_BASE EQU 0x40010880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_SIZE +CYDEV_UCFG_B0_P4_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT0 +CYDEV_UCFG_B0_P4_U1_PLD_IT0 EQU 0x40010880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT1 +CYDEV_UCFG_B0_P4_U1_PLD_IT1 EQU 0x40010884 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT2 +CYDEV_UCFG_B0_P4_U1_PLD_IT2 EQU 0x40010888 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT3 +CYDEV_UCFG_B0_P4_U1_PLD_IT3 EQU 0x4001088c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT4 +CYDEV_UCFG_B0_P4_U1_PLD_IT4 EQU 0x40010890 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT5 +CYDEV_UCFG_B0_P4_U1_PLD_IT5 EQU 0x40010894 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT6 +CYDEV_UCFG_B0_P4_U1_PLD_IT6 EQU 0x40010898 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT7 +CYDEV_UCFG_B0_P4_U1_PLD_IT7 EQU 0x4001089c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT8 +CYDEV_UCFG_B0_P4_U1_PLD_IT8 EQU 0x400108a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT9 +CYDEV_UCFG_B0_P4_U1_PLD_IT9 EQU 0x400108a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT10 +CYDEV_UCFG_B0_P4_U1_PLD_IT10 EQU 0x400108a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_IT11 +CYDEV_UCFG_B0_P4_U1_PLD_IT11 EQU 0x400108ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_ORT0 +CYDEV_UCFG_B0_P4_U1_PLD_ORT0 EQU 0x400108b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_ORT1 +CYDEV_UCFG_B0_P4_U1_PLD_ORT1 EQU 0x400108b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_ORT2 +CYDEV_UCFG_B0_P4_U1_PLD_ORT2 EQU 0x400108b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_PLD_ORT3 +CYDEV_UCFG_B0_P4_U1_PLD_ORT3 EQU 0x400108b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P4_U1_MC_CFG_CEN_CONST EQU 0x400108b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P4_U1_MC_CFG_XORFB EQU 0x400108ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P4_U1_MC_CFG_SET_RESET EQU 0x400108bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P4_U1_MC_CFG_BYPASS EQU 0x400108be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG0 +CYDEV_UCFG_B0_P4_U1_CFG0 EQU 0x400108c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG1 +CYDEV_UCFG_B0_P4_U1_CFG1 EQU 0x400108c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG2 +CYDEV_UCFG_B0_P4_U1_CFG2 EQU 0x400108c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG3 +CYDEV_UCFG_B0_P4_U1_CFG3 EQU 0x400108c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG4 +CYDEV_UCFG_B0_P4_U1_CFG4 EQU 0x400108c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG5 +CYDEV_UCFG_B0_P4_U1_CFG5 EQU 0x400108c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG6 +CYDEV_UCFG_B0_P4_U1_CFG6 EQU 0x400108c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG7 +CYDEV_UCFG_B0_P4_U1_CFG7 EQU 0x400108c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG8 +CYDEV_UCFG_B0_P4_U1_CFG8 EQU 0x400108c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG9 +CYDEV_UCFG_B0_P4_U1_CFG9 EQU 0x400108c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG10 +CYDEV_UCFG_B0_P4_U1_CFG10 EQU 0x400108ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG11 +CYDEV_UCFG_B0_P4_U1_CFG11 EQU 0x400108cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG12 +CYDEV_UCFG_B0_P4_U1_CFG12 EQU 0x400108cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG13 +CYDEV_UCFG_B0_P4_U1_CFG13 EQU 0x400108cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG14 +CYDEV_UCFG_B0_P4_U1_CFG14 EQU 0x400108ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG15 +CYDEV_UCFG_B0_P4_U1_CFG15 EQU 0x400108cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG16 +CYDEV_UCFG_B0_P4_U1_CFG16 EQU 0x400108d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG17 +CYDEV_UCFG_B0_P4_U1_CFG17 EQU 0x400108d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG18 +CYDEV_UCFG_B0_P4_U1_CFG18 EQU 0x400108d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG19 +CYDEV_UCFG_B0_P4_U1_CFG19 EQU 0x400108d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG20 +CYDEV_UCFG_B0_P4_U1_CFG20 EQU 0x400108d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG21 +CYDEV_UCFG_B0_P4_U1_CFG21 EQU 0x400108d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG22 +CYDEV_UCFG_B0_P4_U1_CFG22 EQU 0x400108d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG23 +CYDEV_UCFG_B0_P4_U1_CFG23 EQU 0x400108d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG24 +CYDEV_UCFG_B0_P4_U1_CFG24 EQU 0x400108d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG25 +CYDEV_UCFG_B0_P4_U1_CFG25 EQU 0x400108d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG26 +CYDEV_UCFG_B0_P4_U1_CFG26 EQU 0x400108da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG27 +CYDEV_UCFG_B0_P4_U1_CFG27 EQU 0x400108db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG28 +CYDEV_UCFG_B0_P4_U1_CFG28 EQU 0x400108dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG29 +CYDEV_UCFG_B0_P4_U1_CFG29 EQU 0x400108dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG30 +CYDEV_UCFG_B0_P4_U1_CFG30 EQU 0x400108de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_CFG31 +CYDEV_UCFG_B0_P4_U1_CFG31 EQU 0x400108df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG0 +CYDEV_UCFG_B0_P4_U1_DCFG0 EQU 0x400108e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG1 +CYDEV_UCFG_B0_P4_U1_DCFG1 EQU 0x400108e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG2 +CYDEV_UCFG_B0_P4_U1_DCFG2 EQU 0x400108e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG3 +CYDEV_UCFG_B0_P4_U1_DCFG3 EQU 0x400108e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG4 +CYDEV_UCFG_B0_P4_U1_DCFG4 EQU 0x400108e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG5 +CYDEV_UCFG_B0_P4_U1_DCFG5 EQU 0x400108ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG6 +CYDEV_UCFG_B0_P4_U1_DCFG6 EQU 0x400108ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_DCFG7 +CYDEV_UCFG_B0_P4_U1_DCFG7 EQU 0x400108ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_ROUTE_BASE +CYDEV_UCFG_B0_P4_ROUTE_BASE EQU 0x40010900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_ROUTE_SIZE +CYDEV_UCFG_B0_P4_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_BASE +CYDEV_UCFG_B0_P5_BASE EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_SIZE +CYDEV_UCFG_B0_P5_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_BASE +CYDEV_UCFG_B0_P5_U0_BASE EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_SIZE +CYDEV_UCFG_B0_P5_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT0 +CYDEV_UCFG_B0_P5_U0_PLD_IT0 EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT1 +CYDEV_UCFG_B0_P5_U0_PLD_IT1 EQU 0x40010a04 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT2 +CYDEV_UCFG_B0_P5_U0_PLD_IT2 EQU 0x40010a08 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT3 +CYDEV_UCFG_B0_P5_U0_PLD_IT3 EQU 0x40010a0c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT4 +CYDEV_UCFG_B0_P5_U0_PLD_IT4 EQU 0x40010a10 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT5 +CYDEV_UCFG_B0_P5_U0_PLD_IT5 EQU 0x40010a14 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT6 +CYDEV_UCFG_B0_P5_U0_PLD_IT6 EQU 0x40010a18 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT7 +CYDEV_UCFG_B0_P5_U0_PLD_IT7 EQU 0x40010a1c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT8 +CYDEV_UCFG_B0_P5_U0_PLD_IT8 EQU 0x40010a20 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT9 +CYDEV_UCFG_B0_P5_U0_PLD_IT9 EQU 0x40010a24 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT10 +CYDEV_UCFG_B0_P5_U0_PLD_IT10 EQU 0x40010a28 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_IT11 +CYDEV_UCFG_B0_P5_U0_PLD_IT11 EQU 0x40010a2c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_ORT0 +CYDEV_UCFG_B0_P5_U0_PLD_ORT0 EQU 0x40010a30 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_ORT1 +CYDEV_UCFG_B0_P5_U0_PLD_ORT1 EQU 0x40010a32 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_ORT2 +CYDEV_UCFG_B0_P5_U0_PLD_ORT2 EQU 0x40010a34 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_PLD_ORT3 +CYDEV_UCFG_B0_P5_U0_PLD_ORT3 EQU 0x40010a36 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P5_U0_MC_CFG_CEN_CONST EQU 0x40010a38 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P5_U0_MC_CFG_XORFB EQU 0x40010a3a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P5_U0_MC_CFG_SET_RESET EQU 0x40010a3c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P5_U0_MC_CFG_BYPASS EQU 0x40010a3e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG0 +CYDEV_UCFG_B0_P5_U0_CFG0 EQU 0x40010a40 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG1 +CYDEV_UCFG_B0_P5_U0_CFG1 EQU 0x40010a41 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG2 +CYDEV_UCFG_B0_P5_U0_CFG2 EQU 0x40010a42 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG3 +CYDEV_UCFG_B0_P5_U0_CFG3 EQU 0x40010a43 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG4 +CYDEV_UCFG_B0_P5_U0_CFG4 EQU 0x40010a44 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG5 +CYDEV_UCFG_B0_P5_U0_CFG5 EQU 0x40010a45 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG6 +CYDEV_UCFG_B0_P5_U0_CFG6 EQU 0x40010a46 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG7 +CYDEV_UCFG_B0_P5_U0_CFG7 EQU 0x40010a47 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG8 +CYDEV_UCFG_B0_P5_U0_CFG8 EQU 0x40010a48 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG9 +CYDEV_UCFG_B0_P5_U0_CFG9 EQU 0x40010a49 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG10 +CYDEV_UCFG_B0_P5_U0_CFG10 EQU 0x40010a4a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG11 +CYDEV_UCFG_B0_P5_U0_CFG11 EQU 0x40010a4b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG12 +CYDEV_UCFG_B0_P5_U0_CFG12 EQU 0x40010a4c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG13 +CYDEV_UCFG_B0_P5_U0_CFG13 EQU 0x40010a4d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG14 +CYDEV_UCFG_B0_P5_U0_CFG14 EQU 0x40010a4e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG15 +CYDEV_UCFG_B0_P5_U0_CFG15 EQU 0x40010a4f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG16 +CYDEV_UCFG_B0_P5_U0_CFG16 EQU 0x40010a50 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG17 +CYDEV_UCFG_B0_P5_U0_CFG17 EQU 0x40010a51 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG18 +CYDEV_UCFG_B0_P5_U0_CFG18 EQU 0x40010a52 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG19 +CYDEV_UCFG_B0_P5_U0_CFG19 EQU 0x40010a53 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG20 +CYDEV_UCFG_B0_P5_U0_CFG20 EQU 0x40010a54 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG21 +CYDEV_UCFG_B0_P5_U0_CFG21 EQU 0x40010a55 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG22 +CYDEV_UCFG_B0_P5_U0_CFG22 EQU 0x40010a56 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG23 +CYDEV_UCFG_B0_P5_U0_CFG23 EQU 0x40010a57 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG24 +CYDEV_UCFG_B0_P5_U0_CFG24 EQU 0x40010a58 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG25 +CYDEV_UCFG_B0_P5_U0_CFG25 EQU 0x40010a59 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG26 +CYDEV_UCFG_B0_P5_U0_CFG26 EQU 0x40010a5a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG27 +CYDEV_UCFG_B0_P5_U0_CFG27 EQU 0x40010a5b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG28 +CYDEV_UCFG_B0_P5_U0_CFG28 EQU 0x40010a5c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG29 +CYDEV_UCFG_B0_P5_U0_CFG29 EQU 0x40010a5d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG30 +CYDEV_UCFG_B0_P5_U0_CFG30 EQU 0x40010a5e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_CFG31 +CYDEV_UCFG_B0_P5_U0_CFG31 EQU 0x40010a5f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG0 +CYDEV_UCFG_B0_P5_U0_DCFG0 EQU 0x40010a60 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG1 +CYDEV_UCFG_B0_P5_U0_DCFG1 EQU 0x40010a62 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG2 +CYDEV_UCFG_B0_P5_U0_DCFG2 EQU 0x40010a64 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG3 +CYDEV_UCFG_B0_P5_U0_DCFG3 EQU 0x40010a66 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG4 +CYDEV_UCFG_B0_P5_U0_DCFG4 EQU 0x40010a68 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG5 +CYDEV_UCFG_B0_P5_U0_DCFG5 EQU 0x40010a6a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG6 +CYDEV_UCFG_B0_P5_U0_DCFG6 EQU 0x40010a6c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_DCFG7 +CYDEV_UCFG_B0_P5_U0_DCFG7 EQU 0x40010a6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_BASE +CYDEV_UCFG_B0_P5_U1_BASE EQU 0x40010a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_SIZE +CYDEV_UCFG_B0_P5_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT0 +CYDEV_UCFG_B0_P5_U1_PLD_IT0 EQU 0x40010a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT1 +CYDEV_UCFG_B0_P5_U1_PLD_IT1 EQU 0x40010a84 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT2 +CYDEV_UCFG_B0_P5_U1_PLD_IT2 EQU 0x40010a88 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT3 +CYDEV_UCFG_B0_P5_U1_PLD_IT3 EQU 0x40010a8c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT4 +CYDEV_UCFG_B0_P5_U1_PLD_IT4 EQU 0x40010a90 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT5 +CYDEV_UCFG_B0_P5_U1_PLD_IT5 EQU 0x40010a94 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT6 +CYDEV_UCFG_B0_P5_U1_PLD_IT6 EQU 0x40010a98 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT7 +CYDEV_UCFG_B0_P5_U1_PLD_IT7 EQU 0x40010a9c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT8 +CYDEV_UCFG_B0_P5_U1_PLD_IT8 EQU 0x40010aa0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT9 +CYDEV_UCFG_B0_P5_U1_PLD_IT9 EQU 0x40010aa4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT10 +CYDEV_UCFG_B0_P5_U1_PLD_IT10 EQU 0x40010aa8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_IT11 +CYDEV_UCFG_B0_P5_U1_PLD_IT11 EQU 0x40010aac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_ORT0 +CYDEV_UCFG_B0_P5_U1_PLD_ORT0 EQU 0x40010ab0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_ORT1 +CYDEV_UCFG_B0_P5_U1_PLD_ORT1 EQU 0x40010ab2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_ORT2 +CYDEV_UCFG_B0_P5_U1_PLD_ORT2 EQU 0x40010ab4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_PLD_ORT3 +CYDEV_UCFG_B0_P5_U1_PLD_ORT3 EQU 0x40010ab6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P5_U1_MC_CFG_CEN_CONST EQU 0x40010ab8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P5_U1_MC_CFG_XORFB EQU 0x40010aba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P5_U1_MC_CFG_SET_RESET EQU 0x40010abc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P5_U1_MC_CFG_BYPASS EQU 0x40010abe + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG0 +CYDEV_UCFG_B0_P5_U1_CFG0 EQU 0x40010ac0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG1 +CYDEV_UCFG_B0_P5_U1_CFG1 EQU 0x40010ac1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG2 +CYDEV_UCFG_B0_P5_U1_CFG2 EQU 0x40010ac2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG3 +CYDEV_UCFG_B0_P5_U1_CFG3 EQU 0x40010ac3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG4 +CYDEV_UCFG_B0_P5_U1_CFG4 EQU 0x40010ac4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG5 +CYDEV_UCFG_B0_P5_U1_CFG5 EQU 0x40010ac5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG6 +CYDEV_UCFG_B0_P5_U1_CFG6 EQU 0x40010ac6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG7 +CYDEV_UCFG_B0_P5_U1_CFG7 EQU 0x40010ac7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG8 +CYDEV_UCFG_B0_P5_U1_CFG8 EQU 0x40010ac8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG9 +CYDEV_UCFG_B0_P5_U1_CFG9 EQU 0x40010ac9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG10 +CYDEV_UCFG_B0_P5_U1_CFG10 EQU 0x40010aca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG11 +CYDEV_UCFG_B0_P5_U1_CFG11 EQU 0x40010acb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG12 +CYDEV_UCFG_B0_P5_U1_CFG12 EQU 0x40010acc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG13 +CYDEV_UCFG_B0_P5_U1_CFG13 EQU 0x40010acd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG14 +CYDEV_UCFG_B0_P5_U1_CFG14 EQU 0x40010ace + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG15 +CYDEV_UCFG_B0_P5_U1_CFG15 EQU 0x40010acf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG16 +CYDEV_UCFG_B0_P5_U1_CFG16 EQU 0x40010ad0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG17 +CYDEV_UCFG_B0_P5_U1_CFG17 EQU 0x40010ad1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG18 +CYDEV_UCFG_B0_P5_U1_CFG18 EQU 0x40010ad2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG19 +CYDEV_UCFG_B0_P5_U1_CFG19 EQU 0x40010ad3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG20 +CYDEV_UCFG_B0_P5_U1_CFG20 EQU 0x40010ad4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG21 +CYDEV_UCFG_B0_P5_U1_CFG21 EQU 0x40010ad5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG22 +CYDEV_UCFG_B0_P5_U1_CFG22 EQU 0x40010ad6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG23 +CYDEV_UCFG_B0_P5_U1_CFG23 EQU 0x40010ad7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG24 +CYDEV_UCFG_B0_P5_U1_CFG24 EQU 0x40010ad8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG25 +CYDEV_UCFG_B0_P5_U1_CFG25 EQU 0x40010ad9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG26 +CYDEV_UCFG_B0_P5_U1_CFG26 EQU 0x40010ada + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG27 +CYDEV_UCFG_B0_P5_U1_CFG27 EQU 0x40010adb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG28 +CYDEV_UCFG_B0_P5_U1_CFG28 EQU 0x40010adc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG29 +CYDEV_UCFG_B0_P5_U1_CFG29 EQU 0x40010add + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG30 +CYDEV_UCFG_B0_P5_U1_CFG30 EQU 0x40010ade + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_CFG31 +CYDEV_UCFG_B0_P5_U1_CFG31 EQU 0x40010adf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG0 +CYDEV_UCFG_B0_P5_U1_DCFG0 EQU 0x40010ae0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG1 +CYDEV_UCFG_B0_P5_U1_DCFG1 EQU 0x40010ae2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG2 +CYDEV_UCFG_B0_P5_U1_DCFG2 EQU 0x40010ae4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG3 +CYDEV_UCFG_B0_P5_U1_DCFG3 EQU 0x40010ae6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG4 +CYDEV_UCFG_B0_P5_U1_DCFG4 EQU 0x40010ae8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG5 +CYDEV_UCFG_B0_P5_U1_DCFG5 EQU 0x40010aea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG6 +CYDEV_UCFG_B0_P5_U1_DCFG6 EQU 0x40010aec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_DCFG7 +CYDEV_UCFG_B0_P5_U1_DCFG7 EQU 0x40010aee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_ROUTE_BASE +CYDEV_UCFG_B0_P5_ROUTE_BASE EQU 0x40010b00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_ROUTE_SIZE +CYDEV_UCFG_B0_P5_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_BASE +CYDEV_UCFG_B0_P6_BASE EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_SIZE +CYDEV_UCFG_B0_P6_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_BASE +CYDEV_UCFG_B0_P6_U0_BASE EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_SIZE +CYDEV_UCFG_B0_P6_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT0 +CYDEV_UCFG_B0_P6_U0_PLD_IT0 EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT1 +CYDEV_UCFG_B0_P6_U0_PLD_IT1 EQU 0x40010c04 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT2 +CYDEV_UCFG_B0_P6_U0_PLD_IT2 EQU 0x40010c08 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT3 +CYDEV_UCFG_B0_P6_U0_PLD_IT3 EQU 0x40010c0c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT4 +CYDEV_UCFG_B0_P6_U0_PLD_IT4 EQU 0x40010c10 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT5 +CYDEV_UCFG_B0_P6_U0_PLD_IT5 EQU 0x40010c14 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT6 +CYDEV_UCFG_B0_P6_U0_PLD_IT6 EQU 0x40010c18 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT7 +CYDEV_UCFG_B0_P6_U0_PLD_IT7 EQU 0x40010c1c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT8 +CYDEV_UCFG_B0_P6_U0_PLD_IT8 EQU 0x40010c20 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT9 +CYDEV_UCFG_B0_P6_U0_PLD_IT9 EQU 0x40010c24 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT10 +CYDEV_UCFG_B0_P6_U0_PLD_IT10 EQU 0x40010c28 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_IT11 +CYDEV_UCFG_B0_P6_U0_PLD_IT11 EQU 0x40010c2c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_ORT0 +CYDEV_UCFG_B0_P6_U0_PLD_ORT0 EQU 0x40010c30 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_ORT1 +CYDEV_UCFG_B0_P6_U0_PLD_ORT1 EQU 0x40010c32 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_ORT2 +CYDEV_UCFG_B0_P6_U0_PLD_ORT2 EQU 0x40010c34 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_PLD_ORT3 +CYDEV_UCFG_B0_P6_U0_PLD_ORT3 EQU 0x40010c36 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P6_U0_MC_CFG_CEN_CONST EQU 0x40010c38 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P6_U0_MC_CFG_XORFB EQU 0x40010c3a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P6_U0_MC_CFG_SET_RESET EQU 0x40010c3c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P6_U0_MC_CFG_BYPASS EQU 0x40010c3e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG0 +CYDEV_UCFG_B0_P6_U0_CFG0 EQU 0x40010c40 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG1 +CYDEV_UCFG_B0_P6_U0_CFG1 EQU 0x40010c41 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG2 +CYDEV_UCFG_B0_P6_U0_CFG2 EQU 0x40010c42 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG3 +CYDEV_UCFG_B0_P6_U0_CFG3 EQU 0x40010c43 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG4 +CYDEV_UCFG_B0_P6_U0_CFG4 EQU 0x40010c44 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG5 +CYDEV_UCFG_B0_P6_U0_CFG5 EQU 0x40010c45 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG6 +CYDEV_UCFG_B0_P6_U0_CFG6 EQU 0x40010c46 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG7 +CYDEV_UCFG_B0_P6_U0_CFG7 EQU 0x40010c47 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG8 +CYDEV_UCFG_B0_P6_U0_CFG8 EQU 0x40010c48 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG9 +CYDEV_UCFG_B0_P6_U0_CFG9 EQU 0x40010c49 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG10 +CYDEV_UCFG_B0_P6_U0_CFG10 EQU 0x40010c4a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG11 +CYDEV_UCFG_B0_P6_U0_CFG11 EQU 0x40010c4b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG12 +CYDEV_UCFG_B0_P6_U0_CFG12 EQU 0x40010c4c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG13 +CYDEV_UCFG_B0_P6_U0_CFG13 EQU 0x40010c4d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG14 +CYDEV_UCFG_B0_P6_U0_CFG14 EQU 0x40010c4e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG15 +CYDEV_UCFG_B0_P6_U0_CFG15 EQU 0x40010c4f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG16 +CYDEV_UCFG_B0_P6_U0_CFG16 EQU 0x40010c50 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG17 +CYDEV_UCFG_B0_P6_U0_CFG17 EQU 0x40010c51 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG18 +CYDEV_UCFG_B0_P6_U0_CFG18 EQU 0x40010c52 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG19 +CYDEV_UCFG_B0_P6_U0_CFG19 EQU 0x40010c53 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG20 +CYDEV_UCFG_B0_P6_U0_CFG20 EQU 0x40010c54 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG21 +CYDEV_UCFG_B0_P6_U0_CFG21 EQU 0x40010c55 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG22 +CYDEV_UCFG_B0_P6_U0_CFG22 EQU 0x40010c56 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG23 +CYDEV_UCFG_B0_P6_U0_CFG23 EQU 0x40010c57 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG24 +CYDEV_UCFG_B0_P6_U0_CFG24 EQU 0x40010c58 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG25 +CYDEV_UCFG_B0_P6_U0_CFG25 EQU 0x40010c59 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG26 +CYDEV_UCFG_B0_P6_U0_CFG26 EQU 0x40010c5a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG27 +CYDEV_UCFG_B0_P6_U0_CFG27 EQU 0x40010c5b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG28 +CYDEV_UCFG_B0_P6_U0_CFG28 EQU 0x40010c5c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG29 +CYDEV_UCFG_B0_P6_U0_CFG29 EQU 0x40010c5d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG30 +CYDEV_UCFG_B0_P6_U0_CFG30 EQU 0x40010c5e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_CFG31 +CYDEV_UCFG_B0_P6_U0_CFG31 EQU 0x40010c5f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG0 +CYDEV_UCFG_B0_P6_U0_DCFG0 EQU 0x40010c60 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG1 +CYDEV_UCFG_B0_P6_U0_DCFG1 EQU 0x40010c62 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG2 +CYDEV_UCFG_B0_P6_U0_DCFG2 EQU 0x40010c64 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG3 +CYDEV_UCFG_B0_P6_U0_DCFG3 EQU 0x40010c66 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG4 +CYDEV_UCFG_B0_P6_U0_DCFG4 EQU 0x40010c68 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG5 +CYDEV_UCFG_B0_P6_U0_DCFG5 EQU 0x40010c6a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG6 +CYDEV_UCFG_B0_P6_U0_DCFG6 EQU 0x40010c6c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_DCFG7 +CYDEV_UCFG_B0_P6_U0_DCFG7 EQU 0x40010c6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_BASE +CYDEV_UCFG_B0_P6_U1_BASE EQU 0x40010c80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_SIZE +CYDEV_UCFG_B0_P6_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT0 +CYDEV_UCFG_B0_P6_U1_PLD_IT0 EQU 0x40010c80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT1 +CYDEV_UCFG_B0_P6_U1_PLD_IT1 EQU 0x40010c84 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT2 +CYDEV_UCFG_B0_P6_U1_PLD_IT2 EQU 0x40010c88 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT3 +CYDEV_UCFG_B0_P6_U1_PLD_IT3 EQU 0x40010c8c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT4 +CYDEV_UCFG_B0_P6_U1_PLD_IT4 EQU 0x40010c90 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT5 +CYDEV_UCFG_B0_P6_U1_PLD_IT5 EQU 0x40010c94 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT6 +CYDEV_UCFG_B0_P6_U1_PLD_IT6 EQU 0x40010c98 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT7 +CYDEV_UCFG_B0_P6_U1_PLD_IT7 EQU 0x40010c9c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT8 +CYDEV_UCFG_B0_P6_U1_PLD_IT8 EQU 0x40010ca0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT9 +CYDEV_UCFG_B0_P6_U1_PLD_IT9 EQU 0x40010ca4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT10 +CYDEV_UCFG_B0_P6_U1_PLD_IT10 EQU 0x40010ca8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_IT11 +CYDEV_UCFG_B0_P6_U1_PLD_IT11 EQU 0x40010cac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_ORT0 +CYDEV_UCFG_B0_P6_U1_PLD_ORT0 EQU 0x40010cb0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_ORT1 +CYDEV_UCFG_B0_P6_U1_PLD_ORT1 EQU 0x40010cb2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_ORT2 +CYDEV_UCFG_B0_P6_U1_PLD_ORT2 EQU 0x40010cb4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_PLD_ORT3 +CYDEV_UCFG_B0_P6_U1_PLD_ORT3 EQU 0x40010cb6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P6_U1_MC_CFG_CEN_CONST EQU 0x40010cb8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P6_U1_MC_CFG_XORFB EQU 0x40010cba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P6_U1_MC_CFG_SET_RESET EQU 0x40010cbc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P6_U1_MC_CFG_BYPASS EQU 0x40010cbe + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG0 +CYDEV_UCFG_B0_P6_U1_CFG0 EQU 0x40010cc0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG1 +CYDEV_UCFG_B0_P6_U1_CFG1 EQU 0x40010cc1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG2 +CYDEV_UCFG_B0_P6_U1_CFG2 EQU 0x40010cc2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG3 +CYDEV_UCFG_B0_P6_U1_CFG3 EQU 0x40010cc3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG4 +CYDEV_UCFG_B0_P6_U1_CFG4 EQU 0x40010cc4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG5 +CYDEV_UCFG_B0_P6_U1_CFG5 EQU 0x40010cc5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG6 +CYDEV_UCFG_B0_P6_U1_CFG6 EQU 0x40010cc6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG7 +CYDEV_UCFG_B0_P6_U1_CFG7 EQU 0x40010cc7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG8 +CYDEV_UCFG_B0_P6_U1_CFG8 EQU 0x40010cc8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG9 +CYDEV_UCFG_B0_P6_U1_CFG9 EQU 0x40010cc9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG10 +CYDEV_UCFG_B0_P6_U1_CFG10 EQU 0x40010cca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG11 +CYDEV_UCFG_B0_P6_U1_CFG11 EQU 0x40010ccb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG12 +CYDEV_UCFG_B0_P6_U1_CFG12 EQU 0x40010ccc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG13 +CYDEV_UCFG_B0_P6_U1_CFG13 EQU 0x40010ccd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG14 +CYDEV_UCFG_B0_P6_U1_CFG14 EQU 0x40010cce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG15 +CYDEV_UCFG_B0_P6_U1_CFG15 EQU 0x40010ccf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG16 +CYDEV_UCFG_B0_P6_U1_CFG16 EQU 0x40010cd0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG17 +CYDEV_UCFG_B0_P6_U1_CFG17 EQU 0x40010cd1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG18 +CYDEV_UCFG_B0_P6_U1_CFG18 EQU 0x40010cd2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG19 +CYDEV_UCFG_B0_P6_U1_CFG19 EQU 0x40010cd3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG20 +CYDEV_UCFG_B0_P6_U1_CFG20 EQU 0x40010cd4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG21 +CYDEV_UCFG_B0_P6_U1_CFG21 EQU 0x40010cd5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG22 +CYDEV_UCFG_B0_P6_U1_CFG22 EQU 0x40010cd6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG23 +CYDEV_UCFG_B0_P6_U1_CFG23 EQU 0x40010cd7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG24 +CYDEV_UCFG_B0_P6_U1_CFG24 EQU 0x40010cd8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG25 +CYDEV_UCFG_B0_P6_U1_CFG25 EQU 0x40010cd9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG26 +CYDEV_UCFG_B0_P6_U1_CFG26 EQU 0x40010cda + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG27 +CYDEV_UCFG_B0_P6_U1_CFG27 EQU 0x40010cdb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG28 +CYDEV_UCFG_B0_P6_U1_CFG28 EQU 0x40010cdc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG29 +CYDEV_UCFG_B0_P6_U1_CFG29 EQU 0x40010cdd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG30 +CYDEV_UCFG_B0_P6_U1_CFG30 EQU 0x40010cde + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_CFG31 +CYDEV_UCFG_B0_P6_U1_CFG31 EQU 0x40010cdf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG0 +CYDEV_UCFG_B0_P6_U1_DCFG0 EQU 0x40010ce0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG1 +CYDEV_UCFG_B0_P6_U1_DCFG1 EQU 0x40010ce2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG2 +CYDEV_UCFG_B0_P6_U1_DCFG2 EQU 0x40010ce4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG3 +CYDEV_UCFG_B0_P6_U1_DCFG3 EQU 0x40010ce6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG4 +CYDEV_UCFG_B0_P6_U1_DCFG4 EQU 0x40010ce8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG5 +CYDEV_UCFG_B0_P6_U1_DCFG5 EQU 0x40010cea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG6 +CYDEV_UCFG_B0_P6_U1_DCFG6 EQU 0x40010cec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_DCFG7 +CYDEV_UCFG_B0_P6_U1_DCFG7 EQU 0x40010cee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_ROUTE_BASE +CYDEV_UCFG_B0_P6_ROUTE_BASE EQU 0x40010d00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_ROUTE_SIZE +CYDEV_UCFG_B0_P6_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_BASE +CYDEV_UCFG_B0_P7_BASE EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_SIZE +CYDEV_UCFG_B0_P7_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_BASE +CYDEV_UCFG_B0_P7_U0_BASE EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_SIZE +CYDEV_UCFG_B0_P7_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT0 +CYDEV_UCFG_B0_P7_U0_PLD_IT0 EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT1 +CYDEV_UCFG_B0_P7_U0_PLD_IT1 EQU 0x40010e04 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT2 +CYDEV_UCFG_B0_P7_U0_PLD_IT2 EQU 0x40010e08 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT3 +CYDEV_UCFG_B0_P7_U0_PLD_IT3 EQU 0x40010e0c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT4 +CYDEV_UCFG_B0_P7_U0_PLD_IT4 EQU 0x40010e10 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT5 +CYDEV_UCFG_B0_P7_U0_PLD_IT5 EQU 0x40010e14 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT6 +CYDEV_UCFG_B0_P7_U0_PLD_IT6 EQU 0x40010e18 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT7 +CYDEV_UCFG_B0_P7_U0_PLD_IT7 EQU 0x40010e1c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT8 +CYDEV_UCFG_B0_P7_U0_PLD_IT8 EQU 0x40010e20 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT9 +CYDEV_UCFG_B0_P7_U0_PLD_IT9 EQU 0x40010e24 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT10 +CYDEV_UCFG_B0_P7_U0_PLD_IT10 EQU 0x40010e28 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_IT11 +CYDEV_UCFG_B0_P7_U0_PLD_IT11 EQU 0x40010e2c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_ORT0 +CYDEV_UCFG_B0_P7_U0_PLD_ORT0 EQU 0x40010e30 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_ORT1 +CYDEV_UCFG_B0_P7_U0_PLD_ORT1 EQU 0x40010e32 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_ORT2 +CYDEV_UCFG_B0_P7_U0_PLD_ORT2 EQU 0x40010e34 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_PLD_ORT3 +CYDEV_UCFG_B0_P7_U0_PLD_ORT3 EQU 0x40010e36 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P7_U0_MC_CFG_CEN_CONST EQU 0x40010e38 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB +CYDEV_UCFG_B0_P7_U0_MC_CFG_XORFB EQU 0x40010e3a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P7_U0_MC_CFG_SET_RESET EQU 0x40010e3c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS +CYDEV_UCFG_B0_P7_U0_MC_CFG_BYPASS EQU 0x40010e3e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG0 +CYDEV_UCFG_B0_P7_U0_CFG0 EQU 0x40010e40 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG1 +CYDEV_UCFG_B0_P7_U0_CFG1 EQU 0x40010e41 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG2 +CYDEV_UCFG_B0_P7_U0_CFG2 EQU 0x40010e42 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG3 +CYDEV_UCFG_B0_P7_U0_CFG3 EQU 0x40010e43 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG4 +CYDEV_UCFG_B0_P7_U0_CFG4 EQU 0x40010e44 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG5 +CYDEV_UCFG_B0_P7_U0_CFG5 EQU 0x40010e45 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG6 +CYDEV_UCFG_B0_P7_U0_CFG6 EQU 0x40010e46 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG7 +CYDEV_UCFG_B0_P7_U0_CFG7 EQU 0x40010e47 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG8 +CYDEV_UCFG_B0_P7_U0_CFG8 EQU 0x40010e48 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG9 +CYDEV_UCFG_B0_P7_U0_CFG9 EQU 0x40010e49 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG10 +CYDEV_UCFG_B0_P7_U0_CFG10 EQU 0x40010e4a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG11 +CYDEV_UCFG_B0_P7_U0_CFG11 EQU 0x40010e4b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG12 +CYDEV_UCFG_B0_P7_U0_CFG12 EQU 0x40010e4c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG13 +CYDEV_UCFG_B0_P7_U0_CFG13 EQU 0x40010e4d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG14 +CYDEV_UCFG_B0_P7_U0_CFG14 EQU 0x40010e4e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG15 +CYDEV_UCFG_B0_P7_U0_CFG15 EQU 0x40010e4f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG16 +CYDEV_UCFG_B0_P7_U0_CFG16 EQU 0x40010e50 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG17 +CYDEV_UCFG_B0_P7_U0_CFG17 EQU 0x40010e51 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG18 +CYDEV_UCFG_B0_P7_U0_CFG18 EQU 0x40010e52 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG19 +CYDEV_UCFG_B0_P7_U0_CFG19 EQU 0x40010e53 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG20 +CYDEV_UCFG_B0_P7_U0_CFG20 EQU 0x40010e54 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG21 +CYDEV_UCFG_B0_P7_U0_CFG21 EQU 0x40010e55 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG22 +CYDEV_UCFG_B0_P7_U0_CFG22 EQU 0x40010e56 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG23 +CYDEV_UCFG_B0_P7_U0_CFG23 EQU 0x40010e57 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG24 +CYDEV_UCFG_B0_P7_U0_CFG24 EQU 0x40010e58 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG25 +CYDEV_UCFG_B0_P7_U0_CFG25 EQU 0x40010e59 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG26 +CYDEV_UCFG_B0_P7_U0_CFG26 EQU 0x40010e5a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG27 +CYDEV_UCFG_B0_P7_U0_CFG27 EQU 0x40010e5b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG28 +CYDEV_UCFG_B0_P7_U0_CFG28 EQU 0x40010e5c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG29 +CYDEV_UCFG_B0_P7_U0_CFG29 EQU 0x40010e5d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG30 +CYDEV_UCFG_B0_P7_U0_CFG30 EQU 0x40010e5e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_CFG31 +CYDEV_UCFG_B0_P7_U0_CFG31 EQU 0x40010e5f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG0 +CYDEV_UCFG_B0_P7_U0_DCFG0 EQU 0x40010e60 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG1 +CYDEV_UCFG_B0_P7_U0_DCFG1 EQU 0x40010e62 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG2 +CYDEV_UCFG_B0_P7_U0_DCFG2 EQU 0x40010e64 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG3 +CYDEV_UCFG_B0_P7_U0_DCFG3 EQU 0x40010e66 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG4 +CYDEV_UCFG_B0_P7_U0_DCFG4 EQU 0x40010e68 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG5 +CYDEV_UCFG_B0_P7_U0_DCFG5 EQU 0x40010e6a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG6 +CYDEV_UCFG_B0_P7_U0_DCFG6 EQU 0x40010e6c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_DCFG7 +CYDEV_UCFG_B0_P7_U0_DCFG7 EQU 0x40010e6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_BASE +CYDEV_UCFG_B0_P7_U1_BASE EQU 0x40010e80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_SIZE +CYDEV_UCFG_B0_P7_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT0 +CYDEV_UCFG_B0_P7_U1_PLD_IT0 EQU 0x40010e80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT1 +CYDEV_UCFG_B0_P7_U1_PLD_IT1 EQU 0x40010e84 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT2 +CYDEV_UCFG_B0_P7_U1_PLD_IT2 EQU 0x40010e88 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT3 +CYDEV_UCFG_B0_P7_U1_PLD_IT3 EQU 0x40010e8c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT4 +CYDEV_UCFG_B0_P7_U1_PLD_IT4 EQU 0x40010e90 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT5 +CYDEV_UCFG_B0_P7_U1_PLD_IT5 EQU 0x40010e94 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT6 +CYDEV_UCFG_B0_P7_U1_PLD_IT6 EQU 0x40010e98 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT7 +CYDEV_UCFG_B0_P7_U1_PLD_IT7 EQU 0x40010e9c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT8 +CYDEV_UCFG_B0_P7_U1_PLD_IT8 EQU 0x40010ea0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT9 +CYDEV_UCFG_B0_P7_U1_PLD_IT9 EQU 0x40010ea4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT10 +CYDEV_UCFG_B0_P7_U1_PLD_IT10 EQU 0x40010ea8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_IT11 +CYDEV_UCFG_B0_P7_U1_PLD_IT11 EQU 0x40010eac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_ORT0 +CYDEV_UCFG_B0_P7_U1_PLD_ORT0 EQU 0x40010eb0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_ORT1 +CYDEV_UCFG_B0_P7_U1_PLD_ORT1 EQU 0x40010eb2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_ORT2 +CYDEV_UCFG_B0_P7_U1_PLD_ORT2 EQU 0x40010eb4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_PLD_ORT3 +CYDEV_UCFG_B0_P7_U1_PLD_ORT3 EQU 0x40010eb6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B0_P7_U1_MC_CFG_CEN_CONST EQU 0x40010eb8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB +CYDEV_UCFG_B0_P7_U1_MC_CFG_XORFB EQU 0x40010eba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B0_P7_U1_MC_CFG_SET_RESET EQU 0x40010ebc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS +CYDEV_UCFG_B0_P7_U1_MC_CFG_BYPASS EQU 0x40010ebe + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG0 +CYDEV_UCFG_B0_P7_U1_CFG0 EQU 0x40010ec0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG1 +CYDEV_UCFG_B0_P7_U1_CFG1 EQU 0x40010ec1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG2 +CYDEV_UCFG_B0_P7_U1_CFG2 EQU 0x40010ec2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG3 +CYDEV_UCFG_B0_P7_U1_CFG3 EQU 0x40010ec3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG4 +CYDEV_UCFG_B0_P7_U1_CFG4 EQU 0x40010ec4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG5 +CYDEV_UCFG_B0_P7_U1_CFG5 EQU 0x40010ec5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG6 +CYDEV_UCFG_B0_P7_U1_CFG6 EQU 0x40010ec6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG7 +CYDEV_UCFG_B0_P7_U1_CFG7 EQU 0x40010ec7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG8 +CYDEV_UCFG_B0_P7_U1_CFG8 EQU 0x40010ec8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG9 +CYDEV_UCFG_B0_P7_U1_CFG9 EQU 0x40010ec9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG10 +CYDEV_UCFG_B0_P7_U1_CFG10 EQU 0x40010eca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG11 +CYDEV_UCFG_B0_P7_U1_CFG11 EQU 0x40010ecb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG12 +CYDEV_UCFG_B0_P7_U1_CFG12 EQU 0x40010ecc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG13 +CYDEV_UCFG_B0_P7_U1_CFG13 EQU 0x40010ecd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG14 +CYDEV_UCFG_B0_P7_U1_CFG14 EQU 0x40010ece + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG15 +CYDEV_UCFG_B0_P7_U1_CFG15 EQU 0x40010ecf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG16 +CYDEV_UCFG_B0_P7_U1_CFG16 EQU 0x40010ed0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG17 +CYDEV_UCFG_B0_P7_U1_CFG17 EQU 0x40010ed1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG18 +CYDEV_UCFG_B0_P7_U1_CFG18 EQU 0x40010ed2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG19 +CYDEV_UCFG_B0_P7_U1_CFG19 EQU 0x40010ed3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG20 +CYDEV_UCFG_B0_P7_U1_CFG20 EQU 0x40010ed4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG21 +CYDEV_UCFG_B0_P7_U1_CFG21 EQU 0x40010ed5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG22 +CYDEV_UCFG_B0_P7_U1_CFG22 EQU 0x40010ed6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG23 +CYDEV_UCFG_B0_P7_U1_CFG23 EQU 0x40010ed7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG24 +CYDEV_UCFG_B0_P7_U1_CFG24 EQU 0x40010ed8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG25 +CYDEV_UCFG_B0_P7_U1_CFG25 EQU 0x40010ed9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG26 +CYDEV_UCFG_B0_P7_U1_CFG26 EQU 0x40010eda + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG27 +CYDEV_UCFG_B0_P7_U1_CFG27 EQU 0x40010edb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG28 +CYDEV_UCFG_B0_P7_U1_CFG28 EQU 0x40010edc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG29 +CYDEV_UCFG_B0_P7_U1_CFG29 EQU 0x40010edd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG30 +CYDEV_UCFG_B0_P7_U1_CFG30 EQU 0x40010ede + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_CFG31 +CYDEV_UCFG_B0_P7_U1_CFG31 EQU 0x40010edf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG0 +CYDEV_UCFG_B0_P7_U1_DCFG0 EQU 0x40010ee0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG1 +CYDEV_UCFG_B0_P7_U1_DCFG1 EQU 0x40010ee2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG2 +CYDEV_UCFG_B0_P7_U1_DCFG2 EQU 0x40010ee4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG3 +CYDEV_UCFG_B0_P7_U1_DCFG3 EQU 0x40010ee6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG4 +CYDEV_UCFG_B0_P7_U1_DCFG4 EQU 0x40010ee8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG5 +CYDEV_UCFG_B0_P7_U1_DCFG5 EQU 0x40010eea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG6 +CYDEV_UCFG_B0_P7_U1_DCFG6 EQU 0x40010eec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_DCFG7 +CYDEV_UCFG_B0_P7_U1_DCFG7 EQU 0x40010eee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_ROUTE_BASE +CYDEV_UCFG_B0_P7_ROUTE_BASE EQU 0x40010f00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_ROUTE_SIZE +CYDEV_UCFG_B0_P7_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_BASE +CYDEV_UCFG_B1_BASE EQU 0x40011000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_SIZE +CYDEV_UCFG_B1_SIZE EQU 0x00000fef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_BASE +CYDEV_UCFG_B1_P2_BASE EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_SIZE +CYDEV_UCFG_B1_P2_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_BASE +CYDEV_UCFG_B1_P2_U0_BASE EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_SIZE +CYDEV_UCFG_B1_P2_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT0 +CYDEV_UCFG_B1_P2_U0_PLD_IT0 EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT1 +CYDEV_UCFG_B1_P2_U0_PLD_IT1 EQU 0x40011404 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT2 +CYDEV_UCFG_B1_P2_U0_PLD_IT2 EQU 0x40011408 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT3 +CYDEV_UCFG_B1_P2_U0_PLD_IT3 EQU 0x4001140c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT4 +CYDEV_UCFG_B1_P2_U0_PLD_IT4 EQU 0x40011410 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT5 +CYDEV_UCFG_B1_P2_U0_PLD_IT5 EQU 0x40011414 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT6 +CYDEV_UCFG_B1_P2_U0_PLD_IT6 EQU 0x40011418 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT7 +CYDEV_UCFG_B1_P2_U0_PLD_IT7 EQU 0x4001141c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT8 +CYDEV_UCFG_B1_P2_U0_PLD_IT8 EQU 0x40011420 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT9 +CYDEV_UCFG_B1_P2_U0_PLD_IT9 EQU 0x40011424 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT10 +CYDEV_UCFG_B1_P2_U0_PLD_IT10 EQU 0x40011428 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_IT11 +CYDEV_UCFG_B1_P2_U0_PLD_IT11 EQU 0x4001142c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_ORT0 +CYDEV_UCFG_B1_P2_U0_PLD_ORT0 EQU 0x40011430 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_ORT1 +CYDEV_UCFG_B1_P2_U0_PLD_ORT1 EQU 0x40011432 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_ORT2 +CYDEV_UCFG_B1_P2_U0_PLD_ORT2 EQU 0x40011434 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_PLD_ORT3 +CYDEV_UCFG_B1_P2_U0_PLD_ORT3 EQU 0x40011436 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P2_U0_MC_CFG_CEN_CONST EQU 0x40011438 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB +CYDEV_UCFG_B1_P2_U0_MC_CFG_XORFB EQU 0x4001143a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P2_U0_MC_CFG_SET_RESET EQU 0x4001143c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS +CYDEV_UCFG_B1_P2_U0_MC_CFG_BYPASS EQU 0x4001143e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG0 +CYDEV_UCFG_B1_P2_U0_CFG0 EQU 0x40011440 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG1 +CYDEV_UCFG_B1_P2_U0_CFG1 EQU 0x40011441 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG2 +CYDEV_UCFG_B1_P2_U0_CFG2 EQU 0x40011442 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG3 +CYDEV_UCFG_B1_P2_U0_CFG3 EQU 0x40011443 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG4 +CYDEV_UCFG_B1_P2_U0_CFG4 EQU 0x40011444 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG5 +CYDEV_UCFG_B1_P2_U0_CFG5 EQU 0x40011445 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG6 +CYDEV_UCFG_B1_P2_U0_CFG6 EQU 0x40011446 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG7 +CYDEV_UCFG_B1_P2_U0_CFG7 EQU 0x40011447 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG8 +CYDEV_UCFG_B1_P2_U0_CFG8 EQU 0x40011448 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG9 +CYDEV_UCFG_B1_P2_U0_CFG9 EQU 0x40011449 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG10 +CYDEV_UCFG_B1_P2_U0_CFG10 EQU 0x4001144a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG11 +CYDEV_UCFG_B1_P2_U0_CFG11 EQU 0x4001144b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG12 +CYDEV_UCFG_B1_P2_U0_CFG12 EQU 0x4001144c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG13 +CYDEV_UCFG_B1_P2_U0_CFG13 EQU 0x4001144d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG14 +CYDEV_UCFG_B1_P2_U0_CFG14 EQU 0x4001144e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG15 +CYDEV_UCFG_B1_P2_U0_CFG15 EQU 0x4001144f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG16 +CYDEV_UCFG_B1_P2_U0_CFG16 EQU 0x40011450 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG17 +CYDEV_UCFG_B1_P2_U0_CFG17 EQU 0x40011451 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG18 +CYDEV_UCFG_B1_P2_U0_CFG18 EQU 0x40011452 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG19 +CYDEV_UCFG_B1_P2_U0_CFG19 EQU 0x40011453 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG20 +CYDEV_UCFG_B1_P2_U0_CFG20 EQU 0x40011454 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG21 +CYDEV_UCFG_B1_P2_U0_CFG21 EQU 0x40011455 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG22 +CYDEV_UCFG_B1_P2_U0_CFG22 EQU 0x40011456 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG23 +CYDEV_UCFG_B1_P2_U0_CFG23 EQU 0x40011457 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG24 +CYDEV_UCFG_B1_P2_U0_CFG24 EQU 0x40011458 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG25 +CYDEV_UCFG_B1_P2_U0_CFG25 EQU 0x40011459 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG26 +CYDEV_UCFG_B1_P2_U0_CFG26 EQU 0x4001145a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG27 +CYDEV_UCFG_B1_P2_U0_CFG27 EQU 0x4001145b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG28 +CYDEV_UCFG_B1_P2_U0_CFG28 EQU 0x4001145c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG29 +CYDEV_UCFG_B1_P2_U0_CFG29 EQU 0x4001145d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG30 +CYDEV_UCFG_B1_P2_U0_CFG30 EQU 0x4001145e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_CFG31 +CYDEV_UCFG_B1_P2_U0_CFG31 EQU 0x4001145f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG0 +CYDEV_UCFG_B1_P2_U0_DCFG0 EQU 0x40011460 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG1 +CYDEV_UCFG_B1_P2_U0_DCFG1 EQU 0x40011462 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG2 +CYDEV_UCFG_B1_P2_U0_DCFG2 EQU 0x40011464 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG3 +CYDEV_UCFG_B1_P2_U0_DCFG3 EQU 0x40011466 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG4 +CYDEV_UCFG_B1_P2_U0_DCFG4 EQU 0x40011468 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG5 +CYDEV_UCFG_B1_P2_U0_DCFG5 EQU 0x4001146a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG6 +CYDEV_UCFG_B1_P2_U0_DCFG6 EQU 0x4001146c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_DCFG7 +CYDEV_UCFG_B1_P2_U0_DCFG7 EQU 0x4001146e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_BASE +CYDEV_UCFG_B1_P2_U1_BASE EQU 0x40011480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_SIZE +CYDEV_UCFG_B1_P2_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT0 +CYDEV_UCFG_B1_P2_U1_PLD_IT0 EQU 0x40011480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT1 +CYDEV_UCFG_B1_P2_U1_PLD_IT1 EQU 0x40011484 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT2 +CYDEV_UCFG_B1_P2_U1_PLD_IT2 EQU 0x40011488 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT3 +CYDEV_UCFG_B1_P2_U1_PLD_IT3 EQU 0x4001148c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT4 +CYDEV_UCFG_B1_P2_U1_PLD_IT4 EQU 0x40011490 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT5 +CYDEV_UCFG_B1_P2_U1_PLD_IT5 EQU 0x40011494 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT6 +CYDEV_UCFG_B1_P2_U1_PLD_IT6 EQU 0x40011498 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT7 +CYDEV_UCFG_B1_P2_U1_PLD_IT7 EQU 0x4001149c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT8 +CYDEV_UCFG_B1_P2_U1_PLD_IT8 EQU 0x400114a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT9 +CYDEV_UCFG_B1_P2_U1_PLD_IT9 EQU 0x400114a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT10 +CYDEV_UCFG_B1_P2_U1_PLD_IT10 EQU 0x400114a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_IT11 +CYDEV_UCFG_B1_P2_U1_PLD_IT11 EQU 0x400114ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_ORT0 +CYDEV_UCFG_B1_P2_U1_PLD_ORT0 EQU 0x400114b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_ORT1 +CYDEV_UCFG_B1_P2_U1_PLD_ORT1 EQU 0x400114b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_ORT2 +CYDEV_UCFG_B1_P2_U1_PLD_ORT2 EQU 0x400114b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_PLD_ORT3 +CYDEV_UCFG_B1_P2_U1_PLD_ORT3 EQU 0x400114b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P2_U1_MC_CFG_CEN_CONST EQU 0x400114b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB +CYDEV_UCFG_B1_P2_U1_MC_CFG_XORFB EQU 0x400114ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P2_U1_MC_CFG_SET_RESET EQU 0x400114bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS +CYDEV_UCFG_B1_P2_U1_MC_CFG_BYPASS EQU 0x400114be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG0 +CYDEV_UCFG_B1_P2_U1_CFG0 EQU 0x400114c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG1 +CYDEV_UCFG_B1_P2_U1_CFG1 EQU 0x400114c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG2 +CYDEV_UCFG_B1_P2_U1_CFG2 EQU 0x400114c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG3 +CYDEV_UCFG_B1_P2_U1_CFG3 EQU 0x400114c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG4 +CYDEV_UCFG_B1_P2_U1_CFG4 EQU 0x400114c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG5 +CYDEV_UCFG_B1_P2_U1_CFG5 EQU 0x400114c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG6 +CYDEV_UCFG_B1_P2_U1_CFG6 EQU 0x400114c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG7 +CYDEV_UCFG_B1_P2_U1_CFG7 EQU 0x400114c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG8 +CYDEV_UCFG_B1_P2_U1_CFG8 EQU 0x400114c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG9 +CYDEV_UCFG_B1_P2_U1_CFG9 EQU 0x400114c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG10 +CYDEV_UCFG_B1_P2_U1_CFG10 EQU 0x400114ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG11 +CYDEV_UCFG_B1_P2_U1_CFG11 EQU 0x400114cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG12 +CYDEV_UCFG_B1_P2_U1_CFG12 EQU 0x400114cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG13 +CYDEV_UCFG_B1_P2_U1_CFG13 EQU 0x400114cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG14 +CYDEV_UCFG_B1_P2_U1_CFG14 EQU 0x400114ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG15 +CYDEV_UCFG_B1_P2_U1_CFG15 EQU 0x400114cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG16 +CYDEV_UCFG_B1_P2_U1_CFG16 EQU 0x400114d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG17 +CYDEV_UCFG_B1_P2_U1_CFG17 EQU 0x400114d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG18 +CYDEV_UCFG_B1_P2_U1_CFG18 EQU 0x400114d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG19 +CYDEV_UCFG_B1_P2_U1_CFG19 EQU 0x400114d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG20 +CYDEV_UCFG_B1_P2_U1_CFG20 EQU 0x400114d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG21 +CYDEV_UCFG_B1_P2_U1_CFG21 EQU 0x400114d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG22 +CYDEV_UCFG_B1_P2_U1_CFG22 EQU 0x400114d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG23 +CYDEV_UCFG_B1_P2_U1_CFG23 EQU 0x400114d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG24 +CYDEV_UCFG_B1_P2_U1_CFG24 EQU 0x400114d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG25 +CYDEV_UCFG_B1_P2_U1_CFG25 EQU 0x400114d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG26 +CYDEV_UCFG_B1_P2_U1_CFG26 EQU 0x400114da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG27 +CYDEV_UCFG_B1_P2_U1_CFG27 EQU 0x400114db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG28 +CYDEV_UCFG_B1_P2_U1_CFG28 EQU 0x400114dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG29 +CYDEV_UCFG_B1_P2_U1_CFG29 EQU 0x400114dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG30 +CYDEV_UCFG_B1_P2_U1_CFG30 EQU 0x400114de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_CFG31 +CYDEV_UCFG_B1_P2_U1_CFG31 EQU 0x400114df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG0 +CYDEV_UCFG_B1_P2_U1_DCFG0 EQU 0x400114e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG1 +CYDEV_UCFG_B1_P2_U1_DCFG1 EQU 0x400114e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG2 +CYDEV_UCFG_B1_P2_U1_DCFG2 EQU 0x400114e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG3 +CYDEV_UCFG_B1_P2_U1_DCFG3 EQU 0x400114e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG4 +CYDEV_UCFG_B1_P2_U1_DCFG4 EQU 0x400114e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG5 +CYDEV_UCFG_B1_P2_U1_DCFG5 EQU 0x400114ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG6 +CYDEV_UCFG_B1_P2_U1_DCFG6 EQU 0x400114ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_DCFG7 +CYDEV_UCFG_B1_P2_U1_DCFG7 EQU 0x400114ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_ROUTE_BASE +CYDEV_UCFG_B1_P2_ROUTE_BASE EQU 0x40011500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_ROUTE_SIZE +CYDEV_UCFG_B1_P2_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_BASE +CYDEV_UCFG_B1_P3_BASE EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_SIZE +CYDEV_UCFG_B1_P3_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_BASE +CYDEV_UCFG_B1_P3_U0_BASE EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_SIZE +CYDEV_UCFG_B1_P3_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT0 +CYDEV_UCFG_B1_P3_U0_PLD_IT0 EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT1 +CYDEV_UCFG_B1_P3_U0_PLD_IT1 EQU 0x40011604 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT2 +CYDEV_UCFG_B1_P3_U0_PLD_IT2 EQU 0x40011608 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT3 +CYDEV_UCFG_B1_P3_U0_PLD_IT3 EQU 0x4001160c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT4 +CYDEV_UCFG_B1_P3_U0_PLD_IT4 EQU 0x40011610 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT5 +CYDEV_UCFG_B1_P3_U0_PLD_IT5 EQU 0x40011614 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT6 +CYDEV_UCFG_B1_P3_U0_PLD_IT6 EQU 0x40011618 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT7 +CYDEV_UCFG_B1_P3_U0_PLD_IT7 EQU 0x4001161c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT8 +CYDEV_UCFG_B1_P3_U0_PLD_IT8 EQU 0x40011620 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT9 +CYDEV_UCFG_B1_P3_U0_PLD_IT9 EQU 0x40011624 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT10 +CYDEV_UCFG_B1_P3_U0_PLD_IT10 EQU 0x40011628 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_IT11 +CYDEV_UCFG_B1_P3_U0_PLD_IT11 EQU 0x4001162c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_ORT0 +CYDEV_UCFG_B1_P3_U0_PLD_ORT0 EQU 0x40011630 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_ORT1 +CYDEV_UCFG_B1_P3_U0_PLD_ORT1 EQU 0x40011632 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_ORT2 +CYDEV_UCFG_B1_P3_U0_PLD_ORT2 EQU 0x40011634 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_PLD_ORT3 +CYDEV_UCFG_B1_P3_U0_PLD_ORT3 EQU 0x40011636 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P3_U0_MC_CFG_CEN_CONST EQU 0x40011638 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB +CYDEV_UCFG_B1_P3_U0_MC_CFG_XORFB EQU 0x4001163a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P3_U0_MC_CFG_SET_RESET EQU 0x4001163c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS +CYDEV_UCFG_B1_P3_U0_MC_CFG_BYPASS EQU 0x4001163e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG0 +CYDEV_UCFG_B1_P3_U0_CFG0 EQU 0x40011640 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG1 +CYDEV_UCFG_B1_P3_U0_CFG1 EQU 0x40011641 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG2 +CYDEV_UCFG_B1_P3_U0_CFG2 EQU 0x40011642 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG3 +CYDEV_UCFG_B1_P3_U0_CFG3 EQU 0x40011643 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG4 +CYDEV_UCFG_B1_P3_U0_CFG4 EQU 0x40011644 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG5 +CYDEV_UCFG_B1_P3_U0_CFG5 EQU 0x40011645 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG6 +CYDEV_UCFG_B1_P3_U0_CFG6 EQU 0x40011646 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG7 +CYDEV_UCFG_B1_P3_U0_CFG7 EQU 0x40011647 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG8 +CYDEV_UCFG_B1_P3_U0_CFG8 EQU 0x40011648 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG9 +CYDEV_UCFG_B1_P3_U0_CFG9 EQU 0x40011649 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG10 +CYDEV_UCFG_B1_P3_U0_CFG10 EQU 0x4001164a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG11 +CYDEV_UCFG_B1_P3_U0_CFG11 EQU 0x4001164b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG12 +CYDEV_UCFG_B1_P3_U0_CFG12 EQU 0x4001164c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG13 +CYDEV_UCFG_B1_P3_U0_CFG13 EQU 0x4001164d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG14 +CYDEV_UCFG_B1_P3_U0_CFG14 EQU 0x4001164e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG15 +CYDEV_UCFG_B1_P3_U0_CFG15 EQU 0x4001164f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG16 +CYDEV_UCFG_B1_P3_U0_CFG16 EQU 0x40011650 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG17 +CYDEV_UCFG_B1_P3_U0_CFG17 EQU 0x40011651 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG18 +CYDEV_UCFG_B1_P3_U0_CFG18 EQU 0x40011652 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG19 +CYDEV_UCFG_B1_P3_U0_CFG19 EQU 0x40011653 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG20 +CYDEV_UCFG_B1_P3_U0_CFG20 EQU 0x40011654 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG21 +CYDEV_UCFG_B1_P3_U0_CFG21 EQU 0x40011655 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG22 +CYDEV_UCFG_B1_P3_U0_CFG22 EQU 0x40011656 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG23 +CYDEV_UCFG_B1_P3_U0_CFG23 EQU 0x40011657 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG24 +CYDEV_UCFG_B1_P3_U0_CFG24 EQU 0x40011658 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG25 +CYDEV_UCFG_B1_P3_U0_CFG25 EQU 0x40011659 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG26 +CYDEV_UCFG_B1_P3_U0_CFG26 EQU 0x4001165a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG27 +CYDEV_UCFG_B1_P3_U0_CFG27 EQU 0x4001165b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG28 +CYDEV_UCFG_B1_P3_U0_CFG28 EQU 0x4001165c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG29 +CYDEV_UCFG_B1_P3_U0_CFG29 EQU 0x4001165d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG30 +CYDEV_UCFG_B1_P3_U0_CFG30 EQU 0x4001165e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_CFG31 +CYDEV_UCFG_B1_P3_U0_CFG31 EQU 0x4001165f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG0 +CYDEV_UCFG_B1_P3_U0_DCFG0 EQU 0x40011660 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG1 +CYDEV_UCFG_B1_P3_U0_DCFG1 EQU 0x40011662 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG2 +CYDEV_UCFG_B1_P3_U0_DCFG2 EQU 0x40011664 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG3 +CYDEV_UCFG_B1_P3_U0_DCFG3 EQU 0x40011666 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG4 +CYDEV_UCFG_B1_P3_U0_DCFG4 EQU 0x40011668 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG5 +CYDEV_UCFG_B1_P3_U0_DCFG5 EQU 0x4001166a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG6 +CYDEV_UCFG_B1_P3_U0_DCFG6 EQU 0x4001166c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_DCFG7 +CYDEV_UCFG_B1_P3_U0_DCFG7 EQU 0x4001166e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_BASE +CYDEV_UCFG_B1_P3_U1_BASE EQU 0x40011680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_SIZE +CYDEV_UCFG_B1_P3_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT0 +CYDEV_UCFG_B1_P3_U1_PLD_IT0 EQU 0x40011680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT1 +CYDEV_UCFG_B1_P3_U1_PLD_IT1 EQU 0x40011684 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT2 +CYDEV_UCFG_B1_P3_U1_PLD_IT2 EQU 0x40011688 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT3 +CYDEV_UCFG_B1_P3_U1_PLD_IT3 EQU 0x4001168c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT4 +CYDEV_UCFG_B1_P3_U1_PLD_IT4 EQU 0x40011690 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT5 +CYDEV_UCFG_B1_P3_U1_PLD_IT5 EQU 0x40011694 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT6 +CYDEV_UCFG_B1_P3_U1_PLD_IT6 EQU 0x40011698 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT7 +CYDEV_UCFG_B1_P3_U1_PLD_IT7 EQU 0x4001169c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT8 +CYDEV_UCFG_B1_P3_U1_PLD_IT8 EQU 0x400116a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT9 +CYDEV_UCFG_B1_P3_U1_PLD_IT9 EQU 0x400116a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT10 +CYDEV_UCFG_B1_P3_U1_PLD_IT10 EQU 0x400116a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_IT11 +CYDEV_UCFG_B1_P3_U1_PLD_IT11 EQU 0x400116ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_ORT0 +CYDEV_UCFG_B1_P3_U1_PLD_ORT0 EQU 0x400116b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_ORT1 +CYDEV_UCFG_B1_P3_U1_PLD_ORT1 EQU 0x400116b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_ORT2 +CYDEV_UCFG_B1_P3_U1_PLD_ORT2 EQU 0x400116b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_PLD_ORT3 +CYDEV_UCFG_B1_P3_U1_PLD_ORT3 EQU 0x400116b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P3_U1_MC_CFG_CEN_CONST EQU 0x400116b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB +CYDEV_UCFG_B1_P3_U1_MC_CFG_XORFB EQU 0x400116ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P3_U1_MC_CFG_SET_RESET EQU 0x400116bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS +CYDEV_UCFG_B1_P3_U1_MC_CFG_BYPASS EQU 0x400116be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG0 +CYDEV_UCFG_B1_P3_U1_CFG0 EQU 0x400116c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG1 +CYDEV_UCFG_B1_P3_U1_CFG1 EQU 0x400116c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG2 +CYDEV_UCFG_B1_P3_U1_CFG2 EQU 0x400116c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG3 +CYDEV_UCFG_B1_P3_U1_CFG3 EQU 0x400116c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG4 +CYDEV_UCFG_B1_P3_U1_CFG4 EQU 0x400116c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG5 +CYDEV_UCFG_B1_P3_U1_CFG5 EQU 0x400116c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG6 +CYDEV_UCFG_B1_P3_U1_CFG6 EQU 0x400116c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG7 +CYDEV_UCFG_B1_P3_U1_CFG7 EQU 0x400116c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG8 +CYDEV_UCFG_B1_P3_U1_CFG8 EQU 0x400116c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG9 +CYDEV_UCFG_B1_P3_U1_CFG9 EQU 0x400116c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG10 +CYDEV_UCFG_B1_P3_U1_CFG10 EQU 0x400116ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG11 +CYDEV_UCFG_B1_P3_U1_CFG11 EQU 0x400116cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG12 +CYDEV_UCFG_B1_P3_U1_CFG12 EQU 0x400116cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG13 +CYDEV_UCFG_B1_P3_U1_CFG13 EQU 0x400116cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG14 +CYDEV_UCFG_B1_P3_U1_CFG14 EQU 0x400116ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG15 +CYDEV_UCFG_B1_P3_U1_CFG15 EQU 0x400116cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG16 +CYDEV_UCFG_B1_P3_U1_CFG16 EQU 0x400116d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG17 +CYDEV_UCFG_B1_P3_U1_CFG17 EQU 0x400116d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG18 +CYDEV_UCFG_B1_P3_U1_CFG18 EQU 0x400116d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG19 +CYDEV_UCFG_B1_P3_U1_CFG19 EQU 0x400116d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG20 +CYDEV_UCFG_B1_P3_U1_CFG20 EQU 0x400116d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG21 +CYDEV_UCFG_B1_P3_U1_CFG21 EQU 0x400116d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG22 +CYDEV_UCFG_B1_P3_U1_CFG22 EQU 0x400116d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG23 +CYDEV_UCFG_B1_P3_U1_CFG23 EQU 0x400116d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG24 +CYDEV_UCFG_B1_P3_U1_CFG24 EQU 0x400116d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG25 +CYDEV_UCFG_B1_P3_U1_CFG25 EQU 0x400116d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG26 +CYDEV_UCFG_B1_P3_U1_CFG26 EQU 0x400116da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG27 +CYDEV_UCFG_B1_P3_U1_CFG27 EQU 0x400116db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG28 +CYDEV_UCFG_B1_P3_U1_CFG28 EQU 0x400116dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG29 +CYDEV_UCFG_B1_P3_U1_CFG29 EQU 0x400116dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG30 +CYDEV_UCFG_B1_P3_U1_CFG30 EQU 0x400116de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_CFG31 +CYDEV_UCFG_B1_P3_U1_CFG31 EQU 0x400116df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG0 +CYDEV_UCFG_B1_P3_U1_DCFG0 EQU 0x400116e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG1 +CYDEV_UCFG_B1_P3_U1_DCFG1 EQU 0x400116e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG2 +CYDEV_UCFG_B1_P3_U1_DCFG2 EQU 0x400116e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG3 +CYDEV_UCFG_B1_P3_U1_DCFG3 EQU 0x400116e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG4 +CYDEV_UCFG_B1_P3_U1_DCFG4 EQU 0x400116e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG5 +CYDEV_UCFG_B1_P3_U1_DCFG5 EQU 0x400116ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG6 +CYDEV_UCFG_B1_P3_U1_DCFG6 EQU 0x400116ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_DCFG7 +CYDEV_UCFG_B1_P3_U1_DCFG7 EQU 0x400116ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_ROUTE_BASE +CYDEV_UCFG_B1_P3_ROUTE_BASE EQU 0x40011700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_ROUTE_SIZE +CYDEV_UCFG_B1_P3_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_BASE +CYDEV_UCFG_B1_P4_BASE EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_SIZE +CYDEV_UCFG_B1_P4_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_BASE +CYDEV_UCFG_B1_P4_U0_BASE EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_SIZE +CYDEV_UCFG_B1_P4_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT0 +CYDEV_UCFG_B1_P4_U0_PLD_IT0 EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT1 +CYDEV_UCFG_B1_P4_U0_PLD_IT1 EQU 0x40011804 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT2 +CYDEV_UCFG_B1_P4_U0_PLD_IT2 EQU 0x40011808 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT3 +CYDEV_UCFG_B1_P4_U0_PLD_IT3 EQU 0x4001180c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT4 +CYDEV_UCFG_B1_P4_U0_PLD_IT4 EQU 0x40011810 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT5 +CYDEV_UCFG_B1_P4_U0_PLD_IT5 EQU 0x40011814 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT6 +CYDEV_UCFG_B1_P4_U0_PLD_IT6 EQU 0x40011818 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT7 +CYDEV_UCFG_B1_P4_U0_PLD_IT7 EQU 0x4001181c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT8 +CYDEV_UCFG_B1_P4_U0_PLD_IT8 EQU 0x40011820 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT9 +CYDEV_UCFG_B1_P4_U0_PLD_IT9 EQU 0x40011824 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT10 +CYDEV_UCFG_B1_P4_U0_PLD_IT10 EQU 0x40011828 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_IT11 +CYDEV_UCFG_B1_P4_U0_PLD_IT11 EQU 0x4001182c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_ORT0 +CYDEV_UCFG_B1_P4_U0_PLD_ORT0 EQU 0x40011830 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_ORT1 +CYDEV_UCFG_B1_P4_U0_PLD_ORT1 EQU 0x40011832 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_ORT2 +CYDEV_UCFG_B1_P4_U0_PLD_ORT2 EQU 0x40011834 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_PLD_ORT3 +CYDEV_UCFG_B1_P4_U0_PLD_ORT3 EQU 0x40011836 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P4_U0_MC_CFG_CEN_CONST EQU 0x40011838 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB +CYDEV_UCFG_B1_P4_U0_MC_CFG_XORFB EQU 0x4001183a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P4_U0_MC_CFG_SET_RESET EQU 0x4001183c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS +CYDEV_UCFG_B1_P4_U0_MC_CFG_BYPASS EQU 0x4001183e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG0 +CYDEV_UCFG_B1_P4_U0_CFG0 EQU 0x40011840 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG1 +CYDEV_UCFG_B1_P4_U0_CFG1 EQU 0x40011841 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG2 +CYDEV_UCFG_B1_P4_U0_CFG2 EQU 0x40011842 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG3 +CYDEV_UCFG_B1_P4_U0_CFG3 EQU 0x40011843 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG4 +CYDEV_UCFG_B1_P4_U0_CFG4 EQU 0x40011844 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG5 +CYDEV_UCFG_B1_P4_U0_CFG5 EQU 0x40011845 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG6 +CYDEV_UCFG_B1_P4_U0_CFG6 EQU 0x40011846 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG7 +CYDEV_UCFG_B1_P4_U0_CFG7 EQU 0x40011847 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG8 +CYDEV_UCFG_B1_P4_U0_CFG8 EQU 0x40011848 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG9 +CYDEV_UCFG_B1_P4_U0_CFG9 EQU 0x40011849 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG10 +CYDEV_UCFG_B1_P4_U0_CFG10 EQU 0x4001184a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG11 +CYDEV_UCFG_B1_P4_U0_CFG11 EQU 0x4001184b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG12 +CYDEV_UCFG_B1_P4_U0_CFG12 EQU 0x4001184c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG13 +CYDEV_UCFG_B1_P4_U0_CFG13 EQU 0x4001184d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG14 +CYDEV_UCFG_B1_P4_U0_CFG14 EQU 0x4001184e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG15 +CYDEV_UCFG_B1_P4_U0_CFG15 EQU 0x4001184f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG16 +CYDEV_UCFG_B1_P4_U0_CFG16 EQU 0x40011850 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG17 +CYDEV_UCFG_B1_P4_U0_CFG17 EQU 0x40011851 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG18 +CYDEV_UCFG_B1_P4_U0_CFG18 EQU 0x40011852 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG19 +CYDEV_UCFG_B1_P4_U0_CFG19 EQU 0x40011853 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG20 +CYDEV_UCFG_B1_P4_U0_CFG20 EQU 0x40011854 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG21 +CYDEV_UCFG_B1_P4_U0_CFG21 EQU 0x40011855 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG22 +CYDEV_UCFG_B1_P4_U0_CFG22 EQU 0x40011856 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG23 +CYDEV_UCFG_B1_P4_U0_CFG23 EQU 0x40011857 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG24 +CYDEV_UCFG_B1_P4_U0_CFG24 EQU 0x40011858 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG25 +CYDEV_UCFG_B1_P4_U0_CFG25 EQU 0x40011859 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG26 +CYDEV_UCFG_B1_P4_U0_CFG26 EQU 0x4001185a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG27 +CYDEV_UCFG_B1_P4_U0_CFG27 EQU 0x4001185b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG28 +CYDEV_UCFG_B1_P4_U0_CFG28 EQU 0x4001185c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG29 +CYDEV_UCFG_B1_P4_U0_CFG29 EQU 0x4001185d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG30 +CYDEV_UCFG_B1_P4_U0_CFG30 EQU 0x4001185e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_CFG31 +CYDEV_UCFG_B1_P4_U0_CFG31 EQU 0x4001185f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG0 +CYDEV_UCFG_B1_P4_U0_DCFG0 EQU 0x40011860 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG1 +CYDEV_UCFG_B1_P4_U0_DCFG1 EQU 0x40011862 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG2 +CYDEV_UCFG_B1_P4_U0_DCFG2 EQU 0x40011864 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG3 +CYDEV_UCFG_B1_P4_U0_DCFG3 EQU 0x40011866 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG4 +CYDEV_UCFG_B1_P4_U0_DCFG4 EQU 0x40011868 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG5 +CYDEV_UCFG_B1_P4_U0_DCFG5 EQU 0x4001186a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG6 +CYDEV_UCFG_B1_P4_U0_DCFG6 EQU 0x4001186c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_DCFG7 +CYDEV_UCFG_B1_P4_U0_DCFG7 EQU 0x4001186e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_BASE +CYDEV_UCFG_B1_P4_U1_BASE EQU 0x40011880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_SIZE +CYDEV_UCFG_B1_P4_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT0 +CYDEV_UCFG_B1_P4_U1_PLD_IT0 EQU 0x40011880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT1 +CYDEV_UCFG_B1_P4_U1_PLD_IT1 EQU 0x40011884 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT2 +CYDEV_UCFG_B1_P4_U1_PLD_IT2 EQU 0x40011888 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT3 +CYDEV_UCFG_B1_P4_U1_PLD_IT3 EQU 0x4001188c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT4 +CYDEV_UCFG_B1_P4_U1_PLD_IT4 EQU 0x40011890 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT5 +CYDEV_UCFG_B1_P4_U1_PLD_IT5 EQU 0x40011894 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT6 +CYDEV_UCFG_B1_P4_U1_PLD_IT6 EQU 0x40011898 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT7 +CYDEV_UCFG_B1_P4_U1_PLD_IT7 EQU 0x4001189c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT8 +CYDEV_UCFG_B1_P4_U1_PLD_IT8 EQU 0x400118a0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT9 +CYDEV_UCFG_B1_P4_U1_PLD_IT9 EQU 0x400118a4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT10 +CYDEV_UCFG_B1_P4_U1_PLD_IT10 EQU 0x400118a8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_IT11 +CYDEV_UCFG_B1_P4_U1_PLD_IT11 EQU 0x400118ac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_ORT0 +CYDEV_UCFG_B1_P4_U1_PLD_ORT0 EQU 0x400118b0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_ORT1 +CYDEV_UCFG_B1_P4_U1_PLD_ORT1 EQU 0x400118b2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_ORT2 +CYDEV_UCFG_B1_P4_U1_PLD_ORT2 EQU 0x400118b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_PLD_ORT3 +CYDEV_UCFG_B1_P4_U1_PLD_ORT3 EQU 0x400118b6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P4_U1_MC_CFG_CEN_CONST EQU 0x400118b8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB +CYDEV_UCFG_B1_P4_U1_MC_CFG_XORFB EQU 0x400118ba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P4_U1_MC_CFG_SET_RESET EQU 0x400118bc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS +CYDEV_UCFG_B1_P4_U1_MC_CFG_BYPASS EQU 0x400118be + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG0 +CYDEV_UCFG_B1_P4_U1_CFG0 EQU 0x400118c0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG1 +CYDEV_UCFG_B1_P4_U1_CFG1 EQU 0x400118c1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG2 +CYDEV_UCFG_B1_P4_U1_CFG2 EQU 0x400118c2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG3 +CYDEV_UCFG_B1_P4_U1_CFG3 EQU 0x400118c3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG4 +CYDEV_UCFG_B1_P4_U1_CFG4 EQU 0x400118c4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG5 +CYDEV_UCFG_B1_P4_U1_CFG5 EQU 0x400118c5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG6 +CYDEV_UCFG_B1_P4_U1_CFG6 EQU 0x400118c6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG7 +CYDEV_UCFG_B1_P4_U1_CFG7 EQU 0x400118c7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG8 +CYDEV_UCFG_B1_P4_U1_CFG8 EQU 0x400118c8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG9 +CYDEV_UCFG_B1_P4_U1_CFG9 EQU 0x400118c9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG10 +CYDEV_UCFG_B1_P4_U1_CFG10 EQU 0x400118ca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG11 +CYDEV_UCFG_B1_P4_U1_CFG11 EQU 0x400118cb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG12 +CYDEV_UCFG_B1_P4_U1_CFG12 EQU 0x400118cc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG13 +CYDEV_UCFG_B1_P4_U1_CFG13 EQU 0x400118cd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG14 +CYDEV_UCFG_B1_P4_U1_CFG14 EQU 0x400118ce + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG15 +CYDEV_UCFG_B1_P4_U1_CFG15 EQU 0x400118cf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG16 +CYDEV_UCFG_B1_P4_U1_CFG16 EQU 0x400118d0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG17 +CYDEV_UCFG_B1_P4_U1_CFG17 EQU 0x400118d1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG18 +CYDEV_UCFG_B1_P4_U1_CFG18 EQU 0x400118d2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG19 +CYDEV_UCFG_B1_P4_U1_CFG19 EQU 0x400118d3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG20 +CYDEV_UCFG_B1_P4_U1_CFG20 EQU 0x400118d4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG21 +CYDEV_UCFG_B1_P4_U1_CFG21 EQU 0x400118d5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG22 +CYDEV_UCFG_B1_P4_U1_CFG22 EQU 0x400118d6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG23 +CYDEV_UCFG_B1_P4_U1_CFG23 EQU 0x400118d7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG24 +CYDEV_UCFG_B1_P4_U1_CFG24 EQU 0x400118d8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG25 +CYDEV_UCFG_B1_P4_U1_CFG25 EQU 0x400118d9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG26 +CYDEV_UCFG_B1_P4_U1_CFG26 EQU 0x400118da + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG27 +CYDEV_UCFG_B1_P4_U1_CFG27 EQU 0x400118db + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG28 +CYDEV_UCFG_B1_P4_U1_CFG28 EQU 0x400118dc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG29 +CYDEV_UCFG_B1_P4_U1_CFG29 EQU 0x400118dd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG30 +CYDEV_UCFG_B1_P4_U1_CFG30 EQU 0x400118de + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_CFG31 +CYDEV_UCFG_B1_P4_U1_CFG31 EQU 0x400118df + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG0 +CYDEV_UCFG_B1_P4_U1_DCFG0 EQU 0x400118e0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG1 +CYDEV_UCFG_B1_P4_U1_DCFG1 EQU 0x400118e2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG2 +CYDEV_UCFG_B1_P4_U1_DCFG2 EQU 0x400118e4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG3 +CYDEV_UCFG_B1_P4_U1_DCFG3 EQU 0x400118e6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG4 +CYDEV_UCFG_B1_P4_U1_DCFG4 EQU 0x400118e8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG5 +CYDEV_UCFG_B1_P4_U1_DCFG5 EQU 0x400118ea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG6 +CYDEV_UCFG_B1_P4_U1_DCFG6 EQU 0x400118ec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_DCFG7 +CYDEV_UCFG_B1_P4_U1_DCFG7 EQU 0x400118ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_ROUTE_BASE +CYDEV_UCFG_B1_P4_ROUTE_BASE EQU 0x40011900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_ROUTE_SIZE +CYDEV_UCFG_B1_P4_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_BASE +CYDEV_UCFG_B1_P5_BASE EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_SIZE +CYDEV_UCFG_B1_P5_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_BASE +CYDEV_UCFG_B1_P5_U0_BASE EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_SIZE +CYDEV_UCFG_B1_P5_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT0 +CYDEV_UCFG_B1_P5_U0_PLD_IT0 EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT1 +CYDEV_UCFG_B1_P5_U0_PLD_IT1 EQU 0x40011a04 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT2 +CYDEV_UCFG_B1_P5_U0_PLD_IT2 EQU 0x40011a08 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT3 +CYDEV_UCFG_B1_P5_U0_PLD_IT3 EQU 0x40011a0c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT4 +CYDEV_UCFG_B1_P5_U0_PLD_IT4 EQU 0x40011a10 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT5 +CYDEV_UCFG_B1_P5_U0_PLD_IT5 EQU 0x40011a14 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT6 +CYDEV_UCFG_B1_P5_U0_PLD_IT6 EQU 0x40011a18 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT7 +CYDEV_UCFG_B1_P5_U0_PLD_IT7 EQU 0x40011a1c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT8 +CYDEV_UCFG_B1_P5_U0_PLD_IT8 EQU 0x40011a20 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT9 +CYDEV_UCFG_B1_P5_U0_PLD_IT9 EQU 0x40011a24 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT10 +CYDEV_UCFG_B1_P5_U0_PLD_IT10 EQU 0x40011a28 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_IT11 +CYDEV_UCFG_B1_P5_U0_PLD_IT11 EQU 0x40011a2c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_ORT0 +CYDEV_UCFG_B1_P5_U0_PLD_ORT0 EQU 0x40011a30 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_ORT1 +CYDEV_UCFG_B1_P5_U0_PLD_ORT1 EQU 0x40011a32 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_ORT2 +CYDEV_UCFG_B1_P5_U0_PLD_ORT2 EQU 0x40011a34 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_PLD_ORT3 +CYDEV_UCFG_B1_P5_U0_PLD_ORT3 EQU 0x40011a36 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P5_U0_MC_CFG_CEN_CONST EQU 0x40011a38 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB +CYDEV_UCFG_B1_P5_U0_MC_CFG_XORFB EQU 0x40011a3a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P5_U0_MC_CFG_SET_RESET EQU 0x40011a3c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS +CYDEV_UCFG_B1_P5_U0_MC_CFG_BYPASS EQU 0x40011a3e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG0 +CYDEV_UCFG_B1_P5_U0_CFG0 EQU 0x40011a40 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG1 +CYDEV_UCFG_B1_P5_U0_CFG1 EQU 0x40011a41 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG2 +CYDEV_UCFG_B1_P5_U0_CFG2 EQU 0x40011a42 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG3 +CYDEV_UCFG_B1_P5_U0_CFG3 EQU 0x40011a43 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG4 +CYDEV_UCFG_B1_P5_U0_CFG4 EQU 0x40011a44 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG5 +CYDEV_UCFG_B1_P5_U0_CFG5 EQU 0x40011a45 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG6 +CYDEV_UCFG_B1_P5_U0_CFG6 EQU 0x40011a46 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG7 +CYDEV_UCFG_B1_P5_U0_CFG7 EQU 0x40011a47 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG8 +CYDEV_UCFG_B1_P5_U0_CFG8 EQU 0x40011a48 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG9 +CYDEV_UCFG_B1_P5_U0_CFG9 EQU 0x40011a49 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG10 +CYDEV_UCFG_B1_P5_U0_CFG10 EQU 0x40011a4a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG11 +CYDEV_UCFG_B1_P5_U0_CFG11 EQU 0x40011a4b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG12 +CYDEV_UCFG_B1_P5_U0_CFG12 EQU 0x40011a4c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG13 +CYDEV_UCFG_B1_P5_U0_CFG13 EQU 0x40011a4d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG14 +CYDEV_UCFG_B1_P5_U0_CFG14 EQU 0x40011a4e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG15 +CYDEV_UCFG_B1_P5_U0_CFG15 EQU 0x40011a4f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG16 +CYDEV_UCFG_B1_P5_U0_CFG16 EQU 0x40011a50 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG17 +CYDEV_UCFG_B1_P5_U0_CFG17 EQU 0x40011a51 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG18 +CYDEV_UCFG_B1_P5_U0_CFG18 EQU 0x40011a52 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG19 +CYDEV_UCFG_B1_P5_U0_CFG19 EQU 0x40011a53 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG20 +CYDEV_UCFG_B1_P5_U0_CFG20 EQU 0x40011a54 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG21 +CYDEV_UCFG_B1_P5_U0_CFG21 EQU 0x40011a55 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG22 +CYDEV_UCFG_B1_P5_U0_CFG22 EQU 0x40011a56 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG23 +CYDEV_UCFG_B1_P5_U0_CFG23 EQU 0x40011a57 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG24 +CYDEV_UCFG_B1_P5_U0_CFG24 EQU 0x40011a58 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG25 +CYDEV_UCFG_B1_P5_U0_CFG25 EQU 0x40011a59 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG26 +CYDEV_UCFG_B1_P5_U0_CFG26 EQU 0x40011a5a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG27 +CYDEV_UCFG_B1_P5_U0_CFG27 EQU 0x40011a5b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG28 +CYDEV_UCFG_B1_P5_U0_CFG28 EQU 0x40011a5c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG29 +CYDEV_UCFG_B1_P5_U0_CFG29 EQU 0x40011a5d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG30 +CYDEV_UCFG_B1_P5_U0_CFG30 EQU 0x40011a5e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_CFG31 +CYDEV_UCFG_B1_P5_U0_CFG31 EQU 0x40011a5f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG0 +CYDEV_UCFG_B1_P5_U0_DCFG0 EQU 0x40011a60 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG1 +CYDEV_UCFG_B1_P5_U0_DCFG1 EQU 0x40011a62 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG2 +CYDEV_UCFG_B1_P5_U0_DCFG2 EQU 0x40011a64 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG3 +CYDEV_UCFG_B1_P5_U0_DCFG3 EQU 0x40011a66 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG4 +CYDEV_UCFG_B1_P5_U0_DCFG4 EQU 0x40011a68 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG5 +CYDEV_UCFG_B1_P5_U0_DCFG5 EQU 0x40011a6a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG6 +CYDEV_UCFG_B1_P5_U0_DCFG6 EQU 0x40011a6c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_DCFG7 +CYDEV_UCFG_B1_P5_U0_DCFG7 EQU 0x40011a6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_BASE +CYDEV_UCFG_B1_P5_U1_BASE EQU 0x40011a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_SIZE +CYDEV_UCFG_B1_P5_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT0 +CYDEV_UCFG_B1_P5_U1_PLD_IT0 EQU 0x40011a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT1 +CYDEV_UCFG_B1_P5_U1_PLD_IT1 EQU 0x40011a84 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT2 +CYDEV_UCFG_B1_P5_U1_PLD_IT2 EQU 0x40011a88 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT3 +CYDEV_UCFG_B1_P5_U1_PLD_IT3 EQU 0x40011a8c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT4 +CYDEV_UCFG_B1_P5_U1_PLD_IT4 EQU 0x40011a90 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT5 +CYDEV_UCFG_B1_P5_U1_PLD_IT5 EQU 0x40011a94 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT6 +CYDEV_UCFG_B1_P5_U1_PLD_IT6 EQU 0x40011a98 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT7 +CYDEV_UCFG_B1_P5_U1_PLD_IT7 EQU 0x40011a9c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT8 +CYDEV_UCFG_B1_P5_U1_PLD_IT8 EQU 0x40011aa0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT9 +CYDEV_UCFG_B1_P5_U1_PLD_IT9 EQU 0x40011aa4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT10 +CYDEV_UCFG_B1_P5_U1_PLD_IT10 EQU 0x40011aa8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_IT11 +CYDEV_UCFG_B1_P5_U1_PLD_IT11 EQU 0x40011aac + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_ORT0 +CYDEV_UCFG_B1_P5_U1_PLD_ORT0 EQU 0x40011ab0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_ORT1 +CYDEV_UCFG_B1_P5_U1_PLD_ORT1 EQU 0x40011ab2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_ORT2 +CYDEV_UCFG_B1_P5_U1_PLD_ORT2 EQU 0x40011ab4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_PLD_ORT3 +CYDEV_UCFG_B1_P5_U1_PLD_ORT3 EQU 0x40011ab6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST +CYDEV_UCFG_B1_P5_U1_MC_CFG_CEN_CONST EQU 0x40011ab8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB +CYDEV_UCFG_B1_P5_U1_MC_CFG_XORFB EQU 0x40011aba + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET +CYDEV_UCFG_B1_P5_U1_MC_CFG_SET_RESET EQU 0x40011abc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS +CYDEV_UCFG_B1_P5_U1_MC_CFG_BYPASS EQU 0x40011abe + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG0 +CYDEV_UCFG_B1_P5_U1_CFG0 EQU 0x40011ac0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG1 +CYDEV_UCFG_B1_P5_U1_CFG1 EQU 0x40011ac1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG2 +CYDEV_UCFG_B1_P5_U1_CFG2 EQU 0x40011ac2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG3 +CYDEV_UCFG_B1_P5_U1_CFG3 EQU 0x40011ac3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG4 +CYDEV_UCFG_B1_P5_U1_CFG4 EQU 0x40011ac4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG5 +CYDEV_UCFG_B1_P5_U1_CFG5 EQU 0x40011ac5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG6 +CYDEV_UCFG_B1_P5_U1_CFG6 EQU 0x40011ac6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG7 +CYDEV_UCFG_B1_P5_U1_CFG7 EQU 0x40011ac7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG8 +CYDEV_UCFG_B1_P5_U1_CFG8 EQU 0x40011ac8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG9 +CYDEV_UCFG_B1_P5_U1_CFG9 EQU 0x40011ac9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG10 +CYDEV_UCFG_B1_P5_U1_CFG10 EQU 0x40011aca + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG11 +CYDEV_UCFG_B1_P5_U1_CFG11 EQU 0x40011acb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG12 +CYDEV_UCFG_B1_P5_U1_CFG12 EQU 0x40011acc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG13 +CYDEV_UCFG_B1_P5_U1_CFG13 EQU 0x40011acd + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG14 +CYDEV_UCFG_B1_P5_U1_CFG14 EQU 0x40011ace + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG15 +CYDEV_UCFG_B1_P5_U1_CFG15 EQU 0x40011acf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG16 +CYDEV_UCFG_B1_P5_U1_CFG16 EQU 0x40011ad0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG17 +CYDEV_UCFG_B1_P5_U1_CFG17 EQU 0x40011ad1 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG18 +CYDEV_UCFG_B1_P5_U1_CFG18 EQU 0x40011ad2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG19 +CYDEV_UCFG_B1_P5_U1_CFG19 EQU 0x40011ad3 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG20 +CYDEV_UCFG_B1_P5_U1_CFG20 EQU 0x40011ad4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG21 +CYDEV_UCFG_B1_P5_U1_CFG21 EQU 0x40011ad5 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG22 +CYDEV_UCFG_B1_P5_U1_CFG22 EQU 0x40011ad6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG23 +CYDEV_UCFG_B1_P5_U1_CFG23 EQU 0x40011ad7 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG24 +CYDEV_UCFG_B1_P5_U1_CFG24 EQU 0x40011ad8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG25 +CYDEV_UCFG_B1_P5_U1_CFG25 EQU 0x40011ad9 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG26 +CYDEV_UCFG_B1_P5_U1_CFG26 EQU 0x40011ada + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG27 +CYDEV_UCFG_B1_P5_U1_CFG27 EQU 0x40011adb + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG28 +CYDEV_UCFG_B1_P5_U1_CFG28 EQU 0x40011adc + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG29 +CYDEV_UCFG_B1_P5_U1_CFG29 EQU 0x40011add + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG30 +CYDEV_UCFG_B1_P5_U1_CFG30 EQU 0x40011ade + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_CFG31 +CYDEV_UCFG_B1_P5_U1_CFG31 EQU 0x40011adf + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG0 +CYDEV_UCFG_B1_P5_U1_DCFG0 EQU 0x40011ae0 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG1 +CYDEV_UCFG_B1_P5_U1_DCFG1 EQU 0x40011ae2 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG2 +CYDEV_UCFG_B1_P5_U1_DCFG2 EQU 0x40011ae4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG3 +CYDEV_UCFG_B1_P5_U1_DCFG3 EQU 0x40011ae6 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG4 +CYDEV_UCFG_B1_P5_U1_DCFG4 EQU 0x40011ae8 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG5 +CYDEV_UCFG_B1_P5_U1_DCFG5 EQU 0x40011aea + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG6 +CYDEV_UCFG_B1_P5_U1_DCFG6 EQU 0x40011aec + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_DCFG7 +CYDEV_UCFG_B1_P5_U1_DCFG7 EQU 0x40011aee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_ROUTE_BASE +CYDEV_UCFG_B1_P5_ROUTE_BASE EQU 0x40011b00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_ROUTE_SIZE +CYDEV_UCFG_B1_P5_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI0_BASE +CYDEV_UCFG_DSI0_BASE EQU 0x40014000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI0_SIZE +CYDEV_UCFG_DSI0_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI1_BASE +CYDEV_UCFG_DSI1_BASE EQU 0x40014100 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI1_SIZE +CYDEV_UCFG_DSI1_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI2_BASE +CYDEV_UCFG_DSI2_BASE EQU 0x40014200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI2_SIZE +CYDEV_UCFG_DSI2_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI3_BASE +CYDEV_UCFG_DSI3_BASE EQU 0x40014300 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI3_SIZE +CYDEV_UCFG_DSI3_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI4_BASE +CYDEV_UCFG_DSI4_BASE EQU 0x40014400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI4_SIZE +CYDEV_UCFG_DSI4_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI5_BASE +CYDEV_UCFG_DSI5_BASE EQU 0x40014500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI5_SIZE +CYDEV_UCFG_DSI5_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI6_BASE +CYDEV_UCFG_DSI6_BASE EQU 0x40014600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI6_SIZE +CYDEV_UCFG_DSI6_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI7_BASE +CYDEV_UCFG_DSI7_BASE EQU 0x40014700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI7_SIZE +CYDEV_UCFG_DSI7_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI8_BASE +CYDEV_UCFG_DSI8_BASE EQU 0x40014800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI8_SIZE +CYDEV_UCFG_DSI8_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI9_BASE +CYDEV_UCFG_DSI9_BASE EQU 0x40014900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI9_SIZE +CYDEV_UCFG_DSI9_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI12_BASE +CYDEV_UCFG_DSI12_BASE EQU 0x40014c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI12_SIZE +CYDEV_UCFG_DSI12_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI13_BASE +CYDEV_UCFG_DSI13_BASE EQU 0x40014d00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI13_SIZE +CYDEV_UCFG_DSI13_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BASE +CYDEV_UCFG_BCTL0_BASE EQU 0x40015000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_SIZE +CYDEV_UCFG_BCTL0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_MDCLK_EN +CYDEV_UCFG_BCTL0_MDCLK_EN EQU 0x40015000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_MBCLK_EN +CYDEV_UCFG_BCTL0_MBCLK_EN EQU 0x40015001 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_WAIT_CFG +CYDEV_UCFG_BCTL0_WAIT_CFG EQU 0x40015002 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BANK_CTL +CYDEV_UCFG_BCTL0_BANK_CTL EQU 0x40015003 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_UDB_TEST_3 +CYDEV_UCFG_BCTL0_UDB_TEST_3 EQU 0x40015007 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_DCLK_EN0 +CYDEV_UCFG_BCTL0_DCLK_EN0 EQU 0x40015008 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BCLK_EN0 +CYDEV_UCFG_BCTL0_BCLK_EN0 EQU 0x40015009 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_DCLK_EN1 +CYDEV_UCFG_BCTL0_DCLK_EN1 EQU 0x4001500a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BCLK_EN1 +CYDEV_UCFG_BCTL0_BCLK_EN1 EQU 0x4001500b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_DCLK_EN2 +CYDEV_UCFG_BCTL0_DCLK_EN2 EQU 0x4001500c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BCLK_EN2 +CYDEV_UCFG_BCTL0_BCLK_EN2 EQU 0x4001500d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_DCLK_EN3 +CYDEV_UCFG_BCTL0_DCLK_EN3 EQU 0x4001500e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BCLK_EN3 +CYDEV_UCFG_BCTL0_BCLK_EN3 EQU 0x4001500f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BASE +CYDEV_UCFG_BCTL1_BASE EQU 0x40015010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_SIZE +CYDEV_UCFG_BCTL1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_MDCLK_EN +CYDEV_UCFG_BCTL1_MDCLK_EN EQU 0x40015010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_MBCLK_EN +CYDEV_UCFG_BCTL1_MBCLK_EN EQU 0x40015011 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_WAIT_CFG +CYDEV_UCFG_BCTL1_WAIT_CFG EQU 0x40015012 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BANK_CTL +CYDEV_UCFG_BCTL1_BANK_CTL EQU 0x40015013 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_UDB_TEST_3 +CYDEV_UCFG_BCTL1_UDB_TEST_3 EQU 0x40015017 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_DCLK_EN0 +CYDEV_UCFG_BCTL1_DCLK_EN0 EQU 0x40015018 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BCLK_EN0 +CYDEV_UCFG_BCTL1_BCLK_EN0 EQU 0x40015019 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_DCLK_EN1 +CYDEV_UCFG_BCTL1_DCLK_EN1 EQU 0x4001501a + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BCLK_EN1 +CYDEV_UCFG_BCTL1_BCLK_EN1 EQU 0x4001501b + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_DCLK_EN2 +CYDEV_UCFG_BCTL1_DCLK_EN2 EQU 0x4001501c + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BCLK_EN2 +CYDEV_UCFG_BCTL1_BCLK_EN2 EQU 0x4001501d + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_DCLK_EN3 +CYDEV_UCFG_BCTL1_DCLK_EN3 EQU 0x4001501e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BCLK_EN3 +CYDEV_UCFG_BCTL1_BCLK_EN3 EQU 0x4001501f + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_BASE +CYDEV_IDMUX_BASE EQU 0x40015100 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_SIZE +CYDEV_IDMUX_SIZE EQU 0x00000016 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL0 +CYDEV_IDMUX_IRQ_CTL0 EQU 0x40015100 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL1 +CYDEV_IDMUX_IRQ_CTL1 EQU 0x40015101 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL2 +CYDEV_IDMUX_IRQ_CTL2 EQU 0x40015102 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL3 +CYDEV_IDMUX_IRQ_CTL3 EQU 0x40015103 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL4 +CYDEV_IDMUX_IRQ_CTL4 EQU 0x40015104 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL5 +CYDEV_IDMUX_IRQ_CTL5 EQU 0x40015105 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL6 +CYDEV_IDMUX_IRQ_CTL6 EQU 0x40015106 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_IRQ_CTL7 +CYDEV_IDMUX_IRQ_CTL7 EQU 0x40015107 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL0 +CYDEV_IDMUX_DRQ_CTL0 EQU 0x40015110 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL1 +CYDEV_IDMUX_DRQ_CTL1 EQU 0x40015111 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL2 +CYDEV_IDMUX_DRQ_CTL2 EQU 0x40015112 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL3 +CYDEV_IDMUX_DRQ_CTL3 EQU 0x40015113 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL4 +CYDEV_IDMUX_DRQ_CTL4 EQU 0x40015114 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_DRQ_CTL5 +CYDEV_IDMUX_DRQ_CTL5 EQU 0x40015115 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_BASE +CYDEV_CACHERAM_BASE EQU 0x40030000 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_SIZE +CYDEV_CACHERAM_SIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_DATA_MBASE +CYDEV_CACHERAM_DATA_MBASE EQU 0x40030000 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_DATA_MSIZE +CYDEV_CACHERAM_DATA_MSIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_BASE +CYDEV_SFR_BASE EQU 0x40050100 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_SIZE +CYDEV_SFR_SIZE EQU 0x000000fb + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO0 +CYDEV_SFR_GPIO0 EQU 0x40050180 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD0 +CYDEV_SFR_GPIRD0 EQU 0x40050189 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO0_SEL +CYDEV_SFR_GPIO0_SEL EQU 0x4005018a + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO1 +CYDEV_SFR_GPIO1 EQU 0x40050190 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD1 +CYDEV_SFR_GPIRD1 EQU 0x40050191 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO2 +CYDEV_SFR_GPIO2 EQU 0x40050198 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD2 +CYDEV_SFR_GPIRD2 EQU 0x40050199 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO2_SEL +CYDEV_SFR_GPIO2_SEL EQU 0x4005019a + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO1_SEL +CYDEV_SFR_GPIO1_SEL EQU 0x400501a2 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO3 +CYDEV_SFR_GPIO3 EQU 0x400501b0 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD3 +CYDEV_SFR_GPIRD3 EQU 0x400501b1 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO3_SEL +CYDEV_SFR_GPIO3_SEL EQU 0x400501b2 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO4 +CYDEV_SFR_GPIO4 EQU 0x400501c0 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD4 +CYDEV_SFR_GPIRD4 EQU 0x400501c1 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO4_SEL +CYDEV_SFR_GPIO4_SEL EQU 0x400501c2 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO5 +CYDEV_SFR_GPIO5 EQU 0x400501c8 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD5 +CYDEV_SFR_GPIRD5 EQU 0x400501c9 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO5_SEL +CYDEV_SFR_GPIO5_SEL EQU 0x400501ca + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO6 +CYDEV_SFR_GPIO6 EQU 0x400501d8 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD6 +CYDEV_SFR_GPIRD6 EQU 0x400501d9 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO6_SEL +CYDEV_SFR_GPIO6_SEL EQU 0x400501da + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO12 +CYDEV_SFR_GPIO12 EQU 0x400501e8 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD12 +CYDEV_SFR_GPIRD12 EQU 0x400501e9 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO12_SEL +CYDEV_SFR_GPIO12_SEL EQU 0x400501f2 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO15 +CYDEV_SFR_GPIO15 EQU 0x400501f8 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIRD15 +CYDEV_SFR_GPIRD15 EQU 0x400501f9 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_GPIO15_SEL +CYDEV_SFR_GPIO15_SEL EQU 0x400501fa + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_BASE +CYDEV_P3BA_BASE EQU 0x40050300 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_SIZE +CYDEV_P3BA_SIZE EQU 0x0000002b + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_Y_START +CYDEV_P3BA_Y_START EQU 0x40050300 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_YROLL +CYDEV_P3BA_YROLL EQU 0x40050301 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_YCFG +CYDEV_P3BA_YCFG EQU 0x40050302 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_X_START1 +CYDEV_P3BA_X_START1 EQU 0x40050303 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_X_START2 +CYDEV_P3BA_X_START2 EQU 0x40050304 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_XROLL1 +CYDEV_P3BA_XROLL1 EQU 0x40050305 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_XROLL2 +CYDEV_P3BA_XROLL2 EQU 0x40050306 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_XINC +CYDEV_P3BA_XINC EQU 0x40050307 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_XCFG +CYDEV_P3BA_XCFG EQU 0x40050308 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_OFFSETADDR1 +CYDEV_P3BA_OFFSETADDR1 EQU 0x40050309 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_OFFSETADDR2 +CYDEV_P3BA_OFFSETADDR2 EQU 0x4005030a + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_OFFSETADDR3 +CYDEV_P3BA_OFFSETADDR3 EQU 0x4005030b + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_ABSADDR1 +CYDEV_P3BA_ABSADDR1 EQU 0x4005030c + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_ABSADDR2 +CYDEV_P3BA_ABSADDR2 EQU 0x4005030d + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_ABSADDR3 +CYDEV_P3BA_ABSADDR3 EQU 0x4005030e + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_ABSADDR4 +CYDEV_P3BA_ABSADDR4 EQU 0x4005030f + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATCFG1 +CYDEV_P3BA_DATCFG1 EQU 0x40050310 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATCFG2 +CYDEV_P3BA_DATCFG2 EQU 0x40050311 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_CMP_RSLT1 +CYDEV_P3BA_CMP_RSLT1 EQU 0x40050314 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_CMP_RSLT2 +CYDEV_P3BA_CMP_RSLT2 EQU 0x40050315 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_CMP_RSLT3 +CYDEV_P3BA_CMP_RSLT3 EQU 0x40050316 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_CMP_RSLT4 +CYDEV_P3BA_CMP_RSLT4 EQU 0x40050317 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATA_REG1 +CYDEV_P3BA_DATA_REG1 EQU 0x40050318 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATA_REG2 +CYDEV_P3BA_DATA_REG2 EQU 0x40050319 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATA_REG3 +CYDEV_P3BA_DATA_REG3 EQU 0x4005031a + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_DATA_REG4 +CYDEV_P3BA_DATA_REG4 EQU 0x4005031b + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_EXP_DATA1 +CYDEV_P3BA_EXP_DATA1 EQU 0x4005031c + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_EXP_DATA2 +CYDEV_P3BA_EXP_DATA2 EQU 0x4005031d + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_EXP_DATA3 +CYDEV_P3BA_EXP_DATA3 EQU 0x4005031e + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_EXP_DATA4 +CYDEV_P3BA_EXP_DATA4 EQU 0x4005031f + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_MSTR_HRDATA1 +CYDEV_P3BA_MSTR_HRDATA1 EQU 0x40050320 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_MSTR_HRDATA2 +CYDEV_P3BA_MSTR_HRDATA2 EQU 0x40050321 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_MSTR_HRDATA3 +CYDEV_P3BA_MSTR_HRDATA3 EQU 0x40050322 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_MSTR_HRDATA4 +CYDEV_P3BA_MSTR_HRDATA4 EQU 0x40050323 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_BIST_EN +CYDEV_P3BA_BIST_EN EQU 0x40050324 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_PHUB_MASTER_SSR +CYDEV_P3BA_PHUB_MASTER_SSR EQU 0x40050325 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_SEQCFG1 +CYDEV_P3BA_SEQCFG1 EQU 0x40050326 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_SEQCFG2 +CYDEV_P3BA_SEQCFG2 EQU 0x40050327 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_Y_CURR +CYDEV_P3BA_Y_CURR EQU 0x40050328 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_X_CURR1 +CYDEV_P3BA_X_CURR1 EQU 0x40050329 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_X_CURR2 +CYDEV_P3BA_X_CURR2 EQU 0x4005032a + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_BASE +CYDEV_PANTHER_BASE EQU 0x40080000 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_SIZE +CYDEV_PANTHER_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_STCALIB_CFG +CYDEV_PANTHER_STCALIB_CFG EQU 0x40080000 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_WAITPIPE +CYDEV_PANTHER_WAITPIPE EQU 0x40080004 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_TRACE_CFG +CYDEV_PANTHER_TRACE_CFG EQU 0x40080008 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_DBG_CFG +CYDEV_PANTHER_DBG_CFG EQU 0x4008000c + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_CM3_LCKRST_STAT +CYDEV_PANTHER_CM3_LCKRST_STAT EQU 0x40080018 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_DEVICE_ID +CYDEV_PANTHER_DEVICE_ID EQU 0x4008001c + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_BASE +CYDEV_FLSECC_BASE EQU 0x48000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_SIZE +CYDEV_FLSECC_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_DATA_MBASE +CYDEV_FLSECC_DATA_MBASE EQU 0x48000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_DATA_MSIZE +CYDEV_FLSECC_DATA_MSIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_BASE +CYDEV_FLSHID_BASE EQU 0x49000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_SIZE +CYDEV_FLSHID_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_RSVD_MBASE +CYDEV_FLSHID_RSVD_MBASE EQU 0x49000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_RSVD_MSIZE +CYDEV_FLSHID_RSVD_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_MDATA_MBASE +CYDEV_FLSHID_CUST_MDATA_MBASE EQU 0x49000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_MDATA_MSIZE +CYDEV_FLSHID_CUST_MDATA_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_BASE +CYDEV_FLSHID_CUST_TABLES_BASE EQU 0x49000100 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_SIZE +CYDEV_FLSHID_CUST_TABLES_SIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_Y_LOC +CYDEV_FLSHID_CUST_TABLES_Y_LOC EQU 0x49000100 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_X_LOC +CYDEV_FLSHID_CUST_TABLES_X_LOC EQU 0x49000101 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_WAFER_NUM +CYDEV_FLSHID_CUST_TABLES_WAFER_NUM EQU 0x49000102 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_LOT_LSB +CYDEV_FLSHID_CUST_TABLES_LOT_LSB EQU 0x49000103 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_LOT_MSB +CYDEV_FLSHID_CUST_TABLES_LOT_MSB EQU 0x49000104 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_WRK_WK +CYDEV_FLSHID_CUST_TABLES_WRK_WK EQU 0x49000105 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_FAB_YR +CYDEV_FLSHID_CUST_TABLES_FAB_YR EQU 0x49000106 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_MINOR +CYDEV_FLSHID_CUST_TABLES_MINOR EQU 0x49000107 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_3MHZ EQU 0x49000108 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_6MHZ EQU 0x49000109 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_12MHZ EQU 0x4900010a + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_24MHZ EQU 0x4900010b + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_67MHZ EQU 0x4900010c + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_80MHZ EQU 0x4900010d + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ +CYDEV_FLSHID_CUST_TABLES_IMO_92MHZ EQU 0x4900010e + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_IMO_USB +CYDEV_FLSHID_CUST_TABLES_IMO_USB EQU 0x4900010f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS +CYDEV_FLSHID_CUST_TABLES_CMP0_TR0_HS EQU 0x49000110 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS +CYDEV_FLSHID_CUST_TABLES_CMP1_TR0_HS EQU 0x49000111 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS +CYDEV_FLSHID_CUST_TABLES_CMP2_TR0_HS EQU 0x49000112 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS +CYDEV_FLSHID_CUST_TABLES_CMP3_TR0_HS EQU 0x49000113 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS +CYDEV_FLSHID_CUST_TABLES_CMP0_TR1_HS EQU 0x49000114 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS +CYDEV_FLSHID_CUST_TABLES_CMP1_TR1_HS EQU 0x49000115 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS +CYDEV_FLSHID_CUST_TABLES_CMP2_TR1_HS EQU 0x49000116 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS +CYDEV_FLSHID_CUST_TABLES_CMP3_TR1_HS EQU 0x49000117 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M1 +CYDEV_FLSHID_CUST_TABLES_DEC_M1 EQU 0x49000118 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M2 +CYDEV_FLSHID_CUST_TABLES_DEC_M2 EQU 0x49000119 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M3 +CYDEV_FLSHID_CUST_TABLES_DEC_M3 EQU 0x4900011a + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M4 +CYDEV_FLSHID_CUST_TABLES_DEC_M4 EQU 0x4900011b + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M5 +CYDEV_FLSHID_CUST_TABLES_DEC_M5 EQU 0x4900011c + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M6 +CYDEV_FLSHID_CUST_TABLES_DEC_M6 EQU 0x4900011d + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M7 +CYDEV_FLSHID_CUST_TABLES_DEC_M7 EQU 0x4900011e + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DEC_M8 +CYDEV_FLSHID_CUST_TABLES_DEC_M8 EQU 0x4900011f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M1 +CYDEV_FLSHID_CUST_TABLES_DAC0_M1 EQU 0x49000120 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M2 +CYDEV_FLSHID_CUST_TABLES_DAC0_M2 EQU 0x49000121 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M3 +CYDEV_FLSHID_CUST_TABLES_DAC0_M3 EQU 0x49000122 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M4 +CYDEV_FLSHID_CUST_TABLES_DAC0_M4 EQU 0x49000123 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M5 +CYDEV_FLSHID_CUST_TABLES_DAC0_M5 EQU 0x49000124 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M6 +CYDEV_FLSHID_CUST_TABLES_DAC0_M6 EQU 0x49000125 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M7 +CYDEV_FLSHID_CUST_TABLES_DAC0_M7 EQU 0x49000126 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC0_M8 +CYDEV_FLSHID_CUST_TABLES_DAC0_M8 EQU 0x49000127 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M1 +CYDEV_FLSHID_CUST_TABLES_DAC2_M1 EQU 0x49000128 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M2 +CYDEV_FLSHID_CUST_TABLES_DAC2_M2 EQU 0x49000129 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M3 +CYDEV_FLSHID_CUST_TABLES_DAC2_M3 EQU 0x4900012a + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M4 +CYDEV_FLSHID_CUST_TABLES_DAC2_M4 EQU 0x4900012b + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M5 +CYDEV_FLSHID_CUST_TABLES_DAC2_M5 EQU 0x4900012c + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M6 +CYDEV_FLSHID_CUST_TABLES_DAC2_M6 EQU 0x4900012d + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M7 +CYDEV_FLSHID_CUST_TABLES_DAC2_M7 EQU 0x4900012e + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC2_M8 +CYDEV_FLSHID_CUST_TABLES_DAC2_M8 EQU 0x4900012f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M1 +CYDEV_FLSHID_CUST_TABLES_DAC1_M1 EQU 0x49000130 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M2 +CYDEV_FLSHID_CUST_TABLES_DAC1_M2 EQU 0x49000131 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M3 +CYDEV_FLSHID_CUST_TABLES_DAC1_M3 EQU 0x49000132 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M4 +CYDEV_FLSHID_CUST_TABLES_DAC1_M4 EQU 0x49000133 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M5 +CYDEV_FLSHID_CUST_TABLES_DAC1_M5 EQU 0x49000134 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M6 +CYDEV_FLSHID_CUST_TABLES_DAC1_M6 EQU 0x49000135 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M7 +CYDEV_FLSHID_CUST_TABLES_DAC1_M7 EQU 0x49000136 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC1_M8 +CYDEV_FLSHID_CUST_TABLES_DAC1_M8 EQU 0x49000137 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M1 +CYDEV_FLSHID_CUST_TABLES_DAC3_M1 EQU 0x49000138 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M2 +CYDEV_FLSHID_CUST_TABLES_DAC3_M2 EQU 0x49000139 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M3 +CYDEV_FLSHID_CUST_TABLES_DAC3_M3 EQU 0x4900013a + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M4 +CYDEV_FLSHID_CUST_TABLES_DAC3_M4 EQU 0x4900013b + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M5 +CYDEV_FLSHID_CUST_TABLES_DAC3_M5 EQU 0x4900013c + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M6 +CYDEV_FLSHID_CUST_TABLES_DAC3_M6 EQU 0x4900013d + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M7 +CYDEV_FLSHID_CUST_TABLES_DAC3_M7 EQU 0x4900013e + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_DAC3_M8 +CYDEV_FLSHID_CUST_TABLES_DAC3_M8 EQU 0x4900013f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_BASE +CYDEV_FLSHID_MFG_CFG_BASE EQU 0x49000180 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_SIZE +CYDEV_FLSHID_MFG_CFG_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_IMO_TR1 +CYDEV_FLSHID_MFG_CFG_IMO_TR1 EQU 0x49000188 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP0_TR0 +CYDEV_FLSHID_MFG_CFG_CMP0_TR0 EQU 0x490001ac + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP1_TR0 +CYDEV_FLSHID_MFG_CFG_CMP1_TR0 EQU 0x490001ae + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP2_TR0 +CYDEV_FLSHID_MFG_CFG_CMP2_TR0 EQU 0x490001b0 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP3_TR0 +CYDEV_FLSHID_MFG_CFG_CMP3_TR0 EQU 0x490001b2 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP0_TR1 +CYDEV_FLSHID_MFG_CFG_CMP0_TR1 EQU 0x490001b4 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP1_TR1 +CYDEV_FLSHID_MFG_CFG_CMP1_TR1 EQU 0x490001b6 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP2_TR1 +CYDEV_FLSHID_MFG_CFG_CMP2_TR1 EQU 0x490001b8 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_CMP3_TR1 +CYDEV_FLSHID_MFG_CFG_CMP3_TR1 EQU 0x490001ba + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM +CYDEV_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM EQU 0x490001ce + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_BASE +CYDEV_EXTMEM_BASE EQU 0x60000000 + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_SIZE +CYDEV_EXTMEM_SIZE EQU 0x00800000 + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_DATA_MBASE +CYDEV_EXTMEM_DATA_MBASE EQU 0x60000000 + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_DATA_MSIZE +CYDEV_EXTMEM_DATA_MSIZE EQU 0x00800000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_BASE +CYDEV_ITM_BASE EQU 0xe0000000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_SIZE +CYDEV_ITM_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_TRACE_EN +CYDEV_ITM_TRACE_EN EQU 0xe0000e00 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_TRACE_PRIVILEGE +CYDEV_ITM_TRACE_PRIVILEGE EQU 0xe0000e40 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_TRACE_CTRL +CYDEV_ITM_TRACE_CTRL EQU 0xe0000e80 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_LOCK_ACCESS +CYDEV_ITM_LOCK_ACCESS EQU 0xe0000fb0 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_LOCK_STATUS +CYDEV_ITM_LOCK_STATUS EQU 0xe0000fb4 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID4 +CYDEV_ITM_PID4 EQU 0xe0000fd0 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID5 +CYDEV_ITM_PID5 EQU 0xe0000fd4 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID6 +CYDEV_ITM_PID6 EQU 0xe0000fd8 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID7 +CYDEV_ITM_PID7 EQU 0xe0000fdc + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID0 +CYDEV_ITM_PID0 EQU 0xe0000fe0 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID1 +CYDEV_ITM_PID1 EQU 0xe0000fe4 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID2 +CYDEV_ITM_PID2 EQU 0xe0000fe8 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_PID3 +CYDEV_ITM_PID3 EQU 0xe0000fec + ENDIF + IF :LNOT::DEF:CYDEV_ITM_CID0 +CYDEV_ITM_CID0 EQU 0xe0000ff0 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_CID1 +CYDEV_ITM_CID1 EQU 0xe0000ff4 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_CID2 +CYDEV_ITM_CID2 EQU 0xe0000ff8 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_CID3 +CYDEV_ITM_CID3 EQU 0xe0000ffc + ENDIF + IF :LNOT::DEF:CYDEV_DWT_BASE +CYDEV_DWT_BASE EQU 0xe0001000 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_SIZE +CYDEV_DWT_SIZE EQU 0x0000005c + ENDIF + IF :LNOT::DEF:CYDEV_DWT_CTRL +CYDEV_DWT_CTRL EQU 0xe0001000 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_CYCLE_COUNT +CYDEV_DWT_CYCLE_COUNT EQU 0xe0001004 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_CPI_COUNT +CYDEV_DWT_CPI_COUNT EQU 0xe0001008 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_EXC_OVHD_COUNT +CYDEV_DWT_EXC_OVHD_COUNT EQU 0xe000100c + ENDIF + IF :LNOT::DEF:CYDEV_DWT_SLEEP_COUNT +CYDEV_DWT_SLEEP_COUNT EQU 0xe0001010 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_LSU_COUNT +CYDEV_DWT_LSU_COUNT EQU 0xe0001014 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FOLD_COUNT +CYDEV_DWT_FOLD_COUNT EQU 0xe0001018 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_PC_SAMPLE +CYDEV_DWT_PC_SAMPLE EQU 0xe000101c + ENDIF + IF :LNOT::DEF:CYDEV_DWT_COMP_0 +CYDEV_DWT_COMP_0 EQU 0xe0001020 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_MASK_0 +CYDEV_DWT_MASK_0 EQU 0xe0001024 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FUNCTION_0 +CYDEV_DWT_FUNCTION_0 EQU 0xe0001028 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_COMP_1 +CYDEV_DWT_COMP_1 EQU 0xe0001030 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_MASK_1 +CYDEV_DWT_MASK_1 EQU 0xe0001034 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FUNCTION_1 +CYDEV_DWT_FUNCTION_1 EQU 0xe0001038 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_COMP_2 +CYDEV_DWT_COMP_2 EQU 0xe0001040 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_MASK_2 +CYDEV_DWT_MASK_2 EQU 0xe0001044 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FUNCTION_2 +CYDEV_DWT_FUNCTION_2 EQU 0xe0001048 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_COMP_3 +CYDEV_DWT_COMP_3 EQU 0xe0001050 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_MASK_3 +CYDEV_DWT_MASK_3 EQU 0xe0001054 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_FUNCTION_3 +CYDEV_DWT_FUNCTION_3 EQU 0xe0001058 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_BASE +CYDEV_FPB_BASE EQU 0xe0002000 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_SIZE +CYDEV_FPB_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CTRL +CYDEV_FPB_CTRL EQU 0xe0002000 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_REMAP +CYDEV_FPB_REMAP EQU 0xe0002004 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_0 +CYDEV_FPB_FP_COMP_0 EQU 0xe0002008 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_1 +CYDEV_FPB_FP_COMP_1 EQU 0xe000200c + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_2 +CYDEV_FPB_FP_COMP_2 EQU 0xe0002010 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_3 +CYDEV_FPB_FP_COMP_3 EQU 0xe0002014 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_4 +CYDEV_FPB_FP_COMP_4 EQU 0xe0002018 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_5 +CYDEV_FPB_FP_COMP_5 EQU 0xe000201c + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_6 +CYDEV_FPB_FP_COMP_6 EQU 0xe0002020 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_FP_COMP_7 +CYDEV_FPB_FP_COMP_7 EQU 0xe0002024 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID4 +CYDEV_FPB_PID4 EQU 0xe0002fd0 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID5 +CYDEV_FPB_PID5 EQU 0xe0002fd4 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID6 +CYDEV_FPB_PID6 EQU 0xe0002fd8 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID7 +CYDEV_FPB_PID7 EQU 0xe0002fdc + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID0 +CYDEV_FPB_PID0 EQU 0xe0002fe0 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID1 +CYDEV_FPB_PID1 EQU 0xe0002fe4 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID2 +CYDEV_FPB_PID2 EQU 0xe0002fe8 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_PID3 +CYDEV_FPB_PID3 EQU 0xe0002fec + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CID0 +CYDEV_FPB_CID0 EQU 0xe0002ff0 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CID1 +CYDEV_FPB_CID1 EQU 0xe0002ff4 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CID2 +CYDEV_FPB_CID2 EQU 0xe0002ff8 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_CID3 +CYDEV_FPB_CID3 EQU 0xe0002ffc + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_BASE +CYDEV_NVIC_BASE EQU 0xe000e000 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SIZE +CYDEV_NVIC_SIZE EQU 0x00000d3c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_INT_CTL_TYPE +CYDEV_NVIC_INT_CTL_TYPE EQU 0xe000e004 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTICK_CTL +CYDEV_NVIC_SYSTICK_CTL EQU 0xe000e010 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTICK_RELOAD +CYDEV_NVIC_SYSTICK_RELOAD EQU 0xe000e014 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTICK_CURRENT +CYDEV_NVIC_SYSTICK_CURRENT EQU 0xe000e018 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTICK_CAL +CYDEV_NVIC_SYSTICK_CAL EQU 0xe000e01c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SETENA0 +CYDEV_NVIC_SETENA0 EQU 0xe000e100 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_CLRENA0 +CYDEV_NVIC_CLRENA0 EQU 0xe000e180 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SETPEND0 +CYDEV_NVIC_SETPEND0 EQU 0xe000e200 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_CLRPEND0 +CYDEV_NVIC_CLRPEND0 EQU 0xe000e280 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_ACTIVE0 +CYDEV_NVIC_ACTIVE0 EQU 0xe000e300 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_0 +CYDEV_NVIC_PRI_0 EQU 0xe000e400 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_1 +CYDEV_NVIC_PRI_1 EQU 0xe000e401 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_2 +CYDEV_NVIC_PRI_2 EQU 0xe000e402 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_3 +CYDEV_NVIC_PRI_3 EQU 0xe000e403 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_4 +CYDEV_NVIC_PRI_4 EQU 0xe000e404 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_5 +CYDEV_NVIC_PRI_5 EQU 0xe000e405 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_6 +CYDEV_NVIC_PRI_6 EQU 0xe000e406 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_7 +CYDEV_NVIC_PRI_7 EQU 0xe000e407 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_8 +CYDEV_NVIC_PRI_8 EQU 0xe000e408 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_9 +CYDEV_NVIC_PRI_9 EQU 0xe000e409 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_10 +CYDEV_NVIC_PRI_10 EQU 0xe000e40a + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_11 +CYDEV_NVIC_PRI_11 EQU 0xe000e40b + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_12 +CYDEV_NVIC_PRI_12 EQU 0xe000e40c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_13 +CYDEV_NVIC_PRI_13 EQU 0xe000e40d + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_14 +CYDEV_NVIC_PRI_14 EQU 0xe000e40e + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_15 +CYDEV_NVIC_PRI_15 EQU 0xe000e40f + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_16 +CYDEV_NVIC_PRI_16 EQU 0xe000e410 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_17 +CYDEV_NVIC_PRI_17 EQU 0xe000e411 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_18 +CYDEV_NVIC_PRI_18 EQU 0xe000e412 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_19 +CYDEV_NVIC_PRI_19 EQU 0xe000e413 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_20 +CYDEV_NVIC_PRI_20 EQU 0xe000e414 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_21 +CYDEV_NVIC_PRI_21 EQU 0xe000e415 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_22 +CYDEV_NVIC_PRI_22 EQU 0xe000e416 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_23 +CYDEV_NVIC_PRI_23 EQU 0xe000e417 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_24 +CYDEV_NVIC_PRI_24 EQU 0xe000e418 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_25 +CYDEV_NVIC_PRI_25 EQU 0xe000e419 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_26 +CYDEV_NVIC_PRI_26 EQU 0xe000e41a + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_27 +CYDEV_NVIC_PRI_27 EQU 0xe000e41b + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_28 +CYDEV_NVIC_PRI_28 EQU 0xe000e41c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_29 +CYDEV_NVIC_PRI_29 EQU 0xe000e41d + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_30 +CYDEV_NVIC_PRI_30 EQU 0xe000e41e + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_PRI_31 +CYDEV_NVIC_PRI_31 EQU 0xe000e41f + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_CPUID_BASE +CYDEV_NVIC_CPUID_BASE EQU 0xe000ed00 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_INTR_CTRL_STATE +CYDEV_NVIC_INTR_CTRL_STATE EQU 0xe000ed04 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_VECT_OFFSET +CYDEV_NVIC_VECT_OFFSET EQU 0xe000ed08 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_APPLN_INTR +CYDEV_NVIC_APPLN_INTR EQU 0xe000ed0c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYSTEM_CONTROL +CYDEV_NVIC_SYSTEM_CONTROL EQU 0xe000ed10 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_CFG_CONTROL +CYDEV_NVIC_CFG_CONTROL EQU 0xe000ed14 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYS_PRIO_HANDLER_4_7 +CYDEV_NVIC_SYS_PRIO_HANDLER_4_7 EQU 0xe000ed18 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYS_PRIO_HANDLER_8_11 +CYDEV_NVIC_SYS_PRIO_HANDLER_8_11 EQU 0xe000ed1c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYS_PRIO_HANDLER_12_15 +CYDEV_NVIC_SYS_PRIO_HANDLER_12_15 EQU 0xe000ed20 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SYS_HANDLER_CSR +CYDEV_NVIC_SYS_HANDLER_CSR EQU 0xe000ed24 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_MEMMAN_FAULT_STATUS +CYDEV_NVIC_MEMMAN_FAULT_STATUS EQU 0xe000ed28 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_BUS_FAULT_STATUS +CYDEV_NVIC_BUS_FAULT_STATUS EQU 0xe000ed29 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_USAGE_FAULT_STATUS +CYDEV_NVIC_USAGE_FAULT_STATUS EQU 0xe000ed2a + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_HARD_FAULT_STATUS +CYDEV_NVIC_HARD_FAULT_STATUS EQU 0xe000ed2c + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_DEBUG_FAULT_STATUS +CYDEV_NVIC_DEBUG_FAULT_STATUS EQU 0xe000ed30 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_MEMMAN_FAULT_ADD +CYDEV_NVIC_MEMMAN_FAULT_ADD EQU 0xe000ed34 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_BUS_FAULT_ADD +CYDEV_NVIC_BUS_FAULT_ADD EQU 0xe000ed38 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_BASE +CYDEV_CORE_DBG_BASE EQU 0xe000edf0 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_SIZE +CYDEV_CORE_DBG_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_DBG_HLT_CS +CYDEV_CORE_DBG_DBG_HLT_CS EQU 0xe000edf0 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_DBG_REG_SEL +CYDEV_CORE_DBG_DBG_REG_SEL EQU 0xe000edf4 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_DBG_REG_DATA +CYDEV_CORE_DBG_DBG_REG_DATA EQU 0xe000edf8 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_EXC_MON_CTL +CYDEV_CORE_DBG_EXC_MON_CTL EQU 0xe000edfc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_BASE +CYDEV_TPIU_BASE EQU 0xe0040000 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_SIZE +CYDEV_TPIU_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ +CYDEV_TPIU_SUPPORTED_SYNC_PRT_SZ EQU 0xe0040000 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CURRENT_SYNC_PRT_SZ +CYDEV_TPIU_CURRENT_SYNC_PRT_SZ EQU 0xe0040004 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ASYNC_CLK_PRESCALER +CYDEV_TPIU_ASYNC_CLK_PRESCALER EQU 0xe0040010 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PROTOCOL +CYDEV_TPIU_PROTOCOL EQU 0xe00400f0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_FORM_FLUSH_STAT +CYDEV_TPIU_FORM_FLUSH_STAT EQU 0xe0040300 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_FORM_FLUSH_CTRL +CYDEV_TPIU_FORM_FLUSH_CTRL EQU 0xe0040304 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_TRIGGER +CYDEV_TPIU_TRIGGER EQU 0xe0040ee8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITETMDATA +CYDEV_TPIU_ITETMDATA EQU 0xe0040eec + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITATBCTR2 +CYDEV_TPIU_ITATBCTR2 EQU 0xe0040ef0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITATBCTR0 +CYDEV_TPIU_ITATBCTR0 EQU 0xe0040ef8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITITMDATA +CYDEV_TPIU_ITITMDATA EQU 0xe0040efc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_ITCTRL +CYDEV_TPIU_ITCTRL EQU 0xe0040f00 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_DEVID +CYDEV_TPIU_DEVID EQU 0xe0040fc8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_DEVTYPE +CYDEV_TPIU_DEVTYPE EQU 0xe0040fcc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID4 +CYDEV_TPIU_PID4 EQU 0xe0040fd0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID5 +CYDEV_TPIU_PID5 EQU 0xe0040fd4 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID6 +CYDEV_TPIU_PID6 EQU 0xe0040fd8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID7 +CYDEV_TPIU_PID7 EQU 0xe0040fdc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID0 +CYDEV_TPIU_PID0 EQU 0xe0040fe0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID1 +CYDEV_TPIU_PID1 EQU 0xe0040fe4 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID2 +CYDEV_TPIU_PID2 EQU 0xe0040fe8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_PID3 +CYDEV_TPIU_PID3 EQU 0xe0040fec + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CID0 +CYDEV_TPIU_CID0 EQU 0xe0040ff0 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CID1 +CYDEV_TPIU_CID1 EQU 0xe0040ff4 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CID2 +CYDEV_TPIU_CID2 EQU 0xe0040ff8 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_CID3 +CYDEV_TPIU_CID3 EQU 0xe0040ffc + ENDIF + IF :LNOT::DEF:CYDEV_ETM_BASE +CYDEV_ETM_BASE EQU 0xe0041000 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_SIZE +CYDEV_ETM_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CTL +CYDEV_ETM_CTL EQU 0xe0041000 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CFG_CODE +CYDEV_ETM_CFG_CODE EQU 0xe0041004 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_TRIG_EVENT +CYDEV_ETM_TRIG_EVENT EQU 0xe0041008 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_STATUS +CYDEV_ETM_STATUS EQU 0xe0041010 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_SYS_CFG +CYDEV_ETM_SYS_CFG EQU 0xe0041014 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_TRACE_ENB_EVENT +CYDEV_ETM_TRACE_ENB_EVENT EQU 0xe0041020 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_TRACE_EN_CTRL1 +CYDEV_ETM_TRACE_EN_CTRL1 EQU 0xe0041024 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_FIFOFULL_LEVEL +CYDEV_ETM_FIFOFULL_LEVEL EQU 0xe004102c + ENDIF + IF :LNOT::DEF:CYDEV_ETM_SYNC_FREQ +CYDEV_ETM_SYNC_FREQ EQU 0xe00411e0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ETM_ID +CYDEV_ETM_ETM_ID EQU 0xe00411e4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CFG_CODE_EXT +CYDEV_ETM_CFG_CODE_EXT EQU 0xe00411e8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_TR_SS_EMBICE_CTRL +CYDEV_ETM_TR_SS_EMBICE_CTRL EQU 0xe00411f0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CS_TRACE_ID +CYDEV_ETM_CS_TRACE_ID EQU 0xe0041200 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_OS_LOCK_ACCESS +CYDEV_ETM_OS_LOCK_ACCESS EQU 0xe0041300 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_OS_LOCK_STATUS +CYDEV_ETM_OS_LOCK_STATUS EQU 0xe0041304 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PDSR +CYDEV_ETM_PDSR EQU 0xe0041314 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ITMISCIN +CYDEV_ETM_ITMISCIN EQU 0xe0041ee0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ITTRIGOUT +CYDEV_ETM_ITTRIGOUT EQU 0xe0041ee8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ITATBCTR2 +CYDEV_ETM_ITATBCTR2 EQU 0xe0041ef0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_ITATBCTR0 +CYDEV_ETM_ITATBCTR0 EQU 0xe0041ef8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_INT_MODE_CTRL +CYDEV_ETM_INT_MODE_CTRL EQU 0xe0041f00 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CLM_TAG_SET +CYDEV_ETM_CLM_TAG_SET EQU 0xe0041fa0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CLM_TAG_CLR +CYDEV_ETM_CLM_TAG_CLR EQU 0xe0041fa4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_LOCK_ACCESS +CYDEV_ETM_LOCK_ACCESS EQU 0xe0041fb0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_LOCK_STATUS +CYDEV_ETM_LOCK_STATUS EQU 0xe0041fb4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_AUTH_STATUS +CYDEV_ETM_AUTH_STATUS EQU 0xe0041fb8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_DEV_TYPE +CYDEV_ETM_DEV_TYPE EQU 0xe0041fcc + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID4 +CYDEV_ETM_PID4 EQU 0xe0041fd0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID5 +CYDEV_ETM_PID5 EQU 0xe0041fd4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID6 +CYDEV_ETM_PID6 EQU 0xe0041fd8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID7 +CYDEV_ETM_PID7 EQU 0xe0041fdc + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID0 +CYDEV_ETM_PID0 EQU 0xe0041fe0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID1 +CYDEV_ETM_PID1 EQU 0xe0041fe4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID2 +CYDEV_ETM_PID2 EQU 0xe0041fe8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_PID3 +CYDEV_ETM_PID3 EQU 0xe0041fec + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CID0 +CYDEV_ETM_CID0 EQU 0xe0041ff0 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CID1 +CYDEV_ETM_CID1 EQU 0xe0041ff4 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CID2 +CYDEV_ETM_CID2 EQU 0xe0041ff8 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_CID3 +CYDEV_ETM_CID3 EQU 0xe0041ffc + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_BASE +CYDEV_ROM_TABLE_BASE EQU 0xe00ff000 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_SIZE +CYDEV_ROM_TABLE_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_NVIC +CYDEV_ROM_TABLE_NVIC EQU 0xe00ff000 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_DWT +CYDEV_ROM_TABLE_DWT EQU 0xe00ff004 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_FPB +CYDEV_ROM_TABLE_FPB EQU 0xe00ff008 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_ITM +CYDEV_ROM_TABLE_ITM EQU 0xe00ff00c + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_TPIU +CYDEV_ROM_TABLE_TPIU EQU 0xe00ff010 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_ETM +CYDEV_ROM_TABLE_ETM EQU 0xe00ff014 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_END +CYDEV_ROM_TABLE_END EQU 0xe00ff018 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_MEMTYPE +CYDEV_ROM_TABLE_MEMTYPE EQU 0xe00fffcc + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID4 +CYDEV_ROM_TABLE_PID4 EQU 0xe00fffd0 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID5 +CYDEV_ROM_TABLE_PID5 EQU 0xe00fffd4 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID6 +CYDEV_ROM_TABLE_PID6 EQU 0xe00fffd8 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID7 +CYDEV_ROM_TABLE_PID7 EQU 0xe00fffdc + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID0 +CYDEV_ROM_TABLE_PID0 EQU 0xe00fffe0 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID1 +CYDEV_ROM_TABLE_PID1 EQU 0xe00fffe4 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID2 +CYDEV_ROM_TABLE_PID2 EQU 0xe00fffe8 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_PID3 +CYDEV_ROM_TABLE_PID3 EQU 0xe00fffec + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_CID0 +CYDEV_ROM_TABLE_CID0 EQU 0xe00ffff0 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_CID1 +CYDEV_ROM_TABLE_CID1 EQU 0xe00ffff4 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_CID2 +CYDEV_ROM_TABLE_CID2 EQU 0xe00ffff8 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_CID3 +CYDEV_ROM_TABLE_CID3 EQU 0xe00ffffc + ENDIF + IF :LNOT::DEF:CYDEV_FLS_SIZE +CYDEV_FLS_SIZE EQU CYDEV_FLASH_SIZE + ENDIF + IF :LNOT::DEF:CYDEV_ECC_BASE +CYDEV_ECC_BASE EQU CYDEV_FLSECC_BASE + ENDIF + IF :LNOT::DEF:CYDEV_FLS_SECTOR_SIZE +CYDEV_FLS_SECTOR_SIZE EQU 0x00010000 + ENDIF + IF :LNOT::DEF:CYDEV_FLS_ROW_SIZE +CYDEV_FLS_ROW_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_ECC_SECTOR_SIZE +CYDEV_ECC_SECTOR_SIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_ECC_ROW_SIZE +CYDEV_ECC_ROW_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_EEPROM_SECTOR_SIZE +CYDEV_EEPROM_SECTOR_SIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_EEPROM_ROW_SIZE +CYDEV_EEPROM_ROW_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PERIPH_BASE +CYDEV_PERIPH_BASE EQU CYDEV_CLKDIST_BASE + ENDIF + IF :LNOT::DEF:CYCLK_LD_DISABLE +CYCLK_LD_DISABLE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYCLK_LD_SYNC_EN +CYCLK_LD_SYNC_EN EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYCLK_LD_LOAD +CYCLK_LD_LOAD EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYCLK_PIPE +CYCLK_PIPE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYCLK_SSS +CYCLK_SSS EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYCLK_EARLY +CYCLK_EARLY EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYCLK_DUTY +CYCLK_DUTY EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYCLK_SYNC +CYCLK_SYNC EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_CLK_SYNC_D +CYCLK_SRC_SEL_CLK_SYNC_D EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_SYNC_DIG +CYCLK_SRC_SEL_SYNC_DIG EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_IMO +CYCLK_SRC_SEL_IMO EQU 1 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTAL_MHZ +CYCLK_SRC_SEL_XTAL_MHZ EQU 2 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTALM +CYCLK_SRC_SEL_XTALM EQU 2 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_ILO +CYCLK_SRC_SEL_ILO EQU 3 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_PLL +CYCLK_SRC_SEL_PLL EQU 4 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTAL_KHZ +CYCLK_SRC_SEL_XTAL_KHZ EQU 5 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTALK +CYCLK_SRC_SEL_XTALK EQU 5 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_G +CYCLK_SRC_SEL_DSI_G EQU 6 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_D +CYCLK_SRC_SEL_DSI_D EQU 7 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_CLK_SYNC_A +CYCLK_SRC_SEL_CLK_SYNC_A EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_A +CYCLK_SRC_SEL_DSI_A EQU 7 + ENDIF + END diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv_trm.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv_trm.inc new file mode 100644 index 0000000..9d0ef51 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cydevicerv_trm.inc @@ -0,0 +1,16039 @@ +; +; FILENAME: cydevicerv_trm.inc +; +; PSoC Creator 2.2 Component Pack 6 +; +; DESCRIPTION: +; This file provides all of the address values for the entire PSoC device. +; +;------------------------------------------------------------------------------- +; Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +; You may use this file only in accordance with the license, terms, conditions, +; disclaimers, and limitations in the end user license agreement accompanying +; the software package with which this file was provided. +;------------------------------------------------------------------------------- + + IF :LNOT::DEF:CYDEV_FLASH_BASE +CYDEV_FLASH_BASE EQU 0x00000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLASH_SIZE +CYDEV_FLASH_SIZE EQU 0x00040000 + ENDIF + IF :LNOT::DEF:CYREG_FLASH_DATA_MBASE +CYREG_FLASH_DATA_MBASE EQU 0x00000000 + ENDIF + IF :LNOT::DEF:CYREG_FLASH_DATA_MSIZE +CYREG_FLASH_DATA_MSIZE EQU 0x00040000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_BASE +CYDEV_SRAM_BASE EQU 0x1fff8000 + ENDIF + IF :LNOT::DEF:CYDEV_SRAM_SIZE +CYDEV_SRAM_SIZE EQU 0x00010000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE64K_MBASE +CYREG_SRAM_CODE64K_MBASE EQU 0x1fff8000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE64K_MSIZE +CYREG_SRAM_CODE64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE32K_MBASE +CYREG_SRAM_CODE32K_MBASE EQU 0x1fffc000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE32K_MSIZE +CYREG_SRAM_CODE32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE16K_MBASE +CYREG_SRAM_CODE16K_MBASE EQU 0x1fffe000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE16K_MSIZE +CYREG_SRAM_CODE16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE_MBASE +CYREG_SRAM_CODE_MBASE EQU 0x1fff8000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_CODE_MSIZE +CYREG_SRAM_CODE_MSIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA_MBASE +CYREG_SRAM_DATA_MBASE EQU 0x20000000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA_MSIZE +CYREG_SRAM_DATA_MSIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA16K_MBASE +CYREG_SRAM_DATA16K_MBASE EQU 0x20001000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA16K_MSIZE +CYREG_SRAM_DATA16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA32K_MBASE +CYREG_SRAM_DATA32K_MBASE EQU 0x20002000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA32K_MSIZE +CYREG_SRAM_DATA32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA64K_MBASE +CYREG_SRAM_DATA64K_MBASE EQU 0x20004000 + ENDIF + IF :LNOT::DEF:CYREG_SRAM_DATA64K_MSIZE +CYREG_SRAM_DATA64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_BASE +CYDEV_DMA_BASE EQU 0x20008000 + ENDIF + IF :LNOT::DEF:CYDEV_DMA_SIZE +CYDEV_DMA_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM64K_MBASE +CYREG_DMA_SRAM64K_MBASE EQU 0x20008000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM64K_MSIZE +CYREG_DMA_SRAM64K_MSIZE EQU 0x00004000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM32K_MBASE +CYREG_DMA_SRAM32K_MBASE EQU 0x2000c000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM32K_MSIZE +CYREG_DMA_SRAM32K_MSIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM16K_MBASE +CYREG_DMA_SRAM16K_MBASE EQU 0x2000e000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM16K_MSIZE +CYREG_DMA_SRAM16K_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM_MBASE +CYREG_DMA_SRAM_MBASE EQU 0x2000f000 + ENDIF + IF :LNOT::DEF:CYREG_DMA_SRAM_MSIZE +CYREG_DMA_SRAM_MSIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_BASE +CYDEV_CLKDIST_BASE EQU 0x40004000 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_SIZE +CYDEV_CLKDIST_SIZE EQU 0x00000110 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_CR +CYREG_CLKDIST_CR EQU 0x40004000 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_LD +CYREG_CLKDIST_LD EQU 0x40004001 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_WRK0 +CYREG_CLKDIST_WRK0 EQU 0x40004002 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_WRK1 +CYREG_CLKDIST_WRK1 EQU 0x40004003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_MSTR0 +CYREG_CLKDIST_MSTR0 EQU 0x40004004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_MSTR1 +CYREG_CLKDIST_MSTR1 EQU 0x40004005 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_BCFG0 +CYREG_CLKDIST_BCFG0 EQU 0x40004006 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_BCFG1 +CYREG_CLKDIST_BCFG1 EQU 0x40004007 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_BCFG2 +CYREG_CLKDIST_BCFG2 EQU 0x40004008 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_UCFG +CYREG_CLKDIST_UCFG EQU 0x40004009 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DLY0 +CYREG_CLKDIST_DLY0 EQU 0x4000400a + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DLY1 +CYREG_CLKDIST_DLY1 EQU 0x4000400b + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DMASK +CYREG_CLKDIST_DMASK EQU 0x40004010 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_AMASK +CYREG_CLKDIST_AMASK EQU 0x40004014 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_BASE +CYDEV_CLKDIST_DCFG0_BASE EQU 0x40004080 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG0_SIZE +CYDEV_CLKDIST_DCFG0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG0_CFG0 +CYREG_CLKDIST_DCFG0_CFG0 EQU 0x40004080 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG0_CFG1 +CYREG_CLKDIST_DCFG0_CFG1 EQU 0x40004081 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG0_CFG2 +CYREG_CLKDIST_DCFG0_CFG2 EQU 0x40004082 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_BASE +CYDEV_CLKDIST_DCFG1_BASE EQU 0x40004084 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG1_SIZE +CYDEV_CLKDIST_DCFG1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG1_CFG0 +CYREG_CLKDIST_DCFG1_CFG0 EQU 0x40004084 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG1_CFG1 +CYREG_CLKDIST_DCFG1_CFG1 EQU 0x40004085 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG1_CFG2 +CYREG_CLKDIST_DCFG1_CFG2 EQU 0x40004086 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_BASE +CYDEV_CLKDIST_DCFG2_BASE EQU 0x40004088 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG2_SIZE +CYDEV_CLKDIST_DCFG2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG2_CFG0 +CYREG_CLKDIST_DCFG2_CFG0 EQU 0x40004088 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG2_CFG1 +CYREG_CLKDIST_DCFG2_CFG1 EQU 0x40004089 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG2_CFG2 +CYREG_CLKDIST_DCFG2_CFG2 EQU 0x4000408a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_BASE +CYDEV_CLKDIST_DCFG3_BASE EQU 0x4000408c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG3_SIZE +CYDEV_CLKDIST_DCFG3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG3_CFG0 +CYREG_CLKDIST_DCFG3_CFG0 EQU 0x4000408c + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG3_CFG1 +CYREG_CLKDIST_DCFG3_CFG1 EQU 0x4000408d + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG3_CFG2 +CYREG_CLKDIST_DCFG3_CFG2 EQU 0x4000408e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_BASE +CYDEV_CLKDIST_DCFG4_BASE EQU 0x40004090 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG4_SIZE +CYDEV_CLKDIST_DCFG4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG4_CFG0 +CYREG_CLKDIST_DCFG4_CFG0 EQU 0x40004090 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG4_CFG1 +CYREG_CLKDIST_DCFG4_CFG1 EQU 0x40004091 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG4_CFG2 +CYREG_CLKDIST_DCFG4_CFG2 EQU 0x40004092 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_BASE +CYDEV_CLKDIST_DCFG5_BASE EQU 0x40004094 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG5_SIZE +CYDEV_CLKDIST_DCFG5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG5_CFG0 +CYREG_CLKDIST_DCFG5_CFG0 EQU 0x40004094 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG5_CFG1 +CYREG_CLKDIST_DCFG5_CFG1 EQU 0x40004095 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG5_CFG2 +CYREG_CLKDIST_DCFG5_CFG2 EQU 0x40004096 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_BASE +CYDEV_CLKDIST_DCFG6_BASE EQU 0x40004098 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG6_SIZE +CYDEV_CLKDIST_DCFG6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG6_CFG0 +CYREG_CLKDIST_DCFG6_CFG0 EQU 0x40004098 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG6_CFG1 +CYREG_CLKDIST_DCFG6_CFG1 EQU 0x40004099 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG6_CFG2 +CYREG_CLKDIST_DCFG6_CFG2 EQU 0x4000409a + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_BASE +CYDEV_CLKDIST_DCFG7_BASE EQU 0x4000409c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_DCFG7_SIZE +CYDEV_CLKDIST_DCFG7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG7_CFG0 +CYREG_CLKDIST_DCFG7_CFG0 EQU 0x4000409c + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG7_CFG1 +CYREG_CLKDIST_DCFG7_CFG1 EQU 0x4000409d + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_DCFG7_CFG2 +CYREG_CLKDIST_DCFG7_CFG2 EQU 0x4000409e + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_BASE +CYDEV_CLKDIST_ACFG0_BASE EQU 0x40004100 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG0_SIZE +CYDEV_CLKDIST_ACFG0_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG0_CFG0 +CYREG_CLKDIST_ACFG0_CFG0 EQU 0x40004100 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG0_CFG1 +CYREG_CLKDIST_ACFG0_CFG1 EQU 0x40004101 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG0_CFG2 +CYREG_CLKDIST_ACFG0_CFG2 EQU 0x40004102 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG0_CFG3 +CYREG_CLKDIST_ACFG0_CFG3 EQU 0x40004103 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_BASE +CYDEV_CLKDIST_ACFG1_BASE EQU 0x40004104 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG1_SIZE +CYDEV_CLKDIST_ACFG1_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG1_CFG0 +CYREG_CLKDIST_ACFG1_CFG0 EQU 0x40004104 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG1_CFG1 +CYREG_CLKDIST_ACFG1_CFG1 EQU 0x40004105 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG1_CFG2 +CYREG_CLKDIST_ACFG1_CFG2 EQU 0x40004106 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG1_CFG3 +CYREG_CLKDIST_ACFG1_CFG3 EQU 0x40004107 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_BASE +CYDEV_CLKDIST_ACFG2_BASE EQU 0x40004108 + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG2_SIZE +CYDEV_CLKDIST_ACFG2_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG2_CFG0 +CYREG_CLKDIST_ACFG2_CFG0 EQU 0x40004108 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG2_CFG1 +CYREG_CLKDIST_ACFG2_CFG1 EQU 0x40004109 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG2_CFG2 +CYREG_CLKDIST_ACFG2_CFG2 EQU 0x4000410a + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG2_CFG3 +CYREG_CLKDIST_ACFG2_CFG3 EQU 0x4000410b + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_BASE +CYDEV_CLKDIST_ACFG3_BASE EQU 0x4000410c + ENDIF + IF :LNOT::DEF:CYDEV_CLKDIST_ACFG3_SIZE +CYDEV_CLKDIST_ACFG3_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG3_CFG0 +CYREG_CLKDIST_ACFG3_CFG0 EQU 0x4000410c + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG3_CFG1 +CYREG_CLKDIST_ACFG3_CFG1 EQU 0x4000410d + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG3_CFG2 +CYREG_CLKDIST_ACFG3_CFG2 EQU 0x4000410e + ENDIF + IF :LNOT::DEF:CYREG_CLKDIST_ACFG3_CFG3 +CYREG_CLKDIST_ACFG3_CFG3 EQU 0x4000410f + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_BASE +CYDEV_FASTCLK_BASE EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_SIZE +CYDEV_FASTCLK_SIZE EQU 0x00000026 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_BASE +CYDEV_FASTCLK_IMO_BASE EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_IMO_SIZE +CYDEV_FASTCLK_IMO_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_IMO_CR +CYREG_FASTCLK_IMO_CR EQU 0x40004200 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_BASE +CYDEV_FASTCLK_XMHZ_BASE EQU 0x40004210 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_XMHZ_SIZE +CYDEV_FASTCLK_XMHZ_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_XMHZ_CSR +CYREG_FASTCLK_XMHZ_CSR EQU 0x40004210 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_XMHZ_CFG0 +CYREG_FASTCLK_XMHZ_CFG0 EQU 0x40004212 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_XMHZ_CFG1 +CYREG_FASTCLK_XMHZ_CFG1 EQU 0x40004213 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_BASE +CYDEV_FASTCLK_PLL_BASE EQU 0x40004220 + ENDIF + IF :LNOT::DEF:CYDEV_FASTCLK_PLL_SIZE +CYDEV_FASTCLK_PLL_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_CFG0 +CYREG_FASTCLK_PLL_CFG0 EQU 0x40004220 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_CFG1 +CYREG_FASTCLK_PLL_CFG1 EQU 0x40004221 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_P +CYREG_FASTCLK_PLL_P EQU 0x40004222 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_Q +CYREG_FASTCLK_PLL_Q EQU 0x40004223 + ENDIF + IF :LNOT::DEF:CYREG_FASTCLK_PLL_SR +CYREG_FASTCLK_PLL_SR EQU 0x40004225 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_BASE +CYDEV_SLOWCLK_BASE EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_SIZE +CYDEV_SLOWCLK_SIZE EQU 0x0000000b + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_BASE +CYDEV_SLOWCLK_ILO_BASE EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_ILO_SIZE +CYDEV_SLOWCLK_ILO_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_ILO_CR0 +CYREG_SLOWCLK_ILO_CR0 EQU 0x40004300 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_ILO_CR1 +CYREG_SLOWCLK_ILO_CR1 EQU 0x40004301 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_BASE +CYDEV_SLOWCLK_X32_BASE EQU 0x40004308 + ENDIF + IF :LNOT::DEF:CYDEV_SLOWCLK_X32_SIZE +CYDEV_SLOWCLK_X32_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_X32_CR +CYREG_SLOWCLK_X32_CR EQU 0x40004308 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_X32_CFG +CYREG_SLOWCLK_X32_CFG EQU 0x40004309 + ENDIF + IF :LNOT::DEF:CYREG_SLOWCLK_X32_TST +CYREG_SLOWCLK_X32_TST EQU 0x4000430a + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_BASE +CYDEV_BOOST_BASE EQU 0x40004320 + ENDIF + IF :LNOT::DEF:CYDEV_BOOST_SIZE +CYDEV_BOOST_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR0 +CYREG_BOOST_CR0 EQU 0x40004320 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR1 +CYREG_BOOST_CR1 EQU 0x40004321 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR2 +CYREG_BOOST_CR2 EQU 0x40004322 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR3 +CYREG_BOOST_CR3 EQU 0x40004323 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_SR +CYREG_BOOST_SR EQU 0x40004324 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_CR4 +CYREG_BOOST_CR4 EQU 0x40004325 + ENDIF + IF :LNOT::DEF:CYREG_BOOST_SR2 +CYREG_BOOST_SR2 EQU 0x40004326 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_BASE +CYDEV_PWRSYS_BASE EQU 0x40004330 + ENDIF + IF :LNOT::DEF:CYDEV_PWRSYS_SIZE +CYDEV_PWRSYS_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_CR0 +CYREG_PWRSYS_CR0 EQU 0x40004330 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_CR1 +CYREG_PWRSYS_CR1 EQU 0x40004331 + ENDIF + IF :LNOT::DEF:CYDEV_PM_BASE +CYDEV_PM_BASE EQU 0x40004380 + ENDIF + IF :LNOT::DEF:CYDEV_PM_SIZE +CYDEV_PM_SIZE EQU 0x00000057 + ENDIF + IF :LNOT::DEF:CYREG_PM_TW_CFG0 +CYREG_PM_TW_CFG0 EQU 0x40004380 + ENDIF + IF :LNOT::DEF:CYREG_PM_TW_CFG1 +CYREG_PM_TW_CFG1 EQU 0x40004381 + ENDIF + IF :LNOT::DEF:CYREG_PM_TW_CFG2 +CYREG_PM_TW_CFG2 EQU 0x40004382 + ENDIF + IF :LNOT::DEF:CYREG_PM_WDT_CFG +CYREG_PM_WDT_CFG EQU 0x40004383 + ENDIF + IF :LNOT::DEF:CYREG_PM_WDT_CR +CYREG_PM_WDT_CR EQU 0x40004384 + ENDIF + IF :LNOT::DEF:CYREG_PM_INT_SR +CYREG_PM_INT_SR EQU 0x40004390 + ENDIF + IF :LNOT::DEF:CYREG_PM_MODE_CFG0 +CYREG_PM_MODE_CFG0 EQU 0x40004391 + ENDIF + IF :LNOT::DEF:CYREG_PM_MODE_CFG1 +CYREG_PM_MODE_CFG1 EQU 0x40004392 + ENDIF + IF :LNOT::DEF:CYREG_PM_MODE_CSR +CYREG_PM_MODE_CSR EQU 0x40004393 + ENDIF + IF :LNOT::DEF:CYREG_PM_USB_CR0 +CYREG_PM_USB_CR0 EQU 0x40004394 + ENDIF + IF :LNOT::DEF:CYREG_PM_WAKEUP_CFG0 +CYREG_PM_WAKEUP_CFG0 EQU 0x40004398 + ENDIF + IF :LNOT::DEF:CYREG_PM_WAKEUP_CFG1 +CYREG_PM_WAKEUP_CFG1 EQU 0x40004399 + ENDIF + IF :LNOT::DEF:CYREG_PM_WAKEUP_CFG2 +CYREG_PM_WAKEUP_CFG2 EQU 0x4000439a + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_BASE +CYDEV_PM_ACT_BASE EQU 0x400043a0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_ACT_SIZE +CYDEV_PM_ACT_SIZE EQU 0x0000000e + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG0 +CYREG_PM_ACT_CFG0 EQU 0x400043a0 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG1 +CYREG_PM_ACT_CFG1 EQU 0x400043a1 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG2 +CYREG_PM_ACT_CFG2 EQU 0x400043a2 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG3 +CYREG_PM_ACT_CFG3 EQU 0x400043a3 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG4 +CYREG_PM_ACT_CFG4 EQU 0x400043a4 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG5 +CYREG_PM_ACT_CFG5 EQU 0x400043a5 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG6 +CYREG_PM_ACT_CFG6 EQU 0x400043a6 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG7 +CYREG_PM_ACT_CFG7 EQU 0x400043a7 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG8 +CYREG_PM_ACT_CFG8 EQU 0x400043a8 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG9 +CYREG_PM_ACT_CFG9 EQU 0x400043a9 + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG10 +CYREG_PM_ACT_CFG10 EQU 0x400043aa + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG11 +CYREG_PM_ACT_CFG11 EQU 0x400043ab + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG12 +CYREG_PM_ACT_CFG12 EQU 0x400043ac + ENDIF + IF :LNOT::DEF:CYREG_PM_ACT_CFG13 +CYREG_PM_ACT_CFG13 EQU 0x400043ad + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_BASE +CYDEV_PM_STBY_BASE EQU 0x400043b0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_STBY_SIZE +CYDEV_PM_STBY_SIZE EQU 0x0000000e + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG0 +CYREG_PM_STBY_CFG0 EQU 0x400043b0 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG1 +CYREG_PM_STBY_CFG1 EQU 0x400043b1 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG2 +CYREG_PM_STBY_CFG2 EQU 0x400043b2 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG3 +CYREG_PM_STBY_CFG3 EQU 0x400043b3 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG4 +CYREG_PM_STBY_CFG4 EQU 0x400043b4 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG5 +CYREG_PM_STBY_CFG5 EQU 0x400043b5 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG6 +CYREG_PM_STBY_CFG6 EQU 0x400043b6 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG7 +CYREG_PM_STBY_CFG7 EQU 0x400043b7 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG8 +CYREG_PM_STBY_CFG8 EQU 0x400043b8 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG9 +CYREG_PM_STBY_CFG9 EQU 0x400043b9 + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG10 +CYREG_PM_STBY_CFG10 EQU 0x400043ba + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG11 +CYREG_PM_STBY_CFG11 EQU 0x400043bb + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG12 +CYREG_PM_STBY_CFG12 EQU 0x400043bc + ENDIF + IF :LNOT::DEF:CYREG_PM_STBY_CFG13 +CYREG_PM_STBY_CFG13 EQU 0x400043bd + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_BASE +CYDEV_PM_AVAIL_BASE EQU 0x400043c0 + ENDIF + IF :LNOT::DEF:CYDEV_PM_AVAIL_SIZE +CYDEV_PM_AVAIL_SIZE EQU 0x00000017 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR0 +CYREG_PM_AVAIL_CR0 EQU 0x400043c0 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR1 +CYREG_PM_AVAIL_CR1 EQU 0x400043c1 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR2 +CYREG_PM_AVAIL_CR2 EQU 0x400043c2 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR3 +CYREG_PM_AVAIL_CR3 EQU 0x400043c3 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR4 +CYREG_PM_AVAIL_CR4 EQU 0x400043c4 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR5 +CYREG_PM_AVAIL_CR5 EQU 0x400043c5 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_CR6 +CYREG_PM_AVAIL_CR6 EQU 0x400043c6 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR0 +CYREG_PM_AVAIL_SR0 EQU 0x400043d0 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR1 +CYREG_PM_AVAIL_SR1 EQU 0x400043d1 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR2 +CYREG_PM_AVAIL_SR2 EQU 0x400043d2 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR3 +CYREG_PM_AVAIL_SR3 EQU 0x400043d3 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR4 +CYREG_PM_AVAIL_SR4 EQU 0x400043d4 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR5 +CYREG_PM_AVAIL_SR5 EQU 0x400043d5 + ENDIF + IF :LNOT::DEF:CYREG_PM_AVAIL_SR6 +CYREG_PM_AVAIL_SR6 EQU 0x400043d6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_BASE +CYDEV_PICU_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SIZE +CYDEV_PICU_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_BASE +CYDEV_PICU_INTTYPE_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_SIZE +CYDEV_PICU_INTTYPE_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_BASE +CYDEV_PICU_INTTYPE_PICU0_BASE EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU0_SIZE +CYDEV_PICU_INTTYPE_PICU0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE0 +CYREG_PICU0_INTTYPE0 EQU 0x40004500 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE1 +CYREG_PICU0_INTTYPE1 EQU 0x40004501 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE2 +CYREG_PICU0_INTTYPE2 EQU 0x40004502 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE3 +CYREG_PICU0_INTTYPE3 EQU 0x40004503 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE4 +CYREG_PICU0_INTTYPE4 EQU 0x40004504 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE5 +CYREG_PICU0_INTTYPE5 EQU 0x40004505 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE6 +CYREG_PICU0_INTTYPE6 EQU 0x40004506 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTTYPE7 +CYREG_PICU0_INTTYPE7 EQU 0x40004507 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_BASE +CYDEV_PICU_INTTYPE_PICU1_BASE EQU 0x40004508 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU1_SIZE +CYDEV_PICU_INTTYPE_PICU1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE0 +CYREG_PICU1_INTTYPE0 EQU 0x40004508 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE1 +CYREG_PICU1_INTTYPE1 EQU 0x40004509 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE2 +CYREG_PICU1_INTTYPE2 EQU 0x4000450a + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE3 +CYREG_PICU1_INTTYPE3 EQU 0x4000450b + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE4 +CYREG_PICU1_INTTYPE4 EQU 0x4000450c + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE5 +CYREG_PICU1_INTTYPE5 EQU 0x4000450d + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE6 +CYREG_PICU1_INTTYPE6 EQU 0x4000450e + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTTYPE7 +CYREG_PICU1_INTTYPE7 EQU 0x4000450f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_BASE +CYDEV_PICU_INTTYPE_PICU2_BASE EQU 0x40004510 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU2_SIZE +CYDEV_PICU_INTTYPE_PICU2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE0 +CYREG_PICU2_INTTYPE0 EQU 0x40004510 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE1 +CYREG_PICU2_INTTYPE1 EQU 0x40004511 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE2 +CYREG_PICU2_INTTYPE2 EQU 0x40004512 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE3 +CYREG_PICU2_INTTYPE3 EQU 0x40004513 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE4 +CYREG_PICU2_INTTYPE4 EQU 0x40004514 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE5 +CYREG_PICU2_INTTYPE5 EQU 0x40004515 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE6 +CYREG_PICU2_INTTYPE6 EQU 0x40004516 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTTYPE7 +CYREG_PICU2_INTTYPE7 EQU 0x40004517 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_BASE +CYDEV_PICU_INTTYPE_PICU3_BASE EQU 0x40004518 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU3_SIZE +CYDEV_PICU_INTTYPE_PICU3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE0 +CYREG_PICU3_INTTYPE0 EQU 0x40004518 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE1 +CYREG_PICU3_INTTYPE1 EQU 0x40004519 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE2 +CYREG_PICU3_INTTYPE2 EQU 0x4000451a + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE3 +CYREG_PICU3_INTTYPE3 EQU 0x4000451b + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE4 +CYREG_PICU3_INTTYPE4 EQU 0x4000451c + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE5 +CYREG_PICU3_INTTYPE5 EQU 0x4000451d + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE6 +CYREG_PICU3_INTTYPE6 EQU 0x4000451e + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTTYPE7 +CYREG_PICU3_INTTYPE7 EQU 0x4000451f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_BASE +CYDEV_PICU_INTTYPE_PICU4_BASE EQU 0x40004520 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU4_SIZE +CYDEV_PICU_INTTYPE_PICU4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE0 +CYREG_PICU4_INTTYPE0 EQU 0x40004520 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE1 +CYREG_PICU4_INTTYPE1 EQU 0x40004521 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE2 +CYREG_PICU4_INTTYPE2 EQU 0x40004522 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE3 +CYREG_PICU4_INTTYPE3 EQU 0x40004523 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE4 +CYREG_PICU4_INTTYPE4 EQU 0x40004524 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE5 +CYREG_PICU4_INTTYPE5 EQU 0x40004525 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE6 +CYREG_PICU4_INTTYPE6 EQU 0x40004526 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTTYPE7 +CYREG_PICU4_INTTYPE7 EQU 0x40004527 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_BASE +CYDEV_PICU_INTTYPE_PICU5_BASE EQU 0x40004528 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU5_SIZE +CYDEV_PICU_INTTYPE_PICU5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE0 +CYREG_PICU5_INTTYPE0 EQU 0x40004528 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE1 +CYREG_PICU5_INTTYPE1 EQU 0x40004529 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE2 +CYREG_PICU5_INTTYPE2 EQU 0x4000452a + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE3 +CYREG_PICU5_INTTYPE3 EQU 0x4000452b + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE4 +CYREG_PICU5_INTTYPE4 EQU 0x4000452c + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE5 +CYREG_PICU5_INTTYPE5 EQU 0x4000452d + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE6 +CYREG_PICU5_INTTYPE6 EQU 0x4000452e + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTTYPE7 +CYREG_PICU5_INTTYPE7 EQU 0x4000452f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_BASE +CYDEV_PICU_INTTYPE_PICU6_BASE EQU 0x40004530 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU6_SIZE +CYDEV_PICU_INTTYPE_PICU6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE0 +CYREG_PICU6_INTTYPE0 EQU 0x40004530 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE1 +CYREG_PICU6_INTTYPE1 EQU 0x40004531 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE2 +CYREG_PICU6_INTTYPE2 EQU 0x40004532 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE3 +CYREG_PICU6_INTTYPE3 EQU 0x40004533 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE4 +CYREG_PICU6_INTTYPE4 EQU 0x40004534 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE5 +CYREG_PICU6_INTTYPE5 EQU 0x40004535 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE6 +CYREG_PICU6_INTTYPE6 EQU 0x40004536 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTTYPE7 +CYREG_PICU6_INTTYPE7 EQU 0x40004537 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_BASE +CYDEV_PICU_INTTYPE_PICU12_BASE EQU 0x40004560 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU12_SIZE +CYDEV_PICU_INTTYPE_PICU12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE0 +CYREG_PICU12_INTTYPE0 EQU 0x40004560 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE1 +CYREG_PICU12_INTTYPE1 EQU 0x40004561 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE2 +CYREG_PICU12_INTTYPE2 EQU 0x40004562 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE3 +CYREG_PICU12_INTTYPE3 EQU 0x40004563 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE4 +CYREG_PICU12_INTTYPE4 EQU 0x40004564 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE5 +CYREG_PICU12_INTTYPE5 EQU 0x40004565 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE6 +CYREG_PICU12_INTTYPE6 EQU 0x40004566 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTTYPE7 +CYREG_PICU12_INTTYPE7 EQU 0x40004567 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_BASE +CYDEV_PICU_INTTYPE_PICU15_BASE EQU 0x40004578 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_INTTYPE_PICU15_SIZE +CYDEV_PICU_INTTYPE_PICU15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE0 +CYREG_PICU15_INTTYPE0 EQU 0x40004578 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE1 +CYREG_PICU15_INTTYPE1 EQU 0x40004579 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE2 +CYREG_PICU15_INTTYPE2 EQU 0x4000457a + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE3 +CYREG_PICU15_INTTYPE3 EQU 0x4000457b + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE4 +CYREG_PICU15_INTTYPE4 EQU 0x4000457c + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE5 +CYREG_PICU15_INTTYPE5 EQU 0x4000457d + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE6 +CYREG_PICU15_INTTYPE6 EQU 0x4000457e + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTTYPE7 +CYREG_PICU15_INTTYPE7 EQU 0x4000457f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_BASE +CYDEV_PICU_STAT_BASE EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_SIZE +CYDEV_PICU_STAT_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_BASE +CYDEV_PICU_STAT_PICU0_BASE EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU0_SIZE +CYDEV_PICU_STAT_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_INTSTAT +CYREG_PICU0_INTSTAT EQU 0x40004580 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_BASE +CYDEV_PICU_STAT_PICU1_BASE EQU 0x40004581 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU1_SIZE +CYDEV_PICU_STAT_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_INTSTAT +CYREG_PICU1_INTSTAT EQU 0x40004581 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_BASE +CYDEV_PICU_STAT_PICU2_BASE EQU 0x40004582 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU2_SIZE +CYDEV_PICU_STAT_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_INTSTAT +CYREG_PICU2_INTSTAT EQU 0x40004582 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_BASE +CYDEV_PICU_STAT_PICU3_BASE EQU 0x40004583 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU3_SIZE +CYDEV_PICU_STAT_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_INTSTAT +CYREG_PICU3_INTSTAT EQU 0x40004583 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_BASE +CYDEV_PICU_STAT_PICU4_BASE EQU 0x40004584 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU4_SIZE +CYDEV_PICU_STAT_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_INTSTAT +CYREG_PICU4_INTSTAT EQU 0x40004584 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_BASE +CYDEV_PICU_STAT_PICU5_BASE EQU 0x40004585 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU5_SIZE +CYDEV_PICU_STAT_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_INTSTAT +CYREG_PICU5_INTSTAT EQU 0x40004585 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_BASE +CYDEV_PICU_STAT_PICU6_BASE EQU 0x40004586 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU6_SIZE +CYDEV_PICU_STAT_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_INTSTAT +CYREG_PICU6_INTSTAT EQU 0x40004586 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_BASE +CYDEV_PICU_STAT_PICU12_BASE EQU 0x4000458c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU12_SIZE +CYDEV_PICU_STAT_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_INTSTAT +CYREG_PICU12_INTSTAT EQU 0x4000458c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_BASE +CYDEV_PICU_STAT_PICU15_BASE EQU 0x4000458f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_STAT_PICU15_SIZE +CYDEV_PICU_STAT_PICU15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_INTSTAT +CYREG_PICU15_INTSTAT EQU 0x4000458f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_BASE +CYDEV_PICU_SNAP_BASE EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_SIZE +CYDEV_PICU_SNAP_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_BASE +CYDEV_PICU_SNAP_PICU0_BASE EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU0_SIZE +CYDEV_PICU_SNAP_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_SNAP +CYREG_PICU0_SNAP EQU 0x40004590 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_BASE +CYDEV_PICU_SNAP_PICU1_BASE EQU 0x40004591 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU1_SIZE +CYDEV_PICU_SNAP_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_SNAP +CYREG_PICU1_SNAP EQU 0x40004591 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_BASE +CYDEV_PICU_SNAP_PICU2_BASE EQU 0x40004592 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU2_SIZE +CYDEV_PICU_SNAP_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_SNAP +CYREG_PICU2_SNAP EQU 0x40004592 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_BASE +CYDEV_PICU_SNAP_PICU3_BASE EQU 0x40004593 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU3_SIZE +CYDEV_PICU_SNAP_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_SNAP +CYREG_PICU3_SNAP EQU 0x40004593 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_BASE +CYDEV_PICU_SNAP_PICU4_BASE EQU 0x40004594 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU4_SIZE +CYDEV_PICU_SNAP_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_SNAP +CYREG_PICU4_SNAP EQU 0x40004594 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_BASE +CYDEV_PICU_SNAP_PICU5_BASE EQU 0x40004595 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU5_SIZE +CYDEV_PICU_SNAP_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_SNAP +CYREG_PICU5_SNAP EQU 0x40004595 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_BASE +CYDEV_PICU_SNAP_PICU6_BASE EQU 0x40004596 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU6_SIZE +CYDEV_PICU_SNAP_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_SNAP +CYREG_PICU6_SNAP EQU 0x40004596 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_BASE +CYDEV_PICU_SNAP_PICU12_BASE EQU 0x4000459c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU12_SIZE +CYDEV_PICU_SNAP_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_SNAP +CYREG_PICU12_SNAP EQU 0x4000459c + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_BASE +CYDEV_PICU_SNAP_PICU_15_BASE EQU 0x4000459f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_SNAP_PICU_15_SIZE +CYDEV_PICU_SNAP_PICU_15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU_15_SNAP_15 +CYREG_PICU_15_SNAP_15 EQU 0x4000459f + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_BASE +CYDEV_PICU_DISABLE_COR_BASE EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_SIZE +CYDEV_PICU_DISABLE_COR_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_BASE +CYDEV_PICU_DISABLE_COR_PICU0_BASE EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU0_SIZE +CYDEV_PICU_DISABLE_COR_PICU0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU0_DISABLE_COR +CYREG_PICU0_DISABLE_COR EQU 0x400045a0 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_BASE +CYDEV_PICU_DISABLE_COR_PICU1_BASE EQU 0x400045a1 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU1_SIZE +CYDEV_PICU_DISABLE_COR_PICU1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU1_DISABLE_COR +CYREG_PICU1_DISABLE_COR EQU 0x400045a1 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_BASE +CYDEV_PICU_DISABLE_COR_PICU2_BASE EQU 0x400045a2 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU2_SIZE +CYDEV_PICU_DISABLE_COR_PICU2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU2_DISABLE_COR +CYREG_PICU2_DISABLE_COR EQU 0x400045a2 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_BASE +CYDEV_PICU_DISABLE_COR_PICU3_BASE EQU 0x400045a3 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU3_SIZE +CYDEV_PICU_DISABLE_COR_PICU3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU3_DISABLE_COR +CYREG_PICU3_DISABLE_COR EQU 0x400045a3 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_BASE +CYDEV_PICU_DISABLE_COR_PICU4_BASE EQU 0x400045a4 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU4_SIZE +CYDEV_PICU_DISABLE_COR_PICU4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU4_DISABLE_COR +CYREG_PICU4_DISABLE_COR EQU 0x400045a4 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_BASE +CYDEV_PICU_DISABLE_COR_PICU5_BASE EQU 0x400045a5 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU5_SIZE +CYDEV_PICU_DISABLE_COR_PICU5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU5_DISABLE_COR +CYREG_PICU5_DISABLE_COR EQU 0x400045a5 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_BASE +CYDEV_PICU_DISABLE_COR_PICU6_BASE EQU 0x400045a6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU6_SIZE +CYDEV_PICU_DISABLE_COR_PICU6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU6_DISABLE_COR +CYREG_PICU6_DISABLE_COR EQU 0x400045a6 + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_BASE +CYDEV_PICU_DISABLE_COR_PICU12_BASE EQU 0x400045ac + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU12_SIZE +CYDEV_PICU_DISABLE_COR_PICU12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU12_DISABLE_COR +CYREG_PICU12_DISABLE_COR EQU 0x400045ac + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_BASE +CYDEV_PICU_DISABLE_COR_PICU15_BASE EQU 0x400045af + ENDIF + IF :LNOT::DEF:CYDEV_PICU_DISABLE_COR_PICU15_SIZE +CYDEV_PICU_DISABLE_COR_PICU15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PICU15_DISABLE_COR +CYREG_PICU15_DISABLE_COR EQU 0x400045af + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_BASE +CYDEV_MFGCFG_BASE EQU 0x40004600 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_SIZE +CYDEV_MFGCFG_SIZE EQU 0x000000ed + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_BASE +CYDEV_MFGCFG_ANAIF_BASE EQU 0x40004600 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SIZE +CYDEV_MFGCFG_ANAIF_SIZE EQU 0x00000038 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_BASE +CYDEV_MFGCFG_ANAIF_DAC0_BASE EQU 0x40004608 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC0_SIZE +CYDEV_MFGCFG_ANAIF_DAC0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_TR +CYREG_DAC0_TR EQU 0x40004608 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_BASE +CYDEV_MFGCFG_ANAIF_DAC1_BASE EQU 0x40004609 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC1_SIZE +CYDEV_MFGCFG_ANAIF_DAC1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_TR +CYREG_DAC1_TR EQU 0x40004609 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_BASE +CYDEV_MFGCFG_ANAIF_DAC2_BASE EQU 0x4000460a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC2_SIZE +CYDEV_MFGCFG_ANAIF_DAC2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_TR +CYREG_DAC2_TR EQU 0x4000460a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_BASE +CYDEV_MFGCFG_ANAIF_DAC3_BASE EQU 0x4000460b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_DAC3_SIZE +CYDEV_MFGCFG_ANAIF_DAC3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_TR +CYREG_DAC3_TR EQU 0x4000460b + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_BASE EQU 0x40004610 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_DSM_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_NPUMP_DSM_TR0 +CYREG_NPUMP_DSM_TR0 EQU 0x40004610 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_SC_BASE EQU 0x40004611 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_SC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_NPUMP_SC_TR0 +CYREG_NPUMP_SC_TR0 EQU 0x40004611 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_BASE EQU 0x40004612 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE +CYDEV_MFGCFG_ANAIF_NPUMP_OPAMP_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_NPUMP_OPAMP_TR0 +CYREG_NPUMP_OPAMP_TR0 EQU 0x40004612 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_BASE +CYDEV_MFGCFG_ANAIF_SAR0_BASE EQU 0x40004614 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR0_SIZE +CYDEV_MFGCFG_ANAIF_SAR0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_TR0 +CYREG_SAR0_TR0 EQU 0x40004614 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_BASE +CYDEV_MFGCFG_ANAIF_SAR1_BASE EQU 0x40004616 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_SAR1_SIZE +CYDEV_MFGCFG_ANAIF_SAR1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_TR0 +CYREG_SAR1_TR0 EQU 0x40004616 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_BASE +CYDEV_MFGCFG_ANAIF_OPAMP0_BASE EQU 0x40004620 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_TR0 +CYREG_OPAMP0_TR0 EQU 0x40004620 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_TR1 +CYREG_OPAMP0_TR1 EQU 0x40004621 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_BASE +CYDEV_MFGCFG_ANAIF_OPAMP1_BASE EQU 0x40004622 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_TR0 +CYREG_OPAMP1_TR0 EQU 0x40004622 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_TR1 +CYREG_OPAMP1_TR1 EQU 0x40004623 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_BASE +CYDEV_MFGCFG_ANAIF_OPAMP2_BASE EQU 0x40004624 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_TR0 +CYREG_OPAMP2_TR0 EQU 0x40004624 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_TR1 +CYREG_OPAMP2_TR1 EQU 0x40004625 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_BASE +CYDEV_MFGCFG_ANAIF_OPAMP3_BASE EQU 0x40004626 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE +CYDEV_MFGCFG_ANAIF_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_TR0 +CYREG_OPAMP3_TR0 EQU 0x40004626 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_TR1 +CYREG_OPAMP3_TR1 EQU 0x40004627 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_BASE +CYDEV_MFGCFG_ANAIF_CMP0_BASE EQU 0x40004630 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP0_SIZE +CYDEV_MFGCFG_ANAIF_CMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_TR0 +CYREG_CMP0_TR0 EQU 0x40004630 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_TR1 +CYREG_CMP0_TR1 EQU 0x40004631 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_BASE +CYDEV_MFGCFG_ANAIF_CMP1_BASE EQU 0x40004632 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP1_SIZE +CYDEV_MFGCFG_ANAIF_CMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_TR0 +CYREG_CMP1_TR0 EQU 0x40004632 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_TR1 +CYREG_CMP1_TR1 EQU 0x40004633 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_BASE +CYDEV_MFGCFG_ANAIF_CMP2_BASE EQU 0x40004634 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP2_SIZE +CYDEV_MFGCFG_ANAIF_CMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_TR0 +CYREG_CMP2_TR0 EQU 0x40004634 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_TR1 +CYREG_CMP2_TR1 EQU 0x40004635 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_BASE +CYDEV_MFGCFG_ANAIF_CMP3_BASE EQU 0x40004636 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ANAIF_CMP3_SIZE +CYDEV_MFGCFG_ANAIF_CMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_TR0 +CYREG_CMP3_TR0 EQU 0x40004636 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_TR1 +CYREG_CMP3_TR1 EQU 0x40004637 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_BASE +CYDEV_MFGCFG_PWRSYS_BASE EQU 0x40004680 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_PWRSYS_SIZE +CYDEV_MFGCFG_PWRSYS_SIZE EQU 0x0000000b + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_HIB_TR0 +CYREG_PWRSYS_HIB_TR0 EQU 0x40004680 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_HIB_TR1 +CYREG_PWRSYS_HIB_TR1 EQU 0x40004681 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_I2C_TR +CYREG_PWRSYS_I2C_TR EQU 0x40004682 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_SLP_TR +CYREG_PWRSYS_SLP_TR EQU 0x40004683 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_BUZZ_TR +CYREG_PWRSYS_BUZZ_TR EQU 0x40004684 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_WAKE_TR0 +CYREG_PWRSYS_WAKE_TR0 EQU 0x40004685 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_WAKE_TR1 +CYREG_PWRSYS_WAKE_TR1 EQU 0x40004686 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_BREF_TR +CYREG_PWRSYS_BREF_TR EQU 0x40004687 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_BG_TR +CYREG_PWRSYS_BG_TR EQU 0x40004688 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_WAKE_TR2 +CYREG_PWRSYS_WAKE_TR2 EQU 0x40004689 + ENDIF + IF :LNOT::DEF:CYREG_PWRSYS_WAKE_TR3 +CYREG_PWRSYS_WAKE_TR3 EQU 0x4000468a + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_BASE +CYDEV_MFGCFG_ILO_BASE EQU 0x40004690 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_ILO_SIZE +CYDEV_MFGCFG_ILO_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_ILO_TR0 +CYREG_ILO_TR0 EQU 0x40004690 + ENDIF + IF :LNOT::DEF:CYREG_ILO_TR1 +CYREG_ILO_TR1 EQU 0x40004691 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_BASE +CYDEV_MFGCFG_X32_BASE EQU 0x40004698 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_X32_SIZE +CYDEV_MFGCFG_X32_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_X32_TR +CYREG_X32_TR EQU 0x40004698 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_BASE +CYDEV_MFGCFG_IMO_BASE EQU 0x400046a0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_IMO_SIZE +CYDEV_MFGCFG_IMO_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_IMO_TR0 +CYREG_IMO_TR0 EQU 0x400046a0 + ENDIF + IF :LNOT::DEF:CYREG_IMO_TR1 +CYREG_IMO_TR1 EQU 0x400046a1 + ENDIF + IF :LNOT::DEF:CYREG_IMO_GAIN +CYREG_IMO_GAIN EQU 0x400046a2 + ENDIF + IF :LNOT::DEF:CYREG_IMO_C36M +CYREG_IMO_C36M EQU 0x400046a3 + ENDIF + IF :LNOT::DEF:CYREG_IMO_TR2 +CYREG_IMO_TR2 EQU 0x400046a4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_BASE +CYDEV_MFGCFG_XMHZ_BASE EQU 0x400046a8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_XMHZ_SIZE +CYDEV_MFGCFG_XMHZ_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_XMHZ_TR +CYREG_XMHZ_TR EQU 0x400046a8 + ENDIF + IF :LNOT::DEF:CYREG_MFGCFG_DLY +CYREG_MFGCFG_DLY EQU 0x400046c0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_BASE +CYDEV_MFGCFG_MLOGIC_BASE EQU 0x400046e0 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SIZE +CYDEV_MFGCFG_MLOGIC_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_DMPSTR +CYREG_MLOGIC_DMPSTR EQU 0x400046e2 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_BASE +CYDEV_MFGCFG_MLOGIC_SEG_BASE EQU 0x400046e4 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_SEG_SIZE +CYDEV_MFGCFG_MLOGIC_SEG_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_SEG_CR +CYREG_MLOGIC_SEG_CR EQU 0x400046e4 + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_SEG_CFG0 +CYREG_MLOGIC_SEG_CFG0 EQU 0x400046e5 + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_DEBUG +CYREG_MLOGIC_DEBUG EQU 0x400046e8 + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE +CYDEV_MFGCFG_MLOGIC_CPU_SCR_BASE EQU 0x400046ea + ENDIF + IF :LNOT::DEF:CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE +CYDEV_MFGCFG_MLOGIC_CPU_SCR_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_CPU_SCR_CPU_SCR +CYREG_MLOGIC_CPU_SCR_CPU_SCR EQU 0x400046ea + ENDIF + IF :LNOT::DEF:CYREG_MLOGIC_REV_ID +CYREG_MLOGIC_REV_ID EQU 0x400046ec + ENDIF + IF :LNOT::DEF:CYDEV_RESET_BASE +CYDEV_RESET_BASE EQU 0x400046f0 + ENDIF + IF :LNOT::DEF:CYDEV_RESET_SIZE +CYDEV_RESET_SIZE EQU 0x0000000f + ENDIF + IF :LNOT::DEF:CYREG_RESET_IPOR_CR0 +CYREG_RESET_IPOR_CR0 EQU 0x400046f0 + ENDIF + IF :LNOT::DEF:CYREG_RESET_IPOR_CR1 +CYREG_RESET_IPOR_CR1 EQU 0x400046f1 + ENDIF + IF :LNOT::DEF:CYREG_RESET_IPOR_CR2 +CYREG_RESET_IPOR_CR2 EQU 0x400046f2 + ENDIF + IF :LNOT::DEF:CYREG_RESET_IPOR_CR3 +CYREG_RESET_IPOR_CR3 EQU 0x400046f3 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR0 +CYREG_RESET_CR0 EQU 0x400046f4 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR1 +CYREG_RESET_CR1 EQU 0x400046f5 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR2 +CYREG_RESET_CR2 EQU 0x400046f6 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR3 +CYREG_RESET_CR3 EQU 0x400046f7 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR4 +CYREG_RESET_CR4 EQU 0x400046f8 + ENDIF + IF :LNOT::DEF:CYREG_RESET_CR5 +CYREG_RESET_CR5 EQU 0x400046f9 + ENDIF + IF :LNOT::DEF:CYREG_RESET_SR0 +CYREG_RESET_SR0 EQU 0x400046fa + ENDIF + IF :LNOT::DEF:CYREG_RESET_SR1 +CYREG_RESET_SR1 EQU 0x400046fb + ENDIF + IF :LNOT::DEF:CYREG_RESET_SR2 +CYREG_RESET_SR2 EQU 0x400046fc + ENDIF + IF :LNOT::DEF:CYREG_RESET_SR3 +CYREG_RESET_SR3 EQU 0x400046fd + ENDIF + IF :LNOT::DEF:CYREG_RESET_TR +CYREG_RESET_TR EQU 0x400046fe + ENDIF + IF :LNOT::DEF:CYDEV_SPC_BASE +CYDEV_SPC_BASE EQU 0x40004700 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_SIZE +CYDEV_SPC_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYREG_SPC_FM_EE_CR +CYREG_SPC_FM_EE_CR EQU 0x40004700 + ENDIF + IF :LNOT::DEF:CYREG_SPC_FM_EE_WAKE_CNT +CYREG_SPC_FM_EE_WAKE_CNT EQU 0x40004701 + ENDIF + IF :LNOT::DEF:CYREG_SPC_EE_SCR +CYREG_SPC_EE_SCR EQU 0x40004702 + ENDIF + IF :LNOT::DEF:CYREG_SPC_EE_ERR +CYREG_SPC_EE_ERR EQU 0x40004703 + ENDIF + IF :LNOT::DEF:CYREG_SPC_CPU_DATA +CYREG_SPC_CPU_DATA EQU 0x40004720 + ENDIF + IF :LNOT::DEF:CYREG_SPC_DMA_DATA +CYREG_SPC_DMA_DATA EQU 0x40004721 + ENDIF + IF :LNOT::DEF:CYREG_SPC_SR +CYREG_SPC_SR EQU 0x40004722 + ENDIF + IF :LNOT::DEF:CYREG_SPC_CR +CYREG_SPC_CR EQU 0x40004723 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_BASE +CYDEV_SPC_DMM_MAP_BASE EQU 0x40004780 + ENDIF + IF :LNOT::DEF:CYDEV_SPC_DMM_MAP_SIZE +CYDEV_SPC_DMM_MAP_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYREG_SPC_DMM_MAP_SRAM_MBASE +CYREG_SPC_DMM_MAP_SRAM_MBASE EQU 0x40004780 + ENDIF + IF :LNOT::DEF:CYREG_SPC_DMM_MAP_SRAM_MSIZE +CYREG_SPC_DMM_MAP_SRAM_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_BASE +CYDEV_CACHE_BASE EQU 0x40004800 + ENDIF + IF :LNOT::DEF:CYDEV_CACHE_SIZE +CYDEV_CACHE_SIZE EQU 0x0000009c + ENDIF + IF :LNOT::DEF:CYREG_CACHE_CC_CTL +CYREG_CACHE_CC_CTL EQU 0x40004800 + ENDIF + IF :LNOT::DEF:CYREG_CACHE_ECC_CORR +CYREG_CACHE_ECC_CORR EQU 0x40004880 + ENDIF + IF :LNOT::DEF:CYREG_CACHE_ECC_ERR +CYREG_CACHE_ECC_ERR EQU 0x40004888 + ENDIF + IF :LNOT::DEF:CYREG_CACHE_FLASH_ERR +CYREG_CACHE_FLASH_ERR EQU 0x40004890 + ENDIF + IF :LNOT::DEF:CYREG_CACHE_HITMISS +CYREG_CACHE_HITMISS EQU 0x40004898 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_BASE +CYDEV_I2C_BASE EQU 0x40004900 + ENDIF + IF :LNOT::DEF:CYDEV_I2C_SIZE +CYDEV_I2C_SIZE EQU 0x000000e1 + ENDIF + IF :LNOT::DEF:CYREG_I2C_XCFG +CYREG_I2C_XCFG EQU 0x400049c8 + ENDIF + IF :LNOT::DEF:CYREG_I2C_ADR +CYREG_I2C_ADR EQU 0x400049ca + ENDIF + IF :LNOT::DEF:CYREG_I2C_CFG +CYREG_I2C_CFG EQU 0x400049d6 + ENDIF + IF :LNOT::DEF:CYREG_I2C_CSR +CYREG_I2C_CSR EQU 0x400049d7 + ENDIF + IF :LNOT::DEF:CYREG_I2C_D +CYREG_I2C_D EQU 0x400049d8 + ENDIF + IF :LNOT::DEF:CYREG_I2C_MCSR +CYREG_I2C_MCSR EQU 0x400049d9 + ENDIF + IF :LNOT::DEF:CYREG_I2C_CLK_DIV1 +CYREG_I2C_CLK_DIV1 EQU 0x400049db + ENDIF + IF :LNOT::DEF:CYREG_I2C_CLK_DIV2 +CYREG_I2C_CLK_DIV2 EQU 0x400049dc + ENDIF + IF :LNOT::DEF:CYREG_I2C_TMOUT_CSR +CYREG_I2C_TMOUT_CSR EQU 0x400049dd + ENDIF + IF :LNOT::DEF:CYREG_I2C_TMOUT_SR +CYREG_I2C_TMOUT_SR EQU 0x400049de + ENDIF + IF :LNOT::DEF:CYREG_I2C_TMOUT_CFG0 +CYREG_I2C_TMOUT_CFG0 EQU 0x400049df + ENDIF + IF :LNOT::DEF:CYREG_I2C_TMOUT_CFG1 +CYREG_I2C_TMOUT_CFG1 EQU 0x400049e0 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_BASE +CYDEV_DEC_BASE EQU 0x40004e00 + ENDIF + IF :LNOT::DEF:CYDEV_DEC_SIZE +CYDEV_DEC_SIZE EQU 0x00000015 + ENDIF + IF :LNOT::DEF:CYREG_DEC_CR +CYREG_DEC_CR EQU 0x40004e00 + ENDIF + IF :LNOT::DEF:CYREG_DEC_SR +CYREG_DEC_SR EQU 0x40004e01 + ENDIF + IF :LNOT::DEF:CYREG_DEC_SHIFT1 +CYREG_DEC_SHIFT1 EQU 0x40004e02 + ENDIF + IF :LNOT::DEF:CYREG_DEC_SHIFT2 +CYREG_DEC_SHIFT2 EQU 0x40004e03 + ENDIF + IF :LNOT::DEF:CYREG_DEC_DR2 +CYREG_DEC_DR2 EQU 0x40004e04 + ENDIF + IF :LNOT::DEF:CYREG_DEC_DR2H +CYREG_DEC_DR2H EQU 0x40004e05 + ENDIF + IF :LNOT::DEF:CYREG_DEC_DR1 +CYREG_DEC_DR1 EQU 0x40004e06 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OCOR +CYREG_DEC_OCOR EQU 0x40004e08 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OCORM +CYREG_DEC_OCORM EQU 0x40004e09 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OCORH +CYREG_DEC_OCORH EQU 0x40004e0a + ENDIF + IF :LNOT::DEF:CYREG_DEC_GCOR +CYREG_DEC_GCOR EQU 0x40004e0c + ENDIF + IF :LNOT::DEF:CYREG_DEC_GCORH +CYREG_DEC_GCORH EQU 0x40004e0d + ENDIF + IF :LNOT::DEF:CYREG_DEC_GVAL +CYREG_DEC_GVAL EQU 0x40004e0e + ENDIF + IF :LNOT::DEF:CYREG_DEC_OUTSAMP +CYREG_DEC_OUTSAMP EQU 0x40004e10 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OUTSAMPM +CYREG_DEC_OUTSAMPM EQU 0x40004e11 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OUTSAMPH +CYREG_DEC_OUTSAMPH EQU 0x40004e12 + ENDIF + IF :LNOT::DEF:CYREG_DEC_OUTSAMPS +CYREG_DEC_OUTSAMPS EQU 0x40004e13 + ENDIF + IF :LNOT::DEF:CYREG_DEC_COHER +CYREG_DEC_COHER EQU 0x40004e14 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_BASE +CYDEV_TMR0_BASE EQU 0x40004f00 + ENDIF + IF :LNOT::DEF:CYDEV_TMR0_SIZE +CYDEV_TMR0_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CFG0 +CYREG_TMR0_CFG0 EQU 0x40004f00 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CFG1 +CYREG_TMR0_CFG1 EQU 0x40004f01 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CFG2 +CYREG_TMR0_CFG2 EQU 0x40004f02 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_SR0 +CYREG_TMR0_SR0 EQU 0x40004f03 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_PER0 +CYREG_TMR0_PER0 EQU 0x40004f04 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_PER1 +CYREG_TMR0_PER1 EQU 0x40004f05 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CNT_CMP0 +CYREG_TMR0_CNT_CMP0 EQU 0x40004f06 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CNT_CMP1 +CYREG_TMR0_CNT_CMP1 EQU 0x40004f07 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CAP0 +CYREG_TMR0_CAP0 EQU 0x40004f08 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_CAP1 +CYREG_TMR0_CAP1 EQU 0x40004f09 + ENDIF + IF :LNOT::DEF:CYREG_TMR0_RT0 +CYREG_TMR0_RT0 EQU 0x40004f0a + ENDIF + IF :LNOT::DEF:CYREG_TMR0_RT1 +CYREG_TMR0_RT1 EQU 0x40004f0b + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_BASE +CYDEV_TMR1_BASE EQU 0x40004f0c + ENDIF + IF :LNOT::DEF:CYDEV_TMR1_SIZE +CYDEV_TMR1_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CFG0 +CYREG_TMR1_CFG0 EQU 0x40004f0c + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CFG1 +CYREG_TMR1_CFG1 EQU 0x40004f0d + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CFG2 +CYREG_TMR1_CFG2 EQU 0x40004f0e + ENDIF + IF :LNOT::DEF:CYREG_TMR1_SR0 +CYREG_TMR1_SR0 EQU 0x40004f0f + ENDIF + IF :LNOT::DEF:CYREG_TMR1_PER0 +CYREG_TMR1_PER0 EQU 0x40004f10 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_PER1 +CYREG_TMR1_PER1 EQU 0x40004f11 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CNT_CMP0 +CYREG_TMR1_CNT_CMP0 EQU 0x40004f12 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CNT_CMP1 +CYREG_TMR1_CNT_CMP1 EQU 0x40004f13 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CAP0 +CYREG_TMR1_CAP0 EQU 0x40004f14 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_CAP1 +CYREG_TMR1_CAP1 EQU 0x40004f15 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_RT0 +CYREG_TMR1_RT0 EQU 0x40004f16 + ENDIF + IF :LNOT::DEF:CYREG_TMR1_RT1 +CYREG_TMR1_RT1 EQU 0x40004f17 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_BASE +CYDEV_TMR2_BASE EQU 0x40004f18 + ENDIF + IF :LNOT::DEF:CYDEV_TMR2_SIZE +CYDEV_TMR2_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CFG0 +CYREG_TMR2_CFG0 EQU 0x40004f18 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CFG1 +CYREG_TMR2_CFG1 EQU 0x40004f19 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CFG2 +CYREG_TMR2_CFG2 EQU 0x40004f1a + ENDIF + IF :LNOT::DEF:CYREG_TMR2_SR0 +CYREG_TMR2_SR0 EQU 0x40004f1b + ENDIF + IF :LNOT::DEF:CYREG_TMR2_PER0 +CYREG_TMR2_PER0 EQU 0x40004f1c + ENDIF + IF :LNOT::DEF:CYREG_TMR2_PER1 +CYREG_TMR2_PER1 EQU 0x40004f1d + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CNT_CMP0 +CYREG_TMR2_CNT_CMP0 EQU 0x40004f1e + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CNT_CMP1 +CYREG_TMR2_CNT_CMP1 EQU 0x40004f1f + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CAP0 +CYREG_TMR2_CAP0 EQU 0x40004f20 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_CAP1 +CYREG_TMR2_CAP1 EQU 0x40004f21 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_RT0 +CYREG_TMR2_RT0 EQU 0x40004f22 + ENDIF + IF :LNOT::DEF:CYREG_TMR2_RT1 +CYREG_TMR2_RT1 EQU 0x40004f23 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_BASE +CYDEV_TMR3_BASE EQU 0x40004f24 + ENDIF + IF :LNOT::DEF:CYDEV_TMR3_SIZE +CYDEV_TMR3_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CFG0 +CYREG_TMR3_CFG0 EQU 0x40004f24 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CFG1 +CYREG_TMR3_CFG1 EQU 0x40004f25 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CFG2 +CYREG_TMR3_CFG2 EQU 0x40004f26 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_SR0 +CYREG_TMR3_SR0 EQU 0x40004f27 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_PER0 +CYREG_TMR3_PER0 EQU 0x40004f28 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_PER1 +CYREG_TMR3_PER1 EQU 0x40004f29 + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CNT_CMP0 +CYREG_TMR3_CNT_CMP0 EQU 0x40004f2a + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CNT_CMP1 +CYREG_TMR3_CNT_CMP1 EQU 0x40004f2b + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CAP0 +CYREG_TMR3_CAP0 EQU 0x40004f2c + ENDIF + IF :LNOT::DEF:CYREG_TMR3_CAP1 +CYREG_TMR3_CAP1 EQU 0x40004f2d + ENDIF + IF :LNOT::DEF:CYREG_TMR3_RT0 +CYREG_TMR3_RT0 EQU 0x40004f2e + ENDIF + IF :LNOT::DEF:CYREG_TMR3_RT1 +CYREG_TMR3_RT1 EQU 0x40004f2f + ENDIF + IF :LNOT::DEF:CYDEV_IO_BASE +CYDEV_IO_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_SIZE +CYDEV_IO_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_BASE +CYDEV_IO_PC_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_SIZE +CYDEV_IO_PC_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_BASE +CYDEV_IO_PC_PRT0_BASE EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT0_SIZE +CYDEV_IO_PC_PRT0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC0 +CYREG_PRT0_PC0 EQU 0x40005000 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC1 +CYREG_PRT0_PC1 EQU 0x40005001 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC2 +CYREG_PRT0_PC2 EQU 0x40005002 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC3 +CYREG_PRT0_PC3 EQU 0x40005003 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC4 +CYREG_PRT0_PC4 EQU 0x40005004 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC5 +CYREG_PRT0_PC5 EQU 0x40005005 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC6 +CYREG_PRT0_PC6 EQU 0x40005006 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PC7 +CYREG_PRT0_PC7 EQU 0x40005007 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_BASE +CYDEV_IO_PC_PRT1_BASE EQU 0x40005008 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT1_SIZE +CYDEV_IO_PC_PRT1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC0 +CYREG_PRT1_PC0 EQU 0x40005008 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC1 +CYREG_PRT1_PC1 EQU 0x40005009 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC2 +CYREG_PRT1_PC2 EQU 0x4000500a + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC3 +CYREG_PRT1_PC3 EQU 0x4000500b + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC4 +CYREG_PRT1_PC4 EQU 0x4000500c + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC5 +CYREG_PRT1_PC5 EQU 0x4000500d + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC6 +CYREG_PRT1_PC6 EQU 0x4000500e + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PC7 +CYREG_PRT1_PC7 EQU 0x4000500f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_BASE +CYDEV_IO_PC_PRT2_BASE EQU 0x40005010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT2_SIZE +CYDEV_IO_PC_PRT2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC0 +CYREG_PRT2_PC0 EQU 0x40005010 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC1 +CYREG_PRT2_PC1 EQU 0x40005011 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC2 +CYREG_PRT2_PC2 EQU 0x40005012 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC3 +CYREG_PRT2_PC3 EQU 0x40005013 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC4 +CYREG_PRT2_PC4 EQU 0x40005014 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC5 +CYREG_PRT2_PC5 EQU 0x40005015 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC6 +CYREG_PRT2_PC6 EQU 0x40005016 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PC7 +CYREG_PRT2_PC7 EQU 0x40005017 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_BASE +CYDEV_IO_PC_PRT3_BASE EQU 0x40005018 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT3_SIZE +CYDEV_IO_PC_PRT3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC0 +CYREG_PRT3_PC0 EQU 0x40005018 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC1 +CYREG_PRT3_PC1 EQU 0x40005019 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC2 +CYREG_PRT3_PC2 EQU 0x4000501a + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC3 +CYREG_PRT3_PC3 EQU 0x4000501b + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC4 +CYREG_PRT3_PC4 EQU 0x4000501c + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC5 +CYREG_PRT3_PC5 EQU 0x4000501d + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC6 +CYREG_PRT3_PC6 EQU 0x4000501e + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PC7 +CYREG_PRT3_PC7 EQU 0x4000501f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_BASE +CYDEV_IO_PC_PRT4_BASE EQU 0x40005020 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT4_SIZE +CYDEV_IO_PC_PRT4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC0 +CYREG_PRT4_PC0 EQU 0x40005020 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC1 +CYREG_PRT4_PC1 EQU 0x40005021 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC2 +CYREG_PRT4_PC2 EQU 0x40005022 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC3 +CYREG_PRT4_PC3 EQU 0x40005023 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC4 +CYREG_PRT4_PC4 EQU 0x40005024 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC5 +CYREG_PRT4_PC5 EQU 0x40005025 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC6 +CYREG_PRT4_PC6 EQU 0x40005026 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PC7 +CYREG_PRT4_PC7 EQU 0x40005027 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_BASE +CYDEV_IO_PC_PRT5_BASE EQU 0x40005028 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT5_SIZE +CYDEV_IO_PC_PRT5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC0 +CYREG_PRT5_PC0 EQU 0x40005028 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC1 +CYREG_PRT5_PC1 EQU 0x40005029 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC2 +CYREG_PRT5_PC2 EQU 0x4000502a + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC3 +CYREG_PRT5_PC3 EQU 0x4000502b + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC4 +CYREG_PRT5_PC4 EQU 0x4000502c + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC5 +CYREG_PRT5_PC5 EQU 0x4000502d + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC6 +CYREG_PRT5_PC6 EQU 0x4000502e + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PC7 +CYREG_PRT5_PC7 EQU 0x4000502f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_BASE +CYDEV_IO_PC_PRT6_BASE EQU 0x40005030 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT6_SIZE +CYDEV_IO_PC_PRT6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC0 +CYREG_PRT6_PC0 EQU 0x40005030 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC1 +CYREG_PRT6_PC1 EQU 0x40005031 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC2 +CYREG_PRT6_PC2 EQU 0x40005032 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC3 +CYREG_PRT6_PC3 EQU 0x40005033 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC4 +CYREG_PRT6_PC4 EQU 0x40005034 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC5 +CYREG_PRT6_PC5 EQU 0x40005035 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC6 +CYREG_PRT6_PC6 EQU 0x40005036 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PC7 +CYREG_PRT6_PC7 EQU 0x40005037 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_BASE +CYDEV_IO_PC_PRT12_BASE EQU 0x40005060 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT12_SIZE +CYDEV_IO_PC_PRT12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC0 +CYREG_PRT12_PC0 EQU 0x40005060 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC1 +CYREG_PRT12_PC1 EQU 0x40005061 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC2 +CYREG_PRT12_PC2 EQU 0x40005062 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC3 +CYREG_PRT12_PC3 EQU 0x40005063 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC4 +CYREG_PRT12_PC4 EQU 0x40005064 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC5 +CYREG_PRT12_PC5 EQU 0x40005065 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC6 +CYREG_PRT12_PC6 EQU 0x40005066 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PC7 +CYREG_PRT12_PC7 EQU 0x40005067 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_BASE +CYDEV_IO_PC_PRT15_BASE EQU 0x40005078 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_SIZE +CYDEV_IO_PC_PRT15_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC0 +CYREG_IO_PC_PRT15_PC0 EQU 0x40005078 + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC1 +CYREG_IO_PC_PRT15_PC1 EQU 0x40005079 + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC2 +CYREG_IO_PC_PRT15_PC2 EQU 0x4000507a + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC3 +CYREG_IO_PC_PRT15_PC3 EQU 0x4000507b + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC4 +CYREG_IO_PC_PRT15_PC4 EQU 0x4000507c + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_PC5 +CYREG_IO_PC_PRT15_PC5 EQU 0x4000507d + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_BASE +CYDEV_IO_PC_PRT15_7_6_BASE EQU 0x4000507e + ENDIF + IF :LNOT::DEF:CYDEV_IO_PC_PRT15_7_6_SIZE +CYDEV_IO_PC_PRT15_7_6_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_7_6_PC0 +CYREG_IO_PC_PRT15_7_6_PC0 EQU 0x4000507e + ENDIF + IF :LNOT::DEF:CYREG_IO_PC_PRT15_7_6_PC1 +CYREG_IO_PC_PRT15_7_6_PC1 EQU 0x4000507f + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_BASE +CYDEV_IO_DR_BASE EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_SIZE +CYDEV_IO_DR_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_BASE +CYDEV_IO_DR_PRT0_BASE EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT0_SIZE +CYDEV_IO_DR_PRT0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DR_ALIAS +CYREG_PRT0_DR_ALIAS EQU 0x40005080 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_BASE +CYDEV_IO_DR_PRT1_BASE EQU 0x40005081 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT1_SIZE +CYDEV_IO_DR_PRT1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DR_ALIAS +CYREG_PRT1_DR_ALIAS EQU 0x40005081 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_BASE +CYDEV_IO_DR_PRT2_BASE EQU 0x40005082 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT2_SIZE +CYDEV_IO_DR_PRT2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DR_ALIAS +CYREG_PRT2_DR_ALIAS EQU 0x40005082 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_BASE +CYDEV_IO_DR_PRT3_BASE EQU 0x40005083 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT3_SIZE +CYDEV_IO_DR_PRT3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DR_ALIAS +CYREG_PRT3_DR_ALIAS EQU 0x40005083 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_BASE +CYDEV_IO_DR_PRT4_BASE EQU 0x40005084 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT4_SIZE +CYDEV_IO_DR_PRT4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DR_ALIAS +CYREG_PRT4_DR_ALIAS EQU 0x40005084 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_BASE +CYDEV_IO_DR_PRT5_BASE EQU 0x40005085 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT5_SIZE +CYDEV_IO_DR_PRT5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DR_ALIAS +CYREG_PRT5_DR_ALIAS EQU 0x40005085 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_BASE +CYDEV_IO_DR_PRT6_BASE EQU 0x40005086 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT6_SIZE +CYDEV_IO_DR_PRT6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DR_ALIAS +CYREG_PRT6_DR_ALIAS EQU 0x40005086 + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_BASE +CYDEV_IO_DR_PRT12_BASE EQU 0x4000508c + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT12_SIZE +CYDEV_IO_DR_PRT12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DR_ALIAS +CYREG_PRT12_DR_ALIAS EQU 0x4000508c + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_BASE +CYDEV_IO_DR_PRT15_BASE EQU 0x4000508f + ENDIF + IF :LNOT::DEF:CYDEV_IO_DR_PRT15_SIZE +CYDEV_IO_DR_PRT15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DR_15_ALIAS +CYREG_PRT15_DR_15_ALIAS EQU 0x4000508f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_BASE +CYDEV_IO_PS_BASE EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_SIZE +CYDEV_IO_PS_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_BASE +CYDEV_IO_PS_PRT0_BASE EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT0_SIZE +CYDEV_IO_PS_PRT0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PS_ALIAS +CYREG_PRT0_PS_ALIAS EQU 0x40005090 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_BASE +CYDEV_IO_PS_PRT1_BASE EQU 0x40005091 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT1_SIZE +CYDEV_IO_PS_PRT1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PS_ALIAS +CYREG_PRT1_PS_ALIAS EQU 0x40005091 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_BASE +CYDEV_IO_PS_PRT2_BASE EQU 0x40005092 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT2_SIZE +CYDEV_IO_PS_PRT2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PS_ALIAS +CYREG_PRT2_PS_ALIAS EQU 0x40005092 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_BASE +CYDEV_IO_PS_PRT3_BASE EQU 0x40005093 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT3_SIZE +CYDEV_IO_PS_PRT3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PS_ALIAS +CYREG_PRT3_PS_ALIAS EQU 0x40005093 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_BASE +CYDEV_IO_PS_PRT4_BASE EQU 0x40005094 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT4_SIZE +CYDEV_IO_PS_PRT4_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PS_ALIAS +CYREG_PRT4_PS_ALIAS EQU 0x40005094 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_BASE +CYDEV_IO_PS_PRT5_BASE EQU 0x40005095 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT5_SIZE +CYDEV_IO_PS_PRT5_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PS_ALIAS +CYREG_PRT5_PS_ALIAS EQU 0x40005095 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_BASE +CYDEV_IO_PS_PRT6_BASE EQU 0x40005096 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT6_SIZE +CYDEV_IO_PS_PRT6_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PS_ALIAS +CYREG_PRT6_PS_ALIAS EQU 0x40005096 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_BASE +CYDEV_IO_PS_PRT12_BASE EQU 0x4000509c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT12_SIZE +CYDEV_IO_PS_PRT12_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PS_ALIAS +CYREG_PRT12_PS_ALIAS EQU 0x4000509c + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_BASE +CYDEV_IO_PS_PRT15_BASE EQU 0x4000509f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PS_PRT15_SIZE +CYDEV_IO_PS_PRT15_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_PS15_ALIAS +CYREG_PRT15_PS15_ALIAS EQU 0x4000509f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_BASE +CYDEV_IO_PRT_BASE EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_SIZE +CYDEV_IO_PRT_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_BASE +CYDEV_IO_PRT_PRT0_BASE EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT0_SIZE +CYDEV_IO_PRT_PRT0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DR +CYREG_PRT0_DR EQU 0x40005100 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PS +CYREG_PRT0_PS EQU 0x40005101 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DM0 +CYREG_PRT0_DM0 EQU 0x40005102 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DM1 +CYREG_PRT0_DM1 EQU 0x40005103 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DM2 +CYREG_PRT0_DM2 EQU 0x40005104 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_SLW +CYREG_PRT0_SLW EQU 0x40005105 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_BYP +CYREG_PRT0_BYP EQU 0x40005106 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_BIE +CYREG_PRT0_BIE EQU 0x40005107 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_INP_DIS +CYREG_PRT0_INP_DIS EQU 0x40005108 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_CTL +CYREG_PRT0_CTL EQU 0x40005109 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_PRT +CYREG_PRT0_PRT EQU 0x4000510a + ENDIF + IF :LNOT::DEF:CYREG_PRT0_BIT_MASK +CYREG_PRT0_BIT_MASK EQU 0x4000510b + ENDIF + IF :LNOT::DEF:CYREG_PRT0_AMUX +CYREG_PRT0_AMUX EQU 0x4000510c + ENDIF + IF :LNOT::DEF:CYREG_PRT0_AG +CYREG_PRT0_AG EQU 0x4000510d + ENDIF + IF :LNOT::DEF:CYREG_PRT0_LCD_COM_SEG +CYREG_PRT0_LCD_COM_SEG EQU 0x4000510e + ENDIF + IF :LNOT::DEF:CYREG_PRT0_LCD_EN +CYREG_PRT0_LCD_EN EQU 0x4000510f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_BASE +CYDEV_IO_PRT_PRT1_BASE EQU 0x40005110 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT1_SIZE +CYDEV_IO_PRT_PRT1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DR +CYREG_PRT1_DR EQU 0x40005110 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PS +CYREG_PRT1_PS EQU 0x40005111 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DM0 +CYREG_PRT1_DM0 EQU 0x40005112 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DM1 +CYREG_PRT1_DM1 EQU 0x40005113 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DM2 +CYREG_PRT1_DM2 EQU 0x40005114 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_SLW +CYREG_PRT1_SLW EQU 0x40005115 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_BYP +CYREG_PRT1_BYP EQU 0x40005116 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_BIE +CYREG_PRT1_BIE EQU 0x40005117 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_INP_DIS +CYREG_PRT1_INP_DIS EQU 0x40005118 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_CTL +CYREG_PRT1_CTL EQU 0x40005119 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_PRT +CYREG_PRT1_PRT EQU 0x4000511a + ENDIF + IF :LNOT::DEF:CYREG_PRT1_BIT_MASK +CYREG_PRT1_BIT_MASK EQU 0x4000511b + ENDIF + IF :LNOT::DEF:CYREG_PRT1_AMUX +CYREG_PRT1_AMUX EQU 0x4000511c + ENDIF + IF :LNOT::DEF:CYREG_PRT1_AG +CYREG_PRT1_AG EQU 0x4000511d + ENDIF + IF :LNOT::DEF:CYREG_PRT1_LCD_COM_SEG +CYREG_PRT1_LCD_COM_SEG EQU 0x4000511e + ENDIF + IF :LNOT::DEF:CYREG_PRT1_LCD_EN +CYREG_PRT1_LCD_EN EQU 0x4000511f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_BASE +CYDEV_IO_PRT_PRT2_BASE EQU 0x40005120 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT2_SIZE +CYDEV_IO_PRT_PRT2_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DR +CYREG_PRT2_DR EQU 0x40005120 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PS +CYREG_PRT2_PS EQU 0x40005121 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DM0 +CYREG_PRT2_DM0 EQU 0x40005122 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DM1 +CYREG_PRT2_DM1 EQU 0x40005123 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DM2 +CYREG_PRT2_DM2 EQU 0x40005124 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_SLW +CYREG_PRT2_SLW EQU 0x40005125 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_BYP +CYREG_PRT2_BYP EQU 0x40005126 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_BIE +CYREG_PRT2_BIE EQU 0x40005127 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_INP_DIS +CYREG_PRT2_INP_DIS EQU 0x40005128 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_CTL +CYREG_PRT2_CTL EQU 0x40005129 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_PRT +CYREG_PRT2_PRT EQU 0x4000512a + ENDIF + IF :LNOT::DEF:CYREG_PRT2_BIT_MASK +CYREG_PRT2_BIT_MASK EQU 0x4000512b + ENDIF + IF :LNOT::DEF:CYREG_PRT2_AMUX +CYREG_PRT2_AMUX EQU 0x4000512c + ENDIF + IF :LNOT::DEF:CYREG_PRT2_AG +CYREG_PRT2_AG EQU 0x4000512d + ENDIF + IF :LNOT::DEF:CYREG_PRT2_LCD_COM_SEG +CYREG_PRT2_LCD_COM_SEG EQU 0x4000512e + ENDIF + IF :LNOT::DEF:CYREG_PRT2_LCD_EN +CYREG_PRT2_LCD_EN EQU 0x4000512f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_BASE +CYDEV_IO_PRT_PRT3_BASE EQU 0x40005130 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT3_SIZE +CYDEV_IO_PRT_PRT3_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DR +CYREG_PRT3_DR EQU 0x40005130 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PS +CYREG_PRT3_PS EQU 0x40005131 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DM0 +CYREG_PRT3_DM0 EQU 0x40005132 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DM1 +CYREG_PRT3_DM1 EQU 0x40005133 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DM2 +CYREG_PRT3_DM2 EQU 0x40005134 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_SLW +CYREG_PRT3_SLW EQU 0x40005135 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_BYP +CYREG_PRT3_BYP EQU 0x40005136 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_BIE +CYREG_PRT3_BIE EQU 0x40005137 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_INP_DIS +CYREG_PRT3_INP_DIS EQU 0x40005138 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_CTL +CYREG_PRT3_CTL EQU 0x40005139 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_PRT +CYREG_PRT3_PRT EQU 0x4000513a + ENDIF + IF :LNOT::DEF:CYREG_PRT3_BIT_MASK +CYREG_PRT3_BIT_MASK EQU 0x4000513b + ENDIF + IF :LNOT::DEF:CYREG_PRT3_AMUX +CYREG_PRT3_AMUX EQU 0x4000513c + ENDIF + IF :LNOT::DEF:CYREG_PRT3_AG +CYREG_PRT3_AG EQU 0x4000513d + ENDIF + IF :LNOT::DEF:CYREG_PRT3_LCD_COM_SEG +CYREG_PRT3_LCD_COM_SEG EQU 0x4000513e + ENDIF + IF :LNOT::DEF:CYREG_PRT3_LCD_EN +CYREG_PRT3_LCD_EN EQU 0x4000513f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_BASE +CYDEV_IO_PRT_PRT4_BASE EQU 0x40005140 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT4_SIZE +CYDEV_IO_PRT_PRT4_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DR +CYREG_PRT4_DR EQU 0x40005140 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PS +CYREG_PRT4_PS EQU 0x40005141 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DM0 +CYREG_PRT4_DM0 EQU 0x40005142 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DM1 +CYREG_PRT4_DM1 EQU 0x40005143 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DM2 +CYREG_PRT4_DM2 EQU 0x40005144 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_SLW +CYREG_PRT4_SLW EQU 0x40005145 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_BYP +CYREG_PRT4_BYP EQU 0x40005146 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_BIE +CYREG_PRT4_BIE EQU 0x40005147 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_INP_DIS +CYREG_PRT4_INP_DIS EQU 0x40005148 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_CTL +CYREG_PRT4_CTL EQU 0x40005149 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_PRT +CYREG_PRT4_PRT EQU 0x4000514a + ENDIF + IF :LNOT::DEF:CYREG_PRT4_BIT_MASK +CYREG_PRT4_BIT_MASK EQU 0x4000514b + ENDIF + IF :LNOT::DEF:CYREG_PRT4_AMUX +CYREG_PRT4_AMUX EQU 0x4000514c + ENDIF + IF :LNOT::DEF:CYREG_PRT4_AG +CYREG_PRT4_AG EQU 0x4000514d + ENDIF + IF :LNOT::DEF:CYREG_PRT4_LCD_COM_SEG +CYREG_PRT4_LCD_COM_SEG EQU 0x4000514e + ENDIF + IF :LNOT::DEF:CYREG_PRT4_LCD_EN +CYREG_PRT4_LCD_EN EQU 0x4000514f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_BASE +CYDEV_IO_PRT_PRT5_BASE EQU 0x40005150 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT5_SIZE +CYDEV_IO_PRT_PRT5_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DR +CYREG_PRT5_DR EQU 0x40005150 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PS +CYREG_PRT5_PS EQU 0x40005151 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DM0 +CYREG_PRT5_DM0 EQU 0x40005152 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DM1 +CYREG_PRT5_DM1 EQU 0x40005153 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DM2 +CYREG_PRT5_DM2 EQU 0x40005154 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_SLW +CYREG_PRT5_SLW EQU 0x40005155 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_BYP +CYREG_PRT5_BYP EQU 0x40005156 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_BIE +CYREG_PRT5_BIE EQU 0x40005157 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_INP_DIS +CYREG_PRT5_INP_DIS EQU 0x40005158 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_CTL +CYREG_PRT5_CTL EQU 0x40005159 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_PRT +CYREG_PRT5_PRT EQU 0x4000515a + ENDIF + IF :LNOT::DEF:CYREG_PRT5_BIT_MASK +CYREG_PRT5_BIT_MASK EQU 0x4000515b + ENDIF + IF :LNOT::DEF:CYREG_PRT5_AMUX +CYREG_PRT5_AMUX EQU 0x4000515c + ENDIF + IF :LNOT::DEF:CYREG_PRT5_AG +CYREG_PRT5_AG EQU 0x4000515d + ENDIF + IF :LNOT::DEF:CYREG_PRT5_LCD_COM_SEG +CYREG_PRT5_LCD_COM_SEG EQU 0x4000515e + ENDIF + IF :LNOT::DEF:CYREG_PRT5_LCD_EN +CYREG_PRT5_LCD_EN EQU 0x4000515f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_BASE +CYDEV_IO_PRT_PRT6_BASE EQU 0x40005160 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT6_SIZE +CYDEV_IO_PRT_PRT6_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DR +CYREG_PRT6_DR EQU 0x40005160 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PS +CYREG_PRT6_PS EQU 0x40005161 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DM0 +CYREG_PRT6_DM0 EQU 0x40005162 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DM1 +CYREG_PRT6_DM1 EQU 0x40005163 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DM2 +CYREG_PRT6_DM2 EQU 0x40005164 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_SLW +CYREG_PRT6_SLW EQU 0x40005165 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_BYP +CYREG_PRT6_BYP EQU 0x40005166 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_BIE +CYREG_PRT6_BIE EQU 0x40005167 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_INP_DIS +CYREG_PRT6_INP_DIS EQU 0x40005168 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_CTL +CYREG_PRT6_CTL EQU 0x40005169 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_PRT +CYREG_PRT6_PRT EQU 0x4000516a + ENDIF + IF :LNOT::DEF:CYREG_PRT6_BIT_MASK +CYREG_PRT6_BIT_MASK EQU 0x4000516b + ENDIF + IF :LNOT::DEF:CYREG_PRT6_AMUX +CYREG_PRT6_AMUX EQU 0x4000516c + ENDIF + IF :LNOT::DEF:CYREG_PRT6_AG +CYREG_PRT6_AG EQU 0x4000516d + ENDIF + IF :LNOT::DEF:CYREG_PRT6_LCD_COM_SEG +CYREG_PRT6_LCD_COM_SEG EQU 0x4000516e + ENDIF + IF :LNOT::DEF:CYREG_PRT6_LCD_EN +CYREG_PRT6_LCD_EN EQU 0x4000516f + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_BASE +CYDEV_IO_PRT_PRT12_BASE EQU 0x400051c0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT12_SIZE +CYDEV_IO_PRT_PRT12_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DR +CYREG_PRT12_DR EQU 0x400051c0 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PS +CYREG_PRT12_PS EQU 0x400051c1 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DM0 +CYREG_PRT12_DM0 EQU 0x400051c2 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DM1 +CYREG_PRT12_DM1 EQU 0x400051c3 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DM2 +CYREG_PRT12_DM2 EQU 0x400051c4 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SLW +CYREG_PRT12_SLW EQU 0x400051c5 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_BYP +CYREG_PRT12_BYP EQU 0x400051c6 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_BIE +CYREG_PRT12_BIE EQU 0x400051c7 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_INP_DIS +CYREG_PRT12_INP_DIS EQU 0x400051c8 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SIO_HYST_EN +CYREG_PRT12_SIO_HYST_EN EQU 0x400051c9 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_PRT +CYREG_PRT12_PRT EQU 0x400051ca + ENDIF + IF :LNOT::DEF:CYREG_PRT12_BIT_MASK +CYREG_PRT12_BIT_MASK EQU 0x400051cb + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SIO_REG_HIFREQ +CYREG_PRT12_SIO_REG_HIFREQ EQU 0x400051cc + ENDIF + IF :LNOT::DEF:CYREG_PRT12_AG +CYREG_PRT12_AG EQU 0x400051cd + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SIO_CFG +CYREG_PRT12_SIO_CFG EQU 0x400051ce + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SIO_DIFF +CYREG_PRT12_SIO_DIFF EQU 0x400051cf + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_BASE +CYDEV_IO_PRT_PRT15_BASE EQU 0x400051f0 + ENDIF + IF :LNOT::DEF:CYDEV_IO_PRT_PRT15_SIZE +CYDEV_IO_PRT_PRT15_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DR +CYREG_PRT15_DR EQU 0x400051f0 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_PS +CYREG_PRT15_PS EQU 0x400051f1 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DM0 +CYREG_PRT15_DM0 EQU 0x400051f2 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DM1 +CYREG_PRT15_DM1 EQU 0x400051f3 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DM2 +CYREG_PRT15_DM2 EQU 0x400051f4 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_SLW +CYREG_PRT15_SLW EQU 0x400051f5 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_BYP +CYREG_PRT15_BYP EQU 0x400051f6 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_BIE +CYREG_PRT15_BIE EQU 0x400051f7 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_INP_DIS +CYREG_PRT15_INP_DIS EQU 0x400051f8 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_CTL +CYREG_PRT15_CTL EQU 0x400051f9 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_PRT +CYREG_PRT15_PRT EQU 0x400051fa + ENDIF + IF :LNOT::DEF:CYREG_PRT15_BIT_MASK +CYREG_PRT15_BIT_MASK EQU 0x400051fb + ENDIF + IF :LNOT::DEF:CYREG_PRT15_AMUX +CYREG_PRT15_AMUX EQU 0x400051fc + ENDIF + IF :LNOT::DEF:CYREG_PRT15_AG +CYREG_PRT15_AG EQU 0x400051fd + ENDIF + IF :LNOT::DEF:CYREG_PRT15_LCD_COM_SEG +CYREG_PRT15_LCD_COM_SEG EQU 0x400051fe + ENDIF + IF :LNOT::DEF:CYREG_PRT15_LCD_EN +CYREG_PRT15_LCD_EN EQU 0x400051ff + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_BASE +CYDEV_PRTDSI_BASE EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_SIZE +CYDEV_PRTDSI_SIZE EQU 0x0000007f + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_BASE +CYDEV_PRTDSI_PRT0_BASE EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT0_SIZE +CYDEV_PRTDSI_PRT0_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_OUT_SEL0 +CYREG_PRT0_OUT_SEL0 EQU 0x40005200 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_OUT_SEL1 +CYREG_PRT0_OUT_SEL1 EQU 0x40005201 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_OE_SEL0 +CYREG_PRT0_OE_SEL0 EQU 0x40005202 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_OE_SEL1 +CYREG_PRT0_OE_SEL1 EQU 0x40005203 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_DBL_SYNC_IN +CYREG_PRT0_DBL_SYNC_IN EQU 0x40005204 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_SYNC_OUT +CYREG_PRT0_SYNC_OUT EQU 0x40005205 + ENDIF + IF :LNOT::DEF:CYREG_PRT0_CAPS_SEL +CYREG_PRT0_CAPS_SEL EQU 0x40005206 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_BASE +CYDEV_PRTDSI_PRT1_BASE EQU 0x40005208 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT1_SIZE +CYDEV_PRTDSI_PRT1_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_OUT_SEL0 +CYREG_PRT1_OUT_SEL0 EQU 0x40005208 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_OUT_SEL1 +CYREG_PRT1_OUT_SEL1 EQU 0x40005209 + ENDIF + IF :LNOT::DEF:CYREG_PRT1_OE_SEL0 +CYREG_PRT1_OE_SEL0 EQU 0x4000520a + ENDIF + IF :LNOT::DEF:CYREG_PRT1_OE_SEL1 +CYREG_PRT1_OE_SEL1 EQU 0x4000520b + ENDIF + IF :LNOT::DEF:CYREG_PRT1_DBL_SYNC_IN +CYREG_PRT1_DBL_SYNC_IN EQU 0x4000520c + ENDIF + IF :LNOT::DEF:CYREG_PRT1_SYNC_OUT +CYREG_PRT1_SYNC_OUT EQU 0x4000520d + ENDIF + IF :LNOT::DEF:CYREG_PRT1_CAPS_SEL +CYREG_PRT1_CAPS_SEL EQU 0x4000520e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_BASE +CYDEV_PRTDSI_PRT2_BASE EQU 0x40005210 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT2_SIZE +CYDEV_PRTDSI_PRT2_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_OUT_SEL0 +CYREG_PRT2_OUT_SEL0 EQU 0x40005210 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_OUT_SEL1 +CYREG_PRT2_OUT_SEL1 EQU 0x40005211 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_OE_SEL0 +CYREG_PRT2_OE_SEL0 EQU 0x40005212 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_OE_SEL1 +CYREG_PRT2_OE_SEL1 EQU 0x40005213 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_DBL_SYNC_IN +CYREG_PRT2_DBL_SYNC_IN EQU 0x40005214 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_SYNC_OUT +CYREG_PRT2_SYNC_OUT EQU 0x40005215 + ENDIF + IF :LNOT::DEF:CYREG_PRT2_CAPS_SEL +CYREG_PRT2_CAPS_SEL EQU 0x40005216 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_BASE +CYDEV_PRTDSI_PRT3_BASE EQU 0x40005218 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT3_SIZE +CYDEV_PRTDSI_PRT3_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_OUT_SEL0 +CYREG_PRT3_OUT_SEL0 EQU 0x40005218 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_OUT_SEL1 +CYREG_PRT3_OUT_SEL1 EQU 0x40005219 + ENDIF + IF :LNOT::DEF:CYREG_PRT3_OE_SEL0 +CYREG_PRT3_OE_SEL0 EQU 0x4000521a + ENDIF + IF :LNOT::DEF:CYREG_PRT3_OE_SEL1 +CYREG_PRT3_OE_SEL1 EQU 0x4000521b + ENDIF + IF :LNOT::DEF:CYREG_PRT3_DBL_SYNC_IN +CYREG_PRT3_DBL_SYNC_IN EQU 0x4000521c + ENDIF + IF :LNOT::DEF:CYREG_PRT3_SYNC_OUT +CYREG_PRT3_SYNC_OUT EQU 0x4000521d + ENDIF + IF :LNOT::DEF:CYREG_PRT3_CAPS_SEL +CYREG_PRT3_CAPS_SEL EQU 0x4000521e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_BASE +CYDEV_PRTDSI_PRT4_BASE EQU 0x40005220 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT4_SIZE +CYDEV_PRTDSI_PRT4_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_OUT_SEL0 +CYREG_PRT4_OUT_SEL0 EQU 0x40005220 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_OUT_SEL1 +CYREG_PRT4_OUT_SEL1 EQU 0x40005221 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_OE_SEL0 +CYREG_PRT4_OE_SEL0 EQU 0x40005222 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_OE_SEL1 +CYREG_PRT4_OE_SEL1 EQU 0x40005223 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_DBL_SYNC_IN +CYREG_PRT4_DBL_SYNC_IN EQU 0x40005224 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_SYNC_OUT +CYREG_PRT4_SYNC_OUT EQU 0x40005225 + ENDIF + IF :LNOT::DEF:CYREG_PRT4_CAPS_SEL +CYREG_PRT4_CAPS_SEL EQU 0x40005226 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_BASE +CYDEV_PRTDSI_PRT5_BASE EQU 0x40005228 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT5_SIZE +CYDEV_PRTDSI_PRT5_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_OUT_SEL0 +CYREG_PRT5_OUT_SEL0 EQU 0x40005228 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_OUT_SEL1 +CYREG_PRT5_OUT_SEL1 EQU 0x40005229 + ENDIF + IF :LNOT::DEF:CYREG_PRT5_OE_SEL0 +CYREG_PRT5_OE_SEL0 EQU 0x4000522a + ENDIF + IF :LNOT::DEF:CYREG_PRT5_OE_SEL1 +CYREG_PRT5_OE_SEL1 EQU 0x4000522b + ENDIF + IF :LNOT::DEF:CYREG_PRT5_DBL_SYNC_IN +CYREG_PRT5_DBL_SYNC_IN EQU 0x4000522c + ENDIF + IF :LNOT::DEF:CYREG_PRT5_SYNC_OUT +CYREG_PRT5_SYNC_OUT EQU 0x4000522d + ENDIF + IF :LNOT::DEF:CYREG_PRT5_CAPS_SEL +CYREG_PRT5_CAPS_SEL EQU 0x4000522e + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_BASE +CYDEV_PRTDSI_PRT6_BASE EQU 0x40005230 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT6_SIZE +CYDEV_PRTDSI_PRT6_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_OUT_SEL0 +CYREG_PRT6_OUT_SEL0 EQU 0x40005230 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_OUT_SEL1 +CYREG_PRT6_OUT_SEL1 EQU 0x40005231 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_OE_SEL0 +CYREG_PRT6_OE_SEL0 EQU 0x40005232 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_OE_SEL1 +CYREG_PRT6_OE_SEL1 EQU 0x40005233 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_DBL_SYNC_IN +CYREG_PRT6_DBL_SYNC_IN EQU 0x40005234 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_SYNC_OUT +CYREG_PRT6_SYNC_OUT EQU 0x40005235 + ENDIF + IF :LNOT::DEF:CYREG_PRT6_CAPS_SEL +CYREG_PRT6_CAPS_SEL EQU 0x40005236 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_BASE +CYDEV_PRTDSI_PRT12_BASE EQU 0x40005260 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT12_SIZE +CYDEV_PRTDSI_PRT12_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_OUT_SEL0 +CYREG_PRT12_OUT_SEL0 EQU 0x40005260 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_OUT_SEL1 +CYREG_PRT12_OUT_SEL1 EQU 0x40005261 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_OE_SEL0 +CYREG_PRT12_OE_SEL0 EQU 0x40005262 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_OE_SEL1 +CYREG_PRT12_OE_SEL1 EQU 0x40005263 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_DBL_SYNC_IN +CYREG_PRT12_DBL_SYNC_IN EQU 0x40005264 + ENDIF + IF :LNOT::DEF:CYREG_PRT12_SYNC_OUT +CYREG_PRT12_SYNC_OUT EQU 0x40005265 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_BASE +CYDEV_PRTDSI_PRT15_BASE EQU 0x40005278 + ENDIF + IF :LNOT::DEF:CYDEV_PRTDSI_PRT15_SIZE +CYDEV_PRTDSI_PRT15_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_OUT_SEL0 +CYREG_PRT15_OUT_SEL0 EQU 0x40005278 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_OUT_SEL1 +CYREG_PRT15_OUT_SEL1 EQU 0x40005279 + ENDIF + IF :LNOT::DEF:CYREG_PRT15_OE_SEL0 +CYREG_PRT15_OE_SEL0 EQU 0x4000527a + ENDIF + IF :LNOT::DEF:CYREG_PRT15_OE_SEL1 +CYREG_PRT15_OE_SEL1 EQU 0x4000527b + ENDIF + IF :LNOT::DEF:CYREG_PRT15_DBL_SYNC_IN +CYREG_PRT15_DBL_SYNC_IN EQU 0x4000527c + ENDIF + IF :LNOT::DEF:CYREG_PRT15_SYNC_OUT +CYREG_PRT15_SYNC_OUT EQU 0x4000527d + ENDIF + IF :LNOT::DEF:CYREG_PRT15_CAPS_SEL +CYREG_PRT15_CAPS_SEL EQU 0x4000527e + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_BASE +CYDEV_EMIF_BASE EQU 0x40005400 + ENDIF + IF :LNOT::DEF:CYDEV_EMIF_SIZE +CYDEV_EMIF_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_NO_UDB +CYREG_EMIF_NO_UDB EQU 0x40005400 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_RP_WAIT_STATES +CYREG_EMIF_RP_WAIT_STATES EQU 0x40005401 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_MEM_DWN +CYREG_EMIF_MEM_DWN EQU 0x40005402 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_MEMCLK_DIV +CYREG_EMIF_MEMCLK_DIV EQU 0x40005403 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_CLOCK_EN +CYREG_EMIF_CLOCK_EN EQU 0x40005404 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_EM_TYPE +CYREG_EMIF_EM_TYPE EQU 0x40005405 + ENDIF + IF :LNOT::DEF:CYREG_EMIF_WP_WAIT_STATES +CYREG_EMIF_WP_WAIT_STATES EQU 0x40005406 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_BASE +CYDEV_ANAIF_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_SIZE +CYDEV_ANAIF_SIZE EQU 0x000003a9 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BASE +CYDEV_ANAIF_CFG_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SIZE +CYDEV_ANAIF_CFG_SIZE EQU 0x0000010f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_BASE +CYDEV_ANAIF_CFG_SC0_BASE EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC0_SIZE +CYDEV_ANAIF_CFG_SC0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SC0_CR0 +CYREG_SC0_CR0 EQU 0x40005800 + ENDIF + IF :LNOT::DEF:CYREG_SC0_CR1 +CYREG_SC0_CR1 EQU 0x40005801 + ENDIF + IF :LNOT::DEF:CYREG_SC0_CR2 +CYREG_SC0_CR2 EQU 0x40005802 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_BASE +CYDEV_ANAIF_CFG_SC1_BASE EQU 0x40005804 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC1_SIZE +CYDEV_ANAIF_CFG_SC1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SC1_CR0 +CYREG_SC1_CR0 EQU 0x40005804 + ENDIF + IF :LNOT::DEF:CYREG_SC1_CR1 +CYREG_SC1_CR1 EQU 0x40005805 + ENDIF + IF :LNOT::DEF:CYREG_SC1_CR2 +CYREG_SC1_CR2 EQU 0x40005806 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_BASE +CYDEV_ANAIF_CFG_SC2_BASE EQU 0x40005808 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC2_SIZE +CYDEV_ANAIF_CFG_SC2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SC2_CR0 +CYREG_SC2_CR0 EQU 0x40005808 + ENDIF + IF :LNOT::DEF:CYREG_SC2_CR1 +CYREG_SC2_CR1 EQU 0x40005809 + ENDIF + IF :LNOT::DEF:CYREG_SC2_CR2 +CYREG_SC2_CR2 EQU 0x4000580a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_BASE +CYDEV_ANAIF_CFG_SC3_BASE EQU 0x4000580c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SC3_SIZE +CYDEV_ANAIF_CFG_SC3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_SC3_CR0 +CYREG_SC3_CR0 EQU 0x4000580c + ENDIF + IF :LNOT::DEF:CYREG_SC3_CR1 +CYREG_SC3_CR1 EQU 0x4000580d + ENDIF + IF :LNOT::DEF:CYREG_SC3_CR2 +CYREG_SC3_CR2 EQU 0x4000580e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_BASE +CYDEV_ANAIF_CFG_DAC0_BASE EQU 0x40005820 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC0_SIZE +CYDEV_ANAIF_CFG_DAC0_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_CR0 +CYREG_DAC0_CR0 EQU 0x40005820 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_CR1 +CYREG_DAC0_CR1 EQU 0x40005821 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_TST +CYREG_DAC0_TST EQU 0x40005822 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_BASE +CYDEV_ANAIF_CFG_DAC1_BASE EQU 0x40005824 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC1_SIZE +CYDEV_ANAIF_CFG_DAC1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_CR0 +CYREG_DAC1_CR0 EQU 0x40005824 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_CR1 +CYREG_DAC1_CR1 EQU 0x40005825 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_TST +CYREG_DAC1_TST EQU 0x40005826 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_BASE +CYDEV_ANAIF_CFG_DAC2_BASE EQU 0x40005828 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC2_SIZE +CYDEV_ANAIF_CFG_DAC2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_CR0 +CYREG_DAC2_CR0 EQU 0x40005828 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_CR1 +CYREG_DAC2_CR1 EQU 0x40005829 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_TST +CYREG_DAC2_TST EQU 0x4000582a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_BASE +CYDEV_ANAIF_CFG_DAC3_BASE EQU 0x4000582c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DAC3_SIZE +CYDEV_ANAIF_CFG_DAC3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_CR0 +CYREG_DAC3_CR0 EQU 0x4000582c + ENDIF + IF :LNOT::DEF:CYREG_DAC3_CR1 +CYREG_DAC3_CR1 EQU 0x4000582d + ENDIF + IF :LNOT::DEF:CYREG_DAC3_TST +CYREG_DAC3_TST EQU 0x4000582e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_BASE +CYDEV_ANAIF_CFG_CMP0_BASE EQU 0x40005840 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP0_SIZE +CYDEV_ANAIF_CFG_CMP0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_CR +CYREG_CMP0_CR EQU 0x40005840 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_BASE +CYDEV_ANAIF_CFG_CMP1_BASE EQU 0x40005841 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP1_SIZE +CYDEV_ANAIF_CFG_CMP1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_CR +CYREG_CMP1_CR EQU 0x40005841 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_BASE +CYDEV_ANAIF_CFG_CMP2_BASE EQU 0x40005842 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP2_SIZE +CYDEV_ANAIF_CFG_CMP2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_CR +CYREG_CMP2_CR EQU 0x40005842 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_BASE +CYDEV_ANAIF_CFG_CMP3_BASE EQU 0x40005843 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CMP3_SIZE +CYDEV_ANAIF_CFG_CMP3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_CR +CYREG_CMP3_CR EQU 0x40005843 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_BASE +CYDEV_ANAIF_CFG_LUT0_BASE EQU 0x40005848 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT0_SIZE +CYDEV_ANAIF_CFG_LUT0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LUT0_CR +CYREG_LUT0_CR EQU 0x40005848 + ENDIF + IF :LNOT::DEF:CYREG_LUT0_MX +CYREG_LUT0_MX EQU 0x40005849 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_BASE +CYDEV_ANAIF_CFG_LUT1_BASE EQU 0x4000584a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT1_SIZE +CYDEV_ANAIF_CFG_LUT1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LUT1_CR +CYREG_LUT1_CR EQU 0x4000584a + ENDIF + IF :LNOT::DEF:CYREG_LUT1_MX +CYREG_LUT1_MX EQU 0x4000584b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_BASE +CYDEV_ANAIF_CFG_LUT2_BASE EQU 0x4000584c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT2_SIZE +CYDEV_ANAIF_CFG_LUT2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LUT2_CR +CYREG_LUT2_CR EQU 0x4000584c + ENDIF + IF :LNOT::DEF:CYREG_LUT2_MX +CYREG_LUT2_MX EQU 0x4000584d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_BASE +CYDEV_ANAIF_CFG_LUT3_BASE EQU 0x4000584e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LUT3_SIZE +CYDEV_ANAIF_CFG_LUT3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LUT3_CR +CYREG_LUT3_CR EQU 0x4000584e + ENDIF + IF :LNOT::DEF:CYREG_LUT3_MX +CYREG_LUT3_MX EQU 0x4000584f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_BASE +CYDEV_ANAIF_CFG_OPAMP0_BASE EQU 0x40005858 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP0_SIZE +CYDEV_ANAIF_CFG_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_CR +CYREG_OPAMP0_CR EQU 0x40005858 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_RSVD +CYREG_OPAMP0_RSVD EQU 0x40005859 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_BASE +CYDEV_ANAIF_CFG_OPAMP1_BASE EQU 0x4000585a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP1_SIZE +CYDEV_ANAIF_CFG_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_CR +CYREG_OPAMP1_CR EQU 0x4000585a + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_RSVD +CYREG_OPAMP1_RSVD EQU 0x4000585b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_BASE +CYDEV_ANAIF_CFG_OPAMP2_BASE EQU 0x4000585c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP2_SIZE +CYDEV_ANAIF_CFG_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_CR +CYREG_OPAMP2_CR EQU 0x4000585c + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_RSVD +CYREG_OPAMP2_RSVD EQU 0x4000585d + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_BASE +CYDEV_ANAIF_CFG_OPAMP3_BASE EQU 0x4000585e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_OPAMP3_SIZE +CYDEV_ANAIF_CFG_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_CR +CYREG_OPAMP3_CR EQU 0x4000585e + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_RSVD +CYREG_OPAMP3_RSVD EQU 0x4000585f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_BASE +CYDEV_ANAIF_CFG_LCDDAC_BASE EQU 0x40005868 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDAC_SIZE +CYDEV_ANAIF_CFG_LCDDAC_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_CR0 +CYREG_LCDDAC_CR0 EQU 0x40005868 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_CR1 +CYREG_LCDDAC_CR1 EQU 0x40005869 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_BASE +CYDEV_ANAIF_CFG_LCDDRV_BASE EQU 0x4000586a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDDRV_SIZE +CYDEV_ANAIF_CFG_LCDDRV_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_LCDDRV_CR +CYREG_LCDDRV_CR EQU 0x4000586a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_BASE +CYDEV_ANAIF_CFG_LCDTMR_BASE EQU 0x4000586b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LCDTMR_SIZE +CYDEV_ANAIF_CFG_LCDTMR_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_LCDTMR_CFG +CYREG_LCDTMR_CFG EQU 0x4000586b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_BASE +CYDEV_ANAIF_CFG_BG_BASE EQU 0x4000586c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_BG_SIZE +CYDEV_ANAIF_CFG_BG_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_BG_CR0 +CYREG_BG_CR0 EQU 0x4000586c + ENDIF + IF :LNOT::DEF:CYREG_BG_RSVD +CYREG_BG_RSVD EQU 0x4000586d + ENDIF + IF :LNOT::DEF:CYREG_BG_DFT0 +CYREG_BG_DFT0 EQU 0x4000586e + ENDIF + IF :LNOT::DEF:CYREG_BG_DFT1 +CYREG_BG_DFT1 EQU 0x4000586f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_BASE +CYDEV_ANAIF_CFG_CAPSL_BASE EQU 0x40005870 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSL_SIZE +CYDEV_ANAIF_CFG_CAPSL_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CAPSL_CFG0 +CYREG_CAPSL_CFG0 EQU 0x40005870 + ENDIF + IF :LNOT::DEF:CYREG_CAPSL_CFG1 +CYREG_CAPSL_CFG1 EQU 0x40005871 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_BASE +CYDEV_ANAIF_CFG_CAPSR_BASE EQU 0x40005872 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_CAPSR_SIZE +CYDEV_ANAIF_CFG_CAPSR_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CAPSR_CFG0 +CYREG_CAPSR_CFG0 EQU 0x40005872 + ENDIF + IF :LNOT::DEF:CYREG_CAPSR_CFG1 +CYREG_CAPSR_CFG1 EQU 0x40005873 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_BASE +CYDEV_ANAIF_CFG_PUMP_BASE EQU 0x40005876 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_PUMP_SIZE +CYDEV_ANAIF_CFG_PUMP_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_PUMP_CR0 +CYREG_PUMP_CR0 EQU 0x40005876 + ENDIF + IF :LNOT::DEF:CYREG_PUMP_CR1 +CYREG_PUMP_CR1 EQU 0x40005877 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_BASE +CYDEV_ANAIF_CFG_LPF0_BASE EQU 0x40005878 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF0_SIZE +CYDEV_ANAIF_CFG_LPF0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LPF0_CR0 +CYREG_LPF0_CR0 EQU 0x40005878 + ENDIF + IF :LNOT::DEF:CYREG_LPF0_RSVD +CYREG_LPF0_RSVD EQU 0x40005879 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_BASE +CYDEV_ANAIF_CFG_LPF1_BASE EQU 0x4000587a + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_LPF1_SIZE +CYDEV_ANAIF_CFG_LPF1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_LPF1_CR0 +CYREG_LPF1_CR0 EQU 0x4000587a + ENDIF + IF :LNOT::DEF:CYREG_LPF1_RSVD +CYREG_LPF1_RSVD EQU 0x4000587b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_BASE +CYDEV_ANAIF_CFG_MISC_BASE EQU 0x4000587c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_MISC_SIZE +CYDEV_ANAIF_CFG_MISC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_ANAIF_CFG_MISC_CR0 +CYREG_ANAIF_CFG_MISC_CR0 EQU 0x4000587c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_BASE +CYDEV_ANAIF_CFG_DSM0_BASE EQU 0x40005880 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_DSM0_SIZE +CYDEV_ANAIF_CFG_DSM0_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR0 +CYREG_DSM0_CR0 EQU 0x40005880 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR1 +CYREG_DSM0_CR1 EQU 0x40005881 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR2 +CYREG_DSM0_CR2 EQU 0x40005882 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR3 +CYREG_DSM0_CR3 EQU 0x40005883 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR4 +CYREG_DSM0_CR4 EQU 0x40005884 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR5 +CYREG_DSM0_CR5 EQU 0x40005885 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR6 +CYREG_DSM0_CR6 EQU 0x40005886 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR7 +CYREG_DSM0_CR7 EQU 0x40005887 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR8 +CYREG_DSM0_CR8 EQU 0x40005888 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR9 +CYREG_DSM0_CR9 EQU 0x40005889 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR10 +CYREG_DSM0_CR10 EQU 0x4000588a + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR11 +CYREG_DSM0_CR11 EQU 0x4000588b + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR12 +CYREG_DSM0_CR12 EQU 0x4000588c + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR13 +CYREG_DSM0_CR13 EQU 0x4000588d + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR14 +CYREG_DSM0_CR14 EQU 0x4000588e + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR15 +CYREG_DSM0_CR15 EQU 0x4000588f + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR16 +CYREG_DSM0_CR16 EQU 0x40005890 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CR17 +CYREG_DSM0_CR17 EQU 0x40005891 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_REF0 +CYREG_DSM0_REF0 EQU 0x40005892 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_REF1 +CYREG_DSM0_REF1 EQU 0x40005893 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_REF2 +CYREG_DSM0_REF2 EQU 0x40005894 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_REF3 +CYREG_DSM0_REF3 EQU 0x40005895 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_DEM0 +CYREG_DSM0_DEM0 EQU 0x40005896 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_DEM1 +CYREG_DSM0_DEM1 EQU 0x40005897 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_TST0 +CYREG_DSM0_TST0 EQU 0x40005898 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_TST1 +CYREG_DSM0_TST1 EQU 0x40005899 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_BUF0 +CYREG_DSM0_BUF0 EQU 0x4000589a + ENDIF + IF :LNOT::DEF:CYREG_DSM0_BUF1 +CYREG_DSM0_BUF1 EQU 0x4000589b + ENDIF + IF :LNOT::DEF:CYREG_DSM0_BUF2 +CYREG_DSM0_BUF2 EQU 0x4000589c + ENDIF + IF :LNOT::DEF:CYREG_DSM0_BUF3 +CYREG_DSM0_BUF3 EQU 0x4000589d + ENDIF + IF :LNOT::DEF:CYREG_DSM0_MISC +CYREG_DSM0_MISC EQU 0x4000589e + ENDIF + IF :LNOT::DEF:CYREG_DSM0_RSVD1 +CYREG_DSM0_RSVD1 EQU 0x4000589f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_BASE +CYDEV_ANAIF_CFG_SAR0_BASE EQU 0x40005900 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR0_SIZE +CYDEV_ANAIF_CFG_SAR0_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR0 +CYREG_SAR0_CSR0 EQU 0x40005900 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR1 +CYREG_SAR0_CSR1 EQU 0x40005901 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR2 +CYREG_SAR0_CSR2 EQU 0x40005902 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR3 +CYREG_SAR0_CSR3 EQU 0x40005903 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR4 +CYREG_SAR0_CSR4 EQU 0x40005904 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR5 +CYREG_SAR0_CSR5 EQU 0x40005905 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CSR6 +CYREG_SAR0_CSR6 EQU 0x40005906 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_BASE +CYDEV_ANAIF_CFG_SAR1_BASE EQU 0x40005908 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_CFG_SAR1_SIZE +CYDEV_ANAIF_CFG_SAR1_SIZE EQU 0x00000007 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR0 +CYREG_SAR1_CSR0 EQU 0x40005908 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR1 +CYREG_SAR1_CSR1 EQU 0x40005909 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR2 +CYREG_SAR1_CSR2 EQU 0x4000590a + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR3 +CYREG_SAR1_CSR3 EQU 0x4000590b + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR4 +CYREG_SAR1_CSR4 EQU 0x4000590c + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR5 +CYREG_SAR1_CSR5 EQU 0x4000590d + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CSR6 +CYREG_SAR1_CSR6 EQU 0x4000590e + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BASE +CYDEV_ANAIF_RT_BASE EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SIZE +CYDEV_ANAIF_RT_SIZE EQU 0x00000162 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_BASE +CYDEV_ANAIF_RT_SC0_BASE EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC0_SIZE +CYDEV_ANAIF_RT_SC0_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW0 +CYREG_SC0_SW0 EQU 0x40005a00 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW2 +CYREG_SC0_SW2 EQU 0x40005a02 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW3 +CYREG_SC0_SW3 EQU 0x40005a03 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW4 +CYREG_SC0_SW4 EQU 0x40005a04 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW6 +CYREG_SC0_SW6 EQU 0x40005a06 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW7 +CYREG_SC0_SW7 EQU 0x40005a07 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW8 +CYREG_SC0_SW8 EQU 0x40005a08 + ENDIF + IF :LNOT::DEF:CYREG_SC0_SW10 +CYREG_SC0_SW10 EQU 0x40005a0a + ENDIF + IF :LNOT::DEF:CYREG_SC0_CLK +CYREG_SC0_CLK EQU 0x40005a0b + ENDIF + IF :LNOT::DEF:CYREG_SC0_BST +CYREG_SC0_BST EQU 0x40005a0c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_BASE +CYDEV_ANAIF_RT_SC1_BASE EQU 0x40005a10 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC1_SIZE +CYDEV_ANAIF_RT_SC1_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW0 +CYREG_SC1_SW0 EQU 0x40005a10 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW2 +CYREG_SC1_SW2 EQU 0x40005a12 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW3 +CYREG_SC1_SW3 EQU 0x40005a13 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW4 +CYREG_SC1_SW4 EQU 0x40005a14 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW6 +CYREG_SC1_SW6 EQU 0x40005a16 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW7 +CYREG_SC1_SW7 EQU 0x40005a17 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW8 +CYREG_SC1_SW8 EQU 0x40005a18 + ENDIF + IF :LNOT::DEF:CYREG_SC1_SW10 +CYREG_SC1_SW10 EQU 0x40005a1a + ENDIF + IF :LNOT::DEF:CYREG_SC1_CLK +CYREG_SC1_CLK EQU 0x40005a1b + ENDIF + IF :LNOT::DEF:CYREG_SC1_BST +CYREG_SC1_BST EQU 0x40005a1c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_BASE +CYDEV_ANAIF_RT_SC2_BASE EQU 0x40005a20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC2_SIZE +CYDEV_ANAIF_RT_SC2_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW0 +CYREG_SC2_SW0 EQU 0x40005a20 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW2 +CYREG_SC2_SW2 EQU 0x40005a22 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW3 +CYREG_SC2_SW3 EQU 0x40005a23 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW4 +CYREG_SC2_SW4 EQU 0x40005a24 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW6 +CYREG_SC2_SW6 EQU 0x40005a26 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW7 +CYREG_SC2_SW7 EQU 0x40005a27 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW8 +CYREG_SC2_SW8 EQU 0x40005a28 + ENDIF + IF :LNOT::DEF:CYREG_SC2_SW10 +CYREG_SC2_SW10 EQU 0x40005a2a + ENDIF + IF :LNOT::DEF:CYREG_SC2_CLK +CYREG_SC2_CLK EQU 0x40005a2b + ENDIF + IF :LNOT::DEF:CYREG_SC2_BST +CYREG_SC2_BST EQU 0x40005a2c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_BASE +CYDEV_ANAIF_RT_SC3_BASE EQU 0x40005a30 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC3_SIZE +CYDEV_ANAIF_RT_SC3_SIZE EQU 0x0000000d + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW0 +CYREG_SC3_SW0 EQU 0x40005a30 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW2 +CYREG_SC3_SW2 EQU 0x40005a32 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW3 +CYREG_SC3_SW3 EQU 0x40005a33 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW4 +CYREG_SC3_SW4 EQU 0x40005a34 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW6 +CYREG_SC3_SW6 EQU 0x40005a36 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW7 +CYREG_SC3_SW7 EQU 0x40005a37 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW8 +CYREG_SC3_SW8 EQU 0x40005a38 + ENDIF + IF :LNOT::DEF:CYREG_SC3_SW10 +CYREG_SC3_SW10 EQU 0x40005a3a + ENDIF + IF :LNOT::DEF:CYREG_SC3_CLK +CYREG_SC3_CLK EQU 0x40005a3b + ENDIF + IF :LNOT::DEF:CYREG_SC3_BST +CYREG_SC3_BST EQU 0x40005a3c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_BASE +CYDEV_ANAIF_RT_DAC0_BASE EQU 0x40005a80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC0_SIZE +CYDEV_ANAIF_RT_DAC0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_SW0 +CYREG_DAC0_SW0 EQU 0x40005a80 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_SW2 +CYREG_DAC0_SW2 EQU 0x40005a82 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_SW3 +CYREG_DAC0_SW3 EQU 0x40005a83 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_SW4 +CYREG_DAC0_SW4 EQU 0x40005a84 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_STROBE +CYREG_DAC0_STROBE EQU 0x40005a87 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_BASE +CYDEV_ANAIF_RT_DAC1_BASE EQU 0x40005a88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC1_SIZE +CYDEV_ANAIF_RT_DAC1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_SW0 +CYREG_DAC1_SW0 EQU 0x40005a88 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_SW2 +CYREG_DAC1_SW2 EQU 0x40005a8a + ENDIF + IF :LNOT::DEF:CYREG_DAC1_SW3 +CYREG_DAC1_SW3 EQU 0x40005a8b + ENDIF + IF :LNOT::DEF:CYREG_DAC1_SW4 +CYREG_DAC1_SW4 EQU 0x40005a8c + ENDIF + IF :LNOT::DEF:CYREG_DAC1_STROBE +CYREG_DAC1_STROBE EQU 0x40005a8f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_BASE +CYDEV_ANAIF_RT_DAC2_BASE EQU 0x40005a90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC2_SIZE +CYDEV_ANAIF_RT_DAC2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_SW0 +CYREG_DAC2_SW0 EQU 0x40005a90 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_SW2 +CYREG_DAC2_SW2 EQU 0x40005a92 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_SW3 +CYREG_DAC2_SW3 EQU 0x40005a93 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_SW4 +CYREG_DAC2_SW4 EQU 0x40005a94 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_STROBE +CYREG_DAC2_STROBE EQU 0x40005a97 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_BASE +CYDEV_ANAIF_RT_DAC3_BASE EQU 0x40005a98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DAC3_SIZE +CYDEV_ANAIF_RT_DAC3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_SW0 +CYREG_DAC3_SW0 EQU 0x40005a98 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_SW2 +CYREG_DAC3_SW2 EQU 0x40005a9a + ENDIF + IF :LNOT::DEF:CYREG_DAC3_SW3 +CYREG_DAC3_SW3 EQU 0x40005a9b + ENDIF + IF :LNOT::DEF:CYREG_DAC3_SW4 +CYREG_DAC3_SW4 EQU 0x40005a9c + ENDIF + IF :LNOT::DEF:CYREG_DAC3_STROBE +CYREG_DAC3_STROBE EQU 0x40005a9f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_BASE +CYDEV_ANAIF_RT_CMP0_BASE EQU 0x40005ac0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP0_SIZE +CYDEV_ANAIF_RT_CMP0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW0 +CYREG_CMP0_SW0 EQU 0x40005ac0 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW2 +CYREG_CMP0_SW2 EQU 0x40005ac2 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW3 +CYREG_CMP0_SW3 EQU 0x40005ac3 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW4 +CYREG_CMP0_SW4 EQU 0x40005ac4 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_SW6 +CYREG_CMP0_SW6 EQU 0x40005ac6 + ENDIF + IF :LNOT::DEF:CYREG_CMP0_CLK +CYREG_CMP0_CLK EQU 0x40005ac7 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_BASE +CYDEV_ANAIF_RT_CMP1_BASE EQU 0x40005ac8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP1_SIZE +CYDEV_ANAIF_RT_CMP1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW0 +CYREG_CMP1_SW0 EQU 0x40005ac8 + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW2 +CYREG_CMP1_SW2 EQU 0x40005aca + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW3 +CYREG_CMP1_SW3 EQU 0x40005acb + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW4 +CYREG_CMP1_SW4 EQU 0x40005acc + ENDIF + IF :LNOT::DEF:CYREG_CMP1_SW6 +CYREG_CMP1_SW6 EQU 0x40005ace + ENDIF + IF :LNOT::DEF:CYREG_CMP1_CLK +CYREG_CMP1_CLK EQU 0x40005acf + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_BASE +CYDEV_ANAIF_RT_CMP2_BASE EQU 0x40005ad0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP2_SIZE +CYDEV_ANAIF_RT_CMP2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW0 +CYREG_CMP2_SW0 EQU 0x40005ad0 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW2 +CYREG_CMP2_SW2 EQU 0x40005ad2 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW3 +CYREG_CMP2_SW3 EQU 0x40005ad3 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW4 +CYREG_CMP2_SW4 EQU 0x40005ad4 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_SW6 +CYREG_CMP2_SW6 EQU 0x40005ad6 + ENDIF + IF :LNOT::DEF:CYREG_CMP2_CLK +CYREG_CMP2_CLK EQU 0x40005ad7 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_BASE +CYDEV_ANAIF_RT_CMP3_BASE EQU 0x40005ad8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_CMP3_SIZE +CYDEV_ANAIF_RT_CMP3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW0 +CYREG_CMP3_SW0 EQU 0x40005ad8 + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW2 +CYREG_CMP3_SW2 EQU 0x40005ada + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW3 +CYREG_CMP3_SW3 EQU 0x40005adb + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW4 +CYREG_CMP3_SW4 EQU 0x40005adc + ENDIF + IF :LNOT::DEF:CYREG_CMP3_SW6 +CYREG_CMP3_SW6 EQU 0x40005ade + ENDIF + IF :LNOT::DEF:CYREG_CMP3_CLK +CYREG_CMP3_CLK EQU 0x40005adf + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_BASE +CYDEV_ANAIF_RT_DSM0_BASE EQU 0x40005b00 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DSM0_SIZE +CYDEV_ANAIF_RT_DSM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW0 +CYREG_DSM0_SW0 EQU 0x40005b00 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW2 +CYREG_DSM0_SW2 EQU 0x40005b02 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW3 +CYREG_DSM0_SW3 EQU 0x40005b03 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW4 +CYREG_DSM0_SW4 EQU 0x40005b04 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_SW6 +CYREG_DSM0_SW6 EQU 0x40005b06 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_CLK +CYREG_DSM0_CLK EQU 0x40005b07 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_BASE +CYDEV_ANAIF_RT_SAR0_BASE EQU 0x40005b20 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR0_SIZE +CYDEV_ANAIF_RT_SAR0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW0 +CYREG_SAR0_SW0 EQU 0x40005b20 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW2 +CYREG_SAR0_SW2 EQU 0x40005b22 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW3 +CYREG_SAR0_SW3 EQU 0x40005b23 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW4 +CYREG_SAR0_SW4 EQU 0x40005b24 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_SW6 +CYREG_SAR0_SW6 EQU 0x40005b26 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_CLK +CYREG_SAR0_CLK EQU 0x40005b27 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_BASE +CYDEV_ANAIF_RT_SAR1_BASE EQU 0x40005b28 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SAR1_SIZE +CYDEV_ANAIF_RT_SAR1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW0 +CYREG_SAR1_SW0 EQU 0x40005b28 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW2 +CYREG_SAR1_SW2 EQU 0x40005b2a + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW3 +CYREG_SAR1_SW3 EQU 0x40005b2b + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW4 +CYREG_SAR1_SW4 EQU 0x40005b2c + ENDIF + IF :LNOT::DEF:CYREG_SAR1_SW6 +CYREG_SAR1_SW6 EQU 0x40005b2e + ENDIF + IF :LNOT::DEF:CYREG_SAR1_CLK +CYREG_SAR1_CLK EQU 0x40005b2f + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_BASE +CYDEV_ANAIF_RT_OPAMP0_BASE EQU 0x40005b40 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP0_SIZE +CYDEV_ANAIF_RT_OPAMP0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_MX +CYREG_OPAMP0_MX EQU 0x40005b40 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP0_SW +CYREG_OPAMP0_SW EQU 0x40005b41 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_BASE +CYDEV_ANAIF_RT_OPAMP1_BASE EQU 0x40005b42 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP1_SIZE +CYDEV_ANAIF_RT_OPAMP1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_MX +CYREG_OPAMP1_MX EQU 0x40005b42 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP1_SW +CYREG_OPAMP1_SW EQU 0x40005b43 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_BASE +CYDEV_ANAIF_RT_OPAMP2_BASE EQU 0x40005b44 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP2_SIZE +CYDEV_ANAIF_RT_OPAMP2_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_MX +CYREG_OPAMP2_MX EQU 0x40005b44 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP2_SW +CYREG_OPAMP2_SW EQU 0x40005b45 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_BASE +CYDEV_ANAIF_RT_OPAMP3_BASE EQU 0x40005b46 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_OPAMP3_SIZE +CYDEV_ANAIF_RT_OPAMP3_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_MX +CYREG_OPAMP3_MX EQU 0x40005b46 + ENDIF + IF :LNOT::DEF:CYREG_OPAMP3_SW +CYREG_OPAMP3_SW EQU 0x40005b47 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_BASE +CYDEV_ANAIF_RT_LCDDAC_BASE EQU 0x40005b50 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_LCDDAC_SIZE +CYDEV_ANAIF_RT_LCDDAC_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW0 +CYREG_LCDDAC_SW0 EQU 0x40005b50 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW1 +CYREG_LCDDAC_SW1 EQU 0x40005b51 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW2 +CYREG_LCDDAC_SW2 EQU 0x40005b52 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW3 +CYREG_LCDDAC_SW3 EQU 0x40005b53 + ENDIF + IF :LNOT::DEF:CYREG_LCDDAC_SW4 +CYREG_LCDDAC_SW4 EQU 0x40005b54 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_BASE +CYDEV_ANAIF_RT_SC_BASE EQU 0x40005b56 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_SC_SIZE +CYDEV_ANAIF_RT_SC_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_SC_MISC +CYREG_SC_MISC EQU 0x40005b56 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_BASE +CYDEV_ANAIF_RT_BUS_BASE EQU 0x40005b58 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_BUS_SIZE +CYDEV_ANAIF_RT_BUS_SIZE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYREG_BUS_SW0 +CYREG_BUS_SW0 EQU 0x40005b58 + ENDIF + IF :LNOT::DEF:CYREG_BUS_SW2 +CYREG_BUS_SW2 EQU 0x40005b5a + ENDIF + IF :LNOT::DEF:CYREG_BUS_SW3 +CYREG_BUS_SW3 EQU 0x40005b5b + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_BASE +CYDEV_ANAIF_RT_DFT_BASE EQU 0x40005b5c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_RT_DFT_SIZE +CYDEV_ANAIF_RT_DFT_SIZE EQU 0x00000006 + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR0 +CYREG_DFT_CR0 EQU 0x40005b5c + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR1 +CYREG_DFT_CR1 EQU 0x40005b5d + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR2 +CYREG_DFT_CR2 EQU 0x40005b5e + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR3 +CYREG_DFT_CR3 EQU 0x40005b5f + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR4 +CYREG_DFT_CR4 EQU 0x40005b60 + ENDIF + IF :LNOT::DEF:CYREG_DFT_CR5 +CYREG_DFT_CR5 EQU 0x40005b61 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_BASE +CYDEV_ANAIF_WRK_BASE EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SIZE +CYDEV_ANAIF_WRK_SIZE EQU 0x00000029 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_BASE +CYDEV_ANAIF_WRK_DAC0_BASE EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC0_SIZE +CYDEV_ANAIF_WRK_DAC0_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC0_D +CYREG_DAC0_D EQU 0x40005b80 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_BASE +CYDEV_ANAIF_WRK_DAC1_BASE EQU 0x40005b81 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC1_SIZE +CYDEV_ANAIF_WRK_DAC1_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC1_D +CYREG_DAC1_D EQU 0x40005b81 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_BASE +CYDEV_ANAIF_WRK_DAC2_BASE EQU 0x40005b82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC2_SIZE +CYDEV_ANAIF_WRK_DAC2_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC2_D +CYREG_DAC2_D EQU 0x40005b82 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_BASE +CYDEV_ANAIF_WRK_DAC3_BASE EQU 0x40005b83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DAC3_SIZE +CYDEV_ANAIF_WRK_DAC3_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_DAC3_D +CYREG_DAC3_D EQU 0x40005b83 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_BASE +CYDEV_ANAIF_WRK_DSM0_BASE EQU 0x40005b88 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_DSM0_SIZE +CYDEV_ANAIF_WRK_DSM0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_OUT0 +CYREG_DSM0_OUT0 EQU 0x40005b88 + ENDIF + IF :LNOT::DEF:CYREG_DSM0_OUT1 +CYREG_DSM0_OUT1 EQU 0x40005b89 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_BASE +CYDEV_ANAIF_WRK_LUT_BASE EQU 0x40005b90 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_LUT_SIZE +CYDEV_ANAIF_WRK_LUT_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_LUT_SR +CYREG_LUT_SR EQU 0x40005b90 + ENDIF + IF :LNOT::DEF:CYREG_LUT_WRK1 +CYREG_LUT_WRK1 EQU 0x40005b91 + ENDIF + IF :LNOT::DEF:CYREG_LUT_MSK +CYREG_LUT_MSK EQU 0x40005b92 + ENDIF + IF :LNOT::DEF:CYREG_LUT_CLK +CYREG_LUT_CLK EQU 0x40005b93 + ENDIF + IF :LNOT::DEF:CYREG_LUT_CPTR +CYREG_LUT_CPTR EQU 0x40005b94 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_BASE +CYDEV_ANAIF_WRK_CMP_BASE EQU 0x40005b96 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_CMP_SIZE +CYDEV_ANAIF_WRK_CMP_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_CMP_WRK +CYREG_CMP_WRK EQU 0x40005b96 + ENDIF + IF :LNOT::DEF:CYREG_CMP_TST +CYREG_CMP_TST EQU 0x40005b97 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_BASE +CYDEV_ANAIF_WRK_SC_BASE EQU 0x40005b98 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SC_SIZE +CYDEV_ANAIF_WRK_SC_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_SC_SR +CYREG_SC_SR EQU 0x40005b98 + ENDIF + IF :LNOT::DEF:CYREG_SC_WRK1 +CYREG_SC_WRK1 EQU 0x40005b99 + ENDIF + IF :LNOT::DEF:CYREG_SC_MSK +CYREG_SC_MSK EQU 0x40005b9a + ENDIF + IF :LNOT::DEF:CYREG_SC_CMPINV +CYREG_SC_CMPINV EQU 0x40005b9b + ENDIF + IF :LNOT::DEF:CYREG_SC_CPTR +CYREG_SC_CPTR EQU 0x40005b9c + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_BASE +CYDEV_ANAIF_WRK_SAR0_BASE EQU 0x40005ba0 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR0_SIZE +CYDEV_ANAIF_WRK_SAR0_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_WRK0 +CYREG_SAR0_WRK0 EQU 0x40005ba0 + ENDIF + IF :LNOT::DEF:CYREG_SAR0_WRK1 +CYREG_SAR0_WRK1 EQU 0x40005ba1 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_BASE +CYDEV_ANAIF_WRK_SAR1_BASE EQU 0x40005ba2 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SAR1_SIZE +CYDEV_ANAIF_WRK_SAR1_SIZE EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_WRK0 +CYREG_SAR1_WRK0 EQU 0x40005ba2 + ENDIF + IF :LNOT::DEF:CYREG_SAR1_WRK1 +CYREG_SAR1_WRK1 EQU 0x40005ba3 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_BASE +CYDEV_ANAIF_WRK_SARS_BASE EQU 0x40005ba8 + ENDIF + IF :LNOT::DEF:CYDEV_ANAIF_WRK_SARS_SIZE +CYDEV_ANAIF_WRK_SARS_SIZE EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYREG_ANAIF_WRK_SARS_SOF +CYREG_ANAIF_WRK_SARS_SOF EQU 0x40005ba8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_BASE +CYDEV_USB_BASE EQU 0x40006000 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIZE +CYDEV_USB_SIZE EQU 0x00000300 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR0 +CYREG_USB_EP0_DR0 EQU 0x40006000 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR1 +CYREG_USB_EP0_DR1 EQU 0x40006001 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR2 +CYREG_USB_EP0_DR2 EQU 0x40006002 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR3 +CYREG_USB_EP0_DR3 EQU 0x40006003 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR4 +CYREG_USB_EP0_DR4 EQU 0x40006004 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR5 +CYREG_USB_EP0_DR5 EQU 0x40006005 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR6 +CYREG_USB_EP0_DR6 EQU 0x40006006 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_DR7 +CYREG_USB_EP0_DR7 EQU 0x40006007 + ENDIF + IF :LNOT::DEF:CYREG_USB_CR0 +CYREG_USB_CR0 EQU 0x40006008 + ENDIF + IF :LNOT::DEF:CYREG_USB_CR1 +CYREG_USB_CR1 EQU 0x40006009 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP_INT_EN +CYREG_USB_SIE_EP_INT_EN EQU 0x4000600a + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP_INT_SR +CYREG_USB_SIE_EP_INT_SR EQU 0x4000600b + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_BASE +CYDEV_USB_SIE_EP1_BASE EQU 0x4000600c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP1_SIZE +CYDEV_USB_SIE_EP1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP1_CNT0 +CYREG_USB_SIE_EP1_CNT0 EQU 0x4000600c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP1_CNT1 +CYREG_USB_SIE_EP1_CNT1 EQU 0x4000600d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP1_CR0 +CYREG_USB_SIE_EP1_CR0 EQU 0x4000600e + ENDIF + IF :LNOT::DEF:CYREG_USB_USBIO_CR0 +CYREG_USB_USBIO_CR0 EQU 0x40006010 + ENDIF + IF :LNOT::DEF:CYREG_USB_USBIO_CR1 +CYREG_USB_USBIO_CR1 EQU 0x40006012 + ENDIF + IF :LNOT::DEF:CYREG_USB_DYN_RECONFIG +CYREG_USB_DYN_RECONFIG EQU 0x40006014 + ENDIF + IF :LNOT::DEF:CYREG_USB_SOF0 +CYREG_USB_SOF0 EQU 0x40006018 + ENDIF + IF :LNOT::DEF:CYREG_USB_SOF1 +CYREG_USB_SOF1 EQU 0x40006019 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_BASE +CYDEV_USB_SIE_EP2_BASE EQU 0x4000601c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP2_SIZE +CYDEV_USB_SIE_EP2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP2_CNT0 +CYREG_USB_SIE_EP2_CNT0 EQU 0x4000601c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP2_CNT1 +CYREG_USB_SIE_EP2_CNT1 EQU 0x4000601d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP2_CR0 +CYREG_USB_SIE_EP2_CR0 EQU 0x4000601e + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_CR +CYREG_USB_EP0_CR EQU 0x40006028 + ENDIF + IF :LNOT::DEF:CYREG_USB_EP0_CNT +CYREG_USB_EP0_CNT EQU 0x40006029 + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_BASE +CYDEV_USB_SIE_EP3_BASE EQU 0x4000602c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP3_SIZE +CYDEV_USB_SIE_EP3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP3_CNT0 +CYREG_USB_SIE_EP3_CNT0 EQU 0x4000602c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP3_CNT1 +CYREG_USB_SIE_EP3_CNT1 EQU 0x4000602d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP3_CR0 +CYREG_USB_SIE_EP3_CR0 EQU 0x4000602e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_BASE +CYDEV_USB_SIE_EP4_BASE EQU 0x4000603c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP4_SIZE +CYDEV_USB_SIE_EP4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP4_CNT0 +CYREG_USB_SIE_EP4_CNT0 EQU 0x4000603c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP4_CNT1 +CYREG_USB_SIE_EP4_CNT1 EQU 0x4000603d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP4_CR0 +CYREG_USB_SIE_EP4_CR0 EQU 0x4000603e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_BASE +CYDEV_USB_SIE_EP5_BASE EQU 0x4000604c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP5_SIZE +CYDEV_USB_SIE_EP5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP5_CNT0 +CYREG_USB_SIE_EP5_CNT0 EQU 0x4000604c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP5_CNT1 +CYREG_USB_SIE_EP5_CNT1 EQU 0x4000604d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP5_CR0 +CYREG_USB_SIE_EP5_CR0 EQU 0x4000604e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_BASE +CYDEV_USB_SIE_EP6_BASE EQU 0x4000605c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP6_SIZE +CYDEV_USB_SIE_EP6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP6_CNT0 +CYREG_USB_SIE_EP6_CNT0 EQU 0x4000605c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP6_CNT1 +CYREG_USB_SIE_EP6_CNT1 EQU 0x4000605d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP6_CR0 +CYREG_USB_SIE_EP6_CR0 EQU 0x4000605e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_BASE +CYDEV_USB_SIE_EP7_BASE EQU 0x4000606c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP7_SIZE +CYDEV_USB_SIE_EP7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP7_CNT0 +CYREG_USB_SIE_EP7_CNT0 EQU 0x4000606c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP7_CNT1 +CYREG_USB_SIE_EP7_CNT1 EQU 0x4000606d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP7_CR0 +CYREG_USB_SIE_EP7_CR0 EQU 0x4000606e + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_BASE +CYDEV_USB_SIE_EP8_BASE EQU 0x4000607c + ENDIF + IF :LNOT::DEF:CYDEV_USB_SIE_EP8_SIZE +CYDEV_USB_SIE_EP8_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP8_CNT0 +CYREG_USB_SIE_EP8_CNT0 EQU 0x4000607c + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP8_CNT1 +CYREG_USB_SIE_EP8_CNT1 EQU 0x4000607d + ENDIF + IF :LNOT::DEF:CYREG_USB_SIE_EP8_CR0 +CYREG_USB_SIE_EP8_CR0 EQU 0x4000607e + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_BASE +CYDEV_USB_ARB_EP1_BASE EQU 0x40006080 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP1_SIZE +CYDEV_USB_ARB_EP1_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP1_CFG +CYREG_USB_ARB_EP1_CFG EQU 0x40006080 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP1_INT_EN +CYREG_USB_ARB_EP1_INT_EN EQU 0x40006081 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP1_SR +CYREG_USB_ARB_EP1_SR EQU 0x40006082 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_BASE +CYDEV_USB_ARB_RW1_BASE EQU 0x40006084 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW1_SIZE +CYDEV_USB_ARB_RW1_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_WA +CYREG_USB_ARB_RW1_WA EQU 0x40006084 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_WA_MSB +CYREG_USB_ARB_RW1_WA_MSB EQU 0x40006085 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_RA +CYREG_USB_ARB_RW1_RA EQU 0x40006086 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_RA_MSB +CYREG_USB_ARB_RW1_RA_MSB EQU 0x40006087 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW1_DR +CYREG_USB_ARB_RW1_DR EQU 0x40006088 + ENDIF + IF :LNOT::DEF:CYREG_USB_BUF_SIZE +CYREG_USB_BUF_SIZE EQU 0x4000608c + ENDIF + IF :LNOT::DEF:CYREG_USB_EP_ACTIVE +CYREG_USB_EP_ACTIVE EQU 0x4000608e + ENDIF + IF :LNOT::DEF:CYREG_USB_EP_TYPE +CYREG_USB_EP_TYPE EQU 0x4000608f + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_BASE +CYDEV_USB_ARB_EP2_BASE EQU 0x40006090 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP2_SIZE +CYDEV_USB_ARB_EP2_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP2_CFG +CYREG_USB_ARB_EP2_CFG EQU 0x40006090 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP2_INT_EN +CYREG_USB_ARB_EP2_INT_EN EQU 0x40006091 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP2_SR +CYREG_USB_ARB_EP2_SR EQU 0x40006092 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_BASE +CYDEV_USB_ARB_RW2_BASE EQU 0x40006094 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW2_SIZE +CYDEV_USB_ARB_RW2_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_WA +CYREG_USB_ARB_RW2_WA EQU 0x40006094 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_WA_MSB +CYREG_USB_ARB_RW2_WA_MSB EQU 0x40006095 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_RA +CYREG_USB_ARB_RW2_RA EQU 0x40006096 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_RA_MSB +CYREG_USB_ARB_RW2_RA_MSB EQU 0x40006097 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW2_DR +CYREG_USB_ARB_RW2_DR EQU 0x40006098 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_CFG +CYREG_USB_ARB_CFG EQU 0x4000609c + ENDIF + IF :LNOT::DEF:CYREG_USB_USB_CLK_EN +CYREG_USB_USB_CLK_EN EQU 0x4000609d + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_INT_EN +CYREG_USB_ARB_INT_EN EQU 0x4000609e + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_INT_SR +CYREG_USB_ARB_INT_SR EQU 0x4000609f + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_BASE +CYDEV_USB_ARB_EP3_BASE EQU 0x400060a0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP3_SIZE +CYDEV_USB_ARB_EP3_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP3_CFG +CYREG_USB_ARB_EP3_CFG EQU 0x400060a0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP3_INT_EN +CYREG_USB_ARB_EP3_INT_EN EQU 0x400060a1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP3_SR +CYREG_USB_ARB_EP3_SR EQU 0x400060a2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_BASE +CYDEV_USB_ARB_RW3_BASE EQU 0x400060a4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW3_SIZE +CYDEV_USB_ARB_RW3_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_WA +CYREG_USB_ARB_RW3_WA EQU 0x400060a4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_WA_MSB +CYREG_USB_ARB_RW3_WA_MSB EQU 0x400060a5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_RA +CYREG_USB_ARB_RW3_RA EQU 0x400060a6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_RA_MSB +CYREG_USB_ARB_RW3_RA_MSB EQU 0x400060a7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW3_DR +CYREG_USB_ARB_RW3_DR EQU 0x400060a8 + ENDIF + IF :LNOT::DEF:CYREG_USB_CWA +CYREG_USB_CWA EQU 0x400060ac + ENDIF + IF :LNOT::DEF:CYREG_USB_CWA_MSB +CYREG_USB_CWA_MSB EQU 0x400060ad + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_BASE +CYDEV_USB_ARB_EP4_BASE EQU 0x400060b0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP4_SIZE +CYDEV_USB_ARB_EP4_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP4_CFG +CYREG_USB_ARB_EP4_CFG EQU 0x400060b0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP4_INT_EN +CYREG_USB_ARB_EP4_INT_EN EQU 0x400060b1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP4_SR +CYREG_USB_ARB_EP4_SR EQU 0x400060b2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_BASE +CYDEV_USB_ARB_RW4_BASE EQU 0x400060b4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW4_SIZE +CYDEV_USB_ARB_RW4_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_WA +CYREG_USB_ARB_RW4_WA EQU 0x400060b4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_WA_MSB +CYREG_USB_ARB_RW4_WA_MSB EQU 0x400060b5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_RA +CYREG_USB_ARB_RW4_RA EQU 0x400060b6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_RA_MSB +CYREG_USB_ARB_RW4_RA_MSB EQU 0x400060b7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW4_DR +CYREG_USB_ARB_RW4_DR EQU 0x400060b8 + ENDIF + IF :LNOT::DEF:CYREG_USB_DMA_THRES +CYREG_USB_DMA_THRES EQU 0x400060bc + ENDIF + IF :LNOT::DEF:CYREG_USB_DMA_THRES_MSB +CYREG_USB_DMA_THRES_MSB EQU 0x400060bd + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_BASE +CYDEV_USB_ARB_EP5_BASE EQU 0x400060c0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP5_SIZE +CYDEV_USB_ARB_EP5_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP5_CFG +CYREG_USB_ARB_EP5_CFG EQU 0x400060c0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP5_INT_EN +CYREG_USB_ARB_EP5_INT_EN EQU 0x400060c1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP5_SR +CYREG_USB_ARB_EP5_SR EQU 0x400060c2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_BASE +CYDEV_USB_ARB_RW5_BASE EQU 0x400060c4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW5_SIZE +CYDEV_USB_ARB_RW5_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_WA +CYREG_USB_ARB_RW5_WA EQU 0x400060c4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_WA_MSB +CYREG_USB_ARB_RW5_WA_MSB EQU 0x400060c5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_RA +CYREG_USB_ARB_RW5_RA EQU 0x400060c6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_RA_MSB +CYREG_USB_ARB_RW5_RA_MSB EQU 0x400060c7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW5_DR +CYREG_USB_ARB_RW5_DR EQU 0x400060c8 + ENDIF + IF :LNOT::DEF:CYREG_USB_BUS_RST_CNT +CYREG_USB_BUS_RST_CNT EQU 0x400060cc + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_BASE +CYDEV_USB_ARB_EP6_BASE EQU 0x400060d0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP6_SIZE +CYDEV_USB_ARB_EP6_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP6_CFG +CYREG_USB_ARB_EP6_CFG EQU 0x400060d0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP6_INT_EN +CYREG_USB_ARB_EP6_INT_EN EQU 0x400060d1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP6_SR +CYREG_USB_ARB_EP6_SR EQU 0x400060d2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_BASE +CYDEV_USB_ARB_RW6_BASE EQU 0x400060d4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW6_SIZE +CYDEV_USB_ARB_RW6_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_WA +CYREG_USB_ARB_RW6_WA EQU 0x400060d4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_WA_MSB +CYREG_USB_ARB_RW6_WA_MSB EQU 0x400060d5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_RA +CYREG_USB_ARB_RW6_RA EQU 0x400060d6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_RA_MSB +CYREG_USB_ARB_RW6_RA_MSB EQU 0x400060d7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW6_DR +CYREG_USB_ARB_RW6_DR EQU 0x400060d8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_BASE +CYDEV_USB_ARB_EP7_BASE EQU 0x400060e0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP7_SIZE +CYDEV_USB_ARB_EP7_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP7_CFG +CYREG_USB_ARB_EP7_CFG EQU 0x400060e0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP7_INT_EN +CYREG_USB_ARB_EP7_INT_EN EQU 0x400060e1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP7_SR +CYREG_USB_ARB_EP7_SR EQU 0x400060e2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_BASE +CYDEV_USB_ARB_RW7_BASE EQU 0x400060e4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW7_SIZE +CYDEV_USB_ARB_RW7_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_WA +CYREG_USB_ARB_RW7_WA EQU 0x400060e4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_WA_MSB +CYREG_USB_ARB_RW7_WA_MSB EQU 0x400060e5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_RA +CYREG_USB_ARB_RW7_RA EQU 0x400060e6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_RA_MSB +CYREG_USB_ARB_RW7_RA_MSB EQU 0x400060e7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW7_DR +CYREG_USB_ARB_RW7_DR EQU 0x400060e8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_BASE +CYDEV_USB_ARB_EP8_BASE EQU 0x400060f0 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_EP8_SIZE +CYDEV_USB_ARB_EP8_SIZE EQU 0x00000003 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP8_CFG +CYREG_USB_ARB_EP8_CFG EQU 0x400060f0 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP8_INT_EN +CYREG_USB_ARB_EP8_INT_EN EQU 0x400060f1 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_EP8_SR +CYREG_USB_ARB_EP8_SR EQU 0x400060f2 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_BASE +CYDEV_USB_ARB_RW8_BASE EQU 0x400060f4 + ENDIF + IF :LNOT::DEF:CYDEV_USB_ARB_RW8_SIZE +CYDEV_USB_ARB_RW8_SIZE EQU 0x00000005 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_WA +CYREG_USB_ARB_RW8_WA EQU 0x400060f4 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_WA_MSB +CYREG_USB_ARB_RW8_WA_MSB EQU 0x400060f5 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_RA +CYREG_USB_ARB_RW8_RA EQU 0x400060f6 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_RA_MSB +CYREG_USB_ARB_RW8_RA_MSB EQU 0x400060f7 + ENDIF + IF :LNOT::DEF:CYREG_USB_ARB_RW8_DR +CYREG_USB_ARB_RW8_DR EQU 0x400060f8 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_BASE +CYDEV_USB_MEM_BASE EQU 0x40006100 + ENDIF + IF :LNOT::DEF:CYDEV_USB_MEM_SIZE +CYDEV_USB_MEM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYREG_USB_MEM_DATA_MBASE +CYREG_USB_MEM_DATA_MBASE EQU 0x40006100 + ENDIF + IF :LNOT::DEF:CYREG_USB_MEM_DATA_MSIZE +CYREG_USB_MEM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_BASE +CYDEV_UWRK_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_SIZE +CYDEV_UWRK_SIZE EQU 0x00000b60 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_BASE +CYDEV_UWRK_UWRK8_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_SIZE +CYDEV_UWRK_UWRK8_SIZE EQU 0x000003b0 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_BASE +CYDEV_UWRK_UWRK8_B0_BASE EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B0_SIZE +CYDEV_UWRK_UWRK8_B0_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_A0 +CYREG_B0_UDB00_A0 EQU 0x40006400 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_A0 +CYREG_B0_UDB01_A0 EQU 0x40006401 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_A0 +CYREG_B0_UDB02_A0 EQU 0x40006402 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_A0 +CYREG_B0_UDB03_A0 EQU 0x40006403 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_A0 +CYREG_B0_UDB04_A0 EQU 0x40006404 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_A0 +CYREG_B0_UDB05_A0 EQU 0x40006405 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_A0 +CYREG_B0_UDB06_A0 EQU 0x40006406 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_A0 +CYREG_B0_UDB07_A0 EQU 0x40006407 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_A0 +CYREG_B0_UDB08_A0 EQU 0x40006408 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_A0 +CYREG_B0_UDB09_A0 EQU 0x40006409 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_A0 +CYREG_B0_UDB10_A0 EQU 0x4000640a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_A0 +CYREG_B0_UDB11_A0 EQU 0x4000640b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_A0 +CYREG_B0_UDB12_A0 EQU 0x4000640c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_A0 +CYREG_B0_UDB13_A0 EQU 0x4000640d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_A0 +CYREG_B0_UDB14_A0 EQU 0x4000640e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_A0 +CYREG_B0_UDB15_A0 EQU 0x4000640f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_A1 +CYREG_B0_UDB00_A1 EQU 0x40006410 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_A1 +CYREG_B0_UDB01_A1 EQU 0x40006411 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_A1 +CYREG_B0_UDB02_A1 EQU 0x40006412 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_A1 +CYREG_B0_UDB03_A1 EQU 0x40006413 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_A1 +CYREG_B0_UDB04_A1 EQU 0x40006414 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_A1 +CYREG_B0_UDB05_A1 EQU 0x40006415 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_A1 +CYREG_B0_UDB06_A1 EQU 0x40006416 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_A1 +CYREG_B0_UDB07_A1 EQU 0x40006417 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_A1 +CYREG_B0_UDB08_A1 EQU 0x40006418 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_A1 +CYREG_B0_UDB09_A1 EQU 0x40006419 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_A1 +CYREG_B0_UDB10_A1 EQU 0x4000641a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_A1 +CYREG_B0_UDB11_A1 EQU 0x4000641b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_A1 +CYREG_B0_UDB12_A1 EQU 0x4000641c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_A1 +CYREG_B0_UDB13_A1 EQU 0x4000641d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_A1 +CYREG_B0_UDB14_A1 EQU 0x4000641e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_A1 +CYREG_B0_UDB15_A1 EQU 0x4000641f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_D0 +CYREG_B0_UDB00_D0 EQU 0x40006420 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_D0 +CYREG_B0_UDB01_D0 EQU 0x40006421 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_D0 +CYREG_B0_UDB02_D0 EQU 0x40006422 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_D0 +CYREG_B0_UDB03_D0 EQU 0x40006423 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_D0 +CYREG_B0_UDB04_D0 EQU 0x40006424 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_D0 +CYREG_B0_UDB05_D0 EQU 0x40006425 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_D0 +CYREG_B0_UDB06_D0 EQU 0x40006426 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_D0 +CYREG_B0_UDB07_D0 EQU 0x40006427 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_D0 +CYREG_B0_UDB08_D0 EQU 0x40006428 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_D0 +CYREG_B0_UDB09_D0 EQU 0x40006429 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_D0 +CYREG_B0_UDB10_D0 EQU 0x4000642a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_D0 +CYREG_B0_UDB11_D0 EQU 0x4000642b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_D0 +CYREG_B0_UDB12_D0 EQU 0x4000642c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_D0 +CYREG_B0_UDB13_D0 EQU 0x4000642d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_D0 +CYREG_B0_UDB14_D0 EQU 0x4000642e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_D0 +CYREG_B0_UDB15_D0 EQU 0x4000642f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_D1 +CYREG_B0_UDB00_D1 EQU 0x40006430 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_D1 +CYREG_B0_UDB01_D1 EQU 0x40006431 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_D1 +CYREG_B0_UDB02_D1 EQU 0x40006432 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_D1 +CYREG_B0_UDB03_D1 EQU 0x40006433 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_D1 +CYREG_B0_UDB04_D1 EQU 0x40006434 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_D1 +CYREG_B0_UDB05_D1 EQU 0x40006435 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_D1 +CYREG_B0_UDB06_D1 EQU 0x40006436 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_D1 +CYREG_B0_UDB07_D1 EQU 0x40006437 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_D1 +CYREG_B0_UDB08_D1 EQU 0x40006438 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_D1 +CYREG_B0_UDB09_D1 EQU 0x40006439 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_D1 +CYREG_B0_UDB10_D1 EQU 0x4000643a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_D1 +CYREG_B0_UDB11_D1 EQU 0x4000643b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_D1 +CYREG_B0_UDB12_D1 EQU 0x4000643c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_D1 +CYREG_B0_UDB13_D1 EQU 0x4000643d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_D1 +CYREG_B0_UDB14_D1 EQU 0x4000643e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_D1 +CYREG_B0_UDB15_D1 EQU 0x4000643f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_F0 +CYREG_B0_UDB00_F0 EQU 0x40006440 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_F0 +CYREG_B0_UDB01_F0 EQU 0x40006441 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_F0 +CYREG_B0_UDB02_F0 EQU 0x40006442 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_F0 +CYREG_B0_UDB03_F0 EQU 0x40006443 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_F0 +CYREG_B0_UDB04_F0 EQU 0x40006444 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_F0 +CYREG_B0_UDB05_F0 EQU 0x40006445 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_F0 +CYREG_B0_UDB06_F0 EQU 0x40006446 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_F0 +CYREG_B0_UDB07_F0 EQU 0x40006447 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_F0 +CYREG_B0_UDB08_F0 EQU 0x40006448 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_F0 +CYREG_B0_UDB09_F0 EQU 0x40006449 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_F0 +CYREG_B0_UDB10_F0 EQU 0x4000644a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_F0 +CYREG_B0_UDB11_F0 EQU 0x4000644b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_F0 +CYREG_B0_UDB12_F0 EQU 0x4000644c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_F0 +CYREG_B0_UDB13_F0 EQU 0x4000644d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_F0 +CYREG_B0_UDB14_F0 EQU 0x4000644e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_F0 +CYREG_B0_UDB15_F0 EQU 0x4000644f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_F1 +CYREG_B0_UDB00_F1 EQU 0x40006450 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_F1 +CYREG_B0_UDB01_F1 EQU 0x40006451 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_F1 +CYREG_B0_UDB02_F1 EQU 0x40006452 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_F1 +CYREG_B0_UDB03_F1 EQU 0x40006453 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_F1 +CYREG_B0_UDB04_F1 EQU 0x40006454 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_F1 +CYREG_B0_UDB05_F1 EQU 0x40006455 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_F1 +CYREG_B0_UDB06_F1 EQU 0x40006456 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_F1 +CYREG_B0_UDB07_F1 EQU 0x40006457 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_F1 +CYREG_B0_UDB08_F1 EQU 0x40006458 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_F1 +CYREG_B0_UDB09_F1 EQU 0x40006459 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_F1 +CYREG_B0_UDB10_F1 EQU 0x4000645a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_F1 +CYREG_B0_UDB11_F1 EQU 0x4000645b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_F1 +CYREG_B0_UDB12_F1 EQU 0x4000645c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_F1 +CYREG_B0_UDB13_F1 EQU 0x4000645d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_F1 +CYREG_B0_UDB14_F1 EQU 0x4000645e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_F1 +CYREG_B0_UDB15_F1 EQU 0x4000645f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_ST +CYREG_B0_UDB00_ST EQU 0x40006460 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_ST +CYREG_B0_UDB01_ST EQU 0x40006461 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_ST +CYREG_B0_UDB02_ST EQU 0x40006462 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_ST +CYREG_B0_UDB03_ST EQU 0x40006463 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_ST +CYREG_B0_UDB04_ST EQU 0x40006464 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_ST +CYREG_B0_UDB05_ST EQU 0x40006465 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_ST +CYREG_B0_UDB06_ST EQU 0x40006466 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_ST +CYREG_B0_UDB07_ST EQU 0x40006467 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_ST +CYREG_B0_UDB08_ST EQU 0x40006468 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_ST +CYREG_B0_UDB09_ST EQU 0x40006469 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_ST +CYREG_B0_UDB10_ST EQU 0x4000646a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_ST +CYREG_B0_UDB11_ST EQU 0x4000646b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_ST +CYREG_B0_UDB12_ST EQU 0x4000646c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_ST +CYREG_B0_UDB13_ST EQU 0x4000646d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_ST +CYREG_B0_UDB14_ST EQU 0x4000646e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_ST +CYREG_B0_UDB15_ST EQU 0x4000646f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_CTL +CYREG_B0_UDB00_CTL EQU 0x40006470 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_CTL +CYREG_B0_UDB01_CTL EQU 0x40006471 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_CTL +CYREG_B0_UDB02_CTL EQU 0x40006472 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_CTL +CYREG_B0_UDB03_CTL EQU 0x40006473 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_CTL +CYREG_B0_UDB04_CTL EQU 0x40006474 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_CTL +CYREG_B0_UDB05_CTL EQU 0x40006475 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_CTL +CYREG_B0_UDB06_CTL EQU 0x40006476 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_CTL +CYREG_B0_UDB07_CTL EQU 0x40006477 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_CTL +CYREG_B0_UDB08_CTL EQU 0x40006478 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_CTL +CYREG_B0_UDB09_CTL EQU 0x40006479 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_CTL +CYREG_B0_UDB10_CTL EQU 0x4000647a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_CTL +CYREG_B0_UDB11_CTL EQU 0x4000647b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_CTL +CYREG_B0_UDB12_CTL EQU 0x4000647c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_CTL +CYREG_B0_UDB13_CTL EQU 0x4000647d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_CTL +CYREG_B0_UDB14_CTL EQU 0x4000647e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_CTL +CYREG_B0_UDB15_CTL EQU 0x4000647f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_MSK +CYREG_B0_UDB00_MSK EQU 0x40006480 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_MSK +CYREG_B0_UDB01_MSK EQU 0x40006481 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_MSK +CYREG_B0_UDB02_MSK EQU 0x40006482 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_MSK +CYREG_B0_UDB03_MSK EQU 0x40006483 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_MSK +CYREG_B0_UDB04_MSK EQU 0x40006484 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_MSK +CYREG_B0_UDB05_MSK EQU 0x40006485 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_MSK +CYREG_B0_UDB06_MSK EQU 0x40006486 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_MSK +CYREG_B0_UDB07_MSK EQU 0x40006487 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_MSK +CYREG_B0_UDB08_MSK EQU 0x40006488 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_MSK +CYREG_B0_UDB09_MSK EQU 0x40006489 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_MSK +CYREG_B0_UDB10_MSK EQU 0x4000648a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_MSK +CYREG_B0_UDB11_MSK EQU 0x4000648b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_MSK +CYREG_B0_UDB12_MSK EQU 0x4000648c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_MSK +CYREG_B0_UDB13_MSK EQU 0x4000648d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_MSK +CYREG_B0_UDB14_MSK EQU 0x4000648e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_MSK +CYREG_B0_UDB15_MSK EQU 0x4000648f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_ACTL +CYREG_B0_UDB00_ACTL EQU 0x40006490 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_ACTL +CYREG_B0_UDB01_ACTL EQU 0x40006491 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_ACTL +CYREG_B0_UDB02_ACTL EQU 0x40006492 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_ACTL +CYREG_B0_UDB03_ACTL EQU 0x40006493 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_ACTL +CYREG_B0_UDB04_ACTL EQU 0x40006494 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_ACTL +CYREG_B0_UDB05_ACTL EQU 0x40006495 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_ACTL +CYREG_B0_UDB06_ACTL EQU 0x40006496 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_ACTL +CYREG_B0_UDB07_ACTL EQU 0x40006497 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_ACTL +CYREG_B0_UDB08_ACTL EQU 0x40006498 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_ACTL +CYREG_B0_UDB09_ACTL EQU 0x40006499 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_ACTL +CYREG_B0_UDB10_ACTL EQU 0x4000649a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_ACTL +CYREG_B0_UDB11_ACTL EQU 0x4000649b + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_ACTL +CYREG_B0_UDB12_ACTL EQU 0x4000649c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_ACTL +CYREG_B0_UDB13_ACTL EQU 0x4000649d + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_ACTL +CYREG_B0_UDB14_ACTL EQU 0x4000649e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_ACTL +CYREG_B0_UDB15_ACTL EQU 0x4000649f + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_MC +CYREG_B0_UDB00_MC EQU 0x400064a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_MC +CYREG_B0_UDB01_MC EQU 0x400064a1 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_MC +CYREG_B0_UDB02_MC EQU 0x400064a2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_MC +CYREG_B0_UDB03_MC EQU 0x400064a3 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_MC +CYREG_B0_UDB04_MC EQU 0x400064a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_MC +CYREG_B0_UDB05_MC EQU 0x400064a5 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_MC +CYREG_B0_UDB06_MC EQU 0x400064a6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_MC +CYREG_B0_UDB07_MC EQU 0x400064a7 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_MC +CYREG_B0_UDB08_MC EQU 0x400064a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_MC +CYREG_B0_UDB09_MC EQU 0x400064a9 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_MC +CYREG_B0_UDB10_MC EQU 0x400064aa + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_MC +CYREG_B0_UDB11_MC EQU 0x400064ab + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_MC +CYREG_B0_UDB12_MC EQU 0x400064ac + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_MC +CYREG_B0_UDB13_MC EQU 0x400064ad + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_MC +CYREG_B0_UDB14_MC EQU 0x400064ae + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_MC +CYREG_B0_UDB15_MC EQU 0x400064af + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_BASE +CYDEV_UWRK_UWRK8_B1_BASE EQU 0x40006500 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK8_B1_SIZE +CYDEV_UWRK_UWRK8_B1_SIZE EQU 0x000000b0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_A0 +CYREG_B1_UDB04_A0 EQU 0x40006504 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_A0 +CYREG_B1_UDB05_A0 EQU 0x40006505 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_A0 +CYREG_B1_UDB06_A0 EQU 0x40006506 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_A0 +CYREG_B1_UDB07_A0 EQU 0x40006507 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_A0 +CYREG_B1_UDB08_A0 EQU 0x40006508 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_A0 +CYREG_B1_UDB09_A0 EQU 0x40006509 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_A0 +CYREG_B1_UDB10_A0 EQU 0x4000650a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_A0 +CYREG_B1_UDB11_A0 EQU 0x4000650b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_A1 +CYREG_B1_UDB04_A1 EQU 0x40006514 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_A1 +CYREG_B1_UDB05_A1 EQU 0x40006515 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_A1 +CYREG_B1_UDB06_A1 EQU 0x40006516 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_A1 +CYREG_B1_UDB07_A1 EQU 0x40006517 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_A1 +CYREG_B1_UDB08_A1 EQU 0x40006518 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_A1 +CYREG_B1_UDB09_A1 EQU 0x40006519 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_A1 +CYREG_B1_UDB10_A1 EQU 0x4000651a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_A1 +CYREG_B1_UDB11_A1 EQU 0x4000651b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_D0 +CYREG_B1_UDB04_D0 EQU 0x40006524 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_D0 +CYREG_B1_UDB05_D0 EQU 0x40006525 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_D0 +CYREG_B1_UDB06_D0 EQU 0x40006526 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_D0 +CYREG_B1_UDB07_D0 EQU 0x40006527 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_D0 +CYREG_B1_UDB08_D0 EQU 0x40006528 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_D0 +CYREG_B1_UDB09_D0 EQU 0x40006529 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_D0 +CYREG_B1_UDB10_D0 EQU 0x4000652a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_D0 +CYREG_B1_UDB11_D0 EQU 0x4000652b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_D1 +CYREG_B1_UDB04_D1 EQU 0x40006534 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_D1 +CYREG_B1_UDB05_D1 EQU 0x40006535 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_D1 +CYREG_B1_UDB06_D1 EQU 0x40006536 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_D1 +CYREG_B1_UDB07_D1 EQU 0x40006537 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_D1 +CYREG_B1_UDB08_D1 EQU 0x40006538 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_D1 +CYREG_B1_UDB09_D1 EQU 0x40006539 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_D1 +CYREG_B1_UDB10_D1 EQU 0x4000653a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_D1 +CYREG_B1_UDB11_D1 EQU 0x4000653b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_F0 +CYREG_B1_UDB04_F0 EQU 0x40006544 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_F0 +CYREG_B1_UDB05_F0 EQU 0x40006545 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_F0 +CYREG_B1_UDB06_F0 EQU 0x40006546 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_F0 +CYREG_B1_UDB07_F0 EQU 0x40006547 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_F0 +CYREG_B1_UDB08_F0 EQU 0x40006548 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_F0 +CYREG_B1_UDB09_F0 EQU 0x40006549 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_F0 +CYREG_B1_UDB10_F0 EQU 0x4000654a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_F0 +CYREG_B1_UDB11_F0 EQU 0x4000654b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_F1 +CYREG_B1_UDB04_F1 EQU 0x40006554 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_F1 +CYREG_B1_UDB05_F1 EQU 0x40006555 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_F1 +CYREG_B1_UDB06_F1 EQU 0x40006556 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_F1 +CYREG_B1_UDB07_F1 EQU 0x40006557 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_F1 +CYREG_B1_UDB08_F1 EQU 0x40006558 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_F1 +CYREG_B1_UDB09_F1 EQU 0x40006559 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_F1 +CYREG_B1_UDB10_F1 EQU 0x4000655a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_F1 +CYREG_B1_UDB11_F1 EQU 0x4000655b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_ST +CYREG_B1_UDB04_ST EQU 0x40006564 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_ST +CYREG_B1_UDB05_ST EQU 0x40006565 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_ST +CYREG_B1_UDB06_ST EQU 0x40006566 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_ST +CYREG_B1_UDB07_ST EQU 0x40006567 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_ST +CYREG_B1_UDB08_ST EQU 0x40006568 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_ST +CYREG_B1_UDB09_ST EQU 0x40006569 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_ST +CYREG_B1_UDB10_ST EQU 0x4000656a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_ST +CYREG_B1_UDB11_ST EQU 0x4000656b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_CTL +CYREG_B1_UDB04_CTL EQU 0x40006574 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_CTL +CYREG_B1_UDB05_CTL EQU 0x40006575 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_CTL +CYREG_B1_UDB06_CTL EQU 0x40006576 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_CTL +CYREG_B1_UDB07_CTL EQU 0x40006577 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_CTL +CYREG_B1_UDB08_CTL EQU 0x40006578 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_CTL +CYREG_B1_UDB09_CTL EQU 0x40006579 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_CTL +CYREG_B1_UDB10_CTL EQU 0x4000657a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_CTL +CYREG_B1_UDB11_CTL EQU 0x4000657b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_MSK +CYREG_B1_UDB04_MSK EQU 0x40006584 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_MSK +CYREG_B1_UDB05_MSK EQU 0x40006585 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_MSK +CYREG_B1_UDB06_MSK EQU 0x40006586 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_MSK +CYREG_B1_UDB07_MSK EQU 0x40006587 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_MSK +CYREG_B1_UDB08_MSK EQU 0x40006588 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_MSK +CYREG_B1_UDB09_MSK EQU 0x40006589 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_MSK +CYREG_B1_UDB10_MSK EQU 0x4000658a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_MSK +CYREG_B1_UDB11_MSK EQU 0x4000658b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_ACTL +CYREG_B1_UDB04_ACTL EQU 0x40006594 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_ACTL +CYREG_B1_UDB05_ACTL EQU 0x40006595 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_ACTL +CYREG_B1_UDB06_ACTL EQU 0x40006596 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_ACTL +CYREG_B1_UDB07_ACTL EQU 0x40006597 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_ACTL +CYREG_B1_UDB08_ACTL EQU 0x40006598 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_ACTL +CYREG_B1_UDB09_ACTL EQU 0x40006599 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_ACTL +CYREG_B1_UDB10_ACTL EQU 0x4000659a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_ACTL +CYREG_B1_UDB11_ACTL EQU 0x4000659b + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_MC +CYREG_B1_UDB04_MC EQU 0x400065a4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_MC +CYREG_B1_UDB05_MC EQU 0x400065a5 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_MC +CYREG_B1_UDB06_MC EQU 0x400065a6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_MC +CYREG_B1_UDB07_MC EQU 0x400065a7 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_MC +CYREG_B1_UDB08_MC EQU 0x400065a8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_MC +CYREG_B1_UDB09_MC EQU 0x400065a9 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_MC +CYREG_B1_UDB10_MC EQU 0x400065aa + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_MC +CYREG_B1_UDB11_MC EQU 0x400065ab + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_BASE +CYDEV_UWRK_UWRK16_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_SIZE +CYDEV_UWRK_UWRK16_SIZE EQU 0x00000760 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_BASE +CYDEV_UWRK_UWRK16_CAT_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_SIZE +CYDEV_UWRK_UWRK16_CAT_SIZE EQU 0x00000760 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_BASE +CYDEV_UWRK_UWRK16_CAT_B0_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B0_SIZE +CYDEV_UWRK_UWRK16_CAT_B0_SIZE EQU 0x00000160 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_A0_A1 +CYREG_B0_UDB00_A0_A1 EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_A0_A1 +CYREG_B0_UDB01_A0_A1 EQU 0x40006802 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_A0_A1 +CYREG_B0_UDB02_A0_A1 EQU 0x40006804 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_A0_A1 +CYREG_B0_UDB03_A0_A1 EQU 0x40006806 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_A0_A1 +CYREG_B0_UDB04_A0_A1 EQU 0x40006808 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_A0_A1 +CYREG_B0_UDB05_A0_A1 EQU 0x4000680a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_A0_A1 +CYREG_B0_UDB06_A0_A1 EQU 0x4000680c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_A0_A1 +CYREG_B0_UDB07_A0_A1 EQU 0x4000680e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_A0_A1 +CYREG_B0_UDB08_A0_A1 EQU 0x40006810 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_A0_A1 +CYREG_B0_UDB09_A0_A1 EQU 0x40006812 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_A0_A1 +CYREG_B0_UDB10_A0_A1 EQU 0x40006814 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_A0_A1 +CYREG_B0_UDB11_A0_A1 EQU 0x40006816 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_A0_A1 +CYREG_B0_UDB12_A0_A1 EQU 0x40006818 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_A0_A1 +CYREG_B0_UDB13_A0_A1 EQU 0x4000681a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_A0_A1 +CYREG_B0_UDB14_A0_A1 EQU 0x4000681c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_A0_A1 +CYREG_B0_UDB15_A0_A1 EQU 0x4000681e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_D0_D1 +CYREG_B0_UDB00_D0_D1 EQU 0x40006840 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_D0_D1 +CYREG_B0_UDB01_D0_D1 EQU 0x40006842 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_D0_D1 +CYREG_B0_UDB02_D0_D1 EQU 0x40006844 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_D0_D1 +CYREG_B0_UDB03_D0_D1 EQU 0x40006846 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_D0_D1 +CYREG_B0_UDB04_D0_D1 EQU 0x40006848 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_D0_D1 +CYREG_B0_UDB05_D0_D1 EQU 0x4000684a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_D0_D1 +CYREG_B0_UDB06_D0_D1 EQU 0x4000684c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_D0_D1 +CYREG_B0_UDB07_D0_D1 EQU 0x4000684e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_D0_D1 +CYREG_B0_UDB08_D0_D1 EQU 0x40006850 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_D0_D1 +CYREG_B0_UDB09_D0_D1 EQU 0x40006852 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_D0_D1 +CYREG_B0_UDB10_D0_D1 EQU 0x40006854 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_D0_D1 +CYREG_B0_UDB11_D0_D1 EQU 0x40006856 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_D0_D1 +CYREG_B0_UDB12_D0_D1 EQU 0x40006858 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_D0_D1 +CYREG_B0_UDB13_D0_D1 EQU 0x4000685a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_D0_D1 +CYREG_B0_UDB14_D0_D1 EQU 0x4000685c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_D0_D1 +CYREG_B0_UDB15_D0_D1 EQU 0x4000685e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_F0_F1 +CYREG_B0_UDB00_F0_F1 EQU 0x40006880 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_F0_F1 +CYREG_B0_UDB01_F0_F1 EQU 0x40006882 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_F0_F1 +CYREG_B0_UDB02_F0_F1 EQU 0x40006884 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_F0_F1 +CYREG_B0_UDB03_F0_F1 EQU 0x40006886 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_F0_F1 +CYREG_B0_UDB04_F0_F1 EQU 0x40006888 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_F0_F1 +CYREG_B0_UDB05_F0_F1 EQU 0x4000688a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_F0_F1 +CYREG_B0_UDB06_F0_F1 EQU 0x4000688c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_F0_F1 +CYREG_B0_UDB07_F0_F1 EQU 0x4000688e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_F0_F1 +CYREG_B0_UDB08_F0_F1 EQU 0x40006890 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_F0_F1 +CYREG_B0_UDB09_F0_F1 EQU 0x40006892 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_F0_F1 +CYREG_B0_UDB10_F0_F1 EQU 0x40006894 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_F0_F1 +CYREG_B0_UDB11_F0_F1 EQU 0x40006896 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_F0_F1 +CYREG_B0_UDB12_F0_F1 EQU 0x40006898 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_F0_F1 +CYREG_B0_UDB13_F0_F1 EQU 0x4000689a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_F0_F1 +CYREG_B0_UDB14_F0_F1 EQU 0x4000689c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_F0_F1 +CYREG_B0_UDB15_F0_F1 EQU 0x4000689e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_ST_CTL +CYREG_B0_UDB00_ST_CTL EQU 0x400068c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_ST_CTL +CYREG_B0_UDB01_ST_CTL EQU 0x400068c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_ST_CTL +CYREG_B0_UDB02_ST_CTL EQU 0x400068c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_ST_CTL +CYREG_B0_UDB03_ST_CTL EQU 0x400068c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_ST_CTL +CYREG_B0_UDB04_ST_CTL EQU 0x400068c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_ST_CTL +CYREG_B0_UDB05_ST_CTL EQU 0x400068ca + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_ST_CTL +CYREG_B0_UDB06_ST_CTL EQU 0x400068cc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_ST_CTL +CYREG_B0_UDB07_ST_CTL EQU 0x400068ce + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_ST_CTL +CYREG_B0_UDB08_ST_CTL EQU 0x400068d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_ST_CTL +CYREG_B0_UDB09_ST_CTL EQU 0x400068d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_ST_CTL +CYREG_B0_UDB10_ST_CTL EQU 0x400068d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_ST_CTL +CYREG_B0_UDB11_ST_CTL EQU 0x400068d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_ST_CTL +CYREG_B0_UDB12_ST_CTL EQU 0x400068d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_ST_CTL +CYREG_B0_UDB13_ST_CTL EQU 0x400068da + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_ST_CTL +CYREG_B0_UDB14_ST_CTL EQU 0x400068dc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_ST_CTL +CYREG_B0_UDB15_ST_CTL EQU 0x400068de + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_MSK_ACTL +CYREG_B0_UDB00_MSK_ACTL EQU 0x40006900 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_MSK_ACTL +CYREG_B0_UDB01_MSK_ACTL EQU 0x40006902 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_MSK_ACTL +CYREG_B0_UDB02_MSK_ACTL EQU 0x40006904 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_MSK_ACTL +CYREG_B0_UDB03_MSK_ACTL EQU 0x40006906 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_MSK_ACTL +CYREG_B0_UDB04_MSK_ACTL EQU 0x40006908 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_MSK_ACTL +CYREG_B0_UDB05_MSK_ACTL EQU 0x4000690a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_MSK_ACTL +CYREG_B0_UDB06_MSK_ACTL EQU 0x4000690c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_MSK_ACTL +CYREG_B0_UDB07_MSK_ACTL EQU 0x4000690e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_MSK_ACTL +CYREG_B0_UDB08_MSK_ACTL EQU 0x40006910 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_MSK_ACTL +CYREG_B0_UDB09_MSK_ACTL EQU 0x40006912 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_MSK_ACTL +CYREG_B0_UDB10_MSK_ACTL EQU 0x40006914 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_MSK_ACTL +CYREG_B0_UDB11_MSK_ACTL EQU 0x40006916 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_MSK_ACTL +CYREG_B0_UDB12_MSK_ACTL EQU 0x40006918 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_MSK_ACTL +CYREG_B0_UDB13_MSK_ACTL EQU 0x4000691a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_MSK_ACTL +CYREG_B0_UDB14_MSK_ACTL EQU 0x4000691c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_MSK_ACTL +CYREG_B0_UDB15_MSK_ACTL EQU 0x4000691e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_MC_00 +CYREG_B0_UDB00_MC_00 EQU 0x40006940 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_MC_00 +CYREG_B0_UDB01_MC_00 EQU 0x40006942 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_MC_00 +CYREG_B0_UDB02_MC_00 EQU 0x40006944 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_MC_00 +CYREG_B0_UDB03_MC_00 EQU 0x40006946 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_MC_00 +CYREG_B0_UDB04_MC_00 EQU 0x40006948 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_MC_00 +CYREG_B0_UDB05_MC_00 EQU 0x4000694a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_MC_00 +CYREG_B0_UDB06_MC_00 EQU 0x4000694c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_MC_00 +CYREG_B0_UDB07_MC_00 EQU 0x4000694e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_MC_00 +CYREG_B0_UDB08_MC_00 EQU 0x40006950 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_MC_00 +CYREG_B0_UDB09_MC_00 EQU 0x40006952 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_MC_00 +CYREG_B0_UDB10_MC_00 EQU 0x40006954 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_MC_00 +CYREG_B0_UDB11_MC_00 EQU 0x40006956 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_MC_00 +CYREG_B0_UDB12_MC_00 EQU 0x40006958 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_MC_00 +CYREG_B0_UDB13_MC_00 EQU 0x4000695a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_MC_00 +CYREG_B0_UDB14_MC_00 EQU 0x4000695c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB15_MC_00 +CYREG_B0_UDB15_MC_00 EQU 0x4000695e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_BASE +CYDEV_UWRK_UWRK16_CAT_B1_BASE EQU 0x40006a00 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_CAT_B1_SIZE +CYDEV_UWRK_UWRK16_CAT_B1_SIZE EQU 0x00000160 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_A0_A1 +CYREG_B1_UDB04_A0_A1 EQU 0x40006a08 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_A0_A1 +CYREG_B1_UDB05_A0_A1 EQU 0x40006a0a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_A0_A1 +CYREG_B1_UDB06_A0_A1 EQU 0x40006a0c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_A0_A1 +CYREG_B1_UDB07_A0_A1 EQU 0x40006a0e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_A0_A1 +CYREG_B1_UDB08_A0_A1 EQU 0x40006a10 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_A0_A1 +CYREG_B1_UDB09_A0_A1 EQU 0x40006a12 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_A0_A1 +CYREG_B1_UDB10_A0_A1 EQU 0x40006a14 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_A0_A1 +CYREG_B1_UDB11_A0_A1 EQU 0x40006a16 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_D0_D1 +CYREG_B1_UDB04_D0_D1 EQU 0x40006a48 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_D0_D1 +CYREG_B1_UDB05_D0_D1 EQU 0x40006a4a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_D0_D1 +CYREG_B1_UDB06_D0_D1 EQU 0x40006a4c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_D0_D1 +CYREG_B1_UDB07_D0_D1 EQU 0x40006a4e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_D0_D1 +CYREG_B1_UDB08_D0_D1 EQU 0x40006a50 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_D0_D1 +CYREG_B1_UDB09_D0_D1 EQU 0x40006a52 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_D0_D1 +CYREG_B1_UDB10_D0_D1 EQU 0x40006a54 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_D0_D1 +CYREG_B1_UDB11_D0_D1 EQU 0x40006a56 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_F0_F1 +CYREG_B1_UDB04_F0_F1 EQU 0x40006a88 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_F0_F1 +CYREG_B1_UDB05_F0_F1 EQU 0x40006a8a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_F0_F1 +CYREG_B1_UDB06_F0_F1 EQU 0x40006a8c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_F0_F1 +CYREG_B1_UDB07_F0_F1 EQU 0x40006a8e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_F0_F1 +CYREG_B1_UDB08_F0_F1 EQU 0x40006a90 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_F0_F1 +CYREG_B1_UDB09_F0_F1 EQU 0x40006a92 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_F0_F1 +CYREG_B1_UDB10_F0_F1 EQU 0x40006a94 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_F0_F1 +CYREG_B1_UDB11_F0_F1 EQU 0x40006a96 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_ST_CTL +CYREG_B1_UDB04_ST_CTL EQU 0x40006ac8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_ST_CTL +CYREG_B1_UDB05_ST_CTL EQU 0x40006aca + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_ST_CTL +CYREG_B1_UDB06_ST_CTL EQU 0x40006acc + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_ST_CTL +CYREG_B1_UDB07_ST_CTL EQU 0x40006ace + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_ST_CTL +CYREG_B1_UDB08_ST_CTL EQU 0x40006ad0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_ST_CTL +CYREG_B1_UDB09_ST_CTL EQU 0x40006ad2 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_ST_CTL +CYREG_B1_UDB10_ST_CTL EQU 0x40006ad4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_ST_CTL +CYREG_B1_UDB11_ST_CTL EQU 0x40006ad6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_MSK_ACTL +CYREG_B1_UDB04_MSK_ACTL EQU 0x40006b08 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_MSK_ACTL +CYREG_B1_UDB05_MSK_ACTL EQU 0x40006b0a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_MSK_ACTL +CYREG_B1_UDB06_MSK_ACTL EQU 0x40006b0c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_MSK_ACTL +CYREG_B1_UDB07_MSK_ACTL EQU 0x40006b0e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_MSK_ACTL +CYREG_B1_UDB08_MSK_ACTL EQU 0x40006b10 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_MSK_ACTL +CYREG_B1_UDB09_MSK_ACTL EQU 0x40006b12 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_MSK_ACTL +CYREG_B1_UDB10_MSK_ACTL EQU 0x40006b14 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_MSK_ACTL +CYREG_B1_UDB11_MSK_ACTL EQU 0x40006b16 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_MC_00 +CYREG_B1_UDB04_MC_00 EQU 0x40006b48 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_MC_00 +CYREG_B1_UDB05_MC_00 EQU 0x40006b4a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_MC_00 +CYREG_B1_UDB06_MC_00 EQU 0x40006b4c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_MC_00 +CYREG_B1_UDB07_MC_00 EQU 0x40006b4e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_MC_00 +CYREG_B1_UDB08_MC_00 EQU 0x40006b50 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_MC_00 +CYREG_B1_UDB09_MC_00 EQU 0x40006b52 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_MC_00 +CYREG_B1_UDB10_MC_00 EQU 0x40006b54 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_MC_00 +CYREG_B1_UDB11_MC_00 EQU 0x40006b56 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_BASE +CYDEV_UWRK_UWRK16_DEF_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_SIZE +CYDEV_UWRK_UWRK16_DEF_SIZE EQU 0x0000075e + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_BASE +CYDEV_UWRK_UWRK16_DEF_B0_BASE EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B0_SIZE +CYDEV_UWRK_UWRK16_DEF_B0_SIZE EQU 0x0000015e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_A0 +CYREG_B0_UDB00_01_A0 EQU 0x40006800 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_A0 +CYREG_B0_UDB01_02_A0 EQU 0x40006802 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_A0 +CYREG_B0_UDB02_03_A0 EQU 0x40006804 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_A0 +CYREG_B0_UDB03_04_A0 EQU 0x40006806 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_A0 +CYREG_B0_UDB04_05_A0 EQU 0x40006808 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_A0 +CYREG_B0_UDB05_06_A0 EQU 0x4000680a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_A0 +CYREG_B0_UDB06_07_A0 EQU 0x4000680c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_A0 +CYREG_B0_UDB07_08_A0 EQU 0x4000680e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_A0 +CYREG_B0_UDB08_09_A0 EQU 0x40006810 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_A0 +CYREG_B0_UDB09_10_A0 EQU 0x40006812 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_A0 +CYREG_B0_UDB10_11_A0 EQU 0x40006814 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_A0 +CYREG_B0_UDB11_12_A0 EQU 0x40006816 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_A0 +CYREG_B0_UDB12_13_A0 EQU 0x40006818 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_A0 +CYREG_B0_UDB13_14_A0 EQU 0x4000681a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_A0 +CYREG_B0_UDB14_15_A0 EQU 0x4000681c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_A1 +CYREG_B0_UDB00_01_A1 EQU 0x40006820 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_A1 +CYREG_B0_UDB01_02_A1 EQU 0x40006822 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_A1 +CYREG_B0_UDB02_03_A1 EQU 0x40006824 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_A1 +CYREG_B0_UDB03_04_A1 EQU 0x40006826 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_A1 +CYREG_B0_UDB04_05_A1 EQU 0x40006828 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_A1 +CYREG_B0_UDB05_06_A1 EQU 0x4000682a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_A1 +CYREG_B0_UDB06_07_A1 EQU 0x4000682c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_A1 +CYREG_B0_UDB07_08_A1 EQU 0x4000682e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_A1 +CYREG_B0_UDB08_09_A1 EQU 0x40006830 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_A1 +CYREG_B0_UDB09_10_A1 EQU 0x40006832 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_A1 +CYREG_B0_UDB10_11_A1 EQU 0x40006834 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_A1 +CYREG_B0_UDB11_12_A1 EQU 0x40006836 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_A1 +CYREG_B0_UDB12_13_A1 EQU 0x40006838 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_A1 +CYREG_B0_UDB13_14_A1 EQU 0x4000683a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_A1 +CYREG_B0_UDB14_15_A1 EQU 0x4000683c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_D0 +CYREG_B0_UDB00_01_D0 EQU 0x40006840 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_D0 +CYREG_B0_UDB01_02_D0 EQU 0x40006842 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_D0 +CYREG_B0_UDB02_03_D0 EQU 0x40006844 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_D0 +CYREG_B0_UDB03_04_D0 EQU 0x40006846 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_D0 +CYREG_B0_UDB04_05_D0 EQU 0x40006848 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_D0 +CYREG_B0_UDB05_06_D0 EQU 0x4000684a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_D0 +CYREG_B0_UDB06_07_D0 EQU 0x4000684c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_D0 +CYREG_B0_UDB07_08_D0 EQU 0x4000684e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_D0 +CYREG_B0_UDB08_09_D0 EQU 0x40006850 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_D0 +CYREG_B0_UDB09_10_D0 EQU 0x40006852 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_D0 +CYREG_B0_UDB10_11_D0 EQU 0x40006854 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_D0 +CYREG_B0_UDB11_12_D0 EQU 0x40006856 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_D0 +CYREG_B0_UDB12_13_D0 EQU 0x40006858 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_D0 +CYREG_B0_UDB13_14_D0 EQU 0x4000685a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_D0 +CYREG_B0_UDB14_15_D0 EQU 0x4000685c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_D1 +CYREG_B0_UDB00_01_D1 EQU 0x40006860 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_D1 +CYREG_B0_UDB01_02_D1 EQU 0x40006862 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_D1 +CYREG_B0_UDB02_03_D1 EQU 0x40006864 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_D1 +CYREG_B0_UDB03_04_D1 EQU 0x40006866 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_D1 +CYREG_B0_UDB04_05_D1 EQU 0x40006868 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_D1 +CYREG_B0_UDB05_06_D1 EQU 0x4000686a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_D1 +CYREG_B0_UDB06_07_D1 EQU 0x4000686c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_D1 +CYREG_B0_UDB07_08_D1 EQU 0x4000686e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_D1 +CYREG_B0_UDB08_09_D1 EQU 0x40006870 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_D1 +CYREG_B0_UDB09_10_D1 EQU 0x40006872 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_D1 +CYREG_B0_UDB10_11_D1 EQU 0x40006874 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_D1 +CYREG_B0_UDB11_12_D1 EQU 0x40006876 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_D1 +CYREG_B0_UDB12_13_D1 EQU 0x40006878 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_D1 +CYREG_B0_UDB13_14_D1 EQU 0x4000687a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_D1 +CYREG_B0_UDB14_15_D1 EQU 0x4000687c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_F0 +CYREG_B0_UDB00_01_F0 EQU 0x40006880 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_F0 +CYREG_B0_UDB01_02_F0 EQU 0x40006882 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_F0 +CYREG_B0_UDB02_03_F0 EQU 0x40006884 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_F0 +CYREG_B0_UDB03_04_F0 EQU 0x40006886 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_F0 +CYREG_B0_UDB04_05_F0 EQU 0x40006888 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_F0 +CYREG_B0_UDB05_06_F0 EQU 0x4000688a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_F0 +CYREG_B0_UDB06_07_F0 EQU 0x4000688c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_F0 +CYREG_B0_UDB07_08_F0 EQU 0x4000688e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_F0 +CYREG_B0_UDB08_09_F0 EQU 0x40006890 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_F0 +CYREG_B0_UDB09_10_F0 EQU 0x40006892 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_F0 +CYREG_B0_UDB10_11_F0 EQU 0x40006894 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_F0 +CYREG_B0_UDB11_12_F0 EQU 0x40006896 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_F0 +CYREG_B0_UDB12_13_F0 EQU 0x40006898 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_F0 +CYREG_B0_UDB13_14_F0 EQU 0x4000689a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_F0 +CYREG_B0_UDB14_15_F0 EQU 0x4000689c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_F1 +CYREG_B0_UDB00_01_F1 EQU 0x400068a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_F1 +CYREG_B0_UDB01_02_F1 EQU 0x400068a2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_F1 +CYREG_B0_UDB02_03_F1 EQU 0x400068a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_F1 +CYREG_B0_UDB03_04_F1 EQU 0x400068a6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_F1 +CYREG_B0_UDB04_05_F1 EQU 0x400068a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_F1 +CYREG_B0_UDB05_06_F1 EQU 0x400068aa + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_F1 +CYREG_B0_UDB06_07_F1 EQU 0x400068ac + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_F1 +CYREG_B0_UDB07_08_F1 EQU 0x400068ae + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_F1 +CYREG_B0_UDB08_09_F1 EQU 0x400068b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_F1 +CYREG_B0_UDB09_10_F1 EQU 0x400068b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_F1 +CYREG_B0_UDB10_11_F1 EQU 0x400068b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_F1 +CYREG_B0_UDB11_12_F1 EQU 0x400068b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_F1 +CYREG_B0_UDB12_13_F1 EQU 0x400068b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_F1 +CYREG_B0_UDB13_14_F1 EQU 0x400068ba + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_F1 +CYREG_B0_UDB14_15_F1 EQU 0x400068bc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_ST +CYREG_B0_UDB00_01_ST EQU 0x400068c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_ST +CYREG_B0_UDB01_02_ST EQU 0x400068c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_ST +CYREG_B0_UDB02_03_ST EQU 0x400068c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_ST +CYREG_B0_UDB03_04_ST EQU 0x400068c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_ST +CYREG_B0_UDB04_05_ST EQU 0x400068c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_ST +CYREG_B0_UDB05_06_ST EQU 0x400068ca + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_ST +CYREG_B0_UDB06_07_ST EQU 0x400068cc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_ST +CYREG_B0_UDB07_08_ST EQU 0x400068ce + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_ST +CYREG_B0_UDB08_09_ST EQU 0x400068d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_ST +CYREG_B0_UDB09_10_ST EQU 0x400068d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_ST +CYREG_B0_UDB10_11_ST EQU 0x400068d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_ST +CYREG_B0_UDB11_12_ST EQU 0x400068d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_ST +CYREG_B0_UDB12_13_ST EQU 0x400068d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_ST +CYREG_B0_UDB13_14_ST EQU 0x400068da + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_ST +CYREG_B0_UDB14_15_ST EQU 0x400068dc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_CTL +CYREG_B0_UDB00_01_CTL EQU 0x400068e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_CTL +CYREG_B0_UDB01_02_CTL EQU 0x400068e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_CTL +CYREG_B0_UDB02_03_CTL EQU 0x400068e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_CTL +CYREG_B0_UDB03_04_CTL EQU 0x400068e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_CTL +CYREG_B0_UDB04_05_CTL EQU 0x400068e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_CTL +CYREG_B0_UDB05_06_CTL EQU 0x400068ea + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_CTL +CYREG_B0_UDB06_07_CTL EQU 0x400068ec + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_CTL +CYREG_B0_UDB07_08_CTL EQU 0x400068ee + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_CTL +CYREG_B0_UDB08_09_CTL EQU 0x400068f0 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_CTL +CYREG_B0_UDB09_10_CTL EQU 0x400068f2 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_CTL +CYREG_B0_UDB10_11_CTL EQU 0x400068f4 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_CTL +CYREG_B0_UDB11_12_CTL EQU 0x400068f6 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_CTL +CYREG_B0_UDB12_13_CTL EQU 0x400068f8 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_CTL +CYREG_B0_UDB13_14_CTL EQU 0x400068fa + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_CTL +CYREG_B0_UDB14_15_CTL EQU 0x400068fc + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_MSK +CYREG_B0_UDB00_01_MSK EQU 0x40006900 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_MSK +CYREG_B0_UDB01_02_MSK EQU 0x40006902 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_MSK +CYREG_B0_UDB02_03_MSK EQU 0x40006904 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_MSK +CYREG_B0_UDB03_04_MSK EQU 0x40006906 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_MSK +CYREG_B0_UDB04_05_MSK EQU 0x40006908 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_MSK +CYREG_B0_UDB05_06_MSK EQU 0x4000690a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_MSK +CYREG_B0_UDB06_07_MSK EQU 0x4000690c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_MSK +CYREG_B0_UDB07_08_MSK EQU 0x4000690e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_MSK +CYREG_B0_UDB08_09_MSK EQU 0x40006910 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_MSK +CYREG_B0_UDB09_10_MSK EQU 0x40006912 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_MSK +CYREG_B0_UDB10_11_MSK EQU 0x40006914 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_MSK +CYREG_B0_UDB11_12_MSK EQU 0x40006916 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_MSK +CYREG_B0_UDB12_13_MSK EQU 0x40006918 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_MSK +CYREG_B0_UDB13_14_MSK EQU 0x4000691a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_MSK +CYREG_B0_UDB14_15_MSK EQU 0x4000691c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_ACTL +CYREG_B0_UDB00_01_ACTL EQU 0x40006920 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_ACTL +CYREG_B0_UDB01_02_ACTL EQU 0x40006922 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_ACTL +CYREG_B0_UDB02_03_ACTL EQU 0x40006924 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_ACTL +CYREG_B0_UDB03_04_ACTL EQU 0x40006926 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_ACTL +CYREG_B0_UDB04_05_ACTL EQU 0x40006928 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_ACTL +CYREG_B0_UDB05_06_ACTL EQU 0x4000692a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_ACTL +CYREG_B0_UDB06_07_ACTL EQU 0x4000692c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_ACTL +CYREG_B0_UDB07_08_ACTL EQU 0x4000692e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_ACTL +CYREG_B0_UDB08_09_ACTL EQU 0x40006930 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_ACTL +CYREG_B0_UDB09_10_ACTL EQU 0x40006932 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_ACTL +CYREG_B0_UDB10_11_ACTL EQU 0x40006934 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_ACTL +CYREG_B0_UDB11_12_ACTL EQU 0x40006936 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_ACTL +CYREG_B0_UDB12_13_ACTL EQU 0x40006938 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_ACTL +CYREG_B0_UDB13_14_ACTL EQU 0x4000693a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_ACTL +CYREG_B0_UDB14_15_ACTL EQU 0x4000693c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB00_01_MC +CYREG_B0_UDB00_01_MC EQU 0x40006940 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB01_02_MC +CYREG_B0_UDB01_02_MC EQU 0x40006942 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB02_03_MC +CYREG_B0_UDB02_03_MC EQU 0x40006944 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB03_04_MC +CYREG_B0_UDB03_04_MC EQU 0x40006946 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB04_05_MC +CYREG_B0_UDB04_05_MC EQU 0x40006948 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB05_06_MC +CYREG_B0_UDB05_06_MC EQU 0x4000694a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB06_07_MC +CYREG_B0_UDB06_07_MC EQU 0x4000694c + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB07_08_MC +CYREG_B0_UDB07_08_MC EQU 0x4000694e + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB08_09_MC +CYREG_B0_UDB08_09_MC EQU 0x40006950 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB09_10_MC +CYREG_B0_UDB09_10_MC EQU 0x40006952 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB10_11_MC +CYREG_B0_UDB10_11_MC EQU 0x40006954 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB11_12_MC +CYREG_B0_UDB11_12_MC EQU 0x40006956 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB12_13_MC +CYREG_B0_UDB12_13_MC EQU 0x40006958 + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB13_14_MC +CYREG_B0_UDB13_14_MC EQU 0x4000695a + ENDIF + IF :LNOT::DEF:CYREG_B0_UDB14_15_MC +CYREG_B0_UDB14_15_MC EQU 0x4000695c + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_BASE +CYDEV_UWRK_UWRK16_DEF_B1_BASE EQU 0x40006a00 + ENDIF + IF :LNOT::DEF:CYDEV_UWRK_UWRK16_DEF_B1_SIZE +CYDEV_UWRK_UWRK16_DEF_B1_SIZE EQU 0x0000015e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_A0 +CYREG_B1_UDB04_05_A0 EQU 0x40006a08 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_A0 +CYREG_B1_UDB05_06_A0 EQU 0x40006a0a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_A0 +CYREG_B1_UDB06_07_A0 EQU 0x40006a0c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_A0 +CYREG_B1_UDB07_08_A0 EQU 0x40006a0e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_A0 +CYREG_B1_UDB08_09_A0 EQU 0x40006a10 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_A0 +CYREG_B1_UDB09_10_A0 EQU 0x40006a12 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_A0 +CYREG_B1_UDB10_11_A0 EQU 0x40006a14 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_A0 +CYREG_B1_UDB11_12_A0 EQU 0x40006a16 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_A1 +CYREG_B1_UDB04_05_A1 EQU 0x40006a28 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_A1 +CYREG_B1_UDB05_06_A1 EQU 0x40006a2a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_A1 +CYREG_B1_UDB06_07_A1 EQU 0x40006a2c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_A1 +CYREG_B1_UDB07_08_A1 EQU 0x40006a2e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_A1 +CYREG_B1_UDB08_09_A1 EQU 0x40006a30 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_A1 +CYREG_B1_UDB09_10_A1 EQU 0x40006a32 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_A1 +CYREG_B1_UDB10_11_A1 EQU 0x40006a34 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_A1 +CYREG_B1_UDB11_12_A1 EQU 0x40006a36 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_D0 +CYREG_B1_UDB04_05_D0 EQU 0x40006a48 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_D0 +CYREG_B1_UDB05_06_D0 EQU 0x40006a4a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_D0 +CYREG_B1_UDB06_07_D0 EQU 0x40006a4c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_D0 +CYREG_B1_UDB07_08_D0 EQU 0x40006a4e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_D0 +CYREG_B1_UDB08_09_D0 EQU 0x40006a50 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_D0 +CYREG_B1_UDB09_10_D0 EQU 0x40006a52 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_D0 +CYREG_B1_UDB10_11_D0 EQU 0x40006a54 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_D0 +CYREG_B1_UDB11_12_D0 EQU 0x40006a56 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_D1 +CYREG_B1_UDB04_05_D1 EQU 0x40006a68 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_D1 +CYREG_B1_UDB05_06_D1 EQU 0x40006a6a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_D1 +CYREG_B1_UDB06_07_D1 EQU 0x40006a6c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_D1 +CYREG_B1_UDB07_08_D1 EQU 0x40006a6e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_D1 +CYREG_B1_UDB08_09_D1 EQU 0x40006a70 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_D1 +CYREG_B1_UDB09_10_D1 EQU 0x40006a72 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_D1 +CYREG_B1_UDB10_11_D1 EQU 0x40006a74 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_D1 +CYREG_B1_UDB11_12_D1 EQU 0x40006a76 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_F0 +CYREG_B1_UDB04_05_F0 EQU 0x40006a88 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_F0 +CYREG_B1_UDB05_06_F0 EQU 0x40006a8a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_F0 +CYREG_B1_UDB06_07_F0 EQU 0x40006a8c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_F0 +CYREG_B1_UDB07_08_F0 EQU 0x40006a8e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_F0 +CYREG_B1_UDB08_09_F0 EQU 0x40006a90 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_F0 +CYREG_B1_UDB09_10_F0 EQU 0x40006a92 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_F0 +CYREG_B1_UDB10_11_F0 EQU 0x40006a94 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_F0 +CYREG_B1_UDB11_12_F0 EQU 0x40006a96 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_F1 +CYREG_B1_UDB04_05_F1 EQU 0x40006aa8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_F1 +CYREG_B1_UDB05_06_F1 EQU 0x40006aaa + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_F1 +CYREG_B1_UDB06_07_F1 EQU 0x40006aac + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_F1 +CYREG_B1_UDB07_08_F1 EQU 0x40006aae + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_F1 +CYREG_B1_UDB08_09_F1 EQU 0x40006ab0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_F1 +CYREG_B1_UDB09_10_F1 EQU 0x40006ab2 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_F1 +CYREG_B1_UDB10_11_F1 EQU 0x40006ab4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_F1 +CYREG_B1_UDB11_12_F1 EQU 0x40006ab6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_ST +CYREG_B1_UDB04_05_ST EQU 0x40006ac8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_ST +CYREG_B1_UDB05_06_ST EQU 0x40006aca + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_ST +CYREG_B1_UDB06_07_ST EQU 0x40006acc + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_ST +CYREG_B1_UDB07_08_ST EQU 0x40006ace + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_ST +CYREG_B1_UDB08_09_ST EQU 0x40006ad0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_ST +CYREG_B1_UDB09_10_ST EQU 0x40006ad2 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_ST +CYREG_B1_UDB10_11_ST EQU 0x40006ad4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_ST +CYREG_B1_UDB11_12_ST EQU 0x40006ad6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_CTL +CYREG_B1_UDB04_05_CTL EQU 0x40006ae8 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_CTL +CYREG_B1_UDB05_06_CTL EQU 0x40006aea + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_CTL +CYREG_B1_UDB06_07_CTL EQU 0x40006aec + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_CTL +CYREG_B1_UDB07_08_CTL EQU 0x40006aee + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_CTL +CYREG_B1_UDB08_09_CTL EQU 0x40006af0 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_CTL +CYREG_B1_UDB09_10_CTL EQU 0x40006af2 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_CTL +CYREG_B1_UDB10_11_CTL EQU 0x40006af4 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_CTL +CYREG_B1_UDB11_12_CTL EQU 0x40006af6 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_MSK +CYREG_B1_UDB04_05_MSK EQU 0x40006b08 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_MSK +CYREG_B1_UDB05_06_MSK EQU 0x40006b0a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_MSK +CYREG_B1_UDB06_07_MSK EQU 0x40006b0c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_MSK +CYREG_B1_UDB07_08_MSK EQU 0x40006b0e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_MSK +CYREG_B1_UDB08_09_MSK EQU 0x40006b10 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_MSK +CYREG_B1_UDB09_10_MSK EQU 0x40006b12 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_MSK +CYREG_B1_UDB10_11_MSK EQU 0x40006b14 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_MSK +CYREG_B1_UDB11_12_MSK EQU 0x40006b16 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_ACTL +CYREG_B1_UDB04_05_ACTL EQU 0x40006b28 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_ACTL +CYREG_B1_UDB05_06_ACTL EQU 0x40006b2a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_ACTL +CYREG_B1_UDB06_07_ACTL EQU 0x40006b2c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_ACTL +CYREG_B1_UDB07_08_ACTL EQU 0x40006b2e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_ACTL +CYREG_B1_UDB08_09_ACTL EQU 0x40006b30 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_ACTL +CYREG_B1_UDB09_10_ACTL EQU 0x40006b32 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_ACTL +CYREG_B1_UDB10_11_ACTL EQU 0x40006b34 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_ACTL +CYREG_B1_UDB11_12_ACTL EQU 0x40006b36 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB04_05_MC +CYREG_B1_UDB04_05_MC EQU 0x40006b48 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB05_06_MC +CYREG_B1_UDB05_06_MC EQU 0x40006b4a + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB06_07_MC +CYREG_B1_UDB06_07_MC EQU 0x40006b4c + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB07_08_MC +CYREG_B1_UDB07_08_MC EQU 0x40006b4e + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB08_09_MC +CYREG_B1_UDB08_09_MC EQU 0x40006b50 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB09_10_MC +CYREG_B1_UDB09_10_MC EQU 0x40006b52 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB10_11_MC +CYREG_B1_UDB10_11_MC EQU 0x40006b54 + ENDIF + IF :LNOT::DEF:CYREG_B1_UDB11_12_MC +CYREG_B1_UDB11_12_MC EQU 0x40006b56 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_BASE +CYDEV_PHUB_BASE EQU 0x40007000 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_SIZE +CYDEV_PHUB_SIZE EQU 0x00000c00 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFG +CYREG_PHUB_CFG EQU 0x40007000 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_ERR +CYREG_PHUB_ERR EQU 0x40007004 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_ERR_ADR +CYREG_PHUB_ERR_ADR EQU 0x40007008 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_BASE +CYDEV_PHUB_CH0_BASE EQU 0x40007010 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH0_SIZE +CYDEV_PHUB_CH0_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH0_BASIC_CFG +CYREG_PHUB_CH0_BASIC_CFG EQU 0x40007010 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH0_ACTION +CYREG_PHUB_CH0_ACTION EQU 0x40007014 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH0_BASIC_STATUS +CYREG_PHUB_CH0_BASIC_STATUS EQU 0x40007018 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_BASE +CYDEV_PHUB_CH1_BASE EQU 0x40007020 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH1_SIZE +CYDEV_PHUB_CH1_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH1_BASIC_CFG +CYREG_PHUB_CH1_BASIC_CFG EQU 0x40007020 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH1_ACTION +CYREG_PHUB_CH1_ACTION EQU 0x40007024 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH1_BASIC_STATUS +CYREG_PHUB_CH1_BASIC_STATUS EQU 0x40007028 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_BASE +CYDEV_PHUB_CH2_BASE EQU 0x40007030 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH2_SIZE +CYDEV_PHUB_CH2_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH2_BASIC_CFG +CYREG_PHUB_CH2_BASIC_CFG EQU 0x40007030 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH2_ACTION +CYREG_PHUB_CH2_ACTION EQU 0x40007034 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH2_BASIC_STATUS +CYREG_PHUB_CH2_BASIC_STATUS EQU 0x40007038 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_BASE +CYDEV_PHUB_CH3_BASE EQU 0x40007040 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH3_SIZE +CYDEV_PHUB_CH3_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH3_BASIC_CFG +CYREG_PHUB_CH3_BASIC_CFG EQU 0x40007040 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH3_ACTION +CYREG_PHUB_CH3_ACTION EQU 0x40007044 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH3_BASIC_STATUS +CYREG_PHUB_CH3_BASIC_STATUS EQU 0x40007048 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_BASE +CYDEV_PHUB_CH4_BASE EQU 0x40007050 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH4_SIZE +CYDEV_PHUB_CH4_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH4_BASIC_CFG +CYREG_PHUB_CH4_BASIC_CFG EQU 0x40007050 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH4_ACTION +CYREG_PHUB_CH4_ACTION EQU 0x40007054 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH4_BASIC_STATUS +CYREG_PHUB_CH4_BASIC_STATUS EQU 0x40007058 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_BASE +CYDEV_PHUB_CH5_BASE EQU 0x40007060 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH5_SIZE +CYDEV_PHUB_CH5_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH5_BASIC_CFG +CYREG_PHUB_CH5_BASIC_CFG EQU 0x40007060 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH5_ACTION +CYREG_PHUB_CH5_ACTION EQU 0x40007064 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH5_BASIC_STATUS +CYREG_PHUB_CH5_BASIC_STATUS EQU 0x40007068 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_BASE +CYDEV_PHUB_CH6_BASE EQU 0x40007070 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH6_SIZE +CYDEV_PHUB_CH6_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH6_BASIC_CFG +CYREG_PHUB_CH6_BASIC_CFG EQU 0x40007070 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH6_ACTION +CYREG_PHUB_CH6_ACTION EQU 0x40007074 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH6_BASIC_STATUS +CYREG_PHUB_CH6_BASIC_STATUS EQU 0x40007078 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_BASE +CYDEV_PHUB_CH7_BASE EQU 0x40007080 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH7_SIZE +CYDEV_PHUB_CH7_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH7_BASIC_CFG +CYREG_PHUB_CH7_BASIC_CFG EQU 0x40007080 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH7_ACTION +CYREG_PHUB_CH7_ACTION EQU 0x40007084 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH7_BASIC_STATUS +CYREG_PHUB_CH7_BASIC_STATUS EQU 0x40007088 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_BASE +CYDEV_PHUB_CH8_BASE EQU 0x40007090 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH8_SIZE +CYDEV_PHUB_CH8_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH8_BASIC_CFG +CYREG_PHUB_CH8_BASIC_CFG EQU 0x40007090 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH8_ACTION +CYREG_PHUB_CH8_ACTION EQU 0x40007094 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH8_BASIC_STATUS +CYREG_PHUB_CH8_BASIC_STATUS EQU 0x40007098 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_BASE +CYDEV_PHUB_CH9_BASE EQU 0x400070a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH9_SIZE +CYDEV_PHUB_CH9_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH9_BASIC_CFG +CYREG_PHUB_CH9_BASIC_CFG EQU 0x400070a0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH9_ACTION +CYREG_PHUB_CH9_ACTION EQU 0x400070a4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH9_BASIC_STATUS +CYREG_PHUB_CH9_BASIC_STATUS EQU 0x400070a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_BASE +CYDEV_PHUB_CH10_BASE EQU 0x400070b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH10_SIZE +CYDEV_PHUB_CH10_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH10_BASIC_CFG +CYREG_PHUB_CH10_BASIC_CFG EQU 0x400070b0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH10_ACTION +CYREG_PHUB_CH10_ACTION EQU 0x400070b4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH10_BASIC_STATUS +CYREG_PHUB_CH10_BASIC_STATUS EQU 0x400070b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_BASE +CYDEV_PHUB_CH11_BASE EQU 0x400070c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH11_SIZE +CYDEV_PHUB_CH11_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH11_BASIC_CFG +CYREG_PHUB_CH11_BASIC_CFG EQU 0x400070c0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH11_ACTION +CYREG_PHUB_CH11_ACTION EQU 0x400070c4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH11_BASIC_STATUS +CYREG_PHUB_CH11_BASIC_STATUS EQU 0x400070c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_BASE +CYDEV_PHUB_CH12_BASE EQU 0x400070d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH12_SIZE +CYDEV_PHUB_CH12_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH12_BASIC_CFG +CYREG_PHUB_CH12_BASIC_CFG EQU 0x400070d0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH12_ACTION +CYREG_PHUB_CH12_ACTION EQU 0x400070d4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH12_BASIC_STATUS +CYREG_PHUB_CH12_BASIC_STATUS EQU 0x400070d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_BASE +CYDEV_PHUB_CH13_BASE EQU 0x400070e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH13_SIZE +CYDEV_PHUB_CH13_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH13_BASIC_CFG +CYREG_PHUB_CH13_BASIC_CFG EQU 0x400070e0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH13_ACTION +CYREG_PHUB_CH13_ACTION EQU 0x400070e4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH13_BASIC_STATUS +CYREG_PHUB_CH13_BASIC_STATUS EQU 0x400070e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_BASE +CYDEV_PHUB_CH14_BASE EQU 0x400070f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH14_SIZE +CYDEV_PHUB_CH14_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH14_BASIC_CFG +CYREG_PHUB_CH14_BASIC_CFG EQU 0x400070f0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH14_ACTION +CYREG_PHUB_CH14_ACTION EQU 0x400070f4 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH14_BASIC_STATUS +CYREG_PHUB_CH14_BASIC_STATUS EQU 0x400070f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_BASE +CYDEV_PHUB_CH15_BASE EQU 0x40007100 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH15_SIZE +CYDEV_PHUB_CH15_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH15_BASIC_CFG +CYREG_PHUB_CH15_BASIC_CFG EQU 0x40007100 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH15_ACTION +CYREG_PHUB_CH15_ACTION EQU 0x40007104 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH15_BASIC_STATUS +CYREG_PHUB_CH15_BASIC_STATUS EQU 0x40007108 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_BASE +CYDEV_PHUB_CH16_BASE EQU 0x40007110 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH16_SIZE +CYDEV_PHUB_CH16_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH16_BASIC_CFG +CYREG_PHUB_CH16_BASIC_CFG EQU 0x40007110 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH16_ACTION +CYREG_PHUB_CH16_ACTION EQU 0x40007114 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH16_BASIC_STATUS +CYREG_PHUB_CH16_BASIC_STATUS EQU 0x40007118 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_BASE +CYDEV_PHUB_CH17_BASE EQU 0x40007120 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH17_SIZE +CYDEV_PHUB_CH17_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH17_BASIC_CFG +CYREG_PHUB_CH17_BASIC_CFG EQU 0x40007120 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH17_ACTION +CYREG_PHUB_CH17_ACTION EQU 0x40007124 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH17_BASIC_STATUS +CYREG_PHUB_CH17_BASIC_STATUS EQU 0x40007128 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_BASE +CYDEV_PHUB_CH18_BASE EQU 0x40007130 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH18_SIZE +CYDEV_PHUB_CH18_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH18_BASIC_CFG +CYREG_PHUB_CH18_BASIC_CFG EQU 0x40007130 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH18_ACTION +CYREG_PHUB_CH18_ACTION EQU 0x40007134 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH18_BASIC_STATUS +CYREG_PHUB_CH18_BASIC_STATUS EQU 0x40007138 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_BASE +CYDEV_PHUB_CH19_BASE EQU 0x40007140 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH19_SIZE +CYDEV_PHUB_CH19_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH19_BASIC_CFG +CYREG_PHUB_CH19_BASIC_CFG EQU 0x40007140 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH19_ACTION +CYREG_PHUB_CH19_ACTION EQU 0x40007144 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH19_BASIC_STATUS +CYREG_PHUB_CH19_BASIC_STATUS EQU 0x40007148 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_BASE +CYDEV_PHUB_CH20_BASE EQU 0x40007150 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH20_SIZE +CYDEV_PHUB_CH20_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH20_BASIC_CFG +CYREG_PHUB_CH20_BASIC_CFG EQU 0x40007150 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH20_ACTION +CYREG_PHUB_CH20_ACTION EQU 0x40007154 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH20_BASIC_STATUS +CYREG_PHUB_CH20_BASIC_STATUS EQU 0x40007158 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_BASE +CYDEV_PHUB_CH21_BASE EQU 0x40007160 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH21_SIZE +CYDEV_PHUB_CH21_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH21_BASIC_CFG +CYREG_PHUB_CH21_BASIC_CFG EQU 0x40007160 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH21_ACTION +CYREG_PHUB_CH21_ACTION EQU 0x40007164 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH21_BASIC_STATUS +CYREG_PHUB_CH21_BASIC_STATUS EQU 0x40007168 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_BASE +CYDEV_PHUB_CH22_BASE EQU 0x40007170 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH22_SIZE +CYDEV_PHUB_CH22_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH22_BASIC_CFG +CYREG_PHUB_CH22_BASIC_CFG EQU 0x40007170 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH22_ACTION +CYREG_PHUB_CH22_ACTION EQU 0x40007174 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH22_BASIC_STATUS +CYREG_PHUB_CH22_BASIC_STATUS EQU 0x40007178 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_BASE +CYDEV_PHUB_CH23_BASE EQU 0x40007180 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CH23_SIZE +CYDEV_PHUB_CH23_SIZE EQU 0x0000000c + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH23_BASIC_CFG +CYREG_PHUB_CH23_BASIC_CFG EQU 0x40007180 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH23_ACTION +CYREG_PHUB_CH23_ACTION EQU 0x40007184 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CH23_BASIC_STATUS +CYREG_PHUB_CH23_BASIC_STATUS EQU 0x40007188 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_BASE +CYDEV_PHUB_CFGMEM0_BASE EQU 0x40007600 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM0_SIZE +CYDEV_PHUB_CFGMEM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM0_CFG0 +CYREG_PHUB_CFGMEM0_CFG0 EQU 0x40007600 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM0_CFG1 +CYREG_PHUB_CFGMEM0_CFG1 EQU 0x40007604 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_BASE +CYDEV_PHUB_CFGMEM1_BASE EQU 0x40007608 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM1_SIZE +CYDEV_PHUB_CFGMEM1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM1_CFG0 +CYREG_PHUB_CFGMEM1_CFG0 EQU 0x40007608 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM1_CFG1 +CYREG_PHUB_CFGMEM1_CFG1 EQU 0x4000760c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_BASE +CYDEV_PHUB_CFGMEM2_BASE EQU 0x40007610 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM2_SIZE +CYDEV_PHUB_CFGMEM2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM2_CFG0 +CYREG_PHUB_CFGMEM2_CFG0 EQU 0x40007610 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM2_CFG1 +CYREG_PHUB_CFGMEM2_CFG1 EQU 0x40007614 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_BASE +CYDEV_PHUB_CFGMEM3_BASE EQU 0x40007618 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM3_SIZE +CYDEV_PHUB_CFGMEM3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM3_CFG0 +CYREG_PHUB_CFGMEM3_CFG0 EQU 0x40007618 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM3_CFG1 +CYREG_PHUB_CFGMEM3_CFG1 EQU 0x4000761c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_BASE +CYDEV_PHUB_CFGMEM4_BASE EQU 0x40007620 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM4_SIZE +CYDEV_PHUB_CFGMEM4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM4_CFG0 +CYREG_PHUB_CFGMEM4_CFG0 EQU 0x40007620 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM4_CFG1 +CYREG_PHUB_CFGMEM4_CFG1 EQU 0x40007624 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_BASE +CYDEV_PHUB_CFGMEM5_BASE EQU 0x40007628 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM5_SIZE +CYDEV_PHUB_CFGMEM5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM5_CFG0 +CYREG_PHUB_CFGMEM5_CFG0 EQU 0x40007628 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM5_CFG1 +CYREG_PHUB_CFGMEM5_CFG1 EQU 0x4000762c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_BASE +CYDEV_PHUB_CFGMEM6_BASE EQU 0x40007630 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM6_SIZE +CYDEV_PHUB_CFGMEM6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM6_CFG0 +CYREG_PHUB_CFGMEM6_CFG0 EQU 0x40007630 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM6_CFG1 +CYREG_PHUB_CFGMEM6_CFG1 EQU 0x40007634 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_BASE +CYDEV_PHUB_CFGMEM7_BASE EQU 0x40007638 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM7_SIZE +CYDEV_PHUB_CFGMEM7_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM7_CFG0 +CYREG_PHUB_CFGMEM7_CFG0 EQU 0x40007638 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM7_CFG1 +CYREG_PHUB_CFGMEM7_CFG1 EQU 0x4000763c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_BASE +CYDEV_PHUB_CFGMEM8_BASE EQU 0x40007640 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM8_SIZE +CYDEV_PHUB_CFGMEM8_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM8_CFG0 +CYREG_PHUB_CFGMEM8_CFG0 EQU 0x40007640 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM8_CFG1 +CYREG_PHUB_CFGMEM8_CFG1 EQU 0x40007644 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_BASE +CYDEV_PHUB_CFGMEM9_BASE EQU 0x40007648 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM9_SIZE +CYDEV_PHUB_CFGMEM9_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM9_CFG0 +CYREG_PHUB_CFGMEM9_CFG0 EQU 0x40007648 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM9_CFG1 +CYREG_PHUB_CFGMEM9_CFG1 EQU 0x4000764c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_BASE +CYDEV_PHUB_CFGMEM10_BASE EQU 0x40007650 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM10_SIZE +CYDEV_PHUB_CFGMEM10_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM10_CFG0 +CYREG_PHUB_CFGMEM10_CFG0 EQU 0x40007650 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM10_CFG1 +CYREG_PHUB_CFGMEM10_CFG1 EQU 0x40007654 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_BASE +CYDEV_PHUB_CFGMEM11_BASE EQU 0x40007658 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM11_SIZE +CYDEV_PHUB_CFGMEM11_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM11_CFG0 +CYREG_PHUB_CFGMEM11_CFG0 EQU 0x40007658 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM11_CFG1 +CYREG_PHUB_CFGMEM11_CFG1 EQU 0x4000765c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_BASE +CYDEV_PHUB_CFGMEM12_BASE EQU 0x40007660 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM12_SIZE +CYDEV_PHUB_CFGMEM12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM12_CFG0 +CYREG_PHUB_CFGMEM12_CFG0 EQU 0x40007660 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM12_CFG1 +CYREG_PHUB_CFGMEM12_CFG1 EQU 0x40007664 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_BASE +CYDEV_PHUB_CFGMEM13_BASE EQU 0x40007668 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM13_SIZE +CYDEV_PHUB_CFGMEM13_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM13_CFG0 +CYREG_PHUB_CFGMEM13_CFG0 EQU 0x40007668 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM13_CFG1 +CYREG_PHUB_CFGMEM13_CFG1 EQU 0x4000766c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_BASE +CYDEV_PHUB_CFGMEM14_BASE EQU 0x40007670 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM14_SIZE +CYDEV_PHUB_CFGMEM14_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM14_CFG0 +CYREG_PHUB_CFGMEM14_CFG0 EQU 0x40007670 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM14_CFG1 +CYREG_PHUB_CFGMEM14_CFG1 EQU 0x40007674 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_BASE +CYDEV_PHUB_CFGMEM15_BASE EQU 0x40007678 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM15_SIZE +CYDEV_PHUB_CFGMEM15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM15_CFG0 +CYREG_PHUB_CFGMEM15_CFG0 EQU 0x40007678 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM15_CFG1 +CYREG_PHUB_CFGMEM15_CFG1 EQU 0x4000767c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_BASE +CYDEV_PHUB_CFGMEM16_BASE EQU 0x40007680 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM16_SIZE +CYDEV_PHUB_CFGMEM16_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM16_CFG0 +CYREG_PHUB_CFGMEM16_CFG0 EQU 0x40007680 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM16_CFG1 +CYREG_PHUB_CFGMEM16_CFG1 EQU 0x40007684 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_BASE +CYDEV_PHUB_CFGMEM17_BASE EQU 0x40007688 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM17_SIZE +CYDEV_PHUB_CFGMEM17_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM17_CFG0 +CYREG_PHUB_CFGMEM17_CFG0 EQU 0x40007688 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM17_CFG1 +CYREG_PHUB_CFGMEM17_CFG1 EQU 0x4000768c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_BASE +CYDEV_PHUB_CFGMEM18_BASE EQU 0x40007690 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM18_SIZE +CYDEV_PHUB_CFGMEM18_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM18_CFG0 +CYREG_PHUB_CFGMEM18_CFG0 EQU 0x40007690 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM18_CFG1 +CYREG_PHUB_CFGMEM18_CFG1 EQU 0x40007694 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_BASE +CYDEV_PHUB_CFGMEM19_BASE EQU 0x40007698 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM19_SIZE +CYDEV_PHUB_CFGMEM19_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM19_CFG0 +CYREG_PHUB_CFGMEM19_CFG0 EQU 0x40007698 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM19_CFG1 +CYREG_PHUB_CFGMEM19_CFG1 EQU 0x4000769c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_BASE +CYDEV_PHUB_CFGMEM20_BASE EQU 0x400076a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM20_SIZE +CYDEV_PHUB_CFGMEM20_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM20_CFG0 +CYREG_PHUB_CFGMEM20_CFG0 EQU 0x400076a0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM20_CFG1 +CYREG_PHUB_CFGMEM20_CFG1 EQU 0x400076a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_BASE +CYDEV_PHUB_CFGMEM21_BASE EQU 0x400076a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM21_SIZE +CYDEV_PHUB_CFGMEM21_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM21_CFG0 +CYREG_PHUB_CFGMEM21_CFG0 EQU 0x400076a8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM21_CFG1 +CYREG_PHUB_CFGMEM21_CFG1 EQU 0x400076ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_BASE +CYDEV_PHUB_CFGMEM22_BASE EQU 0x400076b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM22_SIZE +CYDEV_PHUB_CFGMEM22_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM22_CFG0 +CYREG_PHUB_CFGMEM22_CFG0 EQU 0x400076b0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM22_CFG1 +CYREG_PHUB_CFGMEM22_CFG1 EQU 0x400076b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_BASE +CYDEV_PHUB_CFGMEM23_BASE EQU 0x400076b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_CFGMEM23_SIZE +CYDEV_PHUB_CFGMEM23_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM23_CFG0 +CYREG_PHUB_CFGMEM23_CFG0 EQU 0x400076b8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_CFGMEM23_CFG1 +CYREG_PHUB_CFGMEM23_CFG1 EQU 0x400076bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_BASE +CYDEV_PHUB_TDMEM0_BASE EQU 0x40007800 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM0_SIZE +CYDEV_PHUB_TDMEM0_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM0_ORIG_TD0 +CYREG_PHUB_TDMEM0_ORIG_TD0 EQU 0x40007800 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM0_ORIG_TD1 +CYREG_PHUB_TDMEM0_ORIG_TD1 EQU 0x40007804 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_BASE +CYDEV_PHUB_TDMEM1_BASE EQU 0x40007808 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM1_SIZE +CYDEV_PHUB_TDMEM1_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM1_ORIG_TD0 +CYREG_PHUB_TDMEM1_ORIG_TD0 EQU 0x40007808 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM1_ORIG_TD1 +CYREG_PHUB_TDMEM1_ORIG_TD1 EQU 0x4000780c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_BASE +CYDEV_PHUB_TDMEM2_BASE EQU 0x40007810 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM2_SIZE +CYDEV_PHUB_TDMEM2_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM2_ORIG_TD0 +CYREG_PHUB_TDMEM2_ORIG_TD0 EQU 0x40007810 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM2_ORIG_TD1 +CYREG_PHUB_TDMEM2_ORIG_TD1 EQU 0x40007814 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_BASE +CYDEV_PHUB_TDMEM3_BASE EQU 0x40007818 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM3_SIZE +CYDEV_PHUB_TDMEM3_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM3_ORIG_TD0 +CYREG_PHUB_TDMEM3_ORIG_TD0 EQU 0x40007818 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM3_ORIG_TD1 +CYREG_PHUB_TDMEM3_ORIG_TD1 EQU 0x4000781c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_BASE +CYDEV_PHUB_TDMEM4_BASE EQU 0x40007820 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM4_SIZE +CYDEV_PHUB_TDMEM4_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM4_ORIG_TD0 +CYREG_PHUB_TDMEM4_ORIG_TD0 EQU 0x40007820 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM4_ORIG_TD1 +CYREG_PHUB_TDMEM4_ORIG_TD1 EQU 0x40007824 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_BASE +CYDEV_PHUB_TDMEM5_BASE EQU 0x40007828 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM5_SIZE +CYDEV_PHUB_TDMEM5_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM5_ORIG_TD0 +CYREG_PHUB_TDMEM5_ORIG_TD0 EQU 0x40007828 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM5_ORIG_TD1 +CYREG_PHUB_TDMEM5_ORIG_TD1 EQU 0x4000782c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_BASE +CYDEV_PHUB_TDMEM6_BASE EQU 0x40007830 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM6_SIZE +CYDEV_PHUB_TDMEM6_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM6_ORIG_TD0 +CYREG_PHUB_TDMEM6_ORIG_TD0 EQU 0x40007830 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM6_ORIG_TD1 +CYREG_PHUB_TDMEM6_ORIG_TD1 EQU 0x40007834 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_BASE +CYDEV_PHUB_TDMEM7_BASE EQU 0x40007838 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM7_SIZE +CYDEV_PHUB_TDMEM7_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM7_ORIG_TD0 +CYREG_PHUB_TDMEM7_ORIG_TD0 EQU 0x40007838 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM7_ORIG_TD1 +CYREG_PHUB_TDMEM7_ORIG_TD1 EQU 0x4000783c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_BASE +CYDEV_PHUB_TDMEM8_BASE EQU 0x40007840 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM8_SIZE +CYDEV_PHUB_TDMEM8_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM8_ORIG_TD0 +CYREG_PHUB_TDMEM8_ORIG_TD0 EQU 0x40007840 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM8_ORIG_TD1 +CYREG_PHUB_TDMEM8_ORIG_TD1 EQU 0x40007844 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_BASE +CYDEV_PHUB_TDMEM9_BASE EQU 0x40007848 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM9_SIZE +CYDEV_PHUB_TDMEM9_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM9_ORIG_TD0 +CYREG_PHUB_TDMEM9_ORIG_TD0 EQU 0x40007848 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM9_ORIG_TD1 +CYREG_PHUB_TDMEM9_ORIG_TD1 EQU 0x4000784c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_BASE +CYDEV_PHUB_TDMEM10_BASE EQU 0x40007850 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM10_SIZE +CYDEV_PHUB_TDMEM10_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM10_ORIG_TD0 +CYREG_PHUB_TDMEM10_ORIG_TD0 EQU 0x40007850 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM10_ORIG_TD1 +CYREG_PHUB_TDMEM10_ORIG_TD1 EQU 0x40007854 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_BASE +CYDEV_PHUB_TDMEM11_BASE EQU 0x40007858 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM11_SIZE +CYDEV_PHUB_TDMEM11_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM11_ORIG_TD0 +CYREG_PHUB_TDMEM11_ORIG_TD0 EQU 0x40007858 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM11_ORIG_TD1 +CYREG_PHUB_TDMEM11_ORIG_TD1 EQU 0x4000785c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_BASE +CYDEV_PHUB_TDMEM12_BASE EQU 0x40007860 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM12_SIZE +CYDEV_PHUB_TDMEM12_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM12_ORIG_TD0 +CYREG_PHUB_TDMEM12_ORIG_TD0 EQU 0x40007860 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM12_ORIG_TD1 +CYREG_PHUB_TDMEM12_ORIG_TD1 EQU 0x40007864 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_BASE +CYDEV_PHUB_TDMEM13_BASE EQU 0x40007868 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM13_SIZE +CYDEV_PHUB_TDMEM13_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM13_ORIG_TD0 +CYREG_PHUB_TDMEM13_ORIG_TD0 EQU 0x40007868 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM13_ORIG_TD1 +CYREG_PHUB_TDMEM13_ORIG_TD1 EQU 0x4000786c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_BASE +CYDEV_PHUB_TDMEM14_BASE EQU 0x40007870 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM14_SIZE +CYDEV_PHUB_TDMEM14_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM14_ORIG_TD0 +CYREG_PHUB_TDMEM14_ORIG_TD0 EQU 0x40007870 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM14_ORIG_TD1 +CYREG_PHUB_TDMEM14_ORIG_TD1 EQU 0x40007874 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_BASE +CYDEV_PHUB_TDMEM15_BASE EQU 0x40007878 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM15_SIZE +CYDEV_PHUB_TDMEM15_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM15_ORIG_TD0 +CYREG_PHUB_TDMEM15_ORIG_TD0 EQU 0x40007878 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM15_ORIG_TD1 +CYREG_PHUB_TDMEM15_ORIG_TD1 EQU 0x4000787c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_BASE +CYDEV_PHUB_TDMEM16_BASE EQU 0x40007880 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM16_SIZE +CYDEV_PHUB_TDMEM16_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM16_ORIG_TD0 +CYREG_PHUB_TDMEM16_ORIG_TD0 EQU 0x40007880 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM16_ORIG_TD1 +CYREG_PHUB_TDMEM16_ORIG_TD1 EQU 0x40007884 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_BASE +CYDEV_PHUB_TDMEM17_BASE EQU 0x40007888 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM17_SIZE +CYDEV_PHUB_TDMEM17_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM17_ORIG_TD0 +CYREG_PHUB_TDMEM17_ORIG_TD0 EQU 0x40007888 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM17_ORIG_TD1 +CYREG_PHUB_TDMEM17_ORIG_TD1 EQU 0x4000788c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_BASE +CYDEV_PHUB_TDMEM18_BASE EQU 0x40007890 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM18_SIZE +CYDEV_PHUB_TDMEM18_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM18_ORIG_TD0 +CYREG_PHUB_TDMEM18_ORIG_TD0 EQU 0x40007890 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM18_ORIG_TD1 +CYREG_PHUB_TDMEM18_ORIG_TD1 EQU 0x40007894 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_BASE +CYDEV_PHUB_TDMEM19_BASE EQU 0x40007898 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM19_SIZE +CYDEV_PHUB_TDMEM19_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM19_ORIG_TD0 +CYREG_PHUB_TDMEM19_ORIG_TD0 EQU 0x40007898 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM19_ORIG_TD1 +CYREG_PHUB_TDMEM19_ORIG_TD1 EQU 0x4000789c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_BASE +CYDEV_PHUB_TDMEM20_BASE EQU 0x400078a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM20_SIZE +CYDEV_PHUB_TDMEM20_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM20_ORIG_TD0 +CYREG_PHUB_TDMEM20_ORIG_TD0 EQU 0x400078a0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM20_ORIG_TD1 +CYREG_PHUB_TDMEM20_ORIG_TD1 EQU 0x400078a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_BASE +CYDEV_PHUB_TDMEM21_BASE EQU 0x400078a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM21_SIZE +CYDEV_PHUB_TDMEM21_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM21_ORIG_TD0 +CYREG_PHUB_TDMEM21_ORIG_TD0 EQU 0x400078a8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM21_ORIG_TD1 +CYREG_PHUB_TDMEM21_ORIG_TD1 EQU 0x400078ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_BASE +CYDEV_PHUB_TDMEM22_BASE EQU 0x400078b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM22_SIZE +CYDEV_PHUB_TDMEM22_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM22_ORIG_TD0 +CYREG_PHUB_TDMEM22_ORIG_TD0 EQU 0x400078b0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM22_ORIG_TD1 +CYREG_PHUB_TDMEM22_ORIG_TD1 EQU 0x400078b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_BASE +CYDEV_PHUB_TDMEM23_BASE EQU 0x400078b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM23_SIZE +CYDEV_PHUB_TDMEM23_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM23_ORIG_TD0 +CYREG_PHUB_TDMEM23_ORIG_TD0 EQU 0x400078b8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM23_ORIG_TD1 +CYREG_PHUB_TDMEM23_ORIG_TD1 EQU 0x400078bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_BASE +CYDEV_PHUB_TDMEM24_BASE EQU 0x400078c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM24_SIZE +CYDEV_PHUB_TDMEM24_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM24_ORIG_TD0 +CYREG_PHUB_TDMEM24_ORIG_TD0 EQU 0x400078c0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM24_ORIG_TD1 +CYREG_PHUB_TDMEM24_ORIG_TD1 EQU 0x400078c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_BASE +CYDEV_PHUB_TDMEM25_BASE EQU 0x400078c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM25_SIZE +CYDEV_PHUB_TDMEM25_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM25_ORIG_TD0 +CYREG_PHUB_TDMEM25_ORIG_TD0 EQU 0x400078c8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM25_ORIG_TD1 +CYREG_PHUB_TDMEM25_ORIG_TD1 EQU 0x400078cc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_BASE +CYDEV_PHUB_TDMEM26_BASE EQU 0x400078d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM26_SIZE +CYDEV_PHUB_TDMEM26_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM26_ORIG_TD0 +CYREG_PHUB_TDMEM26_ORIG_TD0 EQU 0x400078d0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM26_ORIG_TD1 +CYREG_PHUB_TDMEM26_ORIG_TD1 EQU 0x400078d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_BASE +CYDEV_PHUB_TDMEM27_BASE EQU 0x400078d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM27_SIZE +CYDEV_PHUB_TDMEM27_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM27_ORIG_TD0 +CYREG_PHUB_TDMEM27_ORIG_TD0 EQU 0x400078d8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM27_ORIG_TD1 +CYREG_PHUB_TDMEM27_ORIG_TD1 EQU 0x400078dc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_BASE +CYDEV_PHUB_TDMEM28_BASE EQU 0x400078e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM28_SIZE +CYDEV_PHUB_TDMEM28_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM28_ORIG_TD0 +CYREG_PHUB_TDMEM28_ORIG_TD0 EQU 0x400078e0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM28_ORIG_TD1 +CYREG_PHUB_TDMEM28_ORIG_TD1 EQU 0x400078e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_BASE +CYDEV_PHUB_TDMEM29_BASE EQU 0x400078e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM29_SIZE +CYDEV_PHUB_TDMEM29_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM29_ORIG_TD0 +CYREG_PHUB_TDMEM29_ORIG_TD0 EQU 0x400078e8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM29_ORIG_TD1 +CYREG_PHUB_TDMEM29_ORIG_TD1 EQU 0x400078ec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_BASE +CYDEV_PHUB_TDMEM30_BASE EQU 0x400078f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM30_SIZE +CYDEV_PHUB_TDMEM30_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM30_ORIG_TD0 +CYREG_PHUB_TDMEM30_ORIG_TD0 EQU 0x400078f0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM30_ORIG_TD1 +CYREG_PHUB_TDMEM30_ORIG_TD1 EQU 0x400078f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_BASE +CYDEV_PHUB_TDMEM31_BASE EQU 0x400078f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM31_SIZE +CYDEV_PHUB_TDMEM31_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM31_ORIG_TD0 +CYREG_PHUB_TDMEM31_ORIG_TD0 EQU 0x400078f8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM31_ORIG_TD1 +CYREG_PHUB_TDMEM31_ORIG_TD1 EQU 0x400078fc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_BASE +CYDEV_PHUB_TDMEM32_BASE EQU 0x40007900 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM32_SIZE +CYDEV_PHUB_TDMEM32_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM32_ORIG_TD0 +CYREG_PHUB_TDMEM32_ORIG_TD0 EQU 0x40007900 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM32_ORIG_TD1 +CYREG_PHUB_TDMEM32_ORIG_TD1 EQU 0x40007904 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_BASE +CYDEV_PHUB_TDMEM33_BASE EQU 0x40007908 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM33_SIZE +CYDEV_PHUB_TDMEM33_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM33_ORIG_TD0 +CYREG_PHUB_TDMEM33_ORIG_TD0 EQU 0x40007908 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM33_ORIG_TD1 +CYREG_PHUB_TDMEM33_ORIG_TD1 EQU 0x4000790c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_BASE +CYDEV_PHUB_TDMEM34_BASE EQU 0x40007910 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM34_SIZE +CYDEV_PHUB_TDMEM34_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM34_ORIG_TD0 +CYREG_PHUB_TDMEM34_ORIG_TD0 EQU 0x40007910 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM34_ORIG_TD1 +CYREG_PHUB_TDMEM34_ORIG_TD1 EQU 0x40007914 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_BASE +CYDEV_PHUB_TDMEM35_BASE EQU 0x40007918 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM35_SIZE +CYDEV_PHUB_TDMEM35_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM35_ORIG_TD0 +CYREG_PHUB_TDMEM35_ORIG_TD0 EQU 0x40007918 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM35_ORIG_TD1 +CYREG_PHUB_TDMEM35_ORIG_TD1 EQU 0x4000791c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_BASE +CYDEV_PHUB_TDMEM36_BASE EQU 0x40007920 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM36_SIZE +CYDEV_PHUB_TDMEM36_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM36_ORIG_TD0 +CYREG_PHUB_TDMEM36_ORIG_TD0 EQU 0x40007920 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM36_ORIG_TD1 +CYREG_PHUB_TDMEM36_ORIG_TD1 EQU 0x40007924 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_BASE +CYDEV_PHUB_TDMEM37_BASE EQU 0x40007928 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM37_SIZE +CYDEV_PHUB_TDMEM37_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM37_ORIG_TD0 +CYREG_PHUB_TDMEM37_ORIG_TD0 EQU 0x40007928 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM37_ORIG_TD1 +CYREG_PHUB_TDMEM37_ORIG_TD1 EQU 0x4000792c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_BASE +CYDEV_PHUB_TDMEM38_BASE EQU 0x40007930 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM38_SIZE +CYDEV_PHUB_TDMEM38_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM38_ORIG_TD0 +CYREG_PHUB_TDMEM38_ORIG_TD0 EQU 0x40007930 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM38_ORIG_TD1 +CYREG_PHUB_TDMEM38_ORIG_TD1 EQU 0x40007934 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_BASE +CYDEV_PHUB_TDMEM39_BASE EQU 0x40007938 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM39_SIZE +CYDEV_PHUB_TDMEM39_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM39_ORIG_TD0 +CYREG_PHUB_TDMEM39_ORIG_TD0 EQU 0x40007938 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM39_ORIG_TD1 +CYREG_PHUB_TDMEM39_ORIG_TD1 EQU 0x4000793c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_BASE +CYDEV_PHUB_TDMEM40_BASE EQU 0x40007940 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM40_SIZE +CYDEV_PHUB_TDMEM40_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM40_ORIG_TD0 +CYREG_PHUB_TDMEM40_ORIG_TD0 EQU 0x40007940 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM40_ORIG_TD1 +CYREG_PHUB_TDMEM40_ORIG_TD1 EQU 0x40007944 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_BASE +CYDEV_PHUB_TDMEM41_BASE EQU 0x40007948 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM41_SIZE +CYDEV_PHUB_TDMEM41_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM41_ORIG_TD0 +CYREG_PHUB_TDMEM41_ORIG_TD0 EQU 0x40007948 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM41_ORIG_TD1 +CYREG_PHUB_TDMEM41_ORIG_TD1 EQU 0x4000794c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_BASE +CYDEV_PHUB_TDMEM42_BASE EQU 0x40007950 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM42_SIZE +CYDEV_PHUB_TDMEM42_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM42_ORIG_TD0 +CYREG_PHUB_TDMEM42_ORIG_TD0 EQU 0x40007950 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM42_ORIG_TD1 +CYREG_PHUB_TDMEM42_ORIG_TD1 EQU 0x40007954 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_BASE +CYDEV_PHUB_TDMEM43_BASE EQU 0x40007958 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM43_SIZE +CYDEV_PHUB_TDMEM43_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM43_ORIG_TD0 +CYREG_PHUB_TDMEM43_ORIG_TD0 EQU 0x40007958 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM43_ORIG_TD1 +CYREG_PHUB_TDMEM43_ORIG_TD1 EQU 0x4000795c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_BASE +CYDEV_PHUB_TDMEM44_BASE EQU 0x40007960 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM44_SIZE +CYDEV_PHUB_TDMEM44_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM44_ORIG_TD0 +CYREG_PHUB_TDMEM44_ORIG_TD0 EQU 0x40007960 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM44_ORIG_TD1 +CYREG_PHUB_TDMEM44_ORIG_TD1 EQU 0x40007964 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_BASE +CYDEV_PHUB_TDMEM45_BASE EQU 0x40007968 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM45_SIZE +CYDEV_PHUB_TDMEM45_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM45_ORIG_TD0 +CYREG_PHUB_TDMEM45_ORIG_TD0 EQU 0x40007968 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM45_ORIG_TD1 +CYREG_PHUB_TDMEM45_ORIG_TD1 EQU 0x4000796c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_BASE +CYDEV_PHUB_TDMEM46_BASE EQU 0x40007970 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM46_SIZE +CYDEV_PHUB_TDMEM46_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM46_ORIG_TD0 +CYREG_PHUB_TDMEM46_ORIG_TD0 EQU 0x40007970 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM46_ORIG_TD1 +CYREG_PHUB_TDMEM46_ORIG_TD1 EQU 0x40007974 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_BASE +CYDEV_PHUB_TDMEM47_BASE EQU 0x40007978 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM47_SIZE +CYDEV_PHUB_TDMEM47_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM47_ORIG_TD0 +CYREG_PHUB_TDMEM47_ORIG_TD0 EQU 0x40007978 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM47_ORIG_TD1 +CYREG_PHUB_TDMEM47_ORIG_TD1 EQU 0x4000797c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_BASE +CYDEV_PHUB_TDMEM48_BASE EQU 0x40007980 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM48_SIZE +CYDEV_PHUB_TDMEM48_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM48_ORIG_TD0 +CYREG_PHUB_TDMEM48_ORIG_TD0 EQU 0x40007980 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM48_ORIG_TD1 +CYREG_PHUB_TDMEM48_ORIG_TD1 EQU 0x40007984 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_BASE +CYDEV_PHUB_TDMEM49_BASE EQU 0x40007988 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM49_SIZE +CYDEV_PHUB_TDMEM49_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM49_ORIG_TD0 +CYREG_PHUB_TDMEM49_ORIG_TD0 EQU 0x40007988 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM49_ORIG_TD1 +CYREG_PHUB_TDMEM49_ORIG_TD1 EQU 0x4000798c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_BASE +CYDEV_PHUB_TDMEM50_BASE EQU 0x40007990 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM50_SIZE +CYDEV_PHUB_TDMEM50_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM50_ORIG_TD0 +CYREG_PHUB_TDMEM50_ORIG_TD0 EQU 0x40007990 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM50_ORIG_TD1 +CYREG_PHUB_TDMEM50_ORIG_TD1 EQU 0x40007994 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_BASE +CYDEV_PHUB_TDMEM51_BASE EQU 0x40007998 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM51_SIZE +CYDEV_PHUB_TDMEM51_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM51_ORIG_TD0 +CYREG_PHUB_TDMEM51_ORIG_TD0 EQU 0x40007998 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM51_ORIG_TD1 +CYREG_PHUB_TDMEM51_ORIG_TD1 EQU 0x4000799c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_BASE +CYDEV_PHUB_TDMEM52_BASE EQU 0x400079a0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM52_SIZE +CYDEV_PHUB_TDMEM52_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM52_ORIG_TD0 +CYREG_PHUB_TDMEM52_ORIG_TD0 EQU 0x400079a0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM52_ORIG_TD1 +CYREG_PHUB_TDMEM52_ORIG_TD1 EQU 0x400079a4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_BASE +CYDEV_PHUB_TDMEM53_BASE EQU 0x400079a8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM53_SIZE +CYDEV_PHUB_TDMEM53_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM53_ORIG_TD0 +CYREG_PHUB_TDMEM53_ORIG_TD0 EQU 0x400079a8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM53_ORIG_TD1 +CYREG_PHUB_TDMEM53_ORIG_TD1 EQU 0x400079ac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_BASE +CYDEV_PHUB_TDMEM54_BASE EQU 0x400079b0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM54_SIZE +CYDEV_PHUB_TDMEM54_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM54_ORIG_TD0 +CYREG_PHUB_TDMEM54_ORIG_TD0 EQU 0x400079b0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM54_ORIG_TD1 +CYREG_PHUB_TDMEM54_ORIG_TD1 EQU 0x400079b4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_BASE +CYDEV_PHUB_TDMEM55_BASE EQU 0x400079b8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM55_SIZE +CYDEV_PHUB_TDMEM55_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM55_ORIG_TD0 +CYREG_PHUB_TDMEM55_ORIG_TD0 EQU 0x400079b8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM55_ORIG_TD1 +CYREG_PHUB_TDMEM55_ORIG_TD1 EQU 0x400079bc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_BASE +CYDEV_PHUB_TDMEM56_BASE EQU 0x400079c0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM56_SIZE +CYDEV_PHUB_TDMEM56_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM56_ORIG_TD0 +CYREG_PHUB_TDMEM56_ORIG_TD0 EQU 0x400079c0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM56_ORIG_TD1 +CYREG_PHUB_TDMEM56_ORIG_TD1 EQU 0x400079c4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_BASE +CYDEV_PHUB_TDMEM57_BASE EQU 0x400079c8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM57_SIZE +CYDEV_PHUB_TDMEM57_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM57_ORIG_TD0 +CYREG_PHUB_TDMEM57_ORIG_TD0 EQU 0x400079c8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM57_ORIG_TD1 +CYREG_PHUB_TDMEM57_ORIG_TD1 EQU 0x400079cc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_BASE +CYDEV_PHUB_TDMEM58_BASE EQU 0x400079d0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM58_SIZE +CYDEV_PHUB_TDMEM58_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM58_ORIG_TD0 +CYREG_PHUB_TDMEM58_ORIG_TD0 EQU 0x400079d0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM58_ORIG_TD1 +CYREG_PHUB_TDMEM58_ORIG_TD1 EQU 0x400079d4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_BASE +CYDEV_PHUB_TDMEM59_BASE EQU 0x400079d8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM59_SIZE +CYDEV_PHUB_TDMEM59_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM59_ORIG_TD0 +CYREG_PHUB_TDMEM59_ORIG_TD0 EQU 0x400079d8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM59_ORIG_TD1 +CYREG_PHUB_TDMEM59_ORIG_TD1 EQU 0x400079dc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_BASE +CYDEV_PHUB_TDMEM60_BASE EQU 0x400079e0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM60_SIZE +CYDEV_PHUB_TDMEM60_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM60_ORIG_TD0 +CYREG_PHUB_TDMEM60_ORIG_TD0 EQU 0x400079e0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM60_ORIG_TD1 +CYREG_PHUB_TDMEM60_ORIG_TD1 EQU 0x400079e4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_BASE +CYDEV_PHUB_TDMEM61_BASE EQU 0x400079e8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM61_SIZE +CYDEV_PHUB_TDMEM61_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM61_ORIG_TD0 +CYREG_PHUB_TDMEM61_ORIG_TD0 EQU 0x400079e8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM61_ORIG_TD1 +CYREG_PHUB_TDMEM61_ORIG_TD1 EQU 0x400079ec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_BASE +CYDEV_PHUB_TDMEM62_BASE EQU 0x400079f0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM62_SIZE +CYDEV_PHUB_TDMEM62_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM62_ORIG_TD0 +CYREG_PHUB_TDMEM62_ORIG_TD0 EQU 0x400079f0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM62_ORIG_TD1 +CYREG_PHUB_TDMEM62_ORIG_TD1 EQU 0x400079f4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_BASE +CYDEV_PHUB_TDMEM63_BASE EQU 0x400079f8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM63_SIZE +CYDEV_PHUB_TDMEM63_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM63_ORIG_TD0 +CYREG_PHUB_TDMEM63_ORIG_TD0 EQU 0x400079f8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM63_ORIG_TD1 +CYREG_PHUB_TDMEM63_ORIG_TD1 EQU 0x400079fc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_BASE +CYDEV_PHUB_TDMEM64_BASE EQU 0x40007a00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM64_SIZE +CYDEV_PHUB_TDMEM64_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM64_ORIG_TD0 +CYREG_PHUB_TDMEM64_ORIG_TD0 EQU 0x40007a00 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM64_ORIG_TD1 +CYREG_PHUB_TDMEM64_ORIG_TD1 EQU 0x40007a04 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_BASE +CYDEV_PHUB_TDMEM65_BASE EQU 0x40007a08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM65_SIZE +CYDEV_PHUB_TDMEM65_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM65_ORIG_TD0 +CYREG_PHUB_TDMEM65_ORIG_TD0 EQU 0x40007a08 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM65_ORIG_TD1 +CYREG_PHUB_TDMEM65_ORIG_TD1 EQU 0x40007a0c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_BASE +CYDEV_PHUB_TDMEM66_BASE EQU 0x40007a10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM66_SIZE +CYDEV_PHUB_TDMEM66_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM66_ORIG_TD0 +CYREG_PHUB_TDMEM66_ORIG_TD0 EQU 0x40007a10 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM66_ORIG_TD1 +CYREG_PHUB_TDMEM66_ORIG_TD1 EQU 0x40007a14 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_BASE +CYDEV_PHUB_TDMEM67_BASE EQU 0x40007a18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM67_SIZE +CYDEV_PHUB_TDMEM67_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM67_ORIG_TD0 +CYREG_PHUB_TDMEM67_ORIG_TD0 EQU 0x40007a18 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM67_ORIG_TD1 +CYREG_PHUB_TDMEM67_ORIG_TD1 EQU 0x40007a1c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_BASE +CYDEV_PHUB_TDMEM68_BASE EQU 0x40007a20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM68_SIZE +CYDEV_PHUB_TDMEM68_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM68_ORIG_TD0 +CYREG_PHUB_TDMEM68_ORIG_TD0 EQU 0x40007a20 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM68_ORIG_TD1 +CYREG_PHUB_TDMEM68_ORIG_TD1 EQU 0x40007a24 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_BASE +CYDEV_PHUB_TDMEM69_BASE EQU 0x40007a28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM69_SIZE +CYDEV_PHUB_TDMEM69_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM69_ORIG_TD0 +CYREG_PHUB_TDMEM69_ORIG_TD0 EQU 0x40007a28 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM69_ORIG_TD1 +CYREG_PHUB_TDMEM69_ORIG_TD1 EQU 0x40007a2c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_BASE +CYDEV_PHUB_TDMEM70_BASE EQU 0x40007a30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM70_SIZE +CYDEV_PHUB_TDMEM70_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM70_ORIG_TD0 +CYREG_PHUB_TDMEM70_ORIG_TD0 EQU 0x40007a30 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM70_ORIG_TD1 +CYREG_PHUB_TDMEM70_ORIG_TD1 EQU 0x40007a34 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_BASE +CYDEV_PHUB_TDMEM71_BASE EQU 0x40007a38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM71_SIZE +CYDEV_PHUB_TDMEM71_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM71_ORIG_TD0 +CYREG_PHUB_TDMEM71_ORIG_TD0 EQU 0x40007a38 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM71_ORIG_TD1 +CYREG_PHUB_TDMEM71_ORIG_TD1 EQU 0x40007a3c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_BASE +CYDEV_PHUB_TDMEM72_BASE EQU 0x40007a40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM72_SIZE +CYDEV_PHUB_TDMEM72_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM72_ORIG_TD0 +CYREG_PHUB_TDMEM72_ORIG_TD0 EQU 0x40007a40 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM72_ORIG_TD1 +CYREG_PHUB_TDMEM72_ORIG_TD1 EQU 0x40007a44 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_BASE +CYDEV_PHUB_TDMEM73_BASE EQU 0x40007a48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM73_SIZE +CYDEV_PHUB_TDMEM73_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM73_ORIG_TD0 +CYREG_PHUB_TDMEM73_ORIG_TD0 EQU 0x40007a48 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM73_ORIG_TD1 +CYREG_PHUB_TDMEM73_ORIG_TD1 EQU 0x40007a4c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_BASE +CYDEV_PHUB_TDMEM74_BASE EQU 0x40007a50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM74_SIZE +CYDEV_PHUB_TDMEM74_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM74_ORIG_TD0 +CYREG_PHUB_TDMEM74_ORIG_TD0 EQU 0x40007a50 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM74_ORIG_TD1 +CYREG_PHUB_TDMEM74_ORIG_TD1 EQU 0x40007a54 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_BASE +CYDEV_PHUB_TDMEM75_BASE EQU 0x40007a58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM75_SIZE +CYDEV_PHUB_TDMEM75_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM75_ORIG_TD0 +CYREG_PHUB_TDMEM75_ORIG_TD0 EQU 0x40007a58 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM75_ORIG_TD1 +CYREG_PHUB_TDMEM75_ORIG_TD1 EQU 0x40007a5c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_BASE +CYDEV_PHUB_TDMEM76_BASE EQU 0x40007a60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM76_SIZE +CYDEV_PHUB_TDMEM76_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM76_ORIG_TD0 +CYREG_PHUB_TDMEM76_ORIG_TD0 EQU 0x40007a60 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM76_ORIG_TD1 +CYREG_PHUB_TDMEM76_ORIG_TD1 EQU 0x40007a64 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_BASE +CYDEV_PHUB_TDMEM77_BASE EQU 0x40007a68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM77_SIZE +CYDEV_PHUB_TDMEM77_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM77_ORIG_TD0 +CYREG_PHUB_TDMEM77_ORIG_TD0 EQU 0x40007a68 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM77_ORIG_TD1 +CYREG_PHUB_TDMEM77_ORIG_TD1 EQU 0x40007a6c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_BASE +CYDEV_PHUB_TDMEM78_BASE EQU 0x40007a70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM78_SIZE +CYDEV_PHUB_TDMEM78_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM78_ORIG_TD0 +CYREG_PHUB_TDMEM78_ORIG_TD0 EQU 0x40007a70 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM78_ORIG_TD1 +CYREG_PHUB_TDMEM78_ORIG_TD1 EQU 0x40007a74 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_BASE +CYDEV_PHUB_TDMEM79_BASE EQU 0x40007a78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM79_SIZE +CYDEV_PHUB_TDMEM79_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM79_ORIG_TD0 +CYREG_PHUB_TDMEM79_ORIG_TD0 EQU 0x40007a78 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM79_ORIG_TD1 +CYREG_PHUB_TDMEM79_ORIG_TD1 EQU 0x40007a7c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_BASE +CYDEV_PHUB_TDMEM80_BASE EQU 0x40007a80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM80_SIZE +CYDEV_PHUB_TDMEM80_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM80_ORIG_TD0 +CYREG_PHUB_TDMEM80_ORIG_TD0 EQU 0x40007a80 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM80_ORIG_TD1 +CYREG_PHUB_TDMEM80_ORIG_TD1 EQU 0x40007a84 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_BASE +CYDEV_PHUB_TDMEM81_BASE EQU 0x40007a88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM81_SIZE +CYDEV_PHUB_TDMEM81_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM81_ORIG_TD0 +CYREG_PHUB_TDMEM81_ORIG_TD0 EQU 0x40007a88 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM81_ORIG_TD1 +CYREG_PHUB_TDMEM81_ORIG_TD1 EQU 0x40007a8c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_BASE +CYDEV_PHUB_TDMEM82_BASE EQU 0x40007a90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM82_SIZE +CYDEV_PHUB_TDMEM82_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM82_ORIG_TD0 +CYREG_PHUB_TDMEM82_ORIG_TD0 EQU 0x40007a90 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM82_ORIG_TD1 +CYREG_PHUB_TDMEM82_ORIG_TD1 EQU 0x40007a94 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_BASE +CYDEV_PHUB_TDMEM83_BASE EQU 0x40007a98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM83_SIZE +CYDEV_PHUB_TDMEM83_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM83_ORIG_TD0 +CYREG_PHUB_TDMEM83_ORIG_TD0 EQU 0x40007a98 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM83_ORIG_TD1 +CYREG_PHUB_TDMEM83_ORIG_TD1 EQU 0x40007a9c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_BASE +CYDEV_PHUB_TDMEM84_BASE EQU 0x40007aa0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM84_SIZE +CYDEV_PHUB_TDMEM84_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM84_ORIG_TD0 +CYREG_PHUB_TDMEM84_ORIG_TD0 EQU 0x40007aa0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM84_ORIG_TD1 +CYREG_PHUB_TDMEM84_ORIG_TD1 EQU 0x40007aa4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_BASE +CYDEV_PHUB_TDMEM85_BASE EQU 0x40007aa8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM85_SIZE +CYDEV_PHUB_TDMEM85_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM85_ORIG_TD0 +CYREG_PHUB_TDMEM85_ORIG_TD0 EQU 0x40007aa8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM85_ORIG_TD1 +CYREG_PHUB_TDMEM85_ORIG_TD1 EQU 0x40007aac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_BASE +CYDEV_PHUB_TDMEM86_BASE EQU 0x40007ab0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM86_SIZE +CYDEV_PHUB_TDMEM86_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM86_ORIG_TD0 +CYREG_PHUB_TDMEM86_ORIG_TD0 EQU 0x40007ab0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM86_ORIG_TD1 +CYREG_PHUB_TDMEM86_ORIG_TD1 EQU 0x40007ab4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_BASE +CYDEV_PHUB_TDMEM87_BASE EQU 0x40007ab8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM87_SIZE +CYDEV_PHUB_TDMEM87_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM87_ORIG_TD0 +CYREG_PHUB_TDMEM87_ORIG_TD0 EQU 0x40007ab8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM87_ORIG_TD1 +CYREG_PHUB_TDMEM87_ORIG_TD1 EQU 0x40007abc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_BASE +CYDEV_PHUB_TDMEM88_BASE EQU 0x40007ac0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM88_SIZE +CYDEV_PHUB_TDMEM88_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM88_ORIG_TD0 +CYREG_PHUB_TDMEM88_ORIG_TD0 EQU 0x40007ac0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM88_ORIG_TD1 +CYREG_PHUB_TDMEM88_ORIG_TD1 EQU 0x40007ac4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_BASE +CYDEV_PHUB_TDMEM89_BASE EQU 0x40007ac8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM89_SIZE +CYDEV_PHUB_TDMEM89_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM89_ORIG_TD0 +CYREG_PHUB_TDMEM89_ORIG_TD0 EQU 0x40007ac8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM89_ORIG_TD1 +CYREG_PHUB_TDMEM89_ORIG_TD1 EQU 0x40007acc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_BASE +CYDEV_PHUB_TDMEM90_BASE EQU 0x40007ad0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM90_SIZE +CYDEV_PHUB_TDMEM90_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM90_ORIG_TD0 +CYREG_PHUB_TDMEM90_ORIG_TD0 EQU 0x40007ad0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM90_ORIG_TD1 +CYREG_PHUB_TDMEM90_ORIG_TD1 EQU 0x40007ad4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_BASE +CYDEV_PHUB_TDMEM91_BASE EQU 0x40007ad8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM91_SIZE +CYDEV_PHUB_TDMEM91_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM91_ORIG_TD0 +CYREG_PHUB_TDMEM91_ORIG_TD0 EQU 0x40007ad8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM91_ORIG_TD1 +CYREG_PHUB_TDMEM91_ORIG_TD1 EQU 0x40007adc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_BASE +CYDEV_PHUB_TDMEM92_BASE EQU 0x40007ae0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM92_SIZE +CYDEV_PHUB_TDMEM92_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM92_ORIG_TD0 +CYREG_PHUB_TDMEM92_ORIG_TD0 EQU 0x40007ae0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM92_ORIG_TD1 +CYREG_PHUB_TDMEM92_ORIG_TD1 EQU 0x40007ae4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_BASE +CYDEV_PHUB_TDMEM93_BASE EQU 0x40007ae8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM93_SIZE +CYDEV_PHUB_TDMEM93_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM93_ORIG_TD0 +CYREG_PHUB_TDMEM93_ORIG_TD0 EQU 0x40007ae8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM93_ORIG_TD1 +CYREG_PHUB_TDMEM93_ORIG_TD1 EQU 0x40007aec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_BASE +CYDEV_PHUB_TDMEM94_BASE EQU 0x40007af0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM94_SIZE +CYDEV_PHUB_TDMEM94_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM94_ORIG_TD0 +CYREG_PHUB_TDMEM94_ORIG_TD0 EQU 0x40007af0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM94_ORIG_TD1 +CYREG_PHUB_TDMEM94_ORIG_TD1 EQU 0x40007af4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_BASE +CYDEV_PHUB_TDMEM95_BASE EQU 0x40007af8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM95_SIZE +CYDEV_PHUB_TDMEM95_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM95_ORIG_TD0 +CYREG_PHUB_TDMEM95_ORIG_TD0 EQU 0x40007af8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM95_ORIG_TD1 +CYREG_PHUB_TDMEM95_ORIG_TD1 EQU 0x40007afc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_BASE +CYDEV_PHUB_TDMEM96_BASE EQU 0x40007b00 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM96_SIZE +CYDEV_PHUB_TDMEM96_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM96_ORIG_TD0 +CYREG_PHUB_TDMEM96_ORIG_TD0 EQU 0x40007b00 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM96_ORIG_TD1 +CYREG_PHUB_TDMEM96_ORIG_TD1 EQU 0x40007b04 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_BASE +CYDEV_PHUB_TDMEM97_BASE EQU 0x40007b08 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM97_SIZE +CYDEV_PHUB_TDMEM97_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM97_ORIG_TD0 +CYREG_PHUB_TDMEM97_ORIG_TD0 EQU 0x40007b08 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM97_ORIG_TD1 +CYREG_PHUB_TDMEM97_ORIG_TD1 EQU 0x40007b0c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_BASE +CYDEV_PHUB_TDMEM98_BASE EQU 0x40007b10 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM98_SIZE +CYDEV_PHUB_TDMEM98_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM98_ORIG_TD0 +CYREG_PHUB_TDMEM98_ORIG_TD0 EQU 0x40007b10 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM98_ORIG_TD1 +CYREG_PHUB_TDMEM98_ORIG_TD1 EQU 0x40007b14 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_BASE +CYDEV_PHUB_TDMEM99_BASE EQU 0x40007b18 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM99_SIZE +CYDEV_PHUB_TDMEM99_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM99_ORIG_TD0 +CYREG_PHUB_TDMEM99_ORIG_TD0 EQU 0x40007b18 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM99_ORIG_TD1 +CYREG_PHUB_TDMEM99_ORIG_TD1 EQU 0x40007b1c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_BASE +CYDEV_PHUB_TDMEM100_BASE EQU 0x40007b20 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM100_SIZE +CYDEV_PHUB_TDMEM100_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM100_ORIG_TD0 +CYREG_PHUB_TDMEM100_ORIG_TD0 EQU 0x40007b20 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM100_ORIG_TD1 +CYREG_PHUB_TDMEM100_ORIG_TD1 EQU 0x40007b24 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_BASE +CYDEV_PHUB_TDMEM101_BASE EQU 0x40007b28 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM101_SIZE +CYDEV_PHUB_TDMEM101_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM101_ORIG_TD0 +CYREG_PHUB_TDMEM101_ORIG_TD0 EQU 0x40007b28 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM101_ORIG_TD1 +CYREG_PHUB_TDMEM101_ORIG_TD1 EQU 0x40007b2c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_BASE +CYDEV_PHUB_TDMEM102_BASE EQU 0x40007b30 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM102_SIZE +CYDEV_PHUB_TDMEM102_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM102_ORIG_TD0 +CYREG_PHUB_TDMEM102_ORIG_TD0 EQU 0x40007b30 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM102_ORIG_TD1 +CYREG_PHUB_TDMEM102_ORIG_TD1 EQU 0x40007b34 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_BASE +CYDEV_PHUB_TDMEM103_BASE EQU 0x40007b38 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM103_SIZE +CYDEV_PHUB_TDMEM103_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM103_ORIG_TD0 +CYREG_PHUB_TDMEM103_ORIG_TD0 EQU 0x40007b38 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM103_ORIG_TD1 +CYREG_PHUB_TDMEM103_ORIG_TD1 EQU 0x40007b3c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_BASE +CYDEV_PHUB_TDMEM104_BASE EQU 0x40007b40 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM104_SIZE +CYDEV_PHUB_TDMEM104_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM104_ORIG_TD0 +CYREG_PHUB_TDMEM104_ORIG_TD0 EQU 0x40007b40 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM104_ORIG_TD1 +CYREG_PHUB_TDMEM104_ORIG_TD1 EQU 0x40007b44 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_BASE +CYDEV_PHUB_TDMEM105_BASE EQU 0x40007b48 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM105_SIZE +CYDEV_PHUB_TDMEM105_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM105_ORIG_TD0 +CYREG_PHUB_TDMEM105_ORIG_TD0 EQU 0x40007b48 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM105_ORIG_TD1 +CYREG_PHUB_TDMEM105_ORIG_TD1 EQU 0x40007b4c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_BASE +CYDEV_PHUB_TDMEM106_BASE EQU 0x40007b50 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM106_SIZE +CYDEV_PHUB_TDMEM106_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM106_ORIG_TD0 +CYREG_PHUB_TDMEM106_ORIG_TD0 EQU 0x40007b50 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM106_ORIG_TD1 +CYREG_PHUB_TDMEM106_ORIG_TD1 EQU 0x40007b54 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_BASE +CYDEV_PHUB_TDMEM107_BASE EQU 0x40007b58 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM107_SIZE +CYDEV_PHUB_TDMEM107_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM107_ORIG_TD0 +CYREG_PHUB_TDMEM107_ORIG_TD0 EQU 0x40007b58 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM107_ORIG_TD1 +CYREG_PHUB_TDMEM107_ORIG_TD1 EQU 0x40007b5c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_BASE +CYDEV_PHUB_TDMEM108_BASE EQU 0x40007b60 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM108_SIZE +CYDEV_PHUB_TDMEM108_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM108_ORIG_TD0 +CYREG_PHUB_TDMEM108_ORIG_TD0 EQU 0x40007b60 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM108_ORIG_TD1 +CYREG_PHUB_TDMEM108_ORIG_TD1 EQU 0x40007b64 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_BASE +CYDEV_PHUB_TDMEM109_BASE EQU 0x40007b68 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM109_SIZE +CYDEV_PHUB_TDMEM109_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM109_ORIG_TD0 +CYREG_PHUB_TDMEM109_ORIG_TD0 EQU 0x40007b68 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM109_ORIG_TD1 +CYREG_PHUB_TDMEM109_ORIG_TD1 EQU 0x40007b6c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_BASE +CYDEV_PHUB_TDMEM110_BASE EQU 0x40007b70 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM110_SIZE +CYDEV_PHUB_TDMEM110_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM110_ORIG_TD0 +CYREG_PHUB_TDMEM110_ORIG_TD0 EQU 0x40007b70 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM110_ORIG_TD1 +CYREG_PHUB_TDMEM110_ORIG_TD1 EQU 0x40007b74 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_BASE +CYDEV_PHUB_TDMEM111_BASE EQU 0x40007b78 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM111_SIZE +CYDEV_PHUB_TDMEM111_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM111_ORIG_TD0 +CYREG_PHUB_TDMEM111_ORIG_TD0 EQU 0x40007b78 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM111_ORIG_TD1 +CYREG_PHUB_TDMEM111_ORIG_TD1 EQU 0x40007b7c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_BASE +CYDEV_PHUB_TDMEM112_BASE EQU 0x40007b80 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM112_SIZE +CYDEV_PHUB_TDMEM112_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM112_ORIG_TD0 +CYREG_PHUB_TDMEM112_ORIG_TD0 EQU 0x40007b80 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM112_ORIG_TD1 +CYREG_PHUB_TDMEM112_ORIG_TD1 EQU 0x40007b84 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_BASE +CYDEV_PHUB_TDMEM113_BASE EQU 0x40007b88 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM113_SIZE +CYDEV_PHUB_TDMEM113_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM113_ORIG_TD0 +CYREG_PHUB_TDMEM113_ORIG_TD0 EQU 0x40007b88 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM113_ORIG_TD1 +CYREG_PHUB_TDMEM113_ORIG_TD1 EQU 0x40007b8c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_BASE +CYDEV_PHUB_TDMEM114_BASE EQU 0x40007b90 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM114_SIZE +CYDEV_PHUB_TDMEM114_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM114_ORIG_TD0 +CYREG_PHUB_TDMEM114_ORIG_TD0 EQU 0x40007b90 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM114_ORIG_TD1 +CYREG_PHUB_TDMEM114_ORIG_TD1 EQU 0x40007b94 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_BASE +CYDEV_PHUB_TDMEM115_BASE EQU 0x40007b98 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM115_SIZE +CYDEV_PHUB_TDMEM115_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM115_ORIG_TD0 +CYREG_PHUB_TDMEM115_ORIG_TD0 EQU 0x40007b98 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM115_ORIG_TD1 +CYREG_PHUB_TDMEM115_ORIG_TD1 EQU 0x40007b9c + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_BASE +CYDEV_PHUB_TDMEM116_BASE EQU 0x40007ba0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM116_SIZE +CYDEV_PHUB_TDMEM116_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM116_ORIG_TD0 +CYREG_PHUB_TDMEM116_ORIG_TD0 EQU 0x40007ba0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM116_ORIG_TD1 +CYREG_PHUB_TDMEM116_ORIG_TD1 EQU 0x40007ba4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_BASE +CYDEV_PHUB_TDMEM117_BASE EQU 0x40007ba8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM117_SIZE +CYDEV_PHUB_TDMEM117_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM117_ORIG_TD0 +CYREG_PHUB_TDMEM117_ORIG_TD0 EQU 0x40007ba8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM117_ORIG_TD1 +CYREG_PHUB_TDMEM117_ORIG_TD1 EQU 0x40007bac + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_BASE +CYDEV_PHUB_TDMEM118_BASE EQU 0x40007bb0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM118_SIZE +CYDEV_PHUB_TDMEM118_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM118_ORIG_TD0 +CYREG_PHUB_TDMEM118_ORIG_TD0 EQU 0x40007bb0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM118_ORIG_TD1 +CYREG_PHUB_TDMEM118_ORIG_TD1 EQU 0x40007bb4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_BASE +CYDEV_PHUB_TDMEM119_BASE EQU 0x40007bb8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM119_SIZE +CYDEV_PHUB_TDMEM119_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM119_ORIG_TD0 +CYREG_PHUB_TDMEM119_ORIG_TD0 EQU 0x40007bb8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM119_ORIG_TD1 +CYREG_PHUB_TDMEM119_ORIG_TD1 EQU 0x40007bbc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_BASE +CYDEV_PHUB_TDMEM120_BASE EQU 0x40007bc0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM120_SIZE +CYDEV_PHUB_TDMEM120_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM120_ORIG_TD0 +CYREG_PHUB_TDMEM120_ORIG_TD0 EQU 0x40007bc0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM120_ORIG_TD1 +CYREG_PHUB_TDMEM120_ORIG_TD1 EQU 0x40007bc4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_BASE +CYDEV_PHUB_TDMEM121_BASE EQU 0x40007bc8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM121_SIZE +CYDEV_PHUB_TDMEM121_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM121_ORIG_TD0 +CYREG_PHUB_TDMEM121_ORIG_TD0 EQU 0x40007bc8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM121_ORIG_TD1 +CYREG_PHUB_TDMEM121_ORIG_TD1 EQU 0x40007bcc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_BASE +CYDEV_PHUB_TDMEM122_BASE EQU 0x40007bd0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM122_SIZE +CYDEV_PHUB_TDMEM122_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM122_ORIG_TD0 +CYREG_PHUB_TDMEM122_ORIG_TD0 EQU 0x40007bd0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM122_ORIG_TD1 +CYREG_PHUB_TDMEM122_ORIG_TD1 EQU 0x40007bd4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_BASE +CYDEV_PHUB_TDMEM123_BASE EQU 0x40007bd8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM123_SIZE +CYDEV_PHUB_TDMEM123_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM123_ORIG_TD0 +CYREG_PHUB_TDMEM123_ORIG_TD0 EQU 0x40007bd8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM123_ORIG_TD1 +CYREG_PHUB_TDMEM123_ORIG_TD1 EQU 0x40007bdc + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_BASE +CYDEV_PHUB_TDMEM124_BASE EQU 0x40007be0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM124_SIZE +CYDEV_PHUB_TDMEM124_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM124_ORIG_TD0 +CYREG_PHUB_TDMEM124_ORIG_TD0 EQU 0x40007be0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM124_ORIG_TD1 +CYREG_PHUB_TDMEM124_ORIG_TD1 EQU 0x40007be4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_BASE +CYDEV_PHUB_TDMEM125_BASE EQU 0x40007be8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM125_SIZE +CYDEV_PHUB_TDMEM125_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM125_ORIG_TD0 +CYREG_PHUB_TDMEM125_ORIG_TD0 EQU 0x40007be8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM125_ORIG_TD1 +CYREG_PHUB_TDMEM125_ORIG_TD1 EQU 0x40007bec + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_BASE +CYDEV_PHUB_TDMEM126_BASE EQU 0x40007bf0 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM126_SIZE +CYDEV_PHUB_TDMEM126_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM126_ORIG_TD0 +CYREG_PHUB_TDMEM126_ORIG_TD0 EQU 0x40007bf0 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM126_ORIG_TD1 +CYREG_PHUB_TDMEM126_ORIG_TD1 EQU 0x40007bf4 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_BASE +CYDEV_PHUB_TDMEM127_BASE EQU 0x40007bf8 + ENDIF + IF :LNOT::DEF:CYDEV_PHUB_TDMEM127_SIZE +CYDEV_PHUB_TDMEM127_SIZE EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM127_ORIG_TD0 +CYREG_PHUB_TDMEM127_ORIG_TD0 EQU 0x40007bf8 + ENDIF + IF :LNOT::DEF:CYREG_PHUB_TDMEM127_ORIG_TD1 +CYREG_PHUB_TDMEM127_ORIG_TD1 EQU 0x40007bfc + ENDIF + IF :LNOT::DEF:CYDEV_EE_BASE +CYDEV_EE_BASE EQU 0x40008000 + ENDIF + IF :LNOT::DEF:CYDEV_EE_SIZE +CYDEV_EE_SIZE EQU 0x00000800 + ENDIF + IF :LNOT::DEF:CYREG_EE_DATA_MBASE +CYREG_EE_DATA_MBASE EQU 0x40008000 + ENDIF + IF :LNOT::DEF:CYREG_EE_DATA_MSIZE +CYREG_EE_DATA_MSIZE EQU 0x00000800 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_BASE +CYDEV_CAN0_BASE EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_SIZE +CYDEV_CAN0_SIZE EQU 0x000002a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_BASE +CYDEV_CAN0_CSR_BASE EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_CSR_SIZE +CYDEV_CAN0_CSR_SIZE EQU 0x00000018 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_INT_SR +CYREG_CAN0_CSR_INT_SR EQU 0x4000a000 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_INT_EN +CYREG_CAN0_CSR_INT_EN EQU 0x4000a004 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_BUF_SR +CYREG_CAN0_CSR_BUF_SR EQU 0x4000a008 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_ERR_SR +CYREG_CAN0_CSR_ERR_SR EQU 0x4000a00c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_CMD +CYREG_CAN0_CSR_CMD EQU 0x4000a010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_CSR_CFG +CYREG_CAN0_CSR_CFG EQU 0x4000a014 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_BASE +CYDEV_CAN0_TX0_BASE EQU 0x4000a020 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX0_SIZE +CYDEV_CAN0_TX0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX0_CMD +CYREG_CAN0_TX0_CMD EQU 0x4000a020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX0_ID +CYREG_CAN0_TX0_ID EQU 0x4000a024 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX0_DH +CYREG_CAN0_TX0_DH EQU 0x4000a028 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX0_DL +CYREG_CAN0_TX0_DL EQU 0x4000a02c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_BASE +CYDEV_CAN0_TX1_BASE EQU 0x4000a030 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX1_SIZE +CYDEV_CAN0_TX1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX1_CMD +CYREG_CAN0_TX1_CMD EQU 0x4000a030 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX1_ID +CYREG_CAN0_TX1_ID EQU 0x4000a034 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX1_DH +CYREG_CAN0_TX1_DH EQU 0x4000a038 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX1_DL +CYREG_CAN0_TX1_DL EQU 0x4000a03c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_BASE +CYDEV_CAN0_TX2_BASE EQU 0x4000a040 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX2_SIZE +CYDEV_CAN0_TX2_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX2_CMD +CYREG_CAN0_TX2_CMD EQU 0x4000a040 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX2_ID +CYREG_CAN0_TX2_ID EQU 0x4000a044 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX2_DH +CYREG_CAN0_TX2_DH EQU 0x4000a048 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX2_DL +CYREG_CAN0_TX2_DL EQU 0x4000a04c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_BASE +CYDEV_CAN0_TX3_BASE EQU 0x4000a050 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX3_SIZE +CYDEV_CAN0_TX3_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX3_CMD +CYREG_CAN0_TX3_CMD EQU 0x4000a050 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX3_ID +CYREG_CAN0_TX3_ID EQU 0x4000a054 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX3_DH +CYREG_CAN0_TX3_DH EQU 0x4000a058 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX3_DL +CYREG_CAN0_TX3_DL EQU 0x4000a05c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_BASE +CYDEV_CAN0_TX4_BASE EQU 0x4000a060 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX4_SIZE +CYDEV_CAN0_TX4_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX4_CMD +CYREG_CAN0_TX4_CMD EQU 0x4000a060 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX4_ID +CYREG_CAN0_TX4_ID EQU 0x4000a064 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX4_DH +CYREG_CAN0_TX4_DH EQU 0x4000a068 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX4_DL +CYREG_CAN0_TX4_DL EQU 0x4000a06c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_BASE +CYDEV_CAN0_TX5_BASE EQU 0x4000a070 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX5_SIZE +CYDEV_CAN0_TX5_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX5_CMD +CYREG_CAN0_TX5_CMD EQU 0x4000a070 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX5_ID +CYREG_CAN0_TX5_ID EQU 0x4000a074 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX5_DH +CYREG_CAN0_TX5_DH EQU 0x4000a078 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX5_DL +CYREG_CAN0_TX5_DL EQU 0x4000a07c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_BASE +CYDEV_CAN0_TX6_BASE EQU 0x4000a080 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX6_SIZE +CYDEV_CAN0_TX6_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX6_CMD +CYREG_CAN0_TX6_CMD EQU 0x4000a080 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX6_ID +CYREG_CAN0_TX6_ID EQU 0x4000a084 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX6_DH +CYREG_CAN0_TX6_DH EQU 0x4000a088 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX6_DL +CYREG_CAN0_TX6_DL EQU 0x4000a08c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_BASE +CYDEV_CAN0_TX7_BASE EQU 0x4000a090 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_TX7_SIZE +CYDEV_CAN0_TX7_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX7_CMD +CYREG_CAN0_TX7_CMD EQU 0x4000a090 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX7_ID +CYREG_CAN0_TX7_ID EQU 0x4000a094 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX7_DH +CYREG_CAN0_TX7_DH EQU 0x4000a098 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_TX7_DL +CYREG_CAN0_TX7_DL EQU 0x4000a09c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_BASE +CYDEV_CAN0_RX0_BASE EQU 0x4000a0a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX0_SIZE +CYDEV_CAN0_RX0_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_CMD +CYREG_CAN0_RX0_CMD EQU 0x4000a0a0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_ID +CYREG_CAN0_RX0_ID EQU 0x4000a0a4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_DH +CYREG_CAN0_RX0_DH EQU 0x4000a0a8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_DL +CYREG_CAN0_RX0_DL EQU 0x4000a0ac + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_AMR +CYREG_CAN0_RX0_AMR EQU 0x4000a0b0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_ACR +CYREG_CAN0_RX0_ACR EQU 0x4000a0b4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_AMRD +CYREG_CAN0_RX0_AMRD EQU 0x4000a0b8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX0_ACRD +CYREG_CAN0_RX0_ACRD EQU 0x4000a0bc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_BASE +CYDEV_CAN0_RX1_BASE EQU 0x4000a0c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX1_SIZE +CYDEV_CAN0_RX1_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_CMD +CYREG_CAN0_RX1_CMD EQU 0x4000a0c0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_ID +CYREG_CAN0_RX1_ID EQU 0x4000a0c4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_DH +CYREG_CAN0_RX1_DH EQU 0x4000a0c8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_DL +CYREG_CAN0_RX1_DL EQU 0x4000a0cc + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_AMR +CYREG_CAN0_RX1_AMR EQU 0x4000a0d0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_ACR +CYREG_CAN0_RX1_ACR EQU 0x4000a0d4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_AMRD +CYREG_CAN0_RX1_AMRD EQU 0x4000a0d8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX1_ACRD +CYREG_CAN0_RX1_ACRD EQU 0x4000a0dc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_BASE +CYDEV_CAN0_RX2_BASE EQU 0x4000a0e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX2_SIZE +CYDEV_CAN0_RX2_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_CMD +CYREG_CAN0_RX2_CMD EQU 0x4000a0e0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_ID +CYREG_CAN0_RX2_ID EQU 0x4000a0e4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_DH +CYREG_CAN0_RX2_DH EQU 0x4000a0e8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_DL +CYREG_CAN0_RX2_DL EQU 0x4000a0ec + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_AMR +CYREG_CAN0_RX2_AMR EQU 0x4000a0f0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_ACR +CYREG_CAN0_RX2_ACR EQU 0x4000a0f4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_AMRD +CYREG_CAN0_RX2_AMRD EQU 0x4000a0f8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX2_ACRD +CYREG_CAN0_RX2_ACRD EQU 0x4000a0fc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_BASE +CYDEV_CAN0_RX3_BASE EQU 0x4000a100 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX3_SIZE +CYDEV_CAN0_RX3_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_CMD +CYREG_CAN0_RX3_CMD EQU 0x4000a100 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_ID +CYREG_CAN0_RX3_ID EQU 0x4000a104 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_DH +CYREG_CAN0_RX3_DH EQU 0x4000a108 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_DL +CYREG_CAN0_RX3_DL EQU 0x4000a10c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_AMR +CYREG_CAN0_RX3_AMR EQU 0x4000a110 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_ACR +CYREG_CAN0_RX3_ACR EQU 0x4000a114 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_AMRD +CYREG_CAN0_RX3_AMRD EQU 0x4000a118 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX3_ACRD +CYREG_CAN0_RX3_ACRD EQU 0x4000a11c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_BASE +CYDEV_CAN0_RX4_BASE EQU 0x4000a120 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX4_SIZE +CYDEV_CAN0_RX4_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_CMD +CYREG_CAN0_RX4_CMD EQU 0x4000a120 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_ID +CYREG_CAN0_RX4_ID EQU 0x4000a124 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_DH +CYREG_CAN0_RX4_DH EQU 0x4000a128 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_DL +CYREG_CAN0_RX4_DL EQU 0x4000a12c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_AMR +CYREG_CAN0_RX4_AMR EQU 0x4000a130 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_ACR +CYREG_CAN0_RX4_ACR EQU 0x4000a134 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_AMRD +CYREG_CAN0_RX4_AMRD EQU 0x4000a138 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX4_ACRD +CYREG_CAN0_RX4_ACRD EQU 0x4000a13c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_BASE +CYDEV_CAN0_RX5_BASE EQU 0x4000a140 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX5_SIZE +CYDEV_CAN0_RX5_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_CMD +CYREG_CAN0_RX5_CMD EQU 0x4000a140 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_ID +CYREG_CAN0_RX5_ID EQU 0x4000a144 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_DH +CYREG_CAN0_RX5_DH EQU 0x4000a148 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_DL +CYREG_CAN0_RX5_DL EQU 0x4000a14c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_AMR +CYREG_CAN0_RX5_AMR EQU 0x4000a150 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_ACR +CYREG_CAN0_RX5_ACR EQU 0x4000a154 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_AMRD +CYREG_CAN0_RX5_AMRD EQU 0x4000a158 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX5_ACRD +CYREG_CAN0_RX5_ACRD EQU 0x4000a15c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_BASE +CYDEV_CAN0_RX6_BASE EQU 0x4000a160 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX6_SIZE +CYDEV_CAN0_RX6_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_CMD +CYREG_CAN0_RX6_CMD EQU 0x4000a160 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_ID +CYREG_CAN0_RX6_ID EQU 0x4000a164 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_DH +CYREG_CAN0_RX6_DH EQU 0x4000a168 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_DL +CYREG_CAN0_RX6_DL EQU 0x4000a16c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_AMR +CYREG_CAN0_RX6_AMR EQU 0x4000a170 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_ACR +CYREG_CAN0_RX6_ACR EQU 0x4000a174 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_AMRD +CYREG_CAN0_RX6_AMRD EQU 0x4000a178 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX6_ACRD +CYREG_CAN0_RX6_ACRD EQU 0x4000a17c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_BASE +CYDEV_CAN0_RX7_BASE EQU 0x4000a180 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX7_SIZE +CYDEV_CAN0_RX7_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_CMD +CYREG_CAN0_RX7_CMD EQU 0x4000a180 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_ID +CYREG_CAN0_RX7_ID EQU 0x4000a184 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_DH +CYREG_CAN0_RX7_DH EQU 0x4000a188 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_DL +CYREG_CAN0_RX7_DL EQU 0x4000a18c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_AMR +CYREG_CAN0_RX7_AMR EQU 0x4000a190 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_ACR +CYREG_CAN0_RX7_ACR EQU 0x4000a194 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_AMRD +CYREG_CAN0_RX7_AMRD EQU 0x4000a198 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX7_ACRD +CYREG_CAN0_RX7_ACRD EQU 0x4000a19c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_BASE +CYDEV_CAN0_RX8_BASE EQU 0x4000a1a0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX8_SIZE +CYDEV_CAN0_RX8_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_CMD +CYREG_CAN0_RX8_CMD EQU 0x4000a1a0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_ID +CYREG_CAN0_RX8_ID EQU 0x4000a1a4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_DH +CYREG_CAN0_RX8_DH EQU 0x4000a1a8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_DL +CYREG_CAN0_RX8_DL EQU 0x4000a1ac + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_AMR +CYREG_CAN0_RX8_AMR EQU 0x4000a1b0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_ACR +CYREG_CAN0_RX8_ACR EQU 0x4000a1b4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_AMRD +CYREG_CAN0_RX8_AMRD EQU 0x4000a1b8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX8_ACRD +CYREG_CAN0_RX8_ACRD EQU 0x4000a1bc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_BASE +CYDEV_CAN0_RX9_BASE EQU 0x4000a1c0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX9_SIZE +CYDEV_CAN0_RX9_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_CMD +CYREG_CAN0_RX9_CMD EQU 0x4000a1c0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_ID +CYREG_CAN0_RX9_ID EQU 0x4000a1c4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_DH +CYREG_CAN0_RX9_DH EQU 0x4000a1c8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_DL +CYREG_CAN0_RX9_DL EQU 0x4000a1cc + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_AMR +CYREG_CAN0_RX9_AMR EQU 0x4000a1d0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_ACR +CYREG_CAN0_RX9_ACR EQU 0x4000a1d4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_AMRD +CYREG_CAN0_RX9_AMRD EQU 0x4000a1d8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX9_ACRD +CYREG_CAN0_RX9_ACRD EQU 0x4000a1dc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_BASE +CYDEV_CAN0_RX10_BASE EQU 0x4000a1e0 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX10_SIZE +CYDEV_CAN0_RX10_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_CMD +CYREG_CAN0_RX10_CMD EQU 0x4000a1e0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_ID +CYREG_CAN0_RX10_ID EQU 0x4000a1e4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_DH +CYREG_CAN0_RX10_DH EQU 0x4000a1e8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_DL +CYREG_CAN0_RX10_DL EQU 0x4000a1ec + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_AMR +CYREG_CAN0_RX10_AMR EQU 0x4000a1f0 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_ACR +CYREG_CAN0_RX10_ACR EQU 0x4000a1f4 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_AMRD +CYREG_CAN0_RX10_AMRD EQU 0x4000a1f8 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX10_ACRD +CYREG_CAN0_RX10_ACRD EQU 0x4000a1fc + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_BASE +CYDEV_CAN0_RX11_BASE EQU 0x4000a200 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX11_SIZE +CYDEV_CAN0_RX11_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_CMD +CYREG_CAN0_RX11_CMD EQU 0x4000a200 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_ID +CYREG_CAN0_RX11_ID EQU 0x4000a204 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_DH +CYREG_CAN0_RX11_DH EQU 0x4000a208 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_DL +CYREG_CAN0_RX11_DL EQU 0x4000a20c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_AMR +CYREG_CAN0_RX11_AMR EQU 0x4000a210 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_ACR +CYREG_CAN0_RX11_ACR EQU 0x4000a214 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_AMRD +CYREG_CAN0_RX11_AMRD EQU 0x4000a218 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX11_ACRD +CYREG_CAN0_RX11_ACRD EQU 0x4000a21c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_BASE +CYDEV_CAN0_RX12_BASE EQU 0x4000a220 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX12_SIZE +CYDEV_CAN0_RX12_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_CMD +CYREG_CAN0_RX12_CMD EQU 0x4000a220 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_ID +CYREG_CAN0_RX12_ID EQU 0x4000a224 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_DH +CYREG_CAN0_RX12_DH EQU 0x4000a228 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_DL +CYREG_CAN0_RX12_DL EQU 0x4000a22c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_AMR +CYREG_CAN0_RX12_AMR EQU 0x4000a230 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_ACR +CYREG_CAN0_RX12_ACR EQU 0x4000a234 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_AMRD +CYREG_CAN0_RX12_AMRD EQU 0x4000a238 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX12_ACRD +CYREG_CAN0_RX12_ACRD EQU 0x4000a23c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_BASE +CYDEV_CAN0_RX13_BASE EQU 0x4000a240 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX13_SIZE +CYDEV_CAN0_RX13_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_CMD +CYREG_CAN0_RX13_CMD EQU 0x4000a240 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_ID +CYREG_CAN0_RX13_ID EQU 0x4000a244 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_DH +CYREG_CAN0_RX13_DH EQU 0x4000a248 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_DL +CYREG_CAN0_RX13_DL EQU 0x4000a24c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_AMR +CYREG_CAN0_RX13_AMR EQU 0x4000a250 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_ACR +CYREG_CAN0_RX13_ACR EQU 0x4000a254 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_AMRD +CYREG_CAN0_RX13_AMRD EQU 0x4000a258 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX13_ACRD +CYREG_CAN0_RX13_ACRD EQU 0x4000a25c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_BASE +CYDEV_CAN0_RX14_BASE EQU 0x4000a260 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX14_SIZE +CYDEV_CAN0_RX14_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_CMD +CYREG_CAN0_RX14_CMD EQU 0x4000a260 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_ID +CYREG_CAN0_RX14_ID EQU 0x4000a264 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_DH +CYREG_CAN0_RX14_DH EQU 0x4000a268 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_DL +CYREG_CAN0_RX14_DL EQU 0x4000a26c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_AMR +CYREG_CAN0_RX14_AMR EQU 0x4000a270 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_ACR +CYREG_CAN0_RX14_ACR EQU 0x4000a274 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_AMRD +CYREG_CAN0_RX14_AMRD EQU 0x4000a278 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX14_ACRD +CYREG_CAN0_RX14_ACRD EQU 0x4000a27c + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_BASE +CYDEV_CAN0_RX15_BASE EQU 0x4000a280 + ENDIF + IF :LNOT::DEF:CYDEV_CAN0_RX15_SIZE +CYDEV_CAN0_RX15_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_CMD +CYREG_CAN0_RX15_CMD EQU 0x4000a280 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_ID +CYREG_CAN0_RX15_ID EQU 0x4000a284 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_DH +CYREG_CAN0_RX15_DH EQU 0x4000a288 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_DL +CYREG_CAN0_RX15_DL EQU 0x4000a28c + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_AMR +CYREG_CAN0_RX15_AMR EQU 0x4000a290 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_ACR +CYREG_CAN0_RX15_ACR EQU 0x4000a294 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_AMRD +CYREG_CAN0_RX15_AMRD EQU 0x4000a298 + ENDIF + IF :LNOT::DEF:CYREG_CAN0_RX15_ACRD +CYREG_CAN0_RX15_ACRD EQU 0x4000a29c + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_BASE +CYDEV_DFB0_BASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_SIZE +CYDEV_DFB0_SIZE EQU 0x000007b5 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_BASE +CYDEV_DFB0_DPA_SRAM_BASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPA_SRAM_SIZE +CYDEV_DFB0_DPA_SRAM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DPA_SRAM_DATA_MBASE +CYREG_DFB0_DPA_SRAM_DATA_MBASE EQU 0x4000c000 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DPA_SRAM_DATA_MSIZE +CYREG_DFB0_DPA_SRAM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_BASE +CYDEV_DFB0_DPB_SRAM_BASE EQU 0x4000c200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_DPB_SRAM_SIZE +CYDEV_DFB0_DPB_SRAM_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DPB_SRAM_DATA_MBASE +CYREG_DFB0_DPB_SRAM_DATA_MBASE EQU 0x4000c200 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DPB_SRAM_DATA_MSIZE +CYREG_DFB0_DPB_SRAM_DATA_MSIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_BASE +CYDEV_DFB0_CSA_SRAM_BASE EQU 0x4000c400 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSA_SRAM_SIZE +CYDEV_DFB0_CSA_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CSA_SRAM_DATA_MBASE +CYREG_DFB0_CSA_SRAM_DATA_MBASE EQU 0x4000c400 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CSA_SRAM_DATA_MSIZE +CYREG_DFB0_CSA_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_BASE +CYDEV_DFB0_CSB_SRAM_BASE EQU 0x4000c500 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_CSB_SRAM_SIZE +CYDEV_DFB0_CSB_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CSB_SRAM_DATA_MBASE +CYREG_DFB0_CSB_SRAM_DATA_MBASE EQU 0x4000c500 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CSB_SRAM_DATA_MSIZE +CYREG_DFB0_CSB_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_BASE +CYDEV_DFB0_FSM_SRAM_BASE EQU 0x4000c600 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_FSM_SRAM_SIZE +CYDEV_DFB0_FSM_SRAM_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_FSM_SRAM_DATA_MBASE +CYREG_DFB0_FSM_SRAM_DATA_MBASE EQU 0x4000c600 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_FSM_SRAM_DATA_MSIZE +CYREG_DFB0_FSM_SRAM_DATA_MSIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_BASE +CYDEV_DFB0_ACU_SRAM_BASE EQU 0x4000c700 + ENDIF + IF :LNOT::DEF:CYDEV_DFB0_ACU_SRAM_SIZE +CYDEV_DFB0_ACU_SRAM_SIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_ACU_SRAM_DATA_MBASE +CYREG_DFB0_ACU_SRAM_DATA_MBASE EQU 0x4000c700 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_ACU_SRAM_DATA_MSIZE +CYREG_DFB0_ACU_SRAM_DATA_MSIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_CR +CYREG_DFB0_CR EQU 0x4000c780 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_SR +CYREG_DFB0_SR EQU 0x4000c784 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_RAM_EN +CYREG_DFB0_RAM_EN EQU 0x4000c788 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_RAM_DIR +CYREG_DFB0_RAM_DIR EQU 0x4000c78c + ENDIF + IF :LNOT::DEF:CYREG_DFB0_SEMA +CYREG_DFB0_SEMA EQU 0x4000c790 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DSI_CTRL +CYREG_DFB0_DSI_CTRL EQU 0x4000c794 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_INT_CTRL +CYREG_DFB0_INT_CTRL EQU 0x4000c798 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DMA_CTRL +CYREG_DFB0_DMA_CTRL EQU 0x4000c79c + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEA +CYREG_DFB0_STAGEA EQU 0x4000c7a0 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEAM +CYREG_DFB0_STAGEAM EQU 0x4000c7a1 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEAH +CYREG_DFB0_STAGEAH EQU 0x4000c7a2 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEB +CYREG_DFB0_STAGEB EQU 0x4000c7a4 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEBM +CYREG_DFB0_STAGEBM EQU 0x4000c7a5 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_STAGEBH +CYREG_DFB0_STAGEBH EQU 0x4000c7a6 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDA +CYREG_DFB0_HOLDA EQU 0x4000c7a8 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDAM +CYREG_DFB0_HOLDAM EQU 0x4000c7a9 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDAH +CYREG_DFB0_HOLDAH EQU 0x4000c7aa + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDAS +CYREG_DFB0_HOLDAS EQU 0x4000c7ab + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDB +CYREG_DFB0_HOLDB EQU 0x4000c7ac + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDBM +CYREG_DFB0_HOLDBM EQU 0x4000c7ad + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDBH +CYREG_DFB0_HOLDBH EQU 0x4000c7ae + ENDIF + IF :LNOT::DEF:CYREG_DFB0_HOLDBS +CYREG_DFB0_HOLDBS EQU 0x4000c7af + ENDIF + IF :LNOT::DEF:CYREG_DFB0_COHER +CYREG_DFB0_COHER EQU 0x4000c7b0 + ENDIF + IF :LNOT::DEF:CYREG_DFB0_DALIGN +CYREG_DFB0_DALIGN EQU 0x4000c7b4 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BASE +CYDEV_UCFG_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_SIZE +CYDEV_UCFG_SIZE EQU 0x00005040 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_BASE +CYDEV_UCFG_B0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_SIZE +CYDEV_UCFG_B0_SIZE EQU 0x00000fef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_BASE +CYDEV_UCFG_B0_P0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_SIZE +CYDEV_UCFG_B0_P0_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_BASE +CYDEV_UCFG_B0_P0_U0_BASE EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U0_SIZE +CYDEV_UCFG_B0_P0_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT0 +CYREG_B0_P0_U0_PLD_IT0 EQU 0x40010000 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT1 +CYREG_B0_P0_U0_PLD_IT1 EQU 0x40010004 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT2 +CYREG_B0_P0_U0_PLD_IT2 EQU 0x40010008 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT3 +CYREG_B0_P0_U0_PLD_IT3 EQU 0x4001000c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT4 +CYREG_B0_P0_U0_PLD_IT4 EQU 0x40010010 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT5 +CYREG_B0_P0_U0_PLD_IT5 EQU 0x40010014 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT6 +CYREG_B0_P0_U0_PLD_IT6 EQU 0x40010018 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT7 +CYREG_B0_P0_U0_PLD_IT7 EQU 0x4001001c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT8 +CYREG_B0_P0_U0_PLD_IT8 EQU 0x40010020 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT9 +CYREG_B0_P0_U0_PLD_IT9 EQU 0x40010024 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT10 +CYREG_B0_P0_U0_PLD_IT10 EQU 0x40010028 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_IT11 +CYREG_B0_P0_U0_PLD_IT11 EQU 0x4001002c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_ORT0 +CYREG_B0_P0_U0_PLD_ORT0 EQU 0x40010030 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_ORT1 +CYREG_B0_P0_U0_PLD_ORT1 EQU 0x40010032 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_ORT2 +CYREG_B0_P0_U0_PLD_ORT2 EQU 0x40010034 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_PLD_ORT3 +CYREG_B0_P0_U0_PLD_ORT3 EQU 0x40010036 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_MC_CFG_CEN_CONST +CYREG_B0_P0_U0_MC_CFG_CEN_CONST EQU 0x40010038 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_MC_CFG_XORFB +CYREG_B0_P0_U0_MC_CFG_XORFB EQU 0x4001003a + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_MC_CFG_SET_RESET +CYREG_B0_P0_U0_MC_CFG_SET_RESET EQU 0x4001003c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_MC_CFG_BYPASS +CYREG_B0_P0_U0_MC_CFG_BYPASS EQU 0x4001003e + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG0 +CYREG_B0_P0_U0_CFG0 EQU 0x40010040 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG1 +CYREG_B0_P0_U0_CFG1 EQU 0x40010041 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG2 +CYREG_B0_P0_U0_CFG2 EQU 0x40010042 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG3 +CYREG_B0_P0_U0_CFG3 EQU 0x40010043 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG4 +CYREG_B0_P0_U0_CFG4 EQU 0x40010044 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG5 +CYREG_B0_P0_U0_CFG5 EQU 0x40010045 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG6 +CYREG_B0_P0_U0_CFG6 EQU 0x40010046 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG7 +CYREG_B0_P0_U0_CFG7 EQU 0x40010047 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG8 +CYREG_B0_P0_U0_CFG8 EQU 0x40010048 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG9 +CYREG_B0_P0_U0_CFG9 EQU 0x40010049 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG10 +CYREG_B0_P0_U0_CFG10 EQU 0x4001004a + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG11 +CYREG_B0_P0_U0_CFG11 EQU 0x4001004b + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG12 +CYREG_B0_P0_U0_CFG12 EQU 0x4001004c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG13 +CYREG_B0_P0_U0_CFG13 EQU 0x4001004d + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG14 +CYREG_B0_P0_U0_CFG14 EQU 0x4001004e + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG15 +CYREG_B0_P0_U0_CFG15 EQU 0x4001004f + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG16 +CYREG_B0_P0_U0_CFG16 EQU 0x40010050 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG17 +CYREG_B0_P0_U0_CFG17 EQU 0x40010051 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG18 +CYREG_B0_P0_U0_CFG18 EQU 0x40010052 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG19 +CYREG_B0_P0_U0_CFG19 EQU 0x40010053 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG20 +CYREG_B0_P0_U0_CFG20 EQU 0x40010054 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG21 +CYREG_B0_P0_U0_CFG21 EQU 0x40010055 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG22 +CYREG_B0_P0_U0_CFG22 EQU 0x40010056 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG23 +CYREG_B0_P0_U0_CFG23 EQU 0x40010057 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG24 +CYREG_B0_P0_U0_CFG24 EQU 0x40010058 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG25 +CYREG_B0_P0_U0_CFG25 EQU 0x40010059 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG26 +CYREG_B0_P0_U0_CFG26 EQU 0x4001005a + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG27 +CYREG_B0_P0_U0_CFG27 EQU 0x4001005b + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG28 +CYREG_B0_P0_U0_CFG28 EQU 0x4001005c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG29 +CYREG_B0_P0_U0_CFG29 EQU 0x4001005d + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG30 +CYREG_B0_P0_U0_CFG30 EQU 0x4001005e + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_CFG31 +CYREG_B0_P0_U0_CFG31 EQU 0x4001005f + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG0 +CYREG_B0_P0_U0_DCFG0 EQU 0x40010060 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG1 +CYREG_B0_P0_U0_DCFG1 EQU 0x40010062 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG2 +CYREG_B0_P0_U0_DCFG2 EQU 0x40010064 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG3 +CYREG_B0_P0_U0_DCFG3 EQU 0x40010066 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG4 +CYREG_B0_P0_U0_DCFG4 EQU 0x40010068 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG5 +CYREG_B0_P0_U0_DCFG5 EQU 0x4001006a + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG6 +CYREG_B0_P0_U0_DCFG6 EQU 0x4001006c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U0_DCFG7 +CYREG_B0_P0_U0_DCFG7 EQU 0x4001006e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_BASE +CYDEV_UCFG_B0_P0_U1_BASE EQU 0x40010080 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_U1_SIZE +CYDEV_UCFG_B0_P0_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT0 +CYREG_B0_P0_U1_PLD_IT0 EQU 0x40010080 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT1 +CYREG_B0_P0_U1_PLD_IT1 EQU 0x40010084 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT2 +CYREG_B0_P0_U1_PLD_IT2 EQU 0x40010088 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT3 +CYREG_B0_P0_U1_PLD_IT3 EQU 0x4001008c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT4 +CYREG_B0_P0_U1_PLD_IT4 EQU 0x40010090 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT5 +CYREG_B0_P0_U1_PLD_IT5 EQU 0x40010094 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT6 +CYREG_B0_P0_U1_PLD_IT6 EQU 0x40010098 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT7 +CYREG_B0_P0_U1_PLD_IT7 EQU 0x4001009c + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT8 +CYREG_B0_P0_U1_PLD_IT8 EQU 0x400100a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT9 +CYREG_B0_P0_U1_PLD_IT9 EQU 0x400100a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT10 +CYREG_B0_P0_U1_PLD_IT10 EQU 0x400100a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_IT11 +CYREG_B0_P0_U1_PLD_IT11 EQU 0x400100ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_ORT0 +CYREG_B0_P0_U1_PLD_ORT0 EQU 0x400100b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_ORT1 +CYREG_B0_P0_U1_PLD_ORT1 EQU 0x400100b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_ORT2 +CYREG_B0_P0_U1_PLD_ORT2 EQU 0x400100b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_PLD_ORT3 +CYREG_B0_P0_U1_PLD_ORT3 EQU 0x400100b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_MC_CFG_CEN_CONST +CYREG_B0_P0_U1_MC_CFG_CEN_CONST EQU 0x400100b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_MC_CFG_XORFB +CYREG_B0_P0_U1_MC_CFG_XORFB EQU 0x400100ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_MC_CFG_SET_RESET +CYREG_B0_P0_U1_MC_CFG_SET_RESET EQU 0x400100bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_MC_CFG_BYPASS +CYREG_B0_P0_U1_MC_CFG_BYPASS EQU 0x400100be + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG0 +CYREG_B0_P0_U1_CFG0 EQU 0x400100c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG1 +CYREG_B0_P0_U1_CFG1 EQU 0x400100c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG2 +CYREG_B0_P0_U1_CFG2 EQU 0x400100c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG3 +CYREG_B0_P0_U1_CFG3 EQU 0x400100c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG4 +CYREG_B0_P0_U1_CFG4 EQU 0x400100c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG5 +CYREG_B0_P0_U1_CFG5 EQU 0x400100c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG6 +CYREG_B0_P0_U1_CFG6 EQU 0x400100c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG7 +CYREG_B0_P0_U1_CFG7 EQU 0x400100c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG8 +CYREG_B0_P0_U1_CFG8 EQU 0x400100c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG9 +CYREG_B0_P0_U1_CFG9 EQU 0x400100c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG10 +CYREG_B0_P0_U1_CFG10 EQU 0x400100ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG11 +CYREG_B0_P0_U1_CFG11 EQU 0x400100cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG12 +CYREG_B0_P0_U1_CFG12 EQU 0x400100cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG13 +CYREG_B0_P0_U1_CFG13 EQU 0x400100cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG14 +CYREG_B0_P0_U1_CFG14 EQU 0x400100ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG15 +CYREG_B0_P0_U1_CFG15 EQU 0x400100cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG16 +CYREG_B0_P0_U1_CFG16 EQU 0x400100d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG17 +CYREG_B0_P0_U1_CFG17 EQU 0x400100d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG18 +CYREG_B0_P0_U1_CFG18 EQU 0x400100d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG19 +CYREG_B0_P0_U1_CFG19 EQU 0x400100d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG20 +CYREG_B0_P0_U1_CFG20 EQU 0x400100d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG21 +CYREG_B0_P0_U1_CFG21 EQU 0x400100d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG22 +CYREG_B0_P0_U1_CFG22 EQU 0x400100d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG23 +CYREG_B0_P0_U1_CFG23 EQU 0x400100d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG24 +CYREG_B0_P0_U1_CFG24 EQU 0x400100d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG25 +CYREG_B0_P0_U1_CFG25 EQU 0x400100d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG26 +CYREG_B0_P0_U1_CFG26 EQU 0x400100da + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG27 +CYREG_B0_P0_U1_CFG27 EQU 0x400100db + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG28 +CYREG_B0_P0_U1_CFG28 EQU 0x400100dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG29 +CYREG_B0_P0_U1_CFG29 EQU 0x400100dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG30 +CYREG_B0_P0_U1_CFG30 EQU 0x400100de + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_CFG31 +CYREG_B0_P0_U1_CFG31 EQU 0x400100df + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG0 +CYREG_B0_P0_U1_DCFG0 EQU 0x400100e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG1 +CYREG_B0_P0_U1_DCFG1 EQU 0x400100e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG2 +CYREG_B0_P0_U1_DCFG2 EQU 0x400100e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG3 +CYREG_B0_P0_U1_DCFG3 EQU 0x400100e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG4 +CYREG_B0_P0_U1_DCFG4 EQU 0x400100e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG5 +CYREG_B0_P0_U1_DCFG5 EQU 0x400100ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG6 +CYREG_B0_P0_U1_DCFG6 EQU 0x400100ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P0_U1_DCFG7 +CYREG_B0_P0_U1_DCFG7 EQU 0x400100ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_ROUTE_BASE +CYDEV_UCFG_B0_P0_ROUTE_BASE EQU 0x40010100 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P0_ROUTE_SIZE +CYDEV_UCFG_B0_P0_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_BASE +CYDEV_UCFG_B0_P1_BASE EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_SIZE +CYDEV_UCFG_B0_P1_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_BASE +CYDEV_UCFG_B0_P1_U0_BASE EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U0_SIZE +CYDEV_UCFG_B0_P1_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT0 +CYREG_B0_P1_U0_PLD_IT0 EQU 0x40010200 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT1 +CYREG_B0_P1_U0_PLD_IT1 EQU 0x40010204 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT2 +CYREG_B0_P1_U0_PLD_IT2 EQU 0x40010208 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT3 +CYREG_B0_P1_U0_PLD_IT3 EQU 0x4001020c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT4 +CYREG_B0_P1_U0_PLD_IT4 EQU 0x40010210 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT5 +CYREG_B0_P1_U0_PLD_IT5 EQU 0x40010214 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT6 +CYREG_B0_P1_U0_PLD_IT6 EQU 0x40010218 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT7 +CYREG_B0_P1_U0_PLD_IT7 EQU 0x4001021c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT8 +CYREG_B0_P1_U0_PLD_IT8 EQU 0x40010220 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT9 +CYREG_B0_P1_U0_PLD_IT9 EQU 0x40010224 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT10 +CYREG_B0_P1_U0_PLD_IT10 EQU 0x40010228 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_IT11 +CYREG_B0_P1_U0_PLD_IT11 EQU 0x4001022c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_ORT0 +CYREG_B0_P1_U0_PLD_ORT0 EQU 0x40010230 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_ORT1 +CYREG_B0_P1_U0_PLD_ORT1 EQU 0x40010232 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_ORT2 +CYREG_B0_P1_U0_PLD_ORT2 EQU 0x40010234 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_PLD_ORT3 +CYREG_B0_P1_U0_PLD_ORT3 EQU 0x40010236 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_MC_CFG_CEN_CONST +CYREG_B0_P1_U0_MC_CFG_CEN_CONST EQU 0x40010238 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_MC_CFG_XORFB +CYREG_B0_P1_U0_MC_CFG_XORFB EQU 0x4001023a + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_MC_CFG_SET_RESET +CYREG_B0_P1_U0_MC_CFG_SET_RESET EQU 0x4001023c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_MC_CFG_BYPASS +CYREG_B0_P1_U0_MC_CFG_BYPASS EQU 0x4001023e + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG0 +CYREG_B0_P1_U0_CFG0 EQU 0x40010240 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG1 +CYREG_B0_P1_U0_CFG1 EQU 0x40010241 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG2 +CYREG_B0_P1_U0_CFG2 EQU 0x40010242 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG3 +CYREG_B0_P1_U0_CFG3 EQU 0x40010243 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG4 +CYREG_B0_P1_U0_CFG4 EQU 0x40010244 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG5 +CYREG_B0_P1_U0_CFG5 EQU 0x40010245 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG6 +CYREG_B0_P1_U0_CFG6 EQU 0x40010246 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG7 +CYREG_B0_P1_U0_CFG7 EQU 0x40010247 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG8 +CYREG_B0_P1_U0_CFG8 EQU 0x40010248 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG9 +CYREG_B0_P1_U0_CFG9 EQU 0x40010249 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG10 +CYREG_B0_P1_U0_CFG10 EQU 0x4001024a + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG11 +CYREG_B0_P1_U0_CFG11 EQU 0x4001024b + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG12 +CYREG_B0_P1_U0_CFG12 EQU 0x4001024c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG13 +CYREG_B0_P1_U0_CFG13 EQU 0x4001024d + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG14 +CYREG_B0_P1_U0_CFG14 EQU 0x4001024e + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG15 +CYREG_B0_P1_U0_CFG15 EQU 0x4001024f + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG16 +CYREG_B0_P1_U0_CFG16 EQU 0x40010250 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG17 +CYREG_B0_P1_U0_CFG17 EQU 0x40010251 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG18 +CYREG_B0_P1_U0_CFG18 EQU 0x40010252 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG19 +CYREG_B0_P1_U0_CFG19 EQU 0x40010253 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG20 +CYREG_B0_P1_U0_CFG20 EQU 0x40010254 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG21 +CYREG_B0_P1_U0_CFG21 EQU 0x40010255 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG22 +CYREG_B0_P1_U0_CFG22 EQU 0x40010256 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG23 +CYREG_B0_P1_U0_CFG23 EQU 0x40010257 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG24 +CYREG_B0_P1_U0_CFG24 EQU 0x40010258 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG25 +CYREG_B0_P1_U0_CFG25 EQU 0x40010259 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG26 +CYREG_B0_P1_U0_CFG26 EQU 0x4001025a + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG27 +CYREG_B0_P1_U0_CFG27 EQU 0x4001025b + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG28 +CYREG_B0_P1_U0_CFG28 EQU 0x4001025c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG29 +CYREG_B0_P1_U0_CFG29 EQU 0x4001025d + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG30 +CYREG_B0_P1_U0_CFG30 EQU 0x4001025e + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_CFG31 +CYREG_B0_P1_U0_CFG31 EQU 0x4001025f + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG0 +CYREG_B0_P1_U0_DCFG0 EQU 0x40010260 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG1 +CYREG_B0_P1_U0_DCFG1 EQU 0x40010262 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG2 +CYREG_B0_P1_U0_DCFG2 EQU 0x40010264 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG3 +CYREG_B0_P1_U0_DCFG3 EQU 0x40010266 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG4 +CYREG_B0_P1_U0_DCFG4 EQU 0x40010268 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG5 +CYREG_B0_P1_U0_DCFG5 EQU 0x4001026a + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG6 +CYREG_B0_P1_U0_DCFG6 EQU 0x4001026c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U0_DCFG7 +CYREG_B0_P1_U0_DCFG7 EQU 0x4001026e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_BASE +CYDEV_UCFG_B0_P1_U1_BASE EQU 0x40010280 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_U1_SIZE +CYDEV_UCFG_B0_P1_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT0 +CYREG_B0_P1_U1_PLD_IT0 EQU 0x40010280 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT1 +CYREG_B0_P1_U1_PLD_IT1 EQU 0x40010284 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT2 +CYREG_B0_P1_U1_PLD_IT2 EQU 0x40010288 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT3 +CYREG_B0_P1_U1_PLD_IT3 EQU 0x4001028c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT4 +CYREG_B0_P1_U1_PLD_IT4 EQU 0x40010290 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT5 +CYREG_B0_P1_U1_PLD_IT5 EQU 0x40010294 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT6 +CYREG_B0_P1_U1_PLD_IT6 EQU 0x40010298 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT7 +CYREG_B0_P1_U1_PLD_IT7 EQU 0x4001029c + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT8 +CYREG_B0_P1_U1_PLD_IT8 EQU 0x400102a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT9 +CYREG_B0_P1_U1_PLD_IT9 EQU 0x400102a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT10 +CYREG_B0_P1_U1_PLD_IT10 EQU 0x400102a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_IT11 +CYREG_B0_P1_U1_PLD_IT11 EQU 0x400102ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_ORT0 +CYREG_B0_P1_U1_PLD_ORT0 EQU 0x400102b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_ORT1 +CYREG_B0_P1_U1_PLD_ORT1 EQU 0x400102b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_ORT2 +CYREG_B0_P1_U1_PLD_ORT2 EQU 0x400102b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_PLD_ORT3 +CYREG_B0_P1_U1_PLD_ORT3 EQU 0x400102b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_MC_CFG_CEN_CONST +CYREG_B0_P1_U1_MC_CFG_CEN_CONST EQU 0x400102b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_MC_CFG_XORFB +CYREG_B0_P1_U1_MC_CFG_XORFB EQU 0x400102ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_MC_CFG_SET_RESET +CYREG_B0_P1_U1_MC_CFG_SET_RESET EQU 0x400102bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_MC_CFG_BYPASS +CYREG_B0_P1_U1_MC_CFG_BYPASS EQU 0x400102be + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG0 +CYREG_B0_P1_U1_CFG0 EQU 0x400102c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG1 +CYREG_B0_P1_U1_CFG1 EQU 0x400102c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG2 +CYREG_B0_P1_U1_CFG2 EQU 0x400102c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG3 +CYREG_B0_P1_U1_CFG3 EQU 0x400102c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG4 +CYREG_B0_P1_U1_CFG4 EQU 0x400102c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG5 +CYREG_B0_P1_U1_CFG5 EQU 0x400102c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG6 +CYREG_B0_P1_U1_CFG6 EQU 0x400102c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG7 +CYREG_B0_P1_U1_CFG7 EQU 0x400102c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG8 +CYREG_B0_P1_U1_CFG8 EQU 0x400102c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG9 +CYREG_B0_P1_U1_CFG9 EQU 0x400102c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG10 +CYREG_B0_P1_U1_CFG10 EQU 0x400102ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG11 +CYREG_B0_P1_U1_CFG11 EQU 0x400102cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG12 +CYREG_B0_P1_U1_CFG12 EQU 0x400102cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG13 +CYREG_B0_P1_U1_CFG13 EQU 0x400102cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG14 +CYREG_B0_P1_U1_CFG14 EQU 0x400102ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG15 +CYREG_B0_P1_U1_CFG15 EQU 0x400102cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG16 +CYREG_B0_P1_U1_CFG16 EQU 0x400102d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG17 +CYREG_B0_P1_U1_CFG17 EQU 0x400102d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG18 +CYREG_B0_P1_U1_CFG18 EQU 0x400102d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG19 +CYREG_B0_P1_U1_CFG19 EQU 0x400102d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG20 +CYREG_B0_P1_U1_CFG20 EQU 0x400102d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG21 +CYREG_B0_P1_U1_CFG21 EQU 0x400102d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG22 +CYREG_B0_P1_U1_CFG22 EQU 0x400102d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG23 +CYREG_B0_P1_U1_CFG23 EQU 0x400102d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG24 +CYREG_B0_P1_U1_CFG24 EQU 0x400102d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG25 +CYREG_B0_P1_U1_CFG25 EQU 0x400102d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG26 +CYREG_B0_P1_U1_CFG26 EQU 0x400102da + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG27 +CYREG_B0_P1_U1_CFG27 EQU 0x400102db + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG28 +CYREG_B0_P1_U1_CFG28 EQU 0x400102dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG29 +CYREG_B0_P1_U1_CFG29 EQU 0x400102dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG30 +CYREG_B0_P1_U1_CFG30 EQU 0x400102de + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_CFG31 +CYREG_B0_P1_U1_CFG31 EQU 0x400102df + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG0 +CYREG_B0_P1_U1_DCFG0 EQU 0x400102e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG1 +CYREG_B0_P1_U1_DCFG1 EQU 0x400102e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG2 +CYREG_B0_P1_U1_DCFG2 EQU 0x400102e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG3 +CYREG_B0_P1_U1_DCFG3 EQU 0x400102e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG4 +CYREG_B0_P1_U1_DCFG4 EQU 0x400102e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG5 +CYREG_B0_P1_U1_DCFG5 EQU 0x400102ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG6 +CYREG_B0_P1_U1_DCFG6 EQU 0x400102ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P1_U1_DCFG7 +CYREG_B0_P1_U1_DCFG7 EQU 0x400102ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_ROUTE_BASE +CYDEV_UCFG_B0_P1_ROUTE_BASE EQU 0x40010300 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P1_ROUTE_SIZE +CYDEV_UCFG_B0_P1_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_BASE +CYDEV_UCFG_B0_P2_BASE EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_SIZE +CYDEV_UCFG_B0_P2_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_BASE +CYDEV_UCFG_B0_P2_U0_BASE EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U0_SIZE +CYDEV_UCFG_B0_P2_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT0 +CYREG_B0_P2_U0_PLD_IT0 EQU 0x40010400 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT1 +CYREG_B0_P2_U0_PLD_IT1 EQU 0x40010404 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT2 +CYREG_B0_P2_U0_PLD_IT2 EQU 0x40010408 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT3 +CYREG_B0_P2_U0_PLD_IT3 EQU 0x4001040c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT4 +CYREG_B0_P2_U0_PLD_IT4 EQU 0x40010410 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT5 +CYREG_B0_P2_U0_PLD_IT5 EQU 0x40010414 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT6 +CYREG_B0_P2_U0_PLD_IT6 EQU 0x40010418 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT7 +CYREG_B0_P2_U0_PLD_IT7 EQU 0x4001041c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT8 +CYREG_B0_P2_U0_PLD_IT8 EQU 0x40010420 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT9 +CYREG_B0_P2_U0_PLD_IT9 EQU 0x40010424 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT10 +CYREG_B0_P2_U0_PLD_IT10 EQU 0x40010428 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_IT11 +CYREG_B0_P2_U0_PLD_IT11 EQU 0x4001042c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_ORT0 +CYREG_B0_P2_U0_PLD_ORT0 EQU 0x40010430 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_ORT1 +CYREG_B0_P2_U0_PLD_ORT1 EQU 0x40010432 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_ORT2 +CYREG_B0_P2_U0_PLD_ORT2 EQU 0x40010434 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_PLD_ORT3 +CYREG_B0_P2_U0_PLD_ORT3 EQU 0x40010436 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_MC_CFG_CEN_CONST +CYREG_B0_P2_U0_MC_CFG_CEN_CONST EQU 0x40010438 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_MC_CFG_XORFB +CYREG_B0_P2_U0_MC_CFG_XORFB EQU 0x4001043a + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_MC_CFG_SET_RESET +CYREG_B0_P2_U0_MC_CFG_SET_RESET EQU 0x4001043c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_MC_CFG_BYPASS +CYREG_B0_P2_U0_MC_CFG_BYPASS EQU 0x4001043e + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG0 +CYREG_B0_P2_U0_CFG0 EQU 0x40010440 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG1 +CYREG_B0_P2_U0_CFG1 EQU 0x40010441 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG2 +CYREG_B0_P2_U0_CFG2 EQU 0x40010442 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG3 +CYREG_B0_P2_U0_CFG3 EQU 0x40010443 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG4 +CYREG_B0_P2_U0_CFG4 EQU 0x40010444 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG5 +CYREG_B0_P2_U0_CFG5 EQU 0x40010445 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG6 +CYREG_B0_P2_U0_CFG6 EQU 0x40010446 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG7 +CYREG_B0_P2_U0_CFG7 EQU 0x40010447 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG8 +CYREG_B0_P2_U0_CFG8 EQU 0x40010448 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG9 +CYREG_B0_P2_U0_CFG9 EQU 0x40010449 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG10 +CYREG_B0_P2_U0_CFG10 EQU 0x4001044a + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG11 +CYREG_B0_P2_U0_CFG11 EQU 0x4001044b + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG12 +CYREG_B0_P2_U0_CFG12 EQU 0x4001044c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG13 +CYREG_B0_P2_U0_CFG13 EQU 0x4001044d + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG14 +CYREG_B0_P2_U0_CFG14 EQU 0x4001044e + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG15 +CYREG_B0_P2_U0_CFG15 EQU 0x4001044f + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG16 +CYREG_B0_P2_U0_CFG16 EQU 0x40010450 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG17 +CYREG_B0_P2_U0_CFG17 EQU 0x40010451 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG18 +CYREG_B0_P2_U0_CFG18 EQU 0x40010452 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG19 +CYREG_B0_P2_U0_CFG19 EQU 0x40010453 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG20 +CYREG_B0_P2_U0_CFG20 EQU 0x40010454 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG21 +CYREG_B0_P2_U0_CFG21 EQU 0x40010455 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG22 +CYREG_B0_P2_U0_CFG22 EQU 0x40010456 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG23 +CYREG_B0_P2_U0_CFG23 EQU 0x40010457 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG24 +CYREG_B0_P2_U0_CFG24 EQU 0x40010458 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG25 +CYREG_B0_P2_U0_CFG25 EQU 0x40010459 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG26 +CYREG_B0_P2_U0_CFG26 EQU 0x4001045a + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG27 +CYREG_B0_P2_U0_CFG27 EQU 0x4001045b + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG28 +CYREG_B0_P2_U0_CFG28 EQU 0x4001045c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG29 +CYREG_B0_P2_U0_CFG29 EQU 0x4001045d + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG30 +CYREG_B0_P2_U0_CFG30 EQU 0x4001045e + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_CFG31 +CYREG_B0_P2_U0_CFG31 EQU 0x4001045f + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG0 +CYREG_B0_P2_U0_DCFG0 EQU 0x40010460 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG1 +CYREG_B0_P2_U0_DCFG1 EQU 0x40010462 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG2 +CYREG_B0_P2_U0_DCFG2 EQU 0x40010464 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG3 +CYREG_B0_P2_U0_DCFG3 EQU 0x40010466 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG4 +CYREG_B0_P2_U0_DCFG4 EQU 0x40010468 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG5 +CYREG_B0_P2_U0_DCFG5 EQU 0x4001046a + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG6 +CYREG_B0_P2_U0_DCFG6 EQU 0x4001046c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U0_DCFG7 +CYREG_B0_P2_U0_DCFG7 EQU 0x4001046e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_BASE +CYDEV_UCFG_B0_P2_U1_BASE EQU 0x40010480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_U1_SIZE +CYDEV_UCFG_B0_P2_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT0 +CYREG_B0_P2_U1_PLD_IT0 EQU 0x40010480 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT1 +CYREG_B0_P2_U1_PLD_IT1 EQU 0x40010484 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT2 +CYREG_B0_P2_U1_PLD_IT2 EQU 0x40010488 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT3 +CYREG_B0_P2_U1_PLD_IT3 EQU 0x4001048c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT4 +CYREG_B0_P2_U1_PLD_IT4 EQU 0x40010490 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT5 +CYREG_B0_P2_U1_PLD_IT5 EQU 0x40010494 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT6 +CYREG_B0_P2_U1_PLD_IT6 EQU 0x40010498 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT7 +CYREG_B0_P2_U1_PLD_IT7 EQU 0x4001049c + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT8 +CYREG_B0_P2_U1_PLD_IT8 EQU 0x400104a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT9 +CYREG_B0_P2_U1_PLD_IT9 EQU 0x400104a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT10 +CYREG_B0_P2_U1_PLD_IT10 EQU 0x400104a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_IT11 +CYREG_B0_P2_U1_PLD_IT11 EQU 0x400104ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_ORT0 +CYREG_B0_P2_U1_PLD_ORT0 EQU 0x400104b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_ORT1 +CYREG_B0_P2_U1_PLD_ORT1 EQU 0x400104b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_ORT2 +CYREG_B0_P2_U1_PLD_ORT2 EQU 0x400104b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_PLD_ORT3 +CYREG_B0_P2_U1_PLD_ORT3 EQU 0x400104b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_MC_CFG_CEN_CONST +CYREG_B0_P2_U1_MC_CFG_CEN_CONST EQU 0x400104b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_MC_CFG_XORFB +CYREG_B0_P2_U1_MC_CFG_XORFB EQU 0x400104ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_MC_CFG_SET_RESET +CYREG_B0_P2_U1_MC_CFG_SET_RESET EQU 0x400104bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_MC_CFG_BYPASS +CYREG_B0_P2_U1_MC_CFG_BYPASS EQU 0x400104be + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG0 +CYREG_B0_P2_U1_CFG0 EQU 0x400104c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG1 +CYREG_B0_P2_U1_CFG1 EQU 0x400104c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG2 +CYREG_B0_P2_U1_CFG2 EQU 0x400104c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG3 +CYREG_B0_P2_U1_CFG3 EQU 0x400104c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG4 +CYREG_B0_P2_U1_CFG4 EQU 0x400104c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG5 +CYREG_B0_P2_U1_CFG5 EQU 0x400104c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG6 +CYREG_B0_P2_U1_CFG6 EQU 0x400104c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG7 +CYREG_B0_P2_U1_CFG7 EQU 0x400104c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG8 +CYREG_B0_P2_U1_CFG8 EQU 0x400104c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG9 +CYREG_B0_P2_U1_CFG9 EQU 0x400104c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG10 +CYREG_B0_P2_U1_CFG10 EQU 0x400104ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG11 +CYREG_B0_P2_U1_CFG11 EQU 0x400104cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG12 +CYREG_B0_P2_U1_CFG12 EQU 0x400104cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG13 +CYREG_B0_P2_U1_CFG13 EQU 0x400104cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG14 +CYREG_B0_P2_U1_CFG14 EQU 0x400104ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG15 +CYREG_B0_P2_U1_CFG15 EQU 0x400104cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG16 +CYREG_B0_P2_U1_CFG16 EQU 0x400104d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG17 +CYREG_B0_P2_U1_CFG17 EQU 0x400104d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG18 +CYREG_B0_P2_U1_CFG18 EQU 0x400104d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG19 +CYREG_B0_P2_U1_CFG19 EQU 0x400104d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG20 +CYREG_B0_P2_U1_CFG20 EQU 0x400104d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG21 +CYREG_B0_P2_U1_CFG21 EQU 0x400104d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG22 +CYREG_B0_P2_U1_CFG22 EQU 0x400104d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG23 +CYREG_B0_P2_U1_CFG23 EQU 0x400104d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG24 +CYREG_B0_P2_U1_CFG24 EQU 0x400104d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG25 +CYREG_B0_P2_U1_CFG25 EQU 0x400104d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG26 +CYREG_B0_P2_U1_CFG26 EQU 0x400104da + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG27 +CYREG_B0_P2_U1_CFG27 EQU 0x400104db + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG28 +CYREG_B0_P2_U1_CFG28 EQU 0x400104dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG29 +CYREG_B0_P2_U1_CFG29 EQU 0x400104dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG30 +CYREG_B0_P2_U1_CFG30 EQU 0x400104de + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_CFG31 +CYREG_B0_P2_U1_CFG31 EQU 0x400104df + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG0 +CYREG_B0_P2_U1_DCFG0 EQU 0x400104e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG1 +CYREG_B0_P2_U1_DCFG1 EQU 0x400104e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG2 +CYREG_B0_P2_U1_DCFG2 EQU 0x400104e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG3 +CYREG_B0_P2_U1_DCFG3 EQU 0x400104e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG4 +CYREG_B0_P2_U1_DCFG4 EQU 0x400104e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG5 +CYREG_B0_P2_U1_DCFG5 EQU 0x400104ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG6 +CYREG_B0_P2_U1_DCFG6 EQU 0x400104ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P2_U1_DCFG7 +CYREG_B0_P2_U1_DCFG7 EQU 0x400104ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_ROUTE_BASE +CYDEV_UCFG_B0_P2_ROUTE_BASE EQU 0x40010500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P2_ROUTE_SIZE +CYDEV_UCFG_B0_P2_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_BASE +CYDEV_UCFG_B0_P3_BASE EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_SIZE +CYDEV_UCFG_B0_P3_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_BASE +CYDEV_UCFG_B0_P3_U0_BASE EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U0_SIZE +CYDEV_UCFG_B0_P3_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT0 +CYREG_B0_P3_U0_PLD_IT0 EQU 0x40010600 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT1 +CYREG_B0_P3_U0_PLD_IT1 EQU 0x40010604 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT2 +CYREG_B0_P3_U0_PLD_IT2 EQU 0x40010608 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT3 +CYREG_B0_P3_U0_PLD_IT3 EQU 0x4001060c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT4 +CYREG_B0_P3_U0_PLD_IT4 EQU 0x40010610 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT5 +CYREG_B0_P3_U0_PLD_IT5 EQU 0x40010614 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT6 +CYREG_B0_P3_U0_PLD_IT6 EQU 0x40010618 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT7 +CYREG_B0_P3_U0_PLD_IT7 EQU 0x4001061c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT8 +CYREG_B0_P3_U0_PLD_IT8 EQU 0x40010620 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT9 +CYREG_B0_P3_U0_PLD_IT9 EQU 0x40010624 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT10 +CYREG_B0_P3_U0_PLD_IT10 EQU 0x40010628 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_IT11 +CYREG_B0_P3_U0_PLD_IT11 EQU 0x4001062c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_ORT0 +CYREG_B0_P3_U0_PLD_ORT0 EQU 0x40010630 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_ORT1 +CYREG_B0_P3_U0_PLD_ORT1 EQU 0x40010632 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_ORT2 +CYREG_B0_P3_U0_PLD_ORT2 EQU 0x40010634 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_PLD_ORT3 +CYREG_B0_P3_U0_PLD_ORT3 EQU 0x40010636 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_MC_CFG_CEN_CONST +CYREG_B0_P3_U0_MC_CFG_CEN_CONST EQU 0x40010638 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_MC_CFG_XORFB +CYREG_B0_P3_U0_MC_CFG_XORFB EQU 0x4001063a + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_MC_CFG_SET_RESET +CYREG_B0_P3_U0_MC_CFG_SET_RESET EQU 0x4001063c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_MC_CFG_BYPASS +CYREG_B0_P3_U0_MC_CFG_BYPASS EQU 0x4001063e + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG0 +CYREG_B0_P3_U0_CFG0 EQU 0x40010640 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG1 +CYREG_B0_P3_U0_CFG1 EQU 0x40010641 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG2 +CYREG_B0_P3_U0_CFG2 EQU 0x40010642 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG3 +CYREG_B0_P3_U0_CFG3 EQU 0x40010643 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG4 +CYREG_B0_P3_U0_CFG4 EQU 0x40010644 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG5 +CYREG_B0_P3_U0_CFG5 EQU 0x40010645 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG6 +CYREG_B0_P3_U0_CFG6 EQU 0x40010646 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG7 +CYREG_B0_P3_U0_CFG7 EQU 0x40010647 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG8 +CYREG_B0_P3_U0_CFG8 EQU 0x40010648 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG9 +CYREG_B0_P3_U0_CFG9 EQU 0x40010649 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG10 +CYREG_B0_P3_U0_CFG10 EQU 0x4001064a + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG11 +CYREG_B0_P3_U0_CFG11 EQU 0x4001064b + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG12 +CYREG_B0_P3_U0_CFG12 EQU 0x4001064c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG13 +CYREG_B0_P3_U0_CFG13 EQU 0x4001064d + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG14 +CYREG_B0_P3_U0_CFG14 EQU 0x4001064e + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG15 +CYREG_B0_P3_U0_CFG15 EQU 0x4001064f + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG16 +CYREG_B0_P3_U0_CFG16 EQU 0x40010650 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG17 +CYREG_B0_P3_U0_CFG17 EQU 0x40010651 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG18 +CYREG_B0_P3_U0_CFG18 EQU 0x40010652 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG19 +CYREG_B0_P3_U0_CFG19 EQU 0x40010653 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG20 +CYREG_B0_P3_U0_CFG20 EQU 0x40010654 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG21 +CYREG_B0_P3_U0_CFG21 EQU 0x40010655 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG22 +CYREG_B0_P3_U0_CFG22 EQU 0x40010656 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG23 +CYREG_B0_P3_U0_CFG23 EQU 0x40010657 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG24 +CYREG_B0_P3_U0_CFG24 EQU 0x40010658 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG25 +CYREG_B0_P3_U0_CFG25 EQU 0x40010659 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG26 +CYREG_B0_P3_U0_CFG26 EQU 0x4001065a + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG27 +CYREG_B0_P3_U0_CFG27 EQU 0x4001065b + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG28 +CYREG_B0_P3_U0_CFG28 EQU 0x4001065c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG29 +CYREG_B0_P3_U0_CFG29 EQU 0x4001065d + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG30 +CYREG_B0_P3_U0_CFG30 EQU 0x4001065e + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_CFG31 +CYREG_B0_P3_U0_CFG31 EQU 0x4001065f + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG0 +CYREG_B0_P3_U0_DCFG0 EQU 0x40010660 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG1 +CYREG_B0_P3_U0_DCFG1 EQU 0x40010662 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG2 +CYREG_B0_P3_U0_DCFG2 EQU 0x40010664 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG3 +CYREG_B0_P3_U0_DCFG3 EQU 0x40010666 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG4 +CYREG_B0_P3_U0_DCFG4 EQU 0x40010668 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG5 +CYREG_B0_P3_U0_DCFG5 EQU 0x4001066a + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG6 +CYREG_B0_P3_U0_DCFG6 EQU 0x4001066c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U0_DCFG7 +CYREG_B0_P3_U0_DCFG7 EQU 0x4001066e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_BASE +CYDEV_UCFG_B0_P3_U1_BASE EQU 0x40010680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_U1_SIZE +CYDEV_UCFG_B0_P3_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT0 +CYREG_B0_P3_U1_PLD_IT0 EQU 0x40010680 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT1 +CYREG_B0_P3_U1_PLD_IT1 EQU 0x40010684 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT2 +CYREG_B0_P3_U1_PLD_IT2 EQU 0x40010688 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT3 +CYREG_B0_P3_U1_PLD_IT3 EQU 0x4001068c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT4 +CYREG_B0_P3_U1_PLD_IT4 EQU 0x40010690 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT5 +CYREG_B0_P3_U1_PLD_IT5 EQU 0x40010694 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT6 +CYREG_B0_P3_U1_PLD_IT6 EQU 0x40010698 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT7 +CYREG_B0_P3_U1_PLD_IT7 EQU 0x4001069c + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT8 +CYREG_B0_P3_U1_PLD_IT8 EQU 0x400106a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT9 +CYREG_B0_P3_U1_PLD_IT9 EQU 0x400106a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT10 +CYREG_B0_P3_U1_PLD_IT10 EQU 0x400106a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_IT11 +CYREG_B0_P3_U1_PLD_IT11 EQU 0x400106ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_ORT0 +CYREG_B0_P3_U1_PLD_ORT0 EQU 0x400106b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_ORT1 +CYREG_B0_P3_U1_PLD_ORT1 EQU 0x400106b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_ORT2 +CYREG_B0_P3_U1_PLD_ORT2 EQU 0x400106b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_PLD_ORT3 +CYREG_B0_P3_U1_PLD_ORT3 EQU 0x400106b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_MC_CFG_CEN_CONST +CYREG_B0_P3_U1_MC_CFG_CEN_CONST EQU 0x400106b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_MC_CFG_XORFB +CYREG_B0_P3_U1_MC_CFG_XORFB EQU 0x400106ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_MC_CFG_SET_RESET +CYREG_B0_P3_U1_MC_CFG_SET_RESET EQU 0x400106bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_MC_CFG_BYPASS +CYREG_B0_P3_U1_MC_CFG_BYPASS EQU 0x400106be + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG0 +CYREG_B0_P3_U1_CFG0 EQU 0x400106c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG1 +CYREG_B0_P3_U1_CFG1 EQU 0x400106c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG2 +CYREG_B0_P3_U1_CFG2 EQU 0x400106c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG3 +CYREG_B0_P3_U1_CFG3 EQU 0x400106c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG4 +CYREG_B0_P3_U1_CFG4 EQU 0x400106c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG5 +CYREG_B0_P3_U1_CFG5 EQU 0x400106c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG6 +CYREG_B0_P3_U1_CFG6 EQU 0x400106c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG7 +CYREG_B0_P3_U1_CFG7 EQU 0x400106c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG8 +CYREG_B0_P3_U1_CFG8 EQU 0x400106c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG9 +CYREG_B0_P3_U1_CFG9 EQU 0x400106c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG10 +CYREG_B0_P3_U1_CFG10 EQU 0x400106ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG11 +CYREG_B0_P3_U1_CFG11 EQU 0x400106cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG12 +CYREG_B0_P3_U1_CFG12 EQU 0x400106cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG13 +CYREG_B0_P3_U1_CFG13 EQU 0x400106cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG14 +CYREG_B0_P3_U1_CFG14 EQU 0x400106ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG15 +CYREG_B0_P3_U1_CFG15 EQU 0x400106cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG16 +CYREG_B0_P3_U1_CFG16 EQU 0x400106d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG17 +CYREG_B0_P3_U1_CFG17 EQU 0x400106d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG18 +CYREG_B0_P3_U1_CFG18 EQU 0x400106d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG19 +CYREG_B0_P3_U1_CFG19 EQU 0x400106d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG20 +CYREG_B0_P3_U1_CFG20 EQU 0x400106d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG21 +CYREG_B0_P3_U1_CFG21 EQU 0x400106d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG22 +CYREG_B0_P3_U1_CFG22 EQU 0x400106d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG23 +CYREG_B0_P3_U1_CFG23 EQU 0x400106d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG24 +CYREG_B0_P3_U1_CFG24 EQU 0x400106d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG25 +CYREG_B0_P3_U1_CFG25 EQU 0x400106d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG26 +CYREG_B0_P3_U1_CFG26 EQU 0x400106da + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG27 +CYREG_B0_P3_U1_CFG27 EQU 0x400106db + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG28 +CYREG_B0_P3_U1_CFG28 EQU 0x400106dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG29 +CYREG_B0_P3_U1_CFG29 EQU 0x400106dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG30 +CYREG_B0_P3_U1_CFG30 EQU 0x400106de + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_CFG31 +CYREG_B0_P3_U1_CFG31 EQU 0x400106df + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG0 +CYREG_B0_P3_U1_DCFG0 EQU 0x400106e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG1 +CYREG_B0_P3_U1_DCFG1 EQU 0x400106e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG2 +CYREG_B0_P3_U1_DCFG2 EQU 0x400106e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG3 +CYREG_B0_P3_U1_DCFG3 EQU 0x400106e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG4 +CYREG_B0_P3_U1_DCFG4 EQU 0x400106e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG5 +CYREG_B0_P3_U1_DCFG5 EQU 0x400106ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG6 +CYREG_B0_P3_U1_DCFG6 EQU 0x400106ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P3_U1_DCFG7 +CYREG_B0_P3_U1_DCFG7 EQU 0x400106ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_ROUTE_BASE +CYDEV_UCFG_B0_P3_ROUTE_BASE EQU 0x40010700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P3_ROUTE_SIZE +CYDEV_UCFG_B0_P3_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_BASE +CYDEV_UCFG_B0_P4_BASE EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_SIZE +CYDEV_UCFG_B0_P4_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_BASE +CYDEV_UCFG_B0_P4_U0_BASE EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U0_SIZE +CYDEV_UCFG_B0_P4_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT0 +CYREG_B0_P4_U0_PLD_IT0 EQU 0x40010800 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT1 +CYREG_B0_P4_U0_PLD_IT1 EQU 0x40010804 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT2 +CYREG_B0_P4_U0_PLD_IT2 EQU 0x40010808 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT3 +CYREG_B0_P4_U0_PLD_IT3 EQU 0x4001080c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT4 +CYREG_B0_P4_U0_PLD_IT4 EQU 0x40010810 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT5 +CYREG_B0_P4_U0_PLD_IT5 EQU 0x40010814 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT6 +CYREG_B0_P4_U0_PLD_IT6 EQU 0x40010818 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT7 +CYREG_B0_P4_U0_PLD_IT7 EQU 0x4001081c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT8 +CYREG_B0_P4_U0_PLD_IT8 EQU 0x40010820 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT9 +CYREG_B0_P4_U0_PLD_IT9 EQU 0x40010824 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT10 +CYREG_B0_P4_U0_PLD_IT10 EQU 0x40010828 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_IT11 +CYREG_B0_P4_U0_PLD_IT11 EQU 0x4001082c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_ORT0 +CYREG_B0_P4_U0_PLD_ORT0 EQU 0x40010830 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_ORT1 +CYREG_B0_P4_U0_PLD_ORT1 EQU 0x40010832 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_ORT2 +CYREG_B0_P4_U0_PLD_ORT2 EQU 0x40010834 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_PLD_ORT3 +CYREG_B0_P4_U0_PLD_ORT3 EQU 0x40010836 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_MC_CFG_CEN_CONST +CYREG_B0_P4_U0_MC_CFG_CEN_CONST EQU 0x40010838 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_MC_CFG_XORFB +CYREG_B0_P4_U0_MC_CFG_XORFB EQU 0x4001083a + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_MC_CFG_SET_RESET +CYREG_B0_P4_U0_MC_CFG_SET_RESET EQU 0x4001083c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_MC_CFG_BYPASS +CYREG_B0_P4_U0_MC_CFG_BYPASS EQU 0x4001083e + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG0 +CYREG_B0_P4_U0_CFG0 EQU 0x40010840 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG1 +CYREG_B0_P4_U0_CFG1 EQU 0x40010841 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG2 +CYREG_B0_P4_U0_CFG2 EQU 0x40010842 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG3 +CYREG_B0_P4_U0_CFG3 EQU 0x40010843 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG4 +CYREG_B0_P4_U0_CFG4 EQU 0x40010844 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG5 +CYREG_B0_P4_U0_CFG5 EQU 0x40010845 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG6 +CYREG_B0_P4_U0_CFG6 EQU 0x40010846 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG7 +CYREG_B0_P4_U0_CFG7 EQU 0x40010847 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG8 +CYREG_B0_P4_U0_CFG8 EQU 0x40010848 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG9 +CYREG_B0_P4_U0_CFG9 EQU 0x40010849 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG10 +CYREG_B0_P4_U0_CFG10 EQU 0x4001084a + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG11 +CYREG_B0_P4_U0_CFG11 EQU 0x4001084b + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG12 +CYREG_B0_P4_U0_CFG12 EQU 0x4001084c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG13 +CYREG_B0_P4_U0_CFG13 EQU 0x4001084d + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG14 +CYREG_B0_P4_U0_CFG14 EQU 0x4001084e + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG15 +CYREG_B0_P4_U0_CFG15 EQU 0x4001084f + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG16 +CYREG_B0_P4_U0_CFG16 EQU 0x40010850 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG17 +CYREG_B0_P4_U0_CFG17 EQU 0x40010851 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG18 +CYREG_B0_P4_U0_CFG18 EQU 0x40010852 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG19 +CYREG_B0_P4_U0_CFG19 EQU 0x40010853 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG20 +CYREG_B0_P4_U0_CFG20 EQU 0x40010854 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG21 +CYREG_B0_P4_U0_CFG21 EQU 0x40010855 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG22 +CYREG_B0_P4_U0_CFG22 EQU 0x40010856 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG23 +CYREG_B0_P4_U0_CFG23 EQU 0x40010857 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG24 +CYREG_B0_P4_U0_CFG24 EQU 0x40010858 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG25 +CYREG_B0_P4_U0_CFG25 EQU 0x40010859 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG26 +CYREG_B0_P4_U0_CFG26 EQU 0x4001085a + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG27 +CYREG_B0_P4_U0_CFG27 EQU 0x4001085b + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG28 +CYREG_B0_P4_U0_CFG28 EQU 0x4001085c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG29 +CYREG_B0_P4_U0_CFG29 EQU 0x4001085d + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG30 +CYREG_B0_P4_U0_CFG30 EQU 0x4001085e + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_CFG31 +CYREG_B0_P4_U0_CFG31 EQU 0x4001085f + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG0 +CYREG_B0_P4_U0_DCFG0 EQU 0x40010860 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG1 +CYREG_B0_P4_U0_DCFG1 EQU 0x40010862 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG2 +CYREG_B0_P4_U0_DCFG2 EQU 0x40010864 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG3 +CYREG_B0_P4_U0_DCFG3 EQU 0x40010866 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG4 +CYREG_B0_P4_U0_DCFG4 EQU 0x40010868 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG5 +CYREG_B0_P4_U0_DCFG5 EQU 0x4001086a + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG6 +CYREG_B0_P4_U0_DCFG6 EQU 0x4001086c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U0_DCFG7 +CYREG_B0_P4_U0_DCFG7 EQU 0x4001086e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_BASE +CYDEV_UCFG_B0_P4_U1_BASE EQU 0x40010880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_U1_SIZE +CYDEV_UCFG_B0_P4_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT0 +CYREG_B0_P4_U1_PLD_IT0 EQU 0x40010880 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT1 +CYREG_B0_P4_U1_PLD_IT1 EQU 0x40010884 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT2 +CYREG_B0_P4_U1_PLD_IT2 EQU 0x40010888 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT3 +CYREG_B0_P4_U1_PLD_IT3 EQU 0x4001088c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT4 +CYREG_B0_P4_U1_PLD_IT4 EQU 0x40010890 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT5 +CYREG_B0_P4_U1_PLD_IT5 EQU 0x40010894 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT6 +CYREG_B0_P4_U1_PLD_IT6 EQU 0x40010898 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT7 +CYREG_B0_P4_U1_PLD_IT7 EQU 0x4001089c + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT8 +CYREG_B0_P4_U1_PLD_IT8 EQU 0x400108a0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT9 +CYREG_B0_P4_U1_PLD_IT9 EQU 0x400108a4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT10 +CYREG_B0_P4_U1_PLD_IT10 EQU 0x400108a8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_IT11 +CYREG_B0_P4_U1_PLD_IT11 EQU 0x400108ac + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_ORT0 +CYREG_B0_P4_U1_PLD_ORT0 EQU 0x400108b0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_ORT1 +CYREG_B0_P4_U1_PLD_ORT1 EQU 0x400108b2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_ORT2 +CYREG_B0_P4_U1_PLD_ORT2 EQU 0x400108b4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_PLD_ORT3 +CYREG_B0_P4_U1_PLD_ORT3 EQU 0x400108b6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_MC_CFG_CEN_CONST +CYREG_B0_P4_U1_MC_CFG_CEN_CONST EQU 0x400108b8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_MC_CFG_XORFB +CYREG_B0_P4_U1_MC_CFG_XORFB EQU 0x400108ba + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_MC_CFG_SET_RESET +CYREG_B0_P4_U1_MC_CFG_SET_RESET EQU 0x400108bc + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_MC_CFG_BYPASS +CYREG_B0_P4_U1_MC_CFG_BYPASS EQU 0x400108be + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG0 +CYREG_B0_P4_U1_CFG0 EQU 0x400108c0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG1 +CYREG_B0_P4_U1_CFG1 EQU 0x400108c1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG2 +CYREG_B0_P4_U1_CFG2 EQU 0x400108c2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG3 +CYREG_B0_P4_U1_CFG3 EQU 0x400108c3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG4 +CYREG_B0_P4_U1_CFG4 EQU 0x400108c4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG5 +CYREG_B0_P4_U1_CFG5 EQU 0x400108c5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG6 +CYREG_B0_P4_U1_CFG6 EQU 0x400108c6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG7 +CYREG_B0_P4_U1_CFG7 EQU 0x400108c7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG8 +CYREG_B0_P4_U1_CFG8 EQU 0x400108c8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG9 +CYREG_B0_P4_U1_CFG9 EQU 0x400108c9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG10 +CYREG_B0_P4_U1_CFG10 EQU 0x400108ca + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG11 +CYREG_B0_P4_U1_CFG11 EQU 0x400108cb + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG12 +CYREG_B0_P4_U1_CFG12 EQU 0x400108cc + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG13 +CYREG_B0_P4_U1_CFG13 EQU 0x400108cd + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG14 +CYREG_B0_P4_U1_CFG14 EQU 0x400108ce + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG15 +CYREG_B0_P4_U1_CFG15 EQU 0x400108cf + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG16 +CYREG_B0_P4_U1_CFG16 EQU 0x400108d0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG17 +CYREG_B0_P4_U1_CFG17 EQU 0x400108d1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG18 +CYREG_B0_P4_U1_CFG18 EQU 0x400108d2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG19 +CYREG_B0_P4_U1_CFG19 EQU 0x400108d3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG20 +CYREG_B0_P4_U1_CFG20 EQU 0x400108d4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG21 +CYREG_B0_P4_U1_CFG21 EQU 0x400108d5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG22 +CYREG_B0_P4_U1_CFG22 EQU 0x400108d6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG23 +CYREG_B0_P4_U1_CFG23 EQU 0x400108d7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG24 +CYREG_B0_P4_U1_CFG24 EQU 0x400108d8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG25 +CYREG_B0_P4_U1_CFG25 EQU 0x400108d9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG26 +CYREG_B0_P4_U1_CFG26 EQU 0x400108da + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG27 +CYREG_B0_P4_U1_CFG27 EQU 0x400108db + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG28 +CYREG_B0_P4_U1_CFG28 EQU 0x400108dc + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG29 +CYREG_B0_P4_U1_CFG29 EQU 0x400108dd + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG30 +CYREG_B0_P4_U1_CFG30 EQU 0x400108de + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_CFG31 +CYREG_B0_P4_U1_CFG31 EQU 0x400108df + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG0 +CYREG_B0_P4_U1_DCFG0 EQU 0x400108e0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG1 +CYREG_B0_P4_U1_DCFG1 EQU 0x400108e2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG2 +CYREG_B0_P4_U1_DCFG2 EQU 0x400108e4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG3 +CYREG_B0_P4_U1_DCFG3 EQU 0x400108e6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG4 +CYREG_B0_P4_U1_DCFG4 EQU 0x400108e8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG5 +CYREG_B0_P4_U1_DCFG5 EQU 0x400108ea + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG6 +CYREG_B0_P4_U1_DCFG6 EQU 0x400108ec + ENDIF + IF :LNOT::DEF:CYREG_B0_P4_U1_DCFG7 +CYREG_B0_P4_U1_DCFG7 EQU 0x400108ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_ROUTE_BASE +CYDEV_UCFG_B0_P4_ROUTE_BASE EQU 0x40010900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P4_ROUTE_SIZE +CYDEV_UCFG_B0_P4_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_BASE +CYDEV_UCFG_B0_P5_BASE EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_SIZE +CYDEV_UCFG_B0_P5_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_BASE +CYDEV_UCFG_B0_P5_U0_BASE EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U0_SIZE +CYDEV_UCFG_B0_P5_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT0 +CYREG_B0_P5_U0_PLD_IT0 EQU 0x40010a00 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT1 +CYREG_B0_P5_U0_PLD_IT1 EQU 0x40010a04 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT2 +CYREG_B0_P5_U0_PLD_IT2 EQU 0x40010a08 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT3 +CYREG_B0_P5_U0_PLD_IT3 EQU 0x40010a0c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT4 +CYREG_B0_P5_U0_PLD_IT4 EQU 0x40010a10 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT5 +CYREG_B0_P5_U0_PLD_IT5 EQU 0x40010a14 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT6 +CYREG_B0_P5_U0_PLD_IT6 EQU 0x40010a18 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT7 +CYREG_B0_P5_U0_PLD_IT7 EQU 0x40010a1c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT8 +CYREG_B0_P5_U0_PLD_IT8 EQU 0x40010a20 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT9 +CYREG_B0_P5_U0_PLD_IT9 EQU 0x40010a24 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT10 +CYREG_B0_P5_U0_PLD_IT10 EQU 0x40010a28 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_IT11 +CYREG_B0_P5_U0_PLD_IT11 EQU 0x40010a2c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_ORT0 +CYREG_B0_P5_U0_PLD_ORT0 EQU 0x40010a30 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_ORT1 +CYREG_B0_P5_U0_PLD_ORT1 EQU 0x40010a32 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_ORT2 +CYREG_B0_P5_U0_PLD_ORT2 EQU 0x40010a34 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_PLD_ORT3 +CYREG_B0_P5_U0_PLD_ORT3 EQU 0x40010a36 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_MC_CFG_CEN_CONST +CYREG_B0_P5_U0_MC_CFG_CEN_CONST EQU 0x40010a38 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_MC_CFG_XORFB +CYREG_B0_P5_U0_MC_CFG_XORFB EQU 0x40010a3a + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_MC_CFG_SET_RESET +CYREG_B0_P5_U0_MC_CFG_SET_RESET EQU 0x40010a3c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_MC_CFG_BYPASS +CYREG_B0_P5_U0_MC_CFG_BYPASS EQU 0x40010a3e + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG0 +CYREG_B0_P5_U0_CFG0 EQU 0x40010a40 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG1 +CYREG_B0_P5_U0_CFG1 EQU 0x40010a41 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG2 +CYREG_B0_P5_U0_CFG2 EQU 0x40010a42 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG3 +CYREG_B0_P5_U0_CFG3 EQU 0x40010a43 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG4 +CYREG_B0_P5_U0_CFG4 EQU 0x40010a44 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG5 +CYREG_B0_P5_U0_CFG5 EQU 0x40010a45 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG6 +CYREG_B0_P5_U0_CFG6 EQU 0x40010a46 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG7 +CYREG_B0_P5_U0_CFG7 EQU 0x40010a47 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG8 +CYREG_B0_P5_U0_CFG8 EQU 0x40010a48 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG9 +CYREG_B0_P5_U0_CFG9 EQU 0x40010a49 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG10 +CYREG_B0_P5_U0_CFG10 EQU 0x40010a4a + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG11 +CYREG_B0_P5_U0_CFG11 EQU 0x40010a4b + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG12 +CYREG_B0_P5_U0_CFG12 EQU 0x40010a4c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG13 +CYREG_B0_P5_U0_CFG13 EQU 0x40010a4d + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG14 +CYREG_B0_P5_U0_CFG14 EQU 0x40010a4e + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG15 +CYREG_B0_P5_U0_CFG15 EQU 0x40010a4f + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG16 +CYREG_B0_P5_U0_CFG16 EQU 0x40010a50 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG17 +CYREG_B0_P5_U0_CFG17 EQU 0x40010a51 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG18 +CYREG_B0_P5_U0_CFG18 EQU 0x40010a52 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG19 +CYREG_B0_P5_U0_CFG19 EQU 0x40010a53 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG20 +CYREG_B0_P5_U0_CFG20 EQU 0x40010a54 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG21 +CYREG_B0_P5_U0_CFG21 EQU 0x40010a55 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG22 +CYREG_B0_P5_U0_CFG22 EQU 0x40010a56 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG23 +CYREG_B0_P5_U0_CFG23 EQU 0x40010a57 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG24 +CYREG_B0_P5_U0_CFG24 EQU 0x40010a58 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG25 +CYREG_B0_P5_U0_CFG25 EQU 0x40010a59 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG26 +CYREG_B0_P5_U0_CFG26 EQU 0x40010a5a + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG27 +CYREG_B0_P5_U0_CFG27 EQU 0x40010a5b + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG28 +CYREG_B0_P5_U0_CFG28 EQU 0x40010a5c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG29 +CYREG_B0_P5_U0_CFG29 EQU 0x40010a5d + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG30 +CYREG_B0_P5_U0_CFG30 EQU 0x40010a5e + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_CFG31 +CYREG_B0_P5_U0_CFG31 EQU 0x40010a5f + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG0 +CYREG_B0_P5_U0_DCFG0 EQU 0x40010a60 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG1 +CYREG_B0_P5_U0_DCFG1 EQU 0x40010a62 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG2 +CYREG_B0_P5_U0_DCFG2 EQU 0x40010a64 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG3 +CYREG_B0_P5_U0_DCFG3 EQU 0x40010a66 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG4 +CYREG_B0_P5_U0_DCFG4 EQU 0x40010a68 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG5 +CYREG_B0_P5_U0_DCFG5 EQU 0x40010a6a + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG6 +CYREG_B0_P5_U0_DCFG6 EQU 0x40010a6c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U0_DCFG7 +CYREG_B0_P5_U0_DCFG7 EQU 0x40010a6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_BASE +CYDEV_UCFG_B0_P5_U1_BASE EQU 0x40010a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_U1_SIZE +CYDEV_UCFG_B0_P5_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT0 +CYREG_B0_P5_U1_PLD_IT0 EQU 0x40010a80 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT1 +CYREG_B0_P5_U1_PLD_IT1 EQU 0x40010a84 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT2 +CYREG_B0_P5_U1_PLD_IT2 EQU 0x40010a88 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT3 +CYREG_B0_P5_U1_PLD_IT3 EQU 0x40010a8c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT4 +CYREG_B0_P5_U1_PLD_IT4 EQU 0x40010a90 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT5 +CYREG_B0_P5_U1_PLD_IT5 EQU 0x40010a94 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT6 +CYREG_B0_P5_U1_PLD_IT6 EQU 0x40010a98 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT7 +CYREG_B0_P5_U1_PLD_IT7 EQU 0x40010a9c + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT8 +CYREG_B0_P5_U1_PLD_IT8 EQU 0x40010aa0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT9 +CYREG_B0_P5_U1_PLD_IT9 EQU 0x40010aa4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT10 +CYREG_B0_P5_U1_PLD_IT10 EQU 0x40010aa8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_IT11 +CYREG_B0_P5_U1_PLD_IT11 EQU 0x40010aac + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_ORT0 +CYREG_B0_P5_U1_PLD_ORT0 EQU 0x40010ab0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_ORT1 +CYREG_B0_P5_U1_PLD_ORT1 EQU 0x40010ab2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_ORT2 +CYREG_B0_P5_U1_PLD_ORT2 EQU 0x40010ab4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_PLD_ORT3 +CYREG_B0_P5_U1_PLD_ORT3 EQU 0x40010ab6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_MC_CFG_CEN_CONST +CYREG_B0_P5_U1_MC_CFG_CEN_CONST EQU 0x40010ab8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_MC_CFG_XORFB +CYREG_B0_P5_U1_MC_CFG_XORFB EQU 0x40010aba + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_MC_CFG_SET_RESET +CYREG_B0_P5_U1_MC_CFG_SET_RESET EQU 0x40010abc + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_MC_CFG_BYPASS +CYREG_B0_P5_U1_MC_CFG_BYPASS EQU 0x40010abe + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG0 +CYREG_B0_P5_U1_CFG0 EQU 0x40010ac0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG1 +CYREG_B0_P5_U1_CFG1 EQU 0x40010ac1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG2 +CYREG_B0_P5_U1_CFG2 EQU 0x40010ac2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG3 +CYREG_B0_P5_U1_CFG3 EQU 0x40010ac3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG4 +CYREG_B0_P5_U1_CFG4 EQU 0x40010ac4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG5 +CYREG_B0_P5_U1_CFG5 EQU 0x40010ac5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG6 +CYREG_B0_P5_U1_CFG6 EQU 0x40010ac6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG7 +CYREG_B0_P5_U1_CFG7 EQU 0x40010ac7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG8 +CYREG_B0_P5_U1_CFG8 EQU 0x40010ac8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG9 +CYREG_B0_P5_U1_CFG9 EQU 0x40010ac9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG10 +CYREG_B0_P5_U1_CFG10 EQU 0x40010aca + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG11 +CYREG_B0_P5_U1_CFG11 EQU 0x40010acb + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG12 +CYREG_B0_P5_U1_CFG12 EQU 0x40010acc + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG13 +CYREG_B0_P5_U1_CFG13 EQU 0x40010acd + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG14 +CYREG_B0_P5_U1_CFG14 EQU 0x40010ace + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG15 +CYREG_B0_P5_U1_CFG15 EQU 0x40010acf + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG16 +CYREG_B0_P5_U1_CFG16 EQU 0x40010ad0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG17 +CYREG_B0_P5_U1_CFG17 EQU 0x40010ad1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG18 +CYREG_B0_P5_U1_CFG18 EQU 0x40010ad2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG19 +CYREG_B0_P5_U1_CFG19 EQU 0x40010ad3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG20 +CYREG_B0_P5_U1_CFG20 EQU 0x40010ad4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG21 +CYREG_B0_P5_U1_CFG21 EQU 0x40010ad5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG22 +CYREG_B0_P5_U1_CFG22 EQU 0x40010ad6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG23 +CYREG_B0_P5_U1_CFG23 EQU 0x40010ad7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG24 +CYREG_B0_P5_U1_CFG24 EQU 0x40010ad8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG25 +CYREG_B0_P5_U1_CFG25 EQU 0x40010ad9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG26 +CYREG_B0_P5_U1_CFG26 EQU 0x40010ada + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG27 +CYREG_B0_P5_U1_CFG27 EQU 0x40010adb + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG28 +CYREG_B0_P5_U1_CFG28 EQU 0x40010adc + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG29 +CYREG_B0_P5_U1_CFG29 EQU 0x40010add + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG30 +CYREG_B0_P5_U1_CFG30 EQU 0x40010ade + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_CFG31 +CYREG_B0_P5_U1_CFG31 EQU 0x40010adf + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG0 +CYREG_B0_P5_U1_DCFG0 EQU 0x40010ae0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG1 +CYREG_B0_P5_U1_DCFG1 EQU 0x40010ae2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG2 +CYREG_B0_P5_U1_DCFG2 EQU 0x40010ae4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG3 +CYREG_B0_P5_U1_DCFG3 EQU 0x40010ae6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG4 +CYREG_B0_P5_U1_DCFG4 EQU 0x40010ae8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG5 +CYREG_B0_P5_U1_DCFG5 EQU 0x40010aea + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG6 +CYREG_B0_P5_U1_DCFG6 EQU 0x40010aec + ENDIF + IF :LNOT::DEF:CYREG_B0_P5_U1_DCFG7 +CYREG_B0_P5_U1_DCFG7 EQU 0x40010aee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_ROUTE_BASE +CYDEV_UCFG_B0_P5_ROUTE_BASE EQU 0x40010b00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P5_ROUTE_SIZE +CYDEV_UCFG_B0_P5_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_BASE +CYDEV_UCFG_B0_P6_BASE EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_SIZE +CYDEV_UCFG_B0_P6_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_BASE +CYDEV_UCFG_B0_P6_U0_BASE EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U0_SIZE +CYDEV_UCFG_B0_P6_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT0 +CYREG_B0_P6_U0_PLD_IT0 EQU 0x40010c00 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT1 +CYREG_B0_P6_U0_PLD_IT1 EQU 0x40010c04 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT2 +CYREG_B0_P6_U0_PLD_IT2 EQU 0x40010c08 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT3 +CYREG_B0_P6_U0_PLD_IT3 EQU 0x40010c0c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT4 +CYREG_B0_P6_U0_PLD_IT4 EQU 0x40010c10 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT5 +CYREG_B0_P6_U0_PLD_IT5 EQU 0x40010c14 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT6 +CYREG_B0_P6_U0_PLD_IT6 EQU 0x40010c18 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT7 +CYREG_B0_P6_U0_PLD_IT7 EQU 0x40010c1c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT8 +CYREG_B0_P6_U0_PLD_IT8 EQU 0x40010c20 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT9 +CYREG_B0_P6_U0_PLD_IT9 EQU 0x40010c24 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT10 +CYREG_B0_P6_U0_PLD_IT10 EQU 0x40010c28 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_IT11 +CYREG_B0_P6_U0_PLD_IT11 EQU 0x40010c2c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_ORT0 +CYREG_B0_P6_U0_PLD_ORT0 EQU 0x40010c30 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_ORT1 +CYREG_B0_P6_U0_PLD_ORT1 EQU 0x40010c32 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_ORT2 +CYREG_B0_P6_U0_PLD_ORT2 EQU 0x40010c34 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_PLD_ORT3 +CYREG_B0_P6_U0_PLD_ORT3 EQU 0x40010c36 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_MC_CFG_CEN_CONST +CYREG_B0_P6_U0_MC_CFG_CEN_CONST EQU 0x40010c38 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_MC_CFG_XORFB +CYREG_B0_P6_U0_MC_CFG_XORFB EQU 0x40010c3a + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_MC_CFG_SET_RESET +CYREG_B0_P6_U0_MC_CFG_SET_RESET EQU 0x40010c3c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_MC_CFG_BYPASS +CYREG_B0_P6_U0_MC_CFG_BYPASS EQU 0x40010c3e + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG0 +CYREG_B0_P6_U0_CFG0 EQU 0x40010c40 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG1 +CYREG_B0_P6_U0_CFG1 EQU 0x40010c41 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG2 +CYREG_B0_P6_U0_CFG2 EQU 0x40010c42 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG3 +CYREG_B0_P6_U0_CFG3 EQU 0x40010c43 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG4 +CYREG_B0_P6_U0_CFG4 EQU 0x40010c44 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG5 +CYREG_B0_P6_U0_CFG5 EQU 0x40010c45 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG6 +CYREG_B0_P6_U0_CFG6 EQU 0x40010c46 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG7 +CYREG_B0_P6_U0_CFG7 EQU 0x40010c47 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG8 +CYREG_B0_P6_U0_CFG8 EQU 0x40010c48 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG9 +CYREG_B0_P6_U0_CFG9 EQU 0x40010c49 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG10 +CYREG_B0_P6_U0_CFG10 EQU 0x40010c4a + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG11 +CYREG_B0_P6_U0_CFG11 EQU 0x40010c4b + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG12 +CYREG_B0_P6_U0_CFG12 EQU 0x40010c4c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG13 +CYREG_B0_P6_U0_CFG13 EQU 0x40010c4d + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG14 +CYREG_B0_P6_U0_CFG14 EQU 0x40010c4e + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG15 +CYREG_B0_P6_U0_CFG15 EQU 0x40010c4f + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG16 +CYREG_B0_P6_U0_CFG16 EQU 0x40010c50 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG17 +CYREG_B0_P6_U0_CFG17 EQU 0x40010c51 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG18 +CYREG_B0_P6_U0_CFG18 EQU 0x40010c52 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG19 +CYREG_B0_P6_U0_CFG19 EQU 0x40010c53 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG20 +CYREG_B0_P6_U0_CFG20 EQU 0x40010c54 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG21 +CYREG_B0_P6_U0_CFG21 EQU 0x40010c55 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG22 +CYREG_B0_P6_U0_CFG22 EQU 0x40010c56 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG23 +CYREG_B0_P6_U0_CFG23 EQU 0x40010c57 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG24 +CYREG_B0_P6_U0_CFG24 EQU 0x40010c58 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG25 +CYREG_B0_P6_U0_CFG25 EQU 0x40010c59 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG26 +CYREG_B0_P6_U0_CFG26 EQU 0x40010c5a + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG27 +CYREG_B0_P6_U0_CFG27 EQU 0x40010c5b + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG28 +CYREG_B0_P6_U0_CFG28 EQU 0x40010c5c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG29 +CYREG_B0_P6_U0_CFG29 EQU 0x40010c5d + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG30 +CYREG_B0_P6_U0_CFG30 EQU 0x40010c5e + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_CFG31 +CYREG_B0_P6_U0_CFG31 EQU 0x40010c5f + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG0 +CYREG_B0_P6_U0_DCFG0 EQU 0x40010c60 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG1 +CYREG_B0_P6_U0_DCFG1 EQU 0x40010c62 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG2 +CYREG_B0_P6_U0_DCFG2 EQU 0x40010c64 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG3 +CYREG_B0_P6_U0_DCFG3 EQU 0x40010c66 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG4 +CYREG_B0_P6_U0_DCFG4 EQU 0x40010c68 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG5 +CYREG_B0_P6_U0_DCFG5 EQU 0x40010c6a + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG6 +CYREG_B0_P6_U0_DCFG6 EQU 0x40010c6c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U0_DCFG7 +CYREG_B0_P6_U0_DCFG7 EQU 0x40010c6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_BASE +CYDEV_UCFG_B0_P6_U1_BASE EQU 0x40010c80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_U1_SIZE +CYDEV_UCFG_B0_P6_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT0 +CYREG_B0_P6_U1_PLD_IT0 EQU 0x40010c80 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT1 +CYREG_B0_P6_U1_PLD_IT1 EQU 0x40010c84 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT2 +CYREG_B0_P6_U1_PLD_IT2 EQU 0x40010c88 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT3 +CYREG_B0_P6_U1_PLD_IT3 EQU 0x40010c8c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT4 +CYREG_B0_P6_U1_PLD_IT4 EQU 0x40010c90 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT5 +CYREG_B0_P6_U1_PLD_IT5 EQU 0x40010c94 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT6 +CYREG_B0_P6_U1_PLD_IT6 EQU 0x40010c98 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT7 +CYREG_B0_P6_U1_PLD_IT7 EQU 0x40010c9c + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT8 +CYREG_B0_P6_U1_PLD_IT8 EQU 0x40010ca0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT9 +CYREG_B0_P6_U1_PLD_IT9 EQU 0x40010ca4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT10 +CYREG_B0_P6_U1_PLD_IT10 EQU 0x40010ca8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_IT11 +CYREG_B0_P6_U1_PLD_IT11 EQU 0x40010cac + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_ORT0 +CYREG_B0_P6_U1_PLD_ORT0 EQU 0x40010cb0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_ORT1 +CYREG_B0_P6_U1_PLD_ORT1 EQU 0x40010cb2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_ORT2 +CYREG_B0_P6_U1_PLD_ORT2 EQU 0x40010cb4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_PLD_ORT3 +CYREG_B0_P6_U1_PLD_ORT3 EQU 0x40010cb6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_MC_CFG_CEN_CONST +CYREG_B0_P6_U1_MC_CFG_CEN_CONST EQU 0x40010cb8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_MC_CFG_XORFB +CYREG_B0_P6_U1_MC_CFG_XORFB EQU 0x40010cba + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_MC_CFG_SET_RESET +CYREG_B0_P6_U1_MC_CFG_SET_RESET EQU 0x40010cbc + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_MC_CFG_BYPASS +CYREG_B0_P6_U1_MC_CFG_BYPASS EQU 0x40010cbe + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG0 +CYREG_B0_P6_U1_CFG0 EQU 0x40010cc0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG1 +CYREG_B0_P6_U1_CFG1 EQU 0x40010cc1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG2 +CYREG_B0_P6_U1_CFG2 EQU 0x40010cc2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG3 +CYREG_B0_P6_U1_CFG3 EQU 0x40010cc3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG4 +CYREG_B0_P6_U1_CFG4 EQU 0x40010cc4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG5 +CYREG_B0_P6_U1_CFG5 EQU 0x40010cc5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG6 +CYREG_B0_P6_U1_CFG6 EQU 0x40010cc6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG7 +CYREG_B0_P6_U1_CFG7 EQU 0x40010cc7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG8 +CYREG_B0_P6_U1_CFG8 EQU 0x40010cc8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG9 +CYREG_B0_P6_U1_CFG9 EQU 0x40010cc9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG10 +CYREG_B0_P6_U1_CFG10 EQU 0x40010cca + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG11 +CYREG_B0_P6_U1_CFG11 EQU 0x40010ccb + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG12 +CYREG_B0_P6_U1_CFG12 EQU 0x40010ccc + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG13 +CYREG_B0_P6_U1_CFG13 EQU 0x40010ccd + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG14 +CYREG_B0_P6_U1_CFG14 EQU 0x40010cce + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG15 +CYREG_B0_P6_U1_CFG15 EQU 0x40010ccf + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG16 +CYREG_B0_P6_U1_CFG16 EQU 0x40010cd0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG17 +CYREG_B0_P6_U1_CFG17 EQU 0x40010cd1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG18 +CYREG_B0_P6_U1_CFG18 EQU 0x40010cd2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG19 +CYREG_B0_P6_U1_CFG19 EQU 0x40010cd3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG20 +CYREG_B0_P6_U1_CFG20 EQU 0x40010cd4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG21 +CYREG_B0_P6_U1_CFG21 EQU 0x40010cd5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG22 +CYREG_B0_P6_U1_CFG22 EQU 0x40010cd6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG23 +CYREG_B0_P6_U1_CFG23 EQU 0x40010cd7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG24 +CYREG_B0_P6_U1_CFG24 EQU 0x40010cd8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG25 +CYREG_B0_P6_U1_CFG25 EQU 0x40010cd9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG26 +CYREG_B0_P6_U1_CFG26 EQU 0x40010cda + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG27 +CYREG_B0_P6_U1_CFG27 EQU 0x40010cdb + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG28 +CYREG_B0_P6_U1_CFG28 EQU 0x40010cdc + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG29 +CYREG_B0_P6_U1_CFG29 EQU 0x40010cdd + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG30 +CYREG_B0_P6_U1_CFG30 EQU 0x40010cde + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_CFG31 +CYREG_B0_P6_U1_CFG31 EQU 0x40010cdf + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG0 +CYREG_B0_P6_U1_DCFG0 EQU 0x40010ce0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG1 +CYREG_B0_P6_U1_DCFG1 EQU 0x40010ce2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG2 +CYREG_B0_P6_U1_DCFG2 EQU 0x40010ce4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG3 +CYREG_B0_P6_U1_DCFG3 EQU 0x40010ce6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG4 +CYREG_B0_P6_U1_DCFG4 EQU 0x40010ce8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG5 +CYREG_B0_P6_U1_DCFG5 EQU 0x40010cea + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG6 +CYREG_B0_P6_U1_DCFG6 EQU 0x40010cec + ENDIF + IF :LNOT::DEF:CYREG_B0_P6_U1_DCFG7 +CYREG_B0_P6_U1_DCFG7 EQU 0x40010cee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_ROUTE_BASE +CYDEV_UCFG_B0_P6_ROUTE_BASE EQU 0x40010d00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P6_ROUTE_SIZE +CYDEV_UCFG_B0_P6_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_BASE +CYDEV_UCFG_B0_P7_BASE EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_SIZE +CYDEV_UCFG_B0_P7_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_BASE +CYDEV_UCFG_B0_P7_U0_BASE EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U0_SIZE +CYDEV_UCFG_B0_P7_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT0 +CYREG_B0_P7_U0_PLD_IT0 EQU 0x40010e00 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT1 +CYREG_B0_P7_U0_PLD_IT1 EQU 0x40010e04 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT2 +CYREG_B0_P7_U0_PLD_IT2 EQU 0x40010e08 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT3 +CYREG_B0_P7_U0_PLD_IT3 EQU 0x40010e0c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT4 +CYREG_B0_P7_U0_PLD_IT4 EQU 0x40010e10 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT5 +CYREG_B0_P7_U0_PLD_IT5 EQU 0x40010e14 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT6 +CYREG_B0_P7_U0_PLD_IT6 EQU 0x40010e18 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT7 +CYREG_B0_P7_U0_PLD_IT7 EQU 0x40010e1c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT8 +CYREG_B0_P7_U0_PLD_IT8 EQU 0x40010e20 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT9 +CYREG_B0_P7_U0_PLD_IT9 EQU 0x40010e24 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT10 +CYREG_B0_P7_U0_PLD_IT10 EQU 0x40010e28 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_IT11 +CYREG_B0_P7_U0_PLD_IT11 EQU 0x40010e2c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_ORT0 +CYREG_B0_P7_U0_PLD_ORT0 EQU 0x40010e30 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_ORT1 +CYREG_B0_P7_U0_PLD_ORT1 EQU 0x40010e32 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_ORT2 +CYREG_B0_P7_U0_PLD_ORT2 EQU 0x40010e34 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_PLD_ORT3 +CYREG_B0_P7_U0_PLD_ORT3 EQU 0x40010e36 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_MC_CFG_CEN_CONST +CYREG_B0_P7_U0_MC_CFG_CEN_CONST EQU 0x40010e38 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_MC_CFG_XORFB +CYREG_B0_P7_U0_MC_CFG_XORFB EQU 0x40010e3a + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_MC_CFG_SET_RESET +CYREG_B0_P7_U0_MC_CFG_SET_RESET EQU 0x40010e3c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_MC_CFG_BYPASS +CYREG_B0_P7_U0_MC_CFG_BYPASS EQU 0x40010e3e + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG0 +CYREG_B0_P7_U0_CFG0 EQU 0x40010e40 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG1 +CYREG_B0_P7_U0_CFG1 EQU 0x40010e41 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG2 +CYREG_B0_P7_U0_CFG2 EQU 0x40010e42 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG3 +CYREG_B0_P7_U0_CFG3 EQU 0x40010e43 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG4 +CYREG_B0_P7_U0_CFG4 EQU 0x40010e44 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG5 +CYREG_B0_P7_U0_CFG5 EQU 0x40010e45 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG6 +CYREG_B0_P7_U0_CFG6 EQU 0x40010e46 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG7 +CYREG_B0_P7_U0_CFG7 EQU 0x40010e47 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG8 +CYREG_B0_P7_U0_CFG8 EQU 0x40010e48 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG9 +CYREG_B0_P7_U0_CFG9 EQU 0x40010e49 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG10 +CYREG_B0_P7_U0_CFG10 EQU 0x40010e4a + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG11 +CYREG_B0_P7_U0_CFG11 EQU 0x40010e4b + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG12 +CYREG_B0_P7_U0_CFG12 EQU 0x40010e4c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG13 +CYREG_B0_P7_U0_CFG13 EQU 0x40010e4d + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG14 +CYREG_B0_P7_U0_CFG14 EQU 0x40010e4e + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG15 +CYREG_B0_P7_U0_CFG15 EQU 0x40010e4f + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG16 +CYREG_B0_P7_U0_CFG16 EQU 0x40010e50 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG17 +CYREG_B0_P7_U0_CFG17 EQU 0x40010e51 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG18 +CYREG_B0_P7_U0_CFG18 EQU 0x40010e52 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG19 +CYREG_B0_P7_U0_CFG19 EQU 0x40010e53 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG20 +CYREG_B0_P7_U0_CFG20 EQU 0x40010e54 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG21 +CYREG_B0_P7_U0_CFG21 EQU 0x40010e55 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG22 +CYREG_B0_P7_U0_CFG22 EQU 0x40010e56 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG23 +CYREG_B0_P7_U0_CFG23 EQU 0x40010e57 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG24 +CYREG_B0_P7_U0_CFG24 EQU 0x40010e58 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG25 +CYREG_B0_P7_U0_CFG25 EQU 0x40010e59 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG26 +CYREG_B0_P7_U0_CFG26 EQU 0x40010e5a + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG27 +CYREG_B0_P7_U0_CFG27 EQU 0x40010e5b + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG28 +CYREG_B0_P7_U0_CFG28 EQU 0x40010e5c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG29 +CYREG_B0_P7_U0_CFG29 EQU 0x40010e5d + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG30 +CYREG_B0_P7_U0_CFG30 EQU 0x40010e5e + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_CFG31 +CYREG_B0_P7_U0_CFG31 EQU 0x40010e5f + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG0 +CYREG_B0_P7_U0_DCFG0 EQU 0x40010e60 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG1 +CYREG_B0_P7_U0_DCFG1 EQU 0x40010e62 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG2 +CYREG_B0_P7_U0_DCFG2 EQU 0x40010e64 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG3 +CYREG_B0_P7_U0_DCFG3 EQU 0x40010e66 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG4 +CYREG_B0_P7_U0_DCFG4 EQU 0x40010e68 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG5 +CYREG_B0_P7_U0_DCFG5 EQU 0x40010e6a + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG6 +CYREG_B0_P7_U0_DCFG6 EQU 0x40010e6c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U0_DCFG7 +CYREG_B0_P7_U0_DCFG7 EQU 0x40010e6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_BASE +CYDEV_UCFG_B0_P7_U1_BASE EQU 0x40010e80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_U1_SIZE +CYDEV_UCFG_B0_P7_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT0 +CYREG_B0_P7_U1_PLD_IT0 EQU 0x40010e80 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT1 +CYREG_B0_P7_U1_PLD_IT1 EQU 0x40010e84 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT2 +CYREG_B0_P7_U1_PLD_IT2 EQU 0x40010e88 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT3 +CYREG_B0_P7_U1_PLD_IT3 EQU 0x40010e8c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT4 +CYREG_B0_P7_U1_PLD_IT4 EQU 0x40010e90 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT5 +CYREG_B0_P7_U1_PLD_IT5 EQU 0x40010e94 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT6 +CYREG_B0_P7_U1_PLD_IT6 EQU 0x40010e98 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT7 +CYREG_B0_P7_U1_PLD_IT7 EQU 0x40010e9c + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT8 +CYREG_B0_P7_U1_PLD_IT8 EQU 0x40010ea0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT9 +CYREG_B0_P7_U1_PLD_IT9 EQU 0x40010ea4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT10 +CYREG_B0_P7_U1_PLD_IT10 EQU 0x40010ea8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_IT11 +CYREG_B0_P7_U1_PLD_IT11 EQU 0x40010eac + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_ORT0 +CYREG_B0_P7_U1_PLD_ORT0 EQU 0x40010eb0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_ORT1 +CYREG_B0_P7_U1_PLD_ORT1 EQU 0x40010eb2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_ORT2 +CYREG_B0_P7_U1_PLD_ORT2 EQU 0x40010eb4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_PLD_ORT3 +CYREG_B0_P7_U1_PLD_ORT3 EQU 0x40010eb6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_MC_CFG_CEN_CONST +CYREG_B0_P7_U1_MC_CFG_CEN_CONST EQU 0x40010eb8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_MC_CFG_XORFB +CYREG_B0_P7_U1_MC_CFG_XORFB EQU 0x40010eba + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_MC_CFG_SET_RESET +CYREG_B0_P7_U1_MC_CFG_SET_RESET EQU 0x40010ebc + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_MC_CFG_BYPASS +CYREG_B0_P7_U1_MC_CFG_BYPASS EQU 0x40010ebe + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG0 +CYREG_B0_P7_U1_CFG0 EQU 0x40010ec0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG1 +CYREG_B0_P7_U1_CFG1 EQU 0x40010ec1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG2 +CYREG_B0_P7_U1_CFG2 EQU 0x40010ec2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG3 +CYREG_B0_P7_U1_CFG3 EQU 0x40010ec3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG4 +CYREG_B0_P7_U1_CFG4 EQU 0x40010ec4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG5 +CYREG_B0_P7_U1_CFG5 EQU 0x40010ec5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG6 +CYREG_B0_P7_U1_CFG6 EQU 0x40010ec6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG7 +CYREG_B0_P7_U1_CFG7 EQU 0x40010ec7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG8 +CYREG_B0_P7_U1_CFG8 EQU 0x40010ec8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG9 +CYREG_B0_P7_U1_CFG9 EQU 0x40010ec9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG10 +CYREG_B0_P7_U1_CFG10 EQU 0x40010eca + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG11 +CYREG_B0_P7_U1_CFG11 EQU 0x40010ecb + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG12 +CYREG_B0_P7_U1_CFG12 EQU 0x40010ecc + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG13 +CYREG_B0_P7_U1_CFG13 EQU 0x40010ecd + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG14 +CYREG_B0_P7_U1_CFG14 EQU 0x40010ece + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG15 +CYREG_B0_P7_U1_CFG15 EQU 0x40010ecf + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG16 +CYREG_B0_P7_U1_CFG16 EQU 0x40010ed0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG17 +CYREG_B0_P7_U1_CFG17 EQU 0x40010ed1 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG18 +CYREG_B0_P7_U1_CFG18 EQU 0x40010ed2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG19 +CYREG_B0_P7_U1_CFG19 EQU 0x40010ed3 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG20 +CYREG_B0_P7_U1_CFG20 EQU 0x40010ed4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG21 +CYREG_B0_P7_U1_CFG21 EQU 0x40010ed5 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG22 +CYREG_B0_P7_U1_CFG22 EQU 0x40010ed6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG23 +CYREG_B0_P7_U1_CFG23 EQU 0x40010ed7 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG24 +CYREG_B0_P7_U1_CFG24 EQU 0x40010ed8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG25 +CYREG_B0_P7_U1_CFG25 EQU 0x40010ed9 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG26 +CYREG_B0_P7_U1_CFG26 EQU 0x40010eda + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG27 +CYREG_B0_P7_U1_CFG27 EQU 0x40010edb + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG28 +CYREG_B0_P7_U1_CFG28 EQU 0x40010edc + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG29 +CYREG_B0_P7_U1_CFG29 EQU 0x40010edd + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG30 +CYREG_B0_P7_U1_CFG30 EQU 0x40010ede + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_CFG31 +CYREG_B0_P7_U1_CFG31 EQU 0x40010edf + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG0 +CYREG_B0_P7_U1_DCFG0 EQU 0x40010ee0 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG1 +CYREG_B0_P7_U1_DCFG1 EQU 0x40010ee2 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG2 +CYREG_B0_P7_U1_DCFG2 EQU 0x40010ee4 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG3 +CYREG_B0_P7_U1_DCFG3 EQU 0x40010ee6 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG4 +CYREG_B0_P7_U1_DCFG4 EQU 0x40010ee8 + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG5 +CYREG_B0_P7_U1_DCFG5 EQU 0x40010eea + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG6 +CYREG_B0_P7_U1_DCFG6 EQU 0x40010eec + ENDIF + IF :LNOT::DEF:CYREG_B0_P7_U1_DCFG7 +CYREG_B0_P7_U1_DCFG7 EQU 0x40010eee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_ROUTE_BASE +CYDEV_UCFG_B0_P7_ROUTE_BASE EQU 0x40010f00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B0_P7_ROUTE_SIZE +CYDEV_UCFG_B0_P7_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_BASE +CYDEV_UCFG_B1_BASE EQU 0x40011000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_SIZE +CYDEV_UCFG_B1_SIZE EQU 0x00000fef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_BASE +CYDEV_UCFG_B1_P2_BASE EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_SIZE +CYDEV_UCFG_B1_P2_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_BASE +CYDEV_UCFG_B1_P2_U0_BASE EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U0_SIZE +CYDEV_UCFG_B1_P2_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT0 +CYREG_B1_P2_U0_PLD_IT0 EQU 0x40011400 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT1 +CYREG_B1_P2_U0_PLD_IT1 EQU 0x40011404 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT2 +CYREG_B1_P2_U0_PLD_IT2 EQU 0x40011408 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT3 +CYREG_B1_P2_U0_PLD_IT3 EQU 0x4001140c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT4 +CYREG_B1_P2_U0_PLD_IT4 EQU 0x40011410 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT5 +CYREG_B1_P2_U0_PLD_IT5 EQU 0x40011414 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT6 +CYREG_B1_P2_U0_PLD_IT6 EQU 0x40011418 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT7 +CYREG_B1_P2_U0_PLD_IT7 EQU 0x4001141c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT8 +CYREG_B1_P2_U0_PLD_IT8 EQU 0x40011420 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT9 +CYREG_B1_P2_U0_PLD_IT9 EQU 0x40011424 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT10 +CYREG_B1_P2_U0_PLD_IT10 EQU 0x40011428 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_IT11 +CYREG_B1_P2_U0_PLD_IT11 EQU 0x4001142c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_ORT0 +CYREG_B1_P2_U0_PLD_ORT0 EQU 0x40011430 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_ORT1 +CYREG_B1_P2_U0_PLD_ORT1 EQU 0x40011432 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_ORT2 +CYREG_B1_P2_U0_PLD_ORT2 EQU 0x40011434 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_PLD_ORT3 +CYREG_B1_P2_U0_PLD_ORT3 EQU 0x40011436 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_MC_CFG_CEN_CONST +CYREG_B1_P2_U0_MC_CFG_CEN_CONST EQU 0x40011438 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_MC_CFG_XORFB +CYREG_B1_P2_U0_MC_CFG_XORFB EQU 0x4001143a + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_MC_CFG_SET_RESET +CYREG_B1_P2_U0_MC_CFG_SET_RESET EQU 0x4001143c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_MC_CFG_BYPASS +CYREG_B1_P2_U0_MC_CFG_BYPASS EQU 0x4001143e + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG0 +CYREG_B1_P2_U0_CFG0 EQU 0x40011440 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG1 +CYREG_B1_P2_U0_CFG1 EQU 0x40011441 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG2 +CYREG_B1_P2_U0_CFG2 EQU 0x40011442 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG3 +CYREG_B1_P2_U0_CFG3 EQU 0x40011443 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG4 +CYREG_B1_P2_U0_CFG4 EQU 0x40011444 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG5 +CYREG_B1_P2_U0_CFG5 EQU 0x40011445 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG6 +CYREG_B1_P2_U0_CFG6 EQU 0x40011446 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG7 +CYREG_B1_P2_U0_CFG7 EQU 0x40011447 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG8 +CYREG_B1_P2_U0_CFG8 EQU 0x40011448 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG9 +CYREG_B1_P2_U0_CFG9 EQU 0x40011449 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG10 +CYREG_B1_P2_U0_CFG10 EQU 0x4001144a + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG11 +CYREG_B1_P2_U0_CFG11 EQU 0x4001144b + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG12 +CYREG_B1_P2_U0_CFG12 EQU 0x4001144c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG13 +CYREG_B1_P2_U0_CFG13 EQU 0x4001144d + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG14 +CYREG_B1_P2_U0_CFG14 EQU 0x4001144e + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG15 +CYREG_B1_P2_U0_CFG15 EQU 0x4001144f + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG16 +CYREG_B1_P2_U0_CFG16 EQU 0x40011450 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG17 +CYREG_B1_P2_U0_CFG17 EQU 0x40011451 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG18 +CYREG_B1_P2_U0_CFG18 EQU 0x40011452 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG19 +CYREG_B1_P2_U0_CFG19 EQU 0x40011453 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG20 +CYREG_B1_P2_U0_CFG20 EQU 0x40011454 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG21 +CYREG_B1_P2_U0_CFG21 EQU 0x40011455 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG22 +CYREG_B1_P2_U0_CFG22 EQU 0x40011456 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG23 +CYREG_B1_P2_U0_CFG23 EQU 0x40011457 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG24 +CYREG_B1_P2_U0_CFG24 EQU 0x40011458 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG25 +CYREG_B1_P2_U0_CFG25 EQU 0x40011459 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG26 +CYREG_B1_P2_U0_CFG26 EQU 0x4001145a + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG27 +CYREG_B1_P2_U0_CFG27 EQU 0x4001145b + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG28 +CYREG_B1_P2_U0_CFG28 EQU 0x4001145c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG29 +CYREG_B1_P2_U0_CFG29 EQU 0x4001145d + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG30 +CYREG_B1_P2_U0_CFG30 EQU 0x4001145e + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_CFG31 +CYREG_B1_P2_U0_CFG31 EQU 0x4001145f + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG0 +CYREG_B1_P2_U0_DCFG0 EQU 0x40011460 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG1 +CYREG_B1_P2_U0_DCFG1 EQU 0x40011462 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG2 +CYREG_B1_P2_U0_DCFG2 EQU 0x40011464 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG3 +CYREG_B1_P2_U0_DCFG3 EQU 0x40011466 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG4 +CYREG_B1_P2_U0_DCFG4 EQU 0x40011468 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG5 +CYREG_B1_P2_U0_DCFG5 EQU 0x4001146a + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG6 +CYREG_B1_P2_U0_DCFG6 EQU 0x4001146c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U0_DCFG7 +CYREG_B1_P2_U0_DCFG7 EQU 0x4001146e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_BASE +CYDEV_UCFG_B1_P2_U1_BASE EQU 0x40011480 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_U1_SIZE +CYDEV_UCFG_B1_P2_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT0 +CYREG_B1_P2_U1_PLD_IT0 EQU 0x40011480 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT1 +CYREG_B1_P2_U1_PLD_IT1 EQU 0x40011484 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT2 +CYREG_B1_P2_U1_PLD_IT2 EQU 0x40011488 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT3 +CYREG_B1_P2_U1_PLD_IT3 EQU 0x4001148c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT4 +CYREG_B1_P2_U1_PLD_IT4 EQU 0x40011490 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT5 +CYREG_B1_P2_U1_PLD_IT5 EQU 0x40011494 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT6 +CYREG_B1_P2_U1_PLD_IT6 EQU 0x40011498 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT7 +CYREG_B1_P2_U1_PLD_IT7 EQU 0x4001149c + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT8 +CYREG_B1_P2_U1_PLD_IT8 EQU 0x400114a0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT9 +CYREG_B1_P2_U1_PLD_IT9 EQU 0x400114a4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT10 +CYREG_B1_P2_U1_PLD_IT10 EQU 0x400114a8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_IT11 +CYREG_B1_P2_U1_PLD_IT11 EQU 0x400114ac + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_ORT0 +CYREG_B1_P2_U1_PLD_ORT0 EQU 0x400114b0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_ORT1 +CYREG_B1_P2_U1_PLD_ORT1 EQU 0x400114b2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_ORT2 +CYREG_B1_P2_U1_PLD_ORT2 EQU 0x400114b4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_PLD_ORT3 +CYREG_B1_P2_U1_PLD_ORT3 EQU 0x400114b6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_MC_CFG_CEN_CONST +CYREG_B1_P2_U1_MC_CFG_CEN_CONST EQU 0x400114b8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_MC_CFG_XORFB +CYREG_B1_P2_U1_MC_CFG_XORFB EQU 0x400114ba + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_MC_CFG_SET_RESET +CYREG_B1_P2_U1_MC_CFG_SET_RESET EQU 0x400114bc + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_MC_CFG_BYPASS +CYREG_B1_P2_U1_MC_CFG_BYPASS EQU 0x400114be + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG0 +CYREG_B1_P2_U1_CFG0 EQU 0x400114c0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG1 +CYREG_B1_P2_U1_CFG1 EQU 0x400114c1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG2 +CYREG_B1_P2_U1_CFG2 EQU 0x400114c2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG3 +CYREG_B1_P2_U1_CFG3 EQU 0x400114c3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG4 +CYREG_B1_P2_U1_CFG4 EQU 0x400114c4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG5 +CYREG_B1_P2_U1_CFG5 EQU 0x400114c5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG6 +CYREG_B1_P2_U1_CFG6 EQU 0x400114c6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG7 +CYREG_B1_P2_U1_CFG7 EQU 0x400114c7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG8 +CYREG_B1_P2_U1_CFG8 EQU 0x400114c8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG9 +CYREG_B1_P2_U1_CFG9 EQU 0x400114c9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG10 +CYREG_B1_P2_U1_CFG10 EQU 0x400114ca + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG11 +CYREG_B1_P2_U1_CFG11 EQU 0x400114cb + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG12 +CYREG_B1_P2_U1_CFG12 EQU 0x400114cc + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG13 +CYREG_B1_P2_U1_CFG13 EQU 0x400114cd + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG14 +CYREG_B1_P2_U1_CFG14 EQU 0x400114ce + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG15 +CYREG_B1_P2_U1_CFG15 EQU 0x400114cf + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG16 +CYREG_B1_P2_U1_CFG16 EQU 0x400114d0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG17 +CYREG_B1_P2_U1_CFG17 EQU 0x400114d1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG18 +CYREG_B1_P2_U1_CFG18 EQU 0x400114d2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG19 +CYREG_B1_P2_U1_CFG19 EQU 0x400114d3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG20 +CYREG_B1_P2_U1_CFG20 EQU 0x400114d4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG21 +CYREG_B1_P2_U1_CFG21 EQU 0x400114d5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG22 +CYREG_B1_P2_U1_CFG22 EQU 0x400114d6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG23 +CYREG_B1_P2_U1_CFG23 EQU 0x400114d7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG24 +CYREG_B1_P2_U1_CFG24 EQU 0x400114d8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG25 +CYREG_B1_P2_U1_CFG25 EQU 0x400114d9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG26 +CYREG_B1_P2_U1_CFG26 EQU 0x400114da + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG27 +CYREG_B1_P2_U1_CFG27 EQU 0x400114db + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG28 +CYREG_B1_P2_U1_CFG28 EQU 0x400114dc + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG29 +CYREG_B1_P2_U1_CFG29 EQU 0x400114dd + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG30 +CYREG_B1_P2_U1_CFG30 EQU 0x400114de + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_CFG31 +CYREG_B1_P2_U1_CFG31 EQU 0x400114df + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG0 +CYREG_B1_P2_U1_DCFG0 EQU 0x400114e0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG1 +CYREG_B1_P2_U1_DCFG1 EQU 0x400114e2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG2 +CYREG_B1_P2_U1_DCFG2 EQU 0x400114e4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG3 +CYREG_B1_P2_U1_DCFG3 EQU 0x400114e6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG4 +CYREG_B1_P2_U1_DCFG4 EQU 0x400114e8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG5 +CYREG_B1_P2_U1_DCFG5 EQU 0x400114ea + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG6 +CYREG_B1_P2_U1_DCFG6 EQU 0x400114ec + ENDIF + IF :LNOT::DEF:CYREG_B1_P2_U1_DCFG7 +CYREG_B1_P2_U1_DCFG7 EQU 0x400114ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_ROUTE_BASE +CYDEV_UCFG_B1_P2_ROUTE_BASE EQU 0x40011500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P2_ROUTE_SIZE +CYDEV_UCFG_B1_P2_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_BASE +CYDEV_UCFG_B1_P3_BASE EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_SIZE +CYDEV_UCFG_B1_P3_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_BASE +CYDEV_UCFG_B1_P3_U0_BASE EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U0_SIZE +CYDEV_UCFG_B1_P3_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT0 +CYREG_B1_P3_U0_PLD_IT0 EQU 0x40011600 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT1 +CYREG_B1_P3_U0_PLD_IT1 EQU 0x40011604 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT2 +CYREG_B1_P3_U0_PLD_IT2 EQU 0x40011608 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT3 +CYREG_B1_P3_U0_PLD_IT3 EQU 0x4001160c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT4 +CYREG_B1_P3_U0_PLD_IT4 EQU 0x40011610 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT5 +CYREG_B1_P3_U0_PLD_IT5 EQU 0x40011614 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT6 +CYREG_B1_P3_U0_PLD_IT6 EQU 0x40011618 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT7 +CYREG_B1_P3_U0_PLD_IT7 EQU 0x4001161c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT8 +CYREG_B1_P3_U0_PLD_IT8 EQU 0x40011620 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT9 +CYREG_B1_P3_U0_PLD_IT9 EQU 0x40011624 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT10 +CYREG_B1_P3_U0_PLD_IT10 EQU 0x40011628 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_IT11 +CYREG_B1_P3_U0_PLD_IT11 EQU 0x4001162c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_ORT0 +CYREG_B1_P3_U0_PLD_ORT0 EQU 0x40011630 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_ORT1 +CYREG_B1_P3_U0_PLD_ORT1 EQU 0x40011632 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_ORT2 +CYREG_B1_P3_U0_PLD_ORT2 EQU 0x40011634 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_PLD_ORT3 +CYREG_B1_P3_U0_PLD_ORT3 EQU 0x40011636 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_MC_CFG_CEN_CONST +CYREG_B1_P3_U0_MC_CFG_CEN_CONST EQU 0x40011638 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_MC_CFG_XORFB +CYREG_B1_P3_U0_MC_CFG_XORFB EQU 0x4001163a + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_MC_CFG_SET_RESET +CYREG_B1_P3_U0_MC_CFG_SET_RESET EQU 0x4001163c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_MC_CFG_BYPASS +CYREG_B1_P3_U0_MC_CFG_BYPASS EQU 0x4001163e + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG0 +CYREG_B1_P3_U0_CFG0 EQU 0x40011640 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG1 +CYREG_B1_P3_U0_CFG1 EQU 0x40011641 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG2 +CYREG_B1_P3_U0_CFG2 EQU 0x40011642 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG3 +CYREG_B1_P3_U0_CFG3 EQU 0x40011643 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG4 +CYREG_B1_P3_U0_CFG4 EQU 0x40011644 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG5 +CYREG_B1_P3_U0_CFG5 EQU 0x40011645 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG6 +CYREG_B1_P3_U0_CFG6 EQU 0x40011646 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG7 +CYREG_B1_P3_U0_CFG7 EQU 0x40011647 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG8 +CYREG_B1_P3_U0_CFG8 EQU 0x40011648 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG9 +CYREG_B1_P3_U0_CFG9 EQU 0x40011649 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG10 +CYREG_B1_P3_U0_CFG10 EQU 0x4001164a + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG11 +CYREG_B1_P3_U0_CFG11 EQU 0x4001164b + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG12 +CYREG_B1_P3_U0_CFG12 EQU 0x4001164c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG13 +CYREG_B1_P3_U0_CFG13 EQU 0x4001164d + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG14 +CYREG_B1_P3_U0_CFG14 EQU 0x4001164e + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG15 +CYREG_B1_P3_U0_CFG15 EQU 0x4001164f + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG16 +CYREG_B1_P3_U0_CFG16 EQU 0x40011650 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG17 +CYREG_B1_P3_U0_CFG17 EQU 0x40011651 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG18 +CYREG_B1_P3_U0_CFG18 EQU 0x40011652 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG19 +CYREG_B1_P3_U0_CFG19 EQU 0x40011653 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG20 +CYREG_B1_P3_U0_CFG20 EQU 0x40011654 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG21 +CYREG_B1_P3_U0_CFG21 EQU 0x40011655 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG22 +CYREG_B1_P3_U0_CFG22 EQU 0x40011656 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG23 +CYREG_B1_P3_U0_CFG23 EQU 0x40011657 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG24 +CYREG_B1_P3_U0_CFG24 EQU 0x40011658 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG25 +CYREG_B1_P3_U0_CFG25 EQU 0x40011659 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG26 +CYREG_B1_P3_U0_CFG26 EQU 0x4001165a + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG27 +CYREG_B1_P3_U0_CFG27 EQU 0x4001165b + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG28 +CYREG_B1_P3_U0_CFG28 EQU 0x4001165c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG29 +CYREG_B1_P3_U0_CFG29 EQU 0x4001165d + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG30 +CYREG_B1_P3_U0_CFG30 EQU 0x4001165e + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_CFG31 +CYREG_B1_P3_U0_CFG31 EQU 0x4001165f + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG0 +CYREG_B1_P3_U0_DCFG0 EQU 0x40011660 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG1 +CYREG_B1_P3_U0_DCFG1 EQU 0x40011662 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG2 +CYREG_B1_P3_U0_DCFG2 EQU 0x40011664 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG3 +CYREG_B1_P3_U0_DCFG3 EQU 0x40011666 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG4 +CYREG_B1_P3_U0_DCFG4 EQU 0x40011668 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG5 +CYREG_B1_P3_U0_DCFG5 EQU 0x4001166a + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG6 +CYREG_B1_P3_U0_DCFG6 EQU 0x4001166c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U0_DCFG7 +CYREG_B1_P3_U0_DCFG7 EQU 0x4001166e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_BASE +CYDEV_UCFG_B1_P3_U1_BASE EQU 0x40011680 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_U1_SIZE +CYDEV_UCFG_B1_P3_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT0 +CYREG_B1_P3_U1_PLD_IT0 EQU 0x40011680 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT1 +CYREG_B1_P3_U1_PLD_IT1 EQU 0x40011684 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT2 +CYREG_B1_P3_U1_PLD_IT2 EQU 0x40011688 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT3 +CYREG_B1_P3_U1_PLD_IT3 EQU 0x4001168c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT4 +CYREG_B1_P3_U1_PLD_IT4 EQU 0x40011690 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT5 +CYREG_B1_P3_U1_PLD_IT5 EQU 0x40011694 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT6 +CYREG_B1_P3_U1_PLD_IT6 EQU 0x40011698 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT7 +CYREG_B1_P3_U1_PLD_IT7 EQU 0x4001169c + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT8 +CYREG_B1_P3_U1_PLD_IT8 EQU 0x400116a0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT9 +CYREG_B1_P3_U1_PLD_IT9 EQU 0x400116a4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT10 +CYREG_B1_P3_U1_PLD_IT10 EQU 0x400116a8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_IT11 +CYREG_B1_P3_U1_PLD_IT11 EQU 0x400116ac + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_ORT0 +CYREG_B1_P3_U1_PLD_ORT0 EQU 0x400116b0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_ORT1 +CYREG_B1_P3_U1_PLD_ORT1 EQU 0x400116b2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_ORT2 +CYREG_B1_P3_U1_PLD_ORT2 EQU 0x400116b4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_PLD_ORT3 +CYREG_B1_P3_U1_PLD_ORT3 EQU 0x400116b6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_MC_CFG_CEN_CONST +CYREG_B1_P3_U1_MC_CFG_CEN_CONST EQU 0x400116b8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_MC_CFG_XORFB +CYREG_B1_P3_U1_MC_CFG_XORFB EQU 0x400116ba + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_MC_CFG_SET_RESET +CYREG_B1_P3_U1_MC_CFG_SET_RESET EQU 0x400116bc + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_MC_CFG_BYPASS +CYREG_B1_P3_U1_MC_CFG_BYPASS EQU 0x400116be + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG0 +CYREG_B1_P3_U1_CFG0 EQU 0x400116c0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG1 +CYREG_B1_P3_U1_CFG1 EQU 0x400116c1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG2 +CYREG_B1_P3_U1_CFG2 EQU 0x400116c2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG3 +CYREG_B1_P3_U1_CFG3 EQU 0x400116c3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG4 +CYREG_B1_P3_U1_CFG4 EQU 0x400116c4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG5 +CYREG_B1_P3_U1_CFG5 EQU 0x400116c5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG6 +CYREG_B1_P3_U1_CFG6 EQU 0x400116c6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG7 +CYREG_B1_P3_U1_CFG7 EQU 0x400116c7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG8 +CYREG_B1_P3_U1_CFG8 EQU 0x400116c8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG9 +CYREG_B1_P3_U1_CFG9 EQU 0x400116c9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG10 +CYREG_B1_P3_U1_CFG10 EQU 0x400116ca + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG11 +CYREG_B1_P3_U1_CFG11 EQU 0x400116cb + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG12 +CYREG_B1_P3_U1_CFG12 EQU 0x400116cc + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG13 +CYREG_B1_P3_U1_CFG13 EQU 0x400116cd + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG14 +CYREG_B1_P3_U1_CFG14 EQU 0x400116ce + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG15 +CYREG_B1_P3_U1_CFG15 EQU 0x400116cf + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG16 +CYREG_B1_P3_U1_CFG16 EQU 0x400116d0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG17 +CYREG_B1_P3_U1_CFG17 EQU 0x400116d1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG18 +CYREG_B1_P3_U1_CFG18 EQU 0x400116d2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG19 +CYREG_B1_P3_U1_CFG19 EQU 0x400116d3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG20 +CYREG_B1_P3_U1_CFG20 EQU 0x400116d4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG21 +CYREG_B1_P3_U1_CFG21 EQU 0x400116d5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG22 +CYREG_B1_P3_U1_CFG22 EQU 0x400116d6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG23 +CYREG_B1_P3_U1_CFG23 EQU 0x400116d7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG24 +CYREG_B1_P3_U1_CFG24 EQU 0x400116d8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG25 +CYREG_B1_P3_U1_CFG25 EQU 0x400116d9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG26 +CYREG_B1_P3_U1_CFG26 EQU 0x400116da + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG27 +CYREG_B1_P3_U1_CFG27 EQU 0x400116db + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG28 +CYREG_B1_P3_U1_CFG28 EQU 0x400116dc + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG29 +CYREG_B1_P3_U1_CFG29 EQU 0x400116dd + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG30 +CYREG_B1_P3_U1_CFG30 EQU 0x400116de + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_CFG31 +CYREG_B1_P3_U1_CFG31 EQU 0x400116df + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG0 +CYREG_B1_P3_U1_DCFG0 EQU 0x400116e0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG1 +CYREG_B1_P3_U1_DCFG1 EQU 0x400116e2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG2 +CYREG_B1_P3_U1_DCFG2 EQU 0x400116e4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG3 +CYREG_B1_P3_U1_DCFG3 EQU 0x400116e6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG4 +CYREG_B1_P3_U1_DCFG4 EQU 0x400116e8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG5 +CYREG_B1_P3_U1_DCFG5 EQU 0x400116ea + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG6 +CYREG_B1_P3_U1_DCFG6 EQU 0x400116ec + ENDIF + IF :LNOT::DEF:CYREG_B1_P3_U1_DCFG7 +CYREG_B1_P3_U1_DCFG7 EQU 0x400116ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_ROUTE_BASE +CYDEV_UCFG_B1_P3_ROUTE_BASE EQU 0x40011700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P3_ROUTE_SIZE +CYDEV_UCFG_B1_P3_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_BASE +CYDEV_UCFG_B1_P4_BASE EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_SIZE +CYDEV_UCFG_B1_P4_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_BASE +CYDEV_UCFG_B1_P4_U0_BASE EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U0_SIZE +CYDEV_UCFG_B1_P4_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT0 +CYREG_B1_P4_U0_PLD_IT0 EQU 0x40011800 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT1 +CYREG_B1_P4_U0_PLD_IT1 EQU 0x40011804 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT2 +CYREG_B1_P4_U0_PLD_IT2 EQU 0x40011808 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT3 +CYREG_B1_P4_U0_PLD_IT3 EQU 0x4001180c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT4 +CYREG_B1_P4_U0_PLD_IT4 EQU 0x40011810 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT5 +CYREG_B1_P4_U0_PLD_IT5 EQU 0x40011814 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT6 +CYREG_B1_P4_U0_PLD_IT6 EQU 0x40011818 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT7 +CYREG_B1_P4_U0_PLD_IT7 EQU 0x4001181c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT8 +CYREG_B1_P4_U0_PLD_IT8 EQU 0x40011820 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT9 +CYREG_B1_P4_U0_PLD_IT9 EQU 0x40011824 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT10 +CYREG_B1_P4_U0_PLD_IT10 EQU 0x40011828 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_IT11 +CYREG_B1_P4_U0_PLD_IT11 EQU 0x4001182c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_ORT0 +CYREG_B1_P4_U0_PLD_ORT0 EQU 0x40011830 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_ORT1 +CYREG_B1_P4_U0_PLD_ORT1 EQU 0x40011832 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_ORT2 +CYREG_B1_P4_U0_PLD_ORT2 EQU 0x40011834 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_PLD_ORT3 +CYREG_B1_P4_U0_PLD_ORT3 EQU 0x40011836 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_MC_CFG_CEN_CONST +CYREG_B1_P4_U0_MC_CFG_CEN_CONST EQU 0x40011838 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_MC_CFG_XORFB +CYREG_B1_P4_U0_MC_CFG_XORFB EQU 0x4001183a + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_MC_CFG_SET_RESET +CYREG_B1_P4_U0_MC_CFG_SET_RESET EQU 0x4001183c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_MC_CFG_BYPASS +CYREG_B1_P4_U0_MC_CFG_BYPASS EQU 0x4001183e + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG0 +CYREG_B1_P4_U0_CFG0 EQU 0x40011840 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG1 +CYREG_B1_P4_U0_CFG1 EQU 0x40011841 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG2 +CYREG_B1_P4_U0_CFG2 EQU 0x40011842 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG3 +CYREG_B1_P4_U0_CFG3 EQU 0x40011843 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG4 +CYREG_B1_P4_U0_CFG4 EQU 0x40011844 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG5 +CYREG_B1_P4_U0_CFG5 EQU 0x40011845 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG6 +CYREG_B1_P4_U0_CFG6 EQU 0x40011846 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG7 +CYREG_B1_P4_U0_CFG7 EQU 0x40011847 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG8 +CYREG_B1_P4_U0_CFG8 EQU 0x40011848 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG9 +CYREG_B1_P4_U0_CFG9 EQU 0x40011849 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG10 +CYREG_B1_P4_U0_CFG10 EQU 0x4001184a + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG11 +CYREG_B1_P4_U0_CFG11 EQU 0x4001184b + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG12 +CYREG_B1_P4_U0_CFG12 EQU 0x4001184c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG13 +CYREG_B1_P4_U0_CFG13 EQU 0x4001184d + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG14 +CYREG_B1_P4_U0_CFG14 EQU 0x4001184e + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG15 +CYREG_B1_P4_U0_CFG15 EQU 0x4001184f + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG16 +CYREG_B1_P4_U0_CFG16 EQU 0x40011850 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG17 +CYREG_B1_P4_U0_CFG17 EQU 0x40011851 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG18 +CYREG_B1_P4_U0_CFG18 EQU 0x40011852 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG19 +CYREG_B1_P4_U0_CFG19 EQU 0x40011853 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG20 +CYREG_B1_P4_U0_CFG20 EQU 0x40011854 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG21 +CYREG_B1_P4_U0_CFG21 EQU 0x40011855 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG22 +CYREG_B1_P4_U0_CFG22 EQU 0x40011856 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG23 +CYREG_B1_P4_U0_CFG23 EQU 0x40011857 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG24 +CYREG_B1_P4_U0_CFG24 EQU 0x40011858 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG25 +CYREG_B1_P4_U0_CFG25 EQU 0x40011859 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG26 +CYREG_B1_P4_U0_CFG26 EQU 0x4001185a + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG27 +CYREG_B1_P4_U0_CFG27 EQU 0x4001185b + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG28 +CYREG_B1_P4_U0_CFG28 EQU 0x4001185c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG29 +CYREG_B1_P4_U0_CFG29 EQU 0x4001185d + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG30 +CYREG_B1_P4_U0_CFG30 EQU 0x4001185e + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_CFG31 +CYREG_B1_P4_U0_CFG31 EQU 0x4001185f + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG0 +CYREG_B1_P4_U0_DCFG0 EQU 0x40011860 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG1 +CYREG_B1_P4_U0_DCFG1 EQU 0x40011862 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG2 +CYREG_B1_P4_U0_DCFG2 EQU 0x40011864 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG3 +CYREG_B1_P4_U0_DCFG3 EQU 0x40011866 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG4 +CYREG_B1_P4_U0_DCFG4 EQU 0x40011868 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG5 +CYREG_B1_P4_U0_DCFG5 EQU 0x4001186a + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG6 +CYREG_B1_P4_U0_DCFG6 EQU 0x4001186c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U0_DCFG7 +CYREG_B1_P4_U0_DCFG7 EQU 0x4001186e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_BASE +CYDEV_UCFG_B1_P4_U1_BASE EQU 0x40011880 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_U1_SIZE +CYDEV_UCFG_B1_P4_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT0 +CYREG_B1_P4_U1_PLD_IT0 EQU 0x40011880 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT1 +CYREG_B1_P4_U1_PLD_IT1 EQU 0x40011884 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT2 +CYREG_B1_P4_U1_PLD_IT2 EQU 0x40011888 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT3 +CYREG_B1_P4_U1_PLD_IT3 EQU 0x4001188c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT4 +CYREG_B1_P4_U1_PLD_IT4 EQU 0x40011890 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT5 +CYREG_B1_P4_U1_PLD_IT5 EQU 0x40011894 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT6 +CYREG_B1_P4_U1_PLD_IT6 EQU 0x40011898 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT7 +CYREG_B1_P4_U1_PLD_IT7 EQU 0x4001189c + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT8 +CYREG_B1_P4_U1_PLD_IT8 EQU 0x400118a0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT9 +CYREG_B1_P4_U1_PLD_IT9 EQU 0x400118a4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT10 +CYREG_B1_P4_U1_PLD_IT10 EQU 0x400118a8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_IT11 +CYREG_B1_P4_U1_PLD_IT11 EQU 0x400118ac + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_ORT0 +CYREG_B1_P4_U1_PLD_ORT0 EQU 0x400118b0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_ORT1 +CYREG_B1_P4_U1_PLD_ORT1 EQU 0x400118b2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_ORT2 +CYREG_B1_P4_U1_PLD_ORT2 EQU 0x400118b4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_PLD_ORT3 +CYREG_B1_P4_U1_PLD_ORT3 EQU 0x400118b6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_MC_CFG_CEN_CONST +CYREG_B1_P4_U1_MC_CFG_CEN_CONST EQU 0x400118b8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_MC_CFG_XORFB +CYREG_B1_P4_U1_MC_CFG_XORFB EQU 0x400118ba + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_MC_CFG_SET_RESET +CYREG_B1_P4_U1_MC_CFG_SET_RESET EQU 0x400118bc + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_MC_CFG_BYPASS +CYREG_B1_P4_U1_MC_CFG_BYPASS EQU 0x400118be + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG0 +CYREG_B1_P4_U1_CFG0 EQU 0x400118c0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG1 +CYREG_B1_P4_U1_CFG1 EQU 0x400118c1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG2 +CYREG_B1_P4_U1_CFG2 EQU 0x400118c2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG3 +CYREG_B1_P4_U1_CFG3 EQU 0x400118c3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG4 +CYREG_B1_P4_U1_CFG4 EQU 0x400118c4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG5 +CYREG_B1_P4_U1_CFG5 EQU 0x400118c5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG6 +CYREG_B1_P4_U1_CFG6 EQU 0x400118c6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG7 +CYREG_B1_P4_U1_CFG7 EQU 0x400118c7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG8 +CYREG_B1_P4_U1_CFG8 EQU 0x400118c8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG9 +CYREG_B1_P4_U1_CFG9 EQU 0x400118c9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG10 +CYREG_B1_P4_U1_CFG10 EQU 0x400118ca + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG11 +CYREG_B1_P4_U1_CFG11 EQU 0x400118cb + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG12 +CYREG_B1_P4_U1_CFG12 EQU 0x400118cc + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG13 +CYREG_B1_P4_U1_CFG13 EQU 0x400118cd + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG14 +CYREG_B1_P4_U1_CFG14 EQU 0x400118ce + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG15 +CYREG_B1_P4_U1_CFG15 EQU 0x400118cf + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG16 +CYREG_B1_P4_U1_CFG16 EQU 0x400118d0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG17 +CYREG_B1_P4_U1_CFG17 EQU 0x400118d1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG18 +CYREG_B1_P4_U1_CFG18 EQU 0x400118d2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG19 +CYREG_B1_P4_U1_CFG19 EQU 0x400118d3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG20 +CYREG_B1_P4_U1_CFG20 EQU 0x400118d4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG21 +CYREG_B1_P4_U1_CFG21 EQU 0x400118d5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG22 +CYREG_B1_P4_U1_CFG22 EQU 0x400118d6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG23 +CYREG_B1_P4_U1_CFG23 EQU 0x400118d7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG24 +CYREG_B1_P4_U1_CFG24 EQU 0x400118d8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG25 +CYREG_B1_P4_U1_CFG25 EQU 0x400118d9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG26 +CYREG_B1_P4_U1_CFG26 EQU 0x400118da + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG27 +CYREG_B1_P4_U1_CFG27 EQU 0x400118db + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG28 +CYREG_B1_P4_U1_CFG28 EQU 0x400118dc + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG29 +CYREG_B1_P4_U1_CFG29 EQU 0x400118dd + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG30 +CYREG_B1_P4_U1_CFG30 EQU 0x400118de + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_CFG31 +CYREG_B1_P4_U1_CFG31 EQU 0x400118df + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG0 +CYREG_B1_P4_U1_DCFG0 EQU 0x400118e0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG1 +CYREG_B1_P4_U1_DCFG1 EQU 0x400118e2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG2 +CYREG_B1_P4_U1_DCFG2 EQU 0x400118e4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG3 +CYREG_B1_P4_U1_DCFG3 EQU 0x400118e6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG4 +CYREG_B1_P4_U1_DCFG4 EQU 0x400118e8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG5 +CYREG_B1_P4_U1_DCFG5 EQU 0x400118ea + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG6 +CYREG_B1_P4_U1_DCFG6 EQU 0x400118ec + ENDIF + IF :LNOT::DEF:CYREG_B1_P4_U1_DCFG7 +CYREG_B1_P4_U1_DCFG7 EQU 0x400118ee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_ROUTE_BASE +CYDEV_UCFG_B1_P4_ROUTE_BASE EQU 0x40011900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P4_ROUTE_SIZE +CYDEV_UCFG_B1_P4_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_BASE +CYDEV_UCFG_B1_P5_BASE EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_SIZE +CYDEV_UCFG_B1_P5_SIZE EQU 0x000001ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_BASE +CYDEV_UCFG_B1_P5_U0_BASE EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U0_SIZE +CYDEV_UCFG_B1_P5_U0_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT0 +CYREG_B1_P5_U0_PLD_IT0 EQU 0x40011a00 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT1 +CYREG_B1_P5_U0_PLD_IT1 EQU 0x40011a04 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT2 +CYREG_B1_P5_U0_PLD_IT2 EQU 0x40011a08 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT3 +CYREG_B1_P5_U0_PLD_IT3 EQU 0x40011a0c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT4 +CYREG_B1_P5_U0_PLD_IT4 EQU 0x40011a10 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT5 +CYREG_B1_P5_U0_PLD_IT5 EQU 0x40011a14 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT6 +CYREG_B1_P5_U0_PLD_IT6 EQU 0x40011a18 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT7 +CYREG_B1_P5_U0_PLD_IT7 EQU 0x40011a1c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT8 +CYREG_B1_P5_U0_PLD_IT8 EQU 0x40011a20 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT9 +CYREG_B1_P5_U0_PLD_IT9 EQU 0x40011a24 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT10 +CYREG_B1_P5_U0_PLD_IT10 EQU 0x40011a28 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_IT11 +CYREG_B1_P5_U0_PLD_IT11 EQU 0x40011a2c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_ORT0 +CYREG_B1_P5_U0_PLD_ORT0 EQU 0x40011a30 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_ORT1 +CYREG_B1_P5_U0_PLD_ORT1 EQU 0x40011a32 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_ORT2 +CYREG_B1_P5_U0_PLD_ORT2 EQU 0x40011a34 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_PLD_ORT3 +CYREG_B1_P5_U0_PLD_ORT3 EQU 0x40011a36 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_MC_CFG_CEN_CONST +CYREG_B1_P5_U0_MC_CFG_CEN_CONST EQU 0x40011a38 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_MC_CFG_XORFB +CYREG_B1_P5_U0_MC_CFG_XORFB EQU 0x40011a3a + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_MC_CFG_SET_RESET +CYREG_B1_P5_U0_MC_CFG_SET_RESET EQU 0x40011a3c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_MC_CFG_BYPASS +CYREG_B1_P5_U0_MC_CFG_BYPASS EQU 0x40011a3e + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG0 +CYREG_B1_P5_U0_CFG0 EQU 0x40011a40 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG1 +CYREG_B1_P5_U0_CFG1 EQU 0x40011a41 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG2 +CYREG_B1_P5_U0_CFG2 EQU 0x40011a42 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG3 +CYREG_B1_P5_U0_CFG3 EQU 0x40011a43 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG4 +CYREG_B1_P5_U0_CFG4 EQU 0x40011a44 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG5 +CYREG_B1_P5_U0_CFG5 EQU 0x40011a45 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG6 +CYREG_B1_P5_U0_CFG6 EQU 0x40011a46 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG7 +CYREG_B1_P5_U0_CFG7 EQU 0x40011a47 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG8 +CYREG_B1_P5_U0_CFG8 EQU 0x40011a48 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG9 +CYREG_B1_P5_U0_CFG9 EQU 0x40011a49 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG10 +CYREG_B1_P5_U0_CFG10 EQU 0x40011a4a + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG11 +CYREG_B1_P5_U0_CFG11 EQU 0x40011a4b + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG12 +CYREG_B1_P5_U0_CFG12 EQU 0x40011a4c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG13 +CYREG_B1_P5_U0_CFG13 EQU 0x40011a4d + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG14 +CYREG_B1_P5_U0_CFG14 EQU 0x40011a4e + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG15 +CYREG_B1_P5_U0_CFG15 EQU 0x40011a4f + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG16 +CYREG_B1_P5_U0_CFG16 EQU 0x40011a50 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG17 +CYREG_B1_P5_U0_CFG17 EQU 0x40011a51 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG18 +CYREG_B1_P5_U0_CFG18 EQU 0x40011a52 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG19 +CYREG_B1_P5_U0_CFG19 EQU 0x40011a53 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG20 +CYREG_B1_P5_U0_CFG20 EQU 0x40011a54 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG21 +CYREG_B1_P5_U0_CFG21 EQU 0x40011a55 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG22 +CYREG_B1_P5_U0_CFG22 EQU 0x40011a56 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG23 +CYREG_B1_P5_U0_CFG23 EQU 0x40011a57 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG24 +CYREG_B1_P5_U0_CFG24 EQU 0x40011a58 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG25 +CYREG_B1_P5_U0_CFG25 EQU 0x40011a59 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG26 +CYREG_B1_P5_U0_CFG26 EQU 0x40011a5a + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG27 +CYREG_B1_P5_U0_CFG27 EQU 0x40011a5b + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG28 +CYREG_B1_P5_U0_CFG28 EQU 0x40011a5c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG29 +CYREG_B1_P5_U0_CFG29 EQU 0x40011a5d + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG30 +CYREG_B1_P5_U0_CFG30 EQU 0x40011a5e + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_CFG31 +CYREG_B1_P5_U0_CFG31 EQU 0x40011a5f + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG0 +CYREG_B1_P5_U0_DCFG0 EQU 0x40011a60 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG1 +CYREG_B1_P5_U0_DCFG1 EQU 0x40011a62 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG2 +CYREG_B1_P5_U0_DCFG2 EQU 0x40011a64 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG3 +CYREG_B1_P5_U0_DCFG3 EQU 0x40011a66 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG4 +CYREG_B1_P5_U0_DCFG4 EQU 0x40011a68 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG5 +CYREG_B1_P5_U0_DCFG5 EQU 0x40011a6a + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG6 +CYREG_B1_P5_U0_DCFG6 EQU 0x40011a6c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U0_DCFG7 +CYREG_B1_P5_U0_DCFG7 EQU 0x40011a6e + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_BASE +CYDEV_UCFG_B1_P5_U1_BASE EQU 0x40011a80 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_U1_SIZE +CYDEV_UCFG_B1_P5_U1_SIZE EQU 0x00000070 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT0 +CYREG_B1_P5_U1_PLD_IT0 EQU 0x40011a80 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT1 +CYREG_B1_P5_U1_PLD_IT1 EQU 0x40011a84 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT2 +CYREG_B1_P5_U1_PLD_IT2 EQU 0x40011a88 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT3 +CYREG_B1_P5_U1_PLD_IT3 EQU 0x40011a8c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT4 +CYREG_B1_P5_U1_PLD_IT4 EQU 0x40011a90 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT5 +CYREG_B1_P5_U1_PLD_IT5 EQU 0x40011a94 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT6 +CYREG_B1_P5_U1_PLD_IT6 EQU 0x40011a98 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT7 +CYREG_B1_P5_U1_PLD_IT7 EQU 0x40011a9c + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT8 +CYREG_B1_P5_U1_PLD_IT8 EQU 0x40011aa0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT9 +CYREG_B1_P5_U1_PLD_IT9 EQU 0x40011aa4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT10 +CYREG_B1_P5_U1_PLD_IT10 EQU 0x40011aa8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_IT11 +CYREG_B1_P5_U1_PLD_IT11 EQU 0x40011aac + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_ORT0 +CYREG_B1_P5_U1_PLD_ORT0 EQU 0x40011ab0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_ORT1 +CYREG_B1_P5_U1_PLD_ORT1 EQU 0x40011ab2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_ORT2 +CYREG_B1_P5_U1_PLD_ORT2 EQU 0x40011ab4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_PLD_ORT3 +CYREG_B1_P5_U1_PLD_ORT3 EQU 0x40011ab6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_MC_CFG_CEN_CONST +CYREG_B1_P5_U1_MC_CFG_CEN_CONST EQU 0x40011ab8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_MC_CFG_XORFB +CYREG_B1_P5_U1_MC_CFG_XORFB EQU 0x40011aba + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_MC_CFG_SET_RESET +CYREG_B1_P5_U1_MC_CFG_SET_RESET EQU 0x40011abc + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_MC_CFG_BYPASS +CYREG_B1_P5_U1_MC_CFG_BYPASS EQU 0x40011abe + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG0 +CYREG_B1_P5_U1_CFG0 EQU 0x40011ac0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG1 +CYREG_B1_P5_U1_CFG1 EQU 0x40011ac1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG2 +CYREG_B1_P5_U1_CFG2 EQU 0x40011ac2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG3 +CYREG_B1_P5_U1_CFG3 EQU 0x40011ac3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG4 +CYREG_B1_P5_U1_CFG4 EQU 0x40011ac4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG5 +CYREG_B1_P5_U1_CFG5 EQU 0x40011ac5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG6 +CYREG_B1_P5_U1_CFG6 EQU 0x40011ac6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG7 +CYREG_B1_P5_U1_CFG7 EQU 0x40011ac7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG8 +CYREG_B1_P5_U1_CFG8 EQU 0x40011ac8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG9 +CYREG_B1_P5_U1_CFG9 EQU 0x40011ac9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG10 +CYREG_B1_P5_U1_CFG10 EQU 0x40011aca + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG11 +CYREG_B1_P5_U1_CFG11 EQU 0x40011acb + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG12 +CYREG_B1_P5_U1_CFG12 EQU 0x40011acc + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG13 +CYREG_B1_P5_U1_CFG13 EQU 0x40011acd + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG14 +CYREG_B1_P5_U1_CFG14 EQU 0x40011ace + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG15 +CYREG_B1_P5_U1_CFG15 EQU 0x40011acf + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG16 +CYREG_B1_P5_U1_CFG16 EQU 0x40011ad0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG17 +CYREG_B1_P5_U1_CFG17 EQU 0x40011ad1 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG18 +CYREG_B1_P5_U1_CFG18 EQU 0x40011ad2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG19 +CYREG_B1_P5_U1_CFG19 EQU 0x40011ad3 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG20 +CYREG_B1_P5_U1_CFG20 EQU 0x40011ad4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG21 +CYREG_B1_P5_U1_CFG21 EQU 0x40011ad5 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG22 +CYREG_B1_P5_U1_CFG22 EQU 0x40011ad6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG23 +CYREG_B1_P5_U1_CFG23 EQU 0x40011ad7 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG24 +CYREG_B1_P5_U1_CFG24 EQU 0x40011ad8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG25 +CYREG_B1_P5_U1_CFG25 EQU 0x40011ad9 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG26 +CYREG_B1_P5_U1_CFG26 EQU 0x40011ada + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG27 +CYREG_B1_P5_U1_CFG27 EQU 0x40011adb + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG28 +CYREG_B1_P5_U1_CFG28 EQU 0x40011adc + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG29 +CYREG_B1_P5_U1_CFG29 EQU 0x40011add + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG30 +CYREG_B1_P5_U1_CFG30 EQU 0x40011ade + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_CFG31 +CYREG_B1_P5_U1_CFG31 EQU 0x40011adf + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG0 +CYREG_B1_P5_U1_DCFG0 EQU 0x40011ae0 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG1 +CYREG_B1_P5_U1_DCFG1 EQU 0x40011ae2 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG2 +CYREG_B1_P5_U1_DCFG2 EQU 0x40011ae4 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG3 +CYREG_B1_P5_U1_DCFG3 EQU 0x40011ae6 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG4 +CYREG_B1_P5_U1_DCFG4 EQU 0x40011ae8 + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG5 +CYREG_B1_P5_U1_DCFG5 EQU 0x40011aea + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG6 +CYREG_B1_P5_U1_DCFG6 EQU 0x40011aec + ENDIF + IF :LNOT::DEF:CYREG_B1_P5_U1_DCFG7 +CYREG_B1_P5_U1_DCFG7 EQU 0x40011aee + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_ROUTE_BASE +CYDEV_UCFG_B1_P5_ROUTE_BASE EQU 0x40011b00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_B1_P5_ROUTE_SIZE +CYDEV_UCFG_B1_P5_ROUTE_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI0_BASE +CYDEV_UCFG_DSI0_BASE EQU 0x40014000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI0_SIZE +CYDEV_UCFG_DSI0_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI1_BASE +CYDEV_UCFG_DSI1_BASE EQU 0x40014100 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI1_SIZE +CYDEV_UCFG_DSI1_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI2_BASE +CYDEV_UCFG_DSI2_BASE EQU 0x40014200 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI2_SIZE +CYDEV_UCFG_DSI2_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI3_BASE +CYDEV_UCFG_DSI3_BASE EQU 0x40014300 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI3_SIZE +CYDEV_UCFG_DSI3_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI4_BASE +CYDEV_UCFG_DSI4_BASE EQU 0x40014400 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI4_SIZE +CYDEV_UCFG_DSI4_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI5_BASE +CYDEV_UCFG_DSI5_BASE EQU 0x40014500 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI5_SIZE +CYDEV_UCFG_DSI5_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI6_BASE +CYDEV_UCFG_DSI6_BASE EQU 0x40014600 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI6_SIZE +CYDEV_UCFG_DSI6_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI7_BASE +CYDEV_UCFG_DSI7_BASE EQU 0x40014700 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI7_SIZE +CYDEV_UCFG_DSI7_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI8_BASE +CYDEV_UCFG_DSI8_BASE EQU 0x40014800 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI8_SIZE +CYDEV_UCFG_DSI8_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI9_BASE +CYDEV_UCFG_DSI9_BASE EQU 0x40014900 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI9_SIZE +CYDEV_UCFG_DSI9_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI12_BASE +CYDEV_UCFG_DSI12_BASE EQU 0x40014c00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI12_SIZE +CYDEV_UCFG_DSI12_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI13_BASE +CYDEV_UCFG_DSI13_BASE EQU 0x40014d00 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_DSI13_SIZE +CYDEV_UCFG_DSI13_SIZE EQU 0x000000ef + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_BASE +CYDEV_UCFG_BCTL0_BASE EQU 0x40015000 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL0_SIZE +CYDEV_UCFG_BCTL0_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_MDCLK_EN +CYREG_BCTL0_MDCLK_EN EQU 0x40015000 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_MBCLK_EN +CYREG_BCTL0_MBCLK_EN EQU 0x40015001 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_WAIT_CFG +CYREG_BCTL0_WAIT_CFG EQU 0x40015002 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BANK_CTL +CYREG_BCTL0_BANK_CTL EQU 0x40015003 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_UDB_TEST_3 +CYREG_BCTL0_UDB_TEST_3 EQU 0x40015007 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_DCLK_EN0 +CYREG_BCTL0_DCLK_EN0 EQU 0x40015008 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BCLK_EN0 +CYREG_BCTL0_BCLK_EN0 EQU 0x40015009 + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_DCLK_EN1 +CYREG_BCTL0_DCLK_EN1 EQU 0x4001500a + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BCLK_EN1 +CYREG_BCTL0_BCLK_EN1 EQU 0x4001500b + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_DCLK_EN2 +CYREG_BCTL0_DCLK_EN2 EQU 0x4001500c + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BCLK_EN2 +CYREG_BCTL0_BCLK_EN2 EQU 0x4001500d + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_DCLK_EN3 +CYREG_BCTL0_DCLK_EN3 EQU 0x4001500e + ENDIF + IF :LNOT::DEF:CYREG_BCTL0_BCLK_EN3 +CYREG_BCTL0_BCLK_EN3 EQU 0x4001500f + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_BASE +CYDEV_UCFG_BCTL1_BASE EQU 0x40015010 + ENDIF + IF :LNOT::DEF:CYDEV_UCFG_BCTL1_SIZE +CYDEV_UCFG_BCTL1_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_MDCLK_EN +CYREG_BCTL1_MDCLK_EN EQU 0x40015010 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_MBCLK_EN +CYREG_BCTL1_MBCLK_EN EQU 0x40015011 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_WAIT_CFG +CYREG_BCTL1_WAIT_CFG EQU 0x40015012 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BANK_CTL +CYREG_BCTL1_BANK_CTL EQU 0x40015013 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_UDB_TEST_3 +CYREG_BCTL1_UDB_TEST_3 EQU 0x40015017 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_DCLK_EN0 +CYREG_BCTL1_DCLK_EN0 EQU 0x40015018 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BCLK_EN0 +CYREG_BCTL1_BCLK_EN0 EQU 0x40015019 + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_DCLK_EN1 +CYREG_BCTL1_DCLK_EN1 EQU 0x4001501a + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BCLK_EN1 +CYREG_BCTL1_BCLK_EN1 EQU 0x4001501b + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_DCLK_EN2 +CYREG_BCTL1_DCLK_EN2 EQU 0x4001501c + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BCLK_EN2 +CYREG_BCTL1_BCLK_EN2 EQU 0x4001501d + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_DCLK_EN3 +CYREG_BCTL1_DCLK_EN3 EQU 0x4001501e + ENDIF + IF :LNOT::DEF:CYREG_BCTL1_BCLK_EN3 +CYREG_BCTL1_BCLK_EN3 EQU 0x4001501f + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_BASE +CYDEV_IDMUX_BASE EQU 0x40015100 + ENDIF + IF :LNOT::DEF:CYDEV_IDMUX_SIZE +CYDEV_IDMUX_SIZE EQU 0x00000016 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL0 +CYREG_IDMUX_IRQ_CTL0 EQU 0x40015100 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL1 +CYREG_IDMUX_IRQ_CTL1 EQU 0x40015101 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL2 +CYREG_IDMUX_IRQ_CTL2 EQU 0x40015102 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL3 +CYREG_IDMUX_IRQ_CTL3 EQU 0x40015103 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL4 +CYREG_IDMUX_IRQ_CTL4 EQU 0x40015104 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL5 +CYREG_IDMUX_IRQ_CTL5 EQU 0x40015105 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL6 +CYREG_IDMUX_IRQ_CTL6 EQU 0x40015106 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_IRQ_CTL7 +CYREG_IDMUX_IRQ_CTL7 EQU 0x40015107 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL0 +CYREG_IDMUX_DRQ_CTL0 EQU 0x40015110 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL1 +CYREG_IDMUX_DRQ_CTL1 EQU 0x40015111 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL2 +CYREG_IDMUX_DRQ_CTL2 EQU 0x40015112 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL3 +CYREG_IDMUX_DRQ_CTL3 EQU 0x40015113 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL4 +CYREG_IDMUX_DRQ_CTL4 EQU 0x40015114 + ENDIF + IF :LNOT::DEF:CYREG_IDMUX_DRQ_CTL5 +CYREG_IDMUX_DRQ_CTL5 EQU 0x40015115 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_BASE +CYDEV_CACHERAM_BASE EQU 0x40030000 + ENDIF + IF :LNOT::DEF:CYDEV_CACHERAM_SIZE +CYDEV_CACHERAM_SIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYREG_CACHERAM_DATA_MBASE +CYREG_CACHERAM_DATA_MBASE EQU 0x40030000 + ENDIF + IF :LNOT::DEF:CYREG_CACHERAM_DATA_MSIZE +CYREG_CACHERAM_DATA_MSIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_BASE +CYDEV_SFR_BASE EQU 0x40050100 + ENDIF + IF :LNOT::DEF:CYDEV_SFR_SIZE +CYDEV_SFR_SIZE EQU 0x000000fb + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO0 +CYREG_SFR_GPIO0 EQU 0x40050180 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD0 +CYREG_SFR_GPIRD0 EQU 0x40050189 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO0_SEL +CYREG_SFR_GPIO0_SEL EQU 0x4005018a + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO1 +CYREG_SFR_GPIO1 EQU 0x40050190 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD1 +CYREG_SFR_GPIRD1 EQU 0x40050191 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO2 +CYREG_SFR_GPIO2 EQU 0x40050198 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD2 +CYREG_SFR_GPIRD2 EQU 0x40050199 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO2_SEL +CYREG_SFR_GPIO2_SEL EQU 0x4005019a + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO1_SEL +CYREG_SFR_GPIO1_SEL EQU 0x400501a2 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO3 +CYREG_SFR_GPIO3 EQU 0x400501b0 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD3 +CYREG_SFR_GPIRD3 EQU 0x400501b1 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO3_SEL +CYREG_SFR_GPIO3_SEL EQU 0x400501b2 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO4 +CYREG_SFR_GPIO4 EQU 0x400501c0 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD4 +CYREG_SFR_GPIRD4 EQU 0x400501c1 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO4_SEL +CYREG_SFR_GPIO4_SEL EQU 0x400501c2 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO5 +CYREG_SFR_GPIO5 EQU 0x400501c8 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD5 +CYREG_SFR_GPIRD5 EQU 0x400501c9 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO5_SEL +CYREG_SFR_GPIO5_SEL EQU 0x400501ca + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO6 +CYREG_SFR_GPIO6 EQU 0x400501d8 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD6 +CYREG_SFR_GPIRD6 EQU 0x400501d9 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO6_SEL +CYREG_SFR_GPIO6_SEL EQU 0x400501da + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO12 +CYREG_SFR_GPIO12 EQU 0x400501e8 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD12 +CYREG_SFR_GPIRD12 EQU 0x400501e9 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO12_SEL +CYREG_SFR_GPIO12_SEL EQU 0x400501f2 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO15 +CYREG_SFR_GPIO15 EQU 0x400501f8 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIRD15 +CYREG_SFR_GPIRD15 EQU 0x400501f9 + ENDIF + IF :LNOT::DEF:CYREG_SFR_GPIO15_SEL +CYREG_SFR_GPIO15_SEL EQU 0x400501fa + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_BASE +CYDEV_P3BA_BASE EQU 0x40050300 + ENDIF + IF :LNOT::DEF:CYDEV_P3BA_SIZE +CYDEV_P3BA_SIZE EQU 0x0000002b + ENDIF + IF :LNOT::DEF:CYREG_P3BA_Y_START +CYREG_P3BA_Y_START EQU 0x40050300 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_YROLL +CYREG_P3BA_YROLL EQU 0x40050301 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_YCFG +CYREG_P3BA_YCFG EQU 0x40050302 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_X_START1 +CYREG_P3BA_X_START1 EQU 0x40050303 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_X_START2 +CYREG_P3BA_X_START2 EQU 0x40050304 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_XROLL1 +CYREG_P3BA_XROLL1 EQU 0x40050305 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_XROLL2 +CYREG_P3BA_XROLL2 EQU 0x40050306 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_XINC +CYREG_P3BA_XINC EQU 0x40050307 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_XCFG +CYREG_P3BA_XCFG EQU 0x40050308 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_OFFSETADDR1 +CYREG_P3BA_OFFSETADDR1 EQU 0x40050309 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_OFFSETADDR2 +CYREG_P3BA_OFFSETADDR2 EQU 0x4005030a + ENDIF + IF :LNOT::DEF:CYREG_P3BA_OFFSETADDR3 +CYREG_P3BA_OFFSETADDR3 EQU 0x4005030b + ENDIF + IF :LNOT::DEF:CYREG_P3BA_ABSADDR1 +CYREG_P3BA_ABSADDR1 EQU 0x4005030c + ENDIF + IF :LNOT::DEF:CYREG_P3BA_ABSADDR2 +CYREG_P3BA_ABSADDR2 EQU 0x4005030d + ENDIF + IF :LNOT::DEF:CYREG_P3BA_ABSADDR3 +CYREG_P3BA_ABSADDR3 EQU 0x4005030e + ENDIF + IF :LNOT::DEF:CYREG_P3BA_ABSADDR4 +CYREG_P3BA_ABSADDR4 EQU 0x4005030f + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATCFG1 +CYREG_P3BA_DATCFG1 EQU 0x40050310 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATCFG2 +CYREG_P3BA_DATCFG2 EQU 0x40050311 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_CMP_RSLT1 +CYREG_P3BA_CMP_RSLT1 EQU 0x40050314 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_CMP_RSLT2 +CYREG_P3BA_CMP_RSLT2 EQU 0x40050315 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_CMP_RSLT3 +CYREG_P3BA_CMP_RSLT3 EQU 0x40050316 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_CMP_RSLT4 +CYREG_P3BA_CMP_RSLT4 EQU 0x40050317 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATA_REG1 +CYREG_P3BA_DATA_REG1 EQU 0x40050318 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATA_REG2 +CYREG_P3BA_DATA_REG2 EQU 0x40050319 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATA_REG3 +CYREG_P3BA_DATA_REG3 EQU 0x4005031a + ENDIF + IF :LNOT::DEF:CYREG_P3BA_DATA_REG4 +CYREG_P3BA_DATA_REG4 EQU 0x4005031b + ENDIF + IF :LNOT::DEF:CYREG_P3BA_EXP_DATA1 +CYREG_P3BA_EXP_DATA1 EQU 0x4005031c + ENDIF + IF :LNOT::DEF:CYREG_P3BA_EXP_DATA2 +CYREG_P3BA_EXP_DATA2 EQU 0x4005031d + ENDIF + IF :LNOT::DEF:CYREG_P3BA_EXP_DATA3 +CYREG_P3BA_EXP_DATA3 EQU 0x4005031e + ENDIF + IF :LNOT::DEF:CYREG_P3BA_EXP_DATA4 +CYREG_P3BA_EXP_DATA4 EQU 0x4005031f + ENDIF + IF :LNOT::DEF:CYREG_P3BA_MSTR_HRDATA1 +CYREG_P3BA_MSTR_HRDATA1 EQU 0x40050320 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_MSTR_HRDATA2 +CYREG_P3BA_MSTR_HRDATA2 EQU 0x40050321 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_MSTR_HRDATA3 +CYREG_P3BA_MSTR_HRDATA3 EQU 0x40050322 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_MSTR_HRDATA4 +CYREG_P3BA_MSTR_HRDATA4 EQU 0x40050323 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_BIST_EN +CYREG_P3BA_BIST_EN EQU 0x40050324 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_PHUB_MASTER_SSR +CYREG_P3BA_PHUB_MASTER_SSR EQU 0x40050325 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_SEQCFG1 +CYREG_P3BA_SEQCFG1 EQU 0x40050326 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_SEQCFG2 +CYREG_P3BA_SEQCFG2 EQU 0x40050327 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_Y_CURR +CYREG_P3BA_Y_CURR EQU 0x40050328 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_X_CURR1 +CYREG_P3BA_X_CURR1 EQU 0x40050329 + ENDIF + IF :LNOT::DEF:CYREG_P3BA_X_CURR2 +CYREG_P3BA_X_CURR2 EQU 0x4005032a + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_BASE +CYDEV_PANTHER_BASE EQU 0x40080000 + ENDIF + IF :LNOT::DEF:CYDEV_PANTHER_SIZE +CYDEV_PANTHER_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_STCALIB_CFG +CYREG_PANTHER_STCALIB_CFG EQU 0x40080000 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_WAITPIPE +CYREG_PANTHER_WAITPIPE EQU 0x40080004 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_TRACE_CFG +CYREG_PANTHER_TRACE_CFG EQU 0x40080008 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_DBG_CFG +CYREG_PANTHER_DBG_CFG EQU 0x4008000c + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_CM3_LCKRST_STAT +CYREG_PANTHER_CM3_LCKRST_STAT EQU 0x40080018 + ENDIF + IF :LNOT::DEF:CYREG_PANTHER_DEVICE_ID +CYREG_PANTHER_DEVICE_ID EQU 0x4008001c + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_BASE +CYDEV_FLSECC_BASE EQU 0x48000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSECC_SIZE +CYDEV_FLSECC_SIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYREG_FLSECC_DATA_MBASE +CYREG_FLSECC_DATA_MBASE EQU 0x48000000 + ENDIF + IF :LNOT::DEF:CYREG_FLSECC_DATA_MSIZE +CYREG_FLSECC_DATA_MSIZE EQU 0x00008000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_BASE +CYDEV_FLSHID_BASE EQU 0x49000000 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_SIZE +CYDEV_FLSHID_SIZE EQU 0x00000200 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_RSVD_MBASE +CYREG_FLSHID_RSVD_MBASE EQU 0x49000000 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_RSVD_MSIZE +CYREG_FLSHID_RSVD_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_MDATA_MBASE +CYREG_FLSHID_CUST_MDATA_MBASE EQU 0x49000080 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_MDATA_MSIZE +CYREG_FLSHID_CUST_MDATA_MSIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_BASE +CYDEV_FLSHID_CUST_TABLES_BASE EQU 0x49000100 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_CUST_TABLES_SIZE +CYDEV_FLSHID_CUST_TABLES_SIZE EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_Y_LOC +CYREG_FLSHID_CUST_TABLES_Y_LOC EQU 0x49000100 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_X_LOC +CYREG_FLSHID_CUST_TABLES_X_LOC EQU 0x49000101 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_WAFER_NUM +CYREG_FLSHID_CUST_TABLES_WAFER_NUM EQU 0x49000102 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_LOT_LSB +CYREG_FLSHID_CUST_TABLES_LOT_LSB EQU 0x49000103 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_LOT_MSB +CYREG_FLSHID_CUST_TABLES_LOT_MSB EQU 0x49000104 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_WRK_WK +CYREG_FLSHID_CUST_TABLES_WRK_WK EQU 0x49000105 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_FAB_YR +CYREG_FLSHID_CUST_TABLES_FAB_YR EQU 0x49000106 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_MINOR +CYREG_FLSHID_CUST_TABLES_MINOR EQU 0x49000107 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_3MHZ +CYREG_FLSHID_CUST_TABLES_IMO_3MHZ EQU 0x49000108 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_6MHZ +CYREG_FLSHID_CUST_TABLES_IMO_6MHZ EQU 0x49000109 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_12MHZ +CYREG_FLSHID_CUST_TABLES_IMO_12MHZ EQU 0x4900010a + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_24MHZ +CYREG_FLSHID_CUST_TABLES_IMO_24MHZ EQU 0x4900010b + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_67MHZ +CYREG_FLSHID_CUST_TABLES_IMO_67MHZ EQU 0x4900010c + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_80MHZ +CYREG_FLSHID_CUST_TABLES_IMO_80MHZ EQU 0x4900010d + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_92MHZ +CYREG_FLSHID_CUST_TABLES_IMO_92MHZ EQU 0x4900010e + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_IMO_USB +CYREG_FLSHID_CUST_TABLES_IMO_USB EQU 0x4900010f + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS +CYREG_FLSHID_CUST_TABLES_CMP0_TR0_HS EQU 0x49000110 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS +CYREG_FLSHID_CUST_TABLES_CMP1_TR0_HS EQU 0x49000111 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS +CYREG_FLSHID_CUST_TABLES_CMP2_TR0_HS EQU 0x49000112 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS +CYREG_FLSHID_CUST_TABLES_CMP3_TR0_HS EQU 0x49000113 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS +CYREG_FLSHID_CUST_TABLES_CMP0_TR1_HS EQU 0x49000114 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS +CYREG_FLSHID_CUST_TABLES_CMP1_TR1_HS EQU 0x49000115 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS +CYREG_FLSHID_CUST_TABLES_CMP2_TR1_HS EQU 0x49000116 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS +CYREG_FLSHID_CUST_TABLES_CMP3_TR1_HS EQU 0x49000117 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M1 +CYREG_FLSHID_CUST_TABLES_DEC_M1 EQU 0x49000118 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M2 +CYREG_FLSHID_CUST_TABLES_DEC_M2 EQU 0x49000119 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M3 +CYREG_FLSHID_CUST_TABLES_DEC_M3 EQU 0x4900011a + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M4 +CYREG_FLSHID_CUST_TABLES_DEC_M4 EQU 0x4900011b + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M5 +CYREG_FLSHID_CUST_TABLES_DEC_M5 EQU 0x4900011c + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M6 +CYREG_FLSHID_CUST_TABLES_DEC_M6 EQU 0x4900011d + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M7 +CYREG_FLSHID_CUST_TABLES_DEC_M7 EQU 0x4900011e + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DEC_M8 +CYREG_FLSHID_CUST_TABLES_DEC_M8 EQU 0x4900011f + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M1 +CYREG_FLSHID_CUST_TABLES_DAC0_M1 EQU 0x49000120 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M2 +CYREG_FLSHID_CUST_TABLES_DAC0_M2 EQU 0x49000121 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M3 +CYREG_FLSHID_CUST_TABLES_DAC0_M3 EQU 0x49000122 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M4 +CYREG_FLSHID_CUST_TABLES_DAC0_M4 EQU 0x49000123 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M5 +CYREG_FLSHID_CUST_TABLES_DAC0_M5 EQU 0x49000124 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M6 +CYREG_FLSHID_CUST_TABLES_DAC0_M6 EQU 0x49000125 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M7 +CYREG_FLSHID_CUST_TABLES_DAC0_M7 EQU 0x49000126 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC0_M8 +CYREG_FLSHID_CUST_TABLES_DAC0_M8 EQU 0x49000127 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M1 +CYREG_FLSHID_CUST_TABLES_DAC2_M1 EQU 0x49000128 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M2 +CYREG_FLSHID_CUST_TABLES_DAC2_M2 EQU 0x49000129 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M3 +CYREG_FLSHID_CUST_TABLES_DAC2_M3 EQU 0x4900012a + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M4 +CYREG_FLSHID_CUST_TABLES_DAC2_M4 EQU 0x4900012b + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M5 +CYREG_FLSHID_CUST_TABLES_DAC2_M5 EQU 0x4900012c + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M6 +CYREG_FLSHID_CUST_TABLES_DAC2_M6 EQU 0x4900012d + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M7 +CYREG_FLSHID_CUST_TABLES_DAC2_M7 EQU 0x4900012e + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC2_M8 +CYREG_FLSHID_CUST_TABLES_DAC2_M8 EQU 0x4900012f + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M1 +CYREG_FLSHID_CUST_TABLES_DAC1_M1 EQU 0x49000130 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M2 +CYREG_FLSHID_CUST_TABLES_DAC1_M2 EQU 0x49000131 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M3 +CYREG_FLSHID_CUST_TABLES_DAC1_M3 EQU 0x49000132 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M4 +CYREG_FLSHID_CUST_TABLES_DAC1_M4 EQU 0x49000133 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M5 +CYREG_FLSHID_CUST_TABLES_DAC1_M5 EQU 0x49000134 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M6 +CYREG_FLSHID_CUST_TABLES_DAC1_M6 EQU 0x49000135 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M7 +CYREG_FLSHID_CUST_TABLES_DAC1_M7 EQU 0x49000136 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC1_M8 +CYREG_FLSHID_CUST_TABLES_DAC1_M8 EQU 0x49000137 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M1 +CYREG_FLSHID_CUST_TABLES_DAC3_M1 EQU 0x49000138 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M2 +CYREG_FLSHID_CUST_TABLES_DAC3_M2 EQU 0x49000139 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M3 +CYREG_FLSHID_CUST_TABLES_DAC3_M3 EQU 0x4900013a + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M4 +CYREG_FLSHID_CUST_TABLES_DAC3_M4 EQU 0x4900013b + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M5 +CYREG_FLSHID_CUST_TABLES_DAC3_M5 EQU 0x4900013c + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M6 +CYREG_FLSHID_CUST_TABLES_DAC3_M6 EQU 0x4900013d + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M7 +CYREG_FLSHID_CUST_TABLES_DAC3_M7 EQU 0x4900013e + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_CUST_TABLES_DAC3_M8 +CYREG_FLSHID_CUST_TABLES_DAC3_M8 EQU 0x4900013f + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_BASE +CYDEV_FLSHID_MFG_CFG_BASE EQU 0x49000180 + ENDIF + IF :LNOT::DEF:CYDEV_FLSHID_MFG_CFG_SIZE +CYDEV_FLSHID_MFG_CFG_SIZE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_IMO_TR1 +CYREG_FLSHID_MFG_CFG_IMO_TR1 EQU 0x49000188 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP0_TR0 +CYREG_FLSHID_MFG_CFG_CMP0_TR0 EQU 0x490001ac + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP1_TR0 +CYREG_FLSHID_MFG_CFG_CMP1_TR0 EQU 0x490001ae + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP2_TR0 +CYREG_FLSHID_MFG_CFG_CMP2_TR0 EQU 0x490001b0 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP3_TR0 +CYREG_FLSHID_MFG_CFG_CMP3_TR0 EQU 0x490001b2 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP0_TR1 +CYREG_FLSHID_MFG_CFG_CMP0_TR1 EQU 0x490001b4 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP1_TR1 +CYREG_FLSHID_MFG_CFG_CMP1_TR1 EQU 0x490001b6 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP2_TR1 +CYREG_FLSHID_MFG_CFG_CMP2_TR1 EQU 0x490001b8 + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_CMP3_TR1 +CYREG_FLSHID_MFG_CFG_CMP3_TR1 EQU 0x490001ba + ENDIF + IF :LNOT::DEF:CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM +CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM EQU 0x490001ce + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_BASE +CYDEV_EXTMEM_BASE EQU 0x60000000 + ENDIF + IF :LNOT::DEF:CYDEV_EXTMEM_SIZE +CYDEV_EXTMEM_SIZE EQU 0x00800000 + ENDIF + IF :LNOT::DEF:CYREG_EXTMEM_DATA_MBASE +CYREG_EXTMEM_DATA_MBASE EQU 0x60000000 + ENDIF + IF :LNOT::DEF:CYREG_EXTMEM_DATA_MSIZE +CYREG_EXTMEM_DATA_MSIZE EQU 0x00800000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_BASE +CYDEV_ITM_BASE EQU 0xe0000000 + ENDIF + IF :LNOT::DEF:CYDEV_ITM_SIZE +CYDEV_ITM_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_ITM_TRACE_EN +CYREG_ITM_TRACE_EN EQU 0xe0000e00 + ENDIF + IF :LNOT::DEF:CYREG_ITM_TRACE_PRIVILEGE +CYREG_ITM_TRACE_PRIVILEGE EQU 0xe0000e40 + ENDIF + IF :LNOT::DEF:CYREG_ITM_TRACE_CTRL +CYREG_ITM_TRACE_CTRL EQU 0xe0000e80 + ENDIF + IF :LNOT::DEF:CYREG_ITM_LOCK_ACCESS +CYREG_ITM_LOCK_ACCESS EQU 0xe0000fb0 + ENDIF + IF :LNOT::DEF:CYREG_ITM_LOCK_STATUS +CYREG_ITM_LOCK_STATUS EQU 0xe0000fb4 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID4 +CYREG_ITM_PID4 EQU 0xe0000fd0 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID5 +CYREG_ITM_PID5 EQU 0xe0000fd4 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID6 +CYREG_ITM_PID6 EQU 0xe0000fd8 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID7 +CYREG_ITM_PID7 EQU 0xe0000fdc + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID0 +CYREG_ITM_PID0 EQU 0xe0000fe0 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID1 +CYREG_ITM_PID1 EQU 0xe0000fe4 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID2 +CYREG_ITM_PID2 EQU 0xe0000fe8 + ENDIF + IF :LNOT::DEF:CYREG_ITM_PID3 +CYREG_ITM_PID3 EQU 0xe0000fec + ENDIF + IF :LNOT::DEF:CYREG_ITM_CID0 +CYREG_ITM_CID0 EQU 0xe0000ff0 + ENDIF + IF :LNOT::DEF:CYREG_ITM_CID1 +CYREG_ITM_CID1 EQU 0xe0000ff4 + ENDIF + IF :LNOT::DEF:CYREG_ITM_CID2 +CYREG_ITM_CID2 EQU 0xe0000ff8 + ENDIF + IF :LNOT::DEF:CYREG_ITM_CID3 +CYREG_ITM_CID3 EQU 0xe0000ffc + ENDIF + IF :LNOT::DEF:CYDEV_DWT_BASE +CYDEV_DWT_BASE EQU 0xe0001000 + ENDIF + IF :LNOT::DEF:CYDEV_DWT_SIZE +CYDEV_DWT_SIZE EQU 0x0000005c + ENDIF + IF :LNOT::DEF:CYREG_DWT_CTRL +CYREG_DWT_CTRL EQU 0xe0001000 + ENDIF + IF :LNOT::DEF:CYREG_DWT_CYCLE_COUNT +CYREG_DWT_CYCLE_COUNT EQU 0xe0001004 + ENDIF + IF :LNOT::DEF:CYREG_DWT_CPI_COUNT +CYREG_DWT_CPI_COUNT EQU 0xe0001008 + ENDIF + IF :LNOT::DEF:CYREG_DWT_EXC_OVHD_COUNT +CYREG_DWT_EXC_OVHD_COUNT EQU 0xe000100c + ENDIF + IF :LNOT::DEF:CYREG_DWT_SLEEP_COUNT +CYREG_DWT_SLEEP_COUNT EQU 0xe0001010 + ENDIF + IF :LNOT::DEF:CYREG_DWT_LSU_COUNT +CYREG_DWT_LSU_COUNT EQU 0xe0001014 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FOLD_COUNT +CYREG_DWT_FOLD_COUNT EQU 0xe0001018 + ENDIF + IF :LNOT::DEF:CYREG_DWT_PC_SAMPLE +CYREG_DWT_PC_SAMPLE EQU 0xe000101c + ENDIF + IF :LNOT::DEF:CYREG_DWT_COMP_0 +CYREG_DWT_COMP_0 EQU 0xe0001020 + ENDIF + IF :LNOT::DEF:CYREG_DWT_MASK_0 +CYREG_DWT_MASK_0 EQU 0xe0001024 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FUNCTION_0 +CYREG_DWT_FUNCTION_0 EQU 0xe0001028 + ENDIF + IF :LNOT::DEF:CYREG_DWT_COMP_1 +CYREG_DWT_COMP_1 EQU 0xe0001030 + ENDIF + IF :LNOT::DEF:CYREG_DWT_MASK_1 +CYREG_DWT_MASK_1 EQU 0xe0001034 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FUNCTION_1 +CYREG_DWT_FUNCTION_1 EQU 0xe0001038 + ENDIF + IF :LNOT::DEF:CYREG_DWT_COMP_2 +CYREG_DWT_COMP_2 EQU 0xe0001040 + ENDIF + IF :LNOT::DEF:CYREG_DWT_MASK_2 +CYREG_DWT_MASK_2 EQU 0xe0001044 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FUNCTION_2 +CYREG_DWT_FUNCTION_2 EQU 0xe0001048 + ENDIF + IF :LNOT::DEF:CYREG_DWT_COMP_3 +CYREG_DWT_COMP_3 EQU 0xe0001050 + ENDIF + IF :LNOT::DEF:CYREG_DWT_MASK_3 +CYREG_DWT_MASK_3 EQU 0xe0001054 + ENDIF + IF :LNOT::DEF:CYREG_DWT_FUNCTION_3 +CYREG_DWT_FUNCTION_3 EQU 0xe0001058 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_BASE +CYDEV_FPB_BASE EQU 0xe0002000 + ENDIF + IF :LNOT::DEF:CYDEV_FPB_SIZE +CYDEV_FPB_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_FPB_CTRL +CYREG_FPB_CTRL EQU 0xe0002000 + ENDIF + IF :LNOT::DEF:CYREG_FPB_REMAP +CYREG_FPB_REMAP EQU 0xe0002004 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_0 +CYREG_FPB_FP_COMP_0 EQU 0xe0002008 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_1 +CYREG_FPB_FP_COMP_1 EQU 0xe000200c + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_2 +CYREG_FPB_FP_COMP_2 EQU 0xe0002010 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_3 +CYREG_FPB_FP_COMP_3 EQU 0xe0002014 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_4 +CYREG_FPB_FP_COMP_4 EQU 0xe0002018 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_5 +CYREG_FPB_FP_COMP_5 EQU 0xe000201c + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_6 +CYREG_FPB_FP_COMP_6 EQU 0xe0002020 + ENDIF + IF :LNOT::DEF:CYREG_FPB_FP_COMP_7 +CYREG_FPB_FP_COMP_7 EQU 0xe0002024 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID4 +CYREG_FPB_PID4 EQU 0xe0002fd0 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID5 +CYREG_FPB_PID5 EQU 0xe0002fd4 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID6 +CYREG_FPB_PID6 EQU 0xe0002fd8 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID7 +CYREG_FPB_PID7 EQU 0xe0002fdc + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID0 +CYREG_FPB_PID0 EQU 0xe0002fe0 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID1 +CYREG_FPB_PID1 EQU 0xe0002fe4 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID2 +CYREG_FPB_PID2 EQU 0xe0002fe8 + ENDIF + IF :LNOT::DEF:CYREG_FPB_PID3 +CYREG_FPB_PID3 EQU 0xe0002fec + ENDIF + IF :LNOT::DEF:CYREG_FPB_CID0 +CYREG_FPB_CID0 EQU 0xe0002ff0 + ENDIF + IF :LNOT::DEF:CYREG_FPB_CID1 +CYREG_FPB_CID1 EQU 0xe0002ff4 + ENDIF + IF :LNOT::DEF:CYREG_FPB_CID2 +CYREG_FPB_CID2 EQU 0xe0002ff8 + ENDIF + IF :LNOT::DEF:CYREG_FPB_CID3 +CYREG_FPB_CID3 EQU 0xe0002ffc + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_BASE +CYDEV_NVIC_BASE EQU 0xe000e000 + ENDIF + IF :LNOT::DEF:CYDEV_NVIC_SIZE +CYDEV_NVIC_SIZE EQU 0x00000d3c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_INT_CTL_TYPE +CYREG_NVIC_INT_CTL_TYPE EQU 0xe000e004 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTICK_CTL +CYREG_NVIC_SYSTICK_CTL EQU 0xe000e010 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTICK_RELOAD +CYREG_NVIC_SYSTICK_RELOAD EQU 0xe000e014 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTICK_CURRENT +CYREG_NVIC_SYSTICK_CURRENT EQU 0xe000e018 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTICK_CAL +CYREG_NVIC_SYSTICK_CAL EQU 0xe000e01c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SETENA0 +CYREG_NVIC_SETENA0 EQU 0xe000e100 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_CLRENA0 +CYREG_NVIC_CLRENA0 EQU 0xe000e180 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SETPEND0 +CYREG_NVIC_SETPEND0 EQU 0xe000e200 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_CLRPEND0 +CYREG_NVIC_CLRPEND0 EQU 0xe000e280 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_ACTIVE0 +CYREG_NVIC_ACTIVE0 EQU 0xe000e300 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_0 +CYREG_NVIC_PRI_0 EQU 0xe000e400 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_1 +CYREG_NVIC_PRI_1 EQU 0xe000e401 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_2 +CYREG_NVIC_PRI_2 EQU 0xe000e402 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_3 +CYREG_NVIC_PRI_3 EQU 0xe000e403 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_4 +CYREG_NVIC_PRI_4 EQU 0xe000e404 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_5 +CYREG_NVIC_PRI_5 EQU 0xe000e405 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_6 +CYREG_NVIC_PRI_6 EQU 0xe000e406 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_7 +CYREG_NVIC_PRI_7 EQU 0xe000e407 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_8 +CYREG_NVIC_PRI_8 EQU 0xe000e408 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_9 +CYREG_NVIC_PRI_9 EQU 0xe000e409 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_10 +CYREG_NVIC_PRI_10 EQU 0xe000e40a + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_11 +CYREG_NVIC_PRI_11 EQU 0xe000e40b + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_12 +CYREG_NVIC_PRI_12 EQU 0xe000e40c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_13 +CYREG_NVIC_PRI_13 EQU 0xe000e40d + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_14 +CYREG_NVIC_PRI_14 EQU 0xe000e40e + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_15 +CYREG_NVIC_PRI_15 EQU 0xe000e40f + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_16 +CYREG_NVIC_PRI_16 EQU 0xe000e410 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_17 +CYREG_NVIC_PRI_17 EQU 0xe000e411 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_18 +CYREG_NVIC_PRI_18 EQU 0xe000e412 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_19 +CYREG_NVIC_PRI_19 EQU 0xe000e413 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_20 +CYREG_NVIC_PRI_20 EQU 0xe000e414 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_21 +CYREG_NVIC_PRI_21 EQU 0xe000e415 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_22 +CYREG_NVIC_PRI_22 EQU 0xe000e416 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_23 +CYREG_NVIC_PRI_23 EQU 0xe000e417 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_24 +CYREG_NVIC_PRI_24 EQU 0xe000e418 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_25 +CYREG_NVIC_PRI_25 EQU 0xe000e419 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_26 +CYREG_NVIC_PRI_26 EQU 0xe000e41a + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_27 +CYREG_NVIC_PRI_27 EQU 0xe000e41b + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_28 +CYREG_NVIC_PRI_28 EQU 0xe000e41c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_29 +CYREG_NVIC_PRI_29 EQU 0xe000e41d + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_30 +CYREG_NVIC_PRI_30 EQU 0xe000e41e + ENDIF + IF :LNOT::DEF:CYREG_NVIC_PRI_31 +CYREG_NVIC_PRI_31 EQU 0xe000e41f + ENDIF + IF :LNOT::DEF:CYREG_NVIC_CPUID_BASE +CYREG_NVIC_CPUID_BASE EQU 0xe000ed00 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_INTR_CTRL_STATE +CYREG_NVIC_INTR_CTRL_STATE EQU 0xe000ed04 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_VECT_OFFSET +CYREG_NVIC_VECT_OFFSET EQU 0xe000ed08 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_APPLN_INTR +CYREG_NVIC_APPLN_INTR EQU 0xe000ed0c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYSTEM_CONTROL +CYREG_NVIC_SYSTEM_CONTROL EQU 0xe000ed10 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_CFG_CONTROL +CYREG_NVIC_CFG_CONTROL EQU 0xe000ed14 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYS_PRIO_HANDLER_4_7 +CYREG_NVIC_SYS_PRIO_HANDLER_4_7 EQU 0xe000ed18 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYS_PRIO_HANDLER_8_11 +CYREG_NVIC_SYS_PRIO_HANDLER_8_11 EQU 0xe000ed1c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYS_PRIO_HANDLER_12_15 +CYREG_NVIC_SYS_PRIO_HANDLER_12_15 EQU 0xe000ed20 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_SYS_HANDLER_CSR +CYREG_NVIC_SYS_HANDLER_CSR EQU 0xe000ed24 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_MEMMAN_FAULT_STATUS +CYREG_NVIC_MEMMAN_FAULT_STATUS EQU 0xe000ed28 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_BUS_FAULT_STATUS +CYREG_NVIC_BUS_FAULT_STATUS EQU 0xe000ed29 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_USAGE_FAULT_STATUS +CYREG_NVIC_USAGE_FAULT_STATUS EQU 0xe000ed2a + ENDIF + IF :LNOT::DEF:CYREG_NVIC_HARD_FAULT_STATUS +CYREG_NVIC_HARD_FAULT_STATUS EQU 0xe000ed2c + ENDIF + IF :LNOT::DEF:CYREG_NVIC_DEBUG_FAULT_STATUS +CYREG_NVIC_DEBUG_FAULT_STATUS EQU 0xe000ed30 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_MEMMAN_FAULT_ADD +CYREG_NVIC_MEMMAN_FAULT_ADD EQU 0xe000ed34 + ENDIF + IF :LNOT::DEF:CYREG_NVIC_BUS_FAULT_ADD +CYREG_NVIC_BUS_FAULT_ADD EQU 0xe000ed38 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_BASE +CYDEV_CORE_DBG_BASE EQU 0xe000edf0 + ENDIF + IF :LNOT::DEF:CYDEV_CORE_DBG_SIZE +CYDEV_CORE_DBG_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYREG_CORE_DBG_DBG_HLT_CS +CYREG_CORE_DBG_DBG_HLT_CS EQU 0xe000edf0 + ENDIF + IF :LNOT::DEF:CYREG_CORE_DBG_DBG_REG_SEL +CYREG_CORE_DBG_DBG_REG_SEL EQU 0xe000edf4 + ENDIF + IF :LNOT::DEF:CYREG_CORE_DBG_DBG_REG_DATA +CYREG_CORE_DBG_DBG_REG_DATA EQU 0xe000edf8 + ENDIF + IF :LNOT::DEF:CYREG_CORE_DBG_EXC_MON_CTL +CYREG_CORE_DBG_EXC_MON_CTL EQU 0xe000edfc + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_BASE +CYDEV_TPIU_BASE EQU 0xe0040000 + ENDIF + IF :LNOT::DEF:CYDEV_TPIU_SIZE +CYDEV_TPIU_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ +CYREG_TPIU_SUPPORTED_SYNC_PRT_SZ EQU 0xe0040000 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CURRENT_SYNC_PRT_SZ +CYREG_TPIU_CURRENT_SYNC_PRT_SZ EQU 0xe0040004 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ASYNC_CLK_PRESCALER +CYREG_TPIU_ASYNC_CLK_PRESCALER EQU 0xe0040010 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PROTOCOL +CYREG_TPIU_PROTOCOL EQU 0xe00400f0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_FORM_FLUSH_STAT +CYREG_TPIU_FORM_FLUSH_STAT EQU 0xe0040300 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_FORM_FLUSH_CTRL +CYREG_TPIU_FORM_FLUSH_CTRL EQU 0xe0040304 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_TRIGGER +CYREG_TPIU_TRIGGER EQU 0xe0040ee8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITETMDATA +CYREG_TPIU_ITETMDATA EQU 0xe0040eec + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITATBCTR2 +CYREG_TPIU_ITATBCTR2 EQU 0xe0040ef0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITATBCTR0 +CYREG_TPIU_ITATBCTR0 EQU 0xe0040ef8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITITMDATA +CYREG_TPIU_ITITMDATA EQU 0xe0040efc + ENDIF + IF :LNOT::DEF:CYREG_TPIU_ITCTRL +CYREG_TPIU_ITCTRL EQU 0xe0040f00 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_DEVID +CYREG_TPIU_DEVID EQU 0xe0040fc8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_DEVTYPE +CYREG_TPIU_DEVTYPE EQU 0xe0040fcc + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID4 +CYREG_TPIU_PID4 EQU 0xe0040fd0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID5 +CYREG_TPIU_PID5 EQU 0xe0040fd4 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID6 +CYREG_TPIU_PID6 EQU 0xe0040fd8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID7 +CYREG_TPIU_PID7 EQU 0xe0040fdc + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID0 +CYREG_TPIU_PID0 EQU 0xe0040fe0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID1 +CYREG_TPIU_PID1 EQU 0xe0040fe4 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID2 +CYREG_TPIU_PID2 EQU 0xe0040fe8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_PID3 +CYREG_TPIU_PID3 EQU 0xe0040fec + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CID0 +CYREG_TPIU_CID0 EQU 0xe0040ff0 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CID1 +CYREG_TPIU_CID1 EQU 0xe0040ff4 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CID2 +CYREG_TPIU_CID2 EQU 0xe0040ff8 + ENDIF + IF :LNOT::DEF:CYREG_TPIU_CID3 +CYREG_TPIU_CID3 EQU 0xe0040ffc + ENDIF + IF :LNOT::DEF:CYDEV_ETM_BASE +CYDEV_ETM_BASE EQU 0xe0041000 + ENDIF + IF :LNOT::DEF:CYDEV_ETM_SIZE +CYDEV_ETM_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CTL +CYREG_ETM_CTL EQU 0xe0041000 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CFG_CODE +CYREG_ETM_CFG_CODE EQU 0xe0041004 + ENDIF + IF :LNOT::DEF:CYREG_ETM_TRIG_EVENT +CYREG_ETM_TRIG_EVENT EQU 0xe0041008 + ENDIF + IF :LNOT::DEF:CYREG_ETM_STATUS +CYREG_ETM_STATUS EQU 0xe0041010 + ENDIF + IF :LNOT::DEF:CYREG_ETM_SYS_CFG +CYREG_ETM_SYS_CFG EQU 0xe0041014 + ENDIF + IF :LNOT::DEF:CYREG_ETM_TRACE_ENB_EVENT +CYREG_ETM_TRACE_ENB_EVENT EQU 0xe0041020 + ENDIF + IF :LNOT::DEF:CYREG_ETM_TRACE_EN_CTRL1 +CYREG_ETM_TRACE_EN_CTRL1 EQU 0xe0041024 + ENDIF + IF :LNOT::DEF:CYREG_ETM_FIFOFULL_LEVEL +CYREG_ETM_FIFOFULL_LEVEL EQU 0xe004102c + ENDIF + IF :LNOT::DEF:CYREG_ETM_SYNC_FREQ +CYREG_ETM_SYNC_FREQ EQU 0xe00411e0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ETM_ID +CYREG_ETM_ETM_ID EQU 0xe00411e4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CFG_CODE_EXT +CYREG_ETM_CFG_CODE_EXT EQU 0xe00411e8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_TR_SS_EMBICE_CTRL +CYREG_ETM_TR_SS_EMBICE_CTRL EQU 0xe00411f0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CS_TRACE_ID +CYREG_ETM_CS_TRACE_ID EQU 0xe0041200 + ENDIF + IF :LNOT::DEF:CYREG_ETM_OS_LOCK_ACCESS +CYREG_ETM_OS_LOCK_ACCESS EQU 0xe0041300 + ENDIF + IF :LNOT::DEF:CYREG_ETM_OS_LOCK_STATUS +CYREG_ETM_OS_LOCK_STATUS EQU 0xe0041304 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PDSR +CYREG_ETM_PDSR EQU 0xe0041314 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ITMISCIN +CYREG_ETM_ITMISCIN EQU 0xe0041ee0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ITTRIGOUT +CYREG_ETM_ITTRIGOUT EQU 0xe0041ee8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ITATBCTR2 +CYREG_ETM_ITATBCTR2 EQU 0xe0041ef0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_ITATBCTR0 +CYREG_ETM_ITATBCTR0 EQU 0xe0041ef8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_INT_MODE_CTRL +CYREG_ETM_INT_MODE_CTRL EQU 0xe0041f00 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CLM_TAG_SET +CYREG_ETM_CLM_TAG_SET EQU 0xe0041fa0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CLM_TAG_CLR +CYREG_ETM_CLM_TAG_CLR EQU 0xe0041fa4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_LOCK_ACCESS +CYREG_ETM_LOCK_ACCESS EQU 0xe0041fb0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_LOCK_STATUS +CYREG_ETM_LOCK_STATUS EQU 0xe0041fb4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_AUTH_STATUS +CYREG_ETM_AUTH_STATUS EQU 0xe0041fb8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_DEV_TYPE +CYREG_ETM_DEV_TYPE EQU 0xe0041fcc + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID4 +CYREG_ETM_PID4 EQU 0xe0041fd0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID5 +CYREG_ETM_PID5 EQU 0xe0041fd4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID6 +CYREG_ETM_PID6 EQU 0xe0041fd8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID7 +CYREG_ETM_PID7 EQU 0xe0041fdc + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID0 +CYREG_ETM_PID0 EQU 0xe0041fe0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID1 +CYREG_ETM_PID1 EQU 0xe0041fe4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID2 +CYREG_ETM_PID2 EQU 0xe0041fe8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_PID3 +CYREG_ETM_PID3 EQU 0xe0041fec + ENDIF + IF :LNOT::DEF:CYREG_ETM_CID0 +CYREG_ETM_CID0 EQU 0xe0041ff0 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CID1 +CYREG_ETM_CID1 EQU 0xe0041ff4 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CID2 +CYREG_ETM_CID2 EQU 0xe0041ff8 + ENDIF + IF :LNOT::DEF:CYREG_ETM_CID3 +CYREG_ETM_CID3 EQU 0xe0041ffc + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_BASE +CYDEV_ROM_TABLE_BASE EQU 0xe00ff000 + ENDIF + IF :LNOT::DEF:CYDEV_ROM_TABLE_SIZE +CYDEV_ROM_TABLE_SIZE EQU 0x00001000 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_NVIC +CYREG_ROM_TABLE_NVIC EQU 0xe00ff000 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_DWT +CYREG_ROM_TABLE_DWT EQU 0xe00ff004 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_FPB +CYREG_ROM_TABLE_FPB EQU 0xe00ff008 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_ITM +CYREG_ROM_TABLE_ITM EQU 0xe00ff00c + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_TPIU +CYREG_ROM_TABLE_TPIU EQU 0xe00ff010 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_ETM +CYREG_ROM_TABLE_ETM EQU 0xe00ff014 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_END +CYREG_ROM_TABLE_END EQU 0xe00ff018 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_MEMTYPE +CYREG_ROM_TABLE_MEMTYPE EQU 0xe00fffcc + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID4 +CYREG_ROM_TABLE_PID4 EQU 0xe00fffd0 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID5 +CYREG_ROM_TABLE_PID5 EQU 0xe00fffd4 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID6 +CYREG_ROM_TABLE_PID6 EQU 0xe00fffd8 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID7 +CYREG_ROM_TABLE_PID7 EQU 0xe00fffdc + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID0 +CYREG_ROM_TABLE_PID0 EQU 0xe00fffe0 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID1 +CYREG_ROM_TABLE_PID1 EQU 0xe00fffe4 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID2 +CYREG_ROM_TABLE_PID2 EQU 0xe00fffe8 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_PID3 +CYREG_ROM_TABLE_PID3 EQU 0xe00fffec + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_CID0 +CYREG_ROM_TABLE_CID0 EQU 0xe00ffff0 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_CID1 +CYREG_ROM_TABLE_CID1 EQU 0xe00ffff4 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_CID2 +CYREG_ROM_TABLE_CID2 EQU 0xe00ffff8 + ENDIF + IF :LNOT::DEF:CYREG_ROM_TABLE_CID3 +CYREG_ROM_TABLE_CID3 EQU 0xe00ffffc + ENDIF + IF :LNOT::DEF:CYDEV_FLS_SIZE +CYDEV_FLS_SIZE EQU CYDEV_FLASH_SIZE + ENDIF + IF :LNOT::DEF:CYDEV_ECC_BASE +CYDEV_ECC_BASE EQU CYDEV_FLSECC_BASE + ENDIF + IF :LNOT::DEF:CYDEV_FLS_SECTOR_SIZE +CYDEV_FLS_SECTOR_SIZE EQU 0x00010000 + ENDIF + IF :LNOT::DEF:CYDEV_FLS_ROW_SIZE +CYDEV_FLS_ROW_SIZE EQU 0x00000100 + ENDIF + IF :LNOT::DEF:CYDEV_ECC_SECTOR_SIZE +CYDEV_ECC_SECTOR_SIZE EQU 0x00002000 + ENDIF + IF :LNOT::DEF:CYDEV_ECC_ROW_SIZE +CYDEV_ECC_ROW_SIZE EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYDEV_EEPROM_SECTOR_SIZE +CYDEV_EEPROM_SECTOR_SIZE EQU 0x00000400 + ENDIF + IF :LNOT::DEF:CYDEV_EEPROM_ROW_SIZE +CYDEV_EEPROM_ROW_SIZE EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYDEV_PERIPH_BASE +CYDEV_PERIPH_BASE EQU CYDEV_CLKDIST_BASE + ENDIF + IF :LNOT::DEF:CYCLK_LD_DISABLE +CYCLK_LD_DISABLE EQU 0x00000004 + ENDIF + IF :LNOT::DEF:CYCLK_LD_SYNC_EN +CYCLK_LD_SYNC_EN EQU 0x00000002 + ENDIF + IF :LNOT::DEF:CYCLK_LD_LOAD +CYCLK_LD_LOAD EQU 0x00000001 + ENDIF + IF :LNOT::DEF:CYCLK_PIPE +CYCLK_PIPE EQU 0x00000080 + ENDIF + IF :LNOT::DEF:CYCLK_SSS +CYCLK_SSS EQU 0x00000040 + ENDIF + IF :LNOT::DEF:CYCLK_EARLY +CYCLK_EARLY EQU 0x00000020 + ENDIF + IF :LNOT::DEF:CYCLK_DUTY +CYCLK_DUTY EQU 0x00000010 + ENDIF + IF :LNOT::DEF:CYCLK_SYNC +CYCLK_SYNC EQU 0x00000008 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_CLK_SYNC_D +CYCLK_SRC_SEL_CLK_SYNC_D EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_SYNC_DIG +CYCLK_SRC_SEL_SYNC_DIG EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_IMO +CYCLK_SRC_SEL_IMO EQU 1 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTAL_MHZ +CYCLK_SRC_SEL_XTAL_MHZ EQU 2 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTALM +CYCLK_SRC_SEL_XTALM EQU 2 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_ILO +CYCLK_SRC_SEL_ILO EQU 3 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_PLL +CYCLK_SRC_SEL_PLL EQU 4 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTAL_KHZ +CYCLK_SRC_SEL_XTAL_KHZ EQU 5 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_XTALK +CYCLK_SRC_SEL_XTALK EQU 5 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_G +CYCLK_SRC_SEL_DSI_G EQU 6 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_D +CYCLK_SRC_SEL_DSI_D EQU 7 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_CLK_SYNC_A +CYCLK_SRC_SEL_CLK_SYNC_A EQU 0 + ENDIF + IF :LNOT::DEF:CYCLK_SRC_SEL_DSI_A +CYCLK_SRC_SEL_DSI_A EQU 7 + ENDIF + END diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h new file mode 100644 index 0000000..2dec2e0 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h @@ -0,0 +1,1875 @@ +#ifndef INCLUDED_CYFITTER_H +#define INCLUDED_CYFITTER_H +#include +#include + +/* SDCard_RxInternalInterrupt */ +#define SDCard_RxInternalInterrupt__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define SDCard_RxInternalInterrupt__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define SDCard_RxInternalInterrupt__INTC_MASK 0x01u +#define SDCard_RxInternalInterrupt__INTC_NUMBER 0u +#define SDCard_RxInternalInterrupt__INTC_PRIOR_NUM 7u +#define SDCard_RxInternalInterrupt__INTC_PRIOR_REG CYREG_NVIC_PRI_0 +#define SDCard_RxInternalInterrupt__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define SDCard_RxInternalInterrupt__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* SDCard_TxInternalInterrupt */ +#define SDCard_TxInternalInterrupt__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 +#define SDCard_TxInternalInterrupt__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 +#define SDCard_TxInternalInterrupt__INTC_MASK 0x02u +#define SDCard_TxInternalInterrupt__INTC_NUMBER 1u +#define SDCard_TxInternalInterrupt__INTC_PRIOR_NUM 7u +#define SDCard_TxInternalInterrupt__INTC_PRIOR_REG CYREG_NVIC_PRI_1 +#define SDCard_TxInternalInterrupt__INTC_SET_EN_REG CYREG_NVIC_SETENA0 +#define SDCard_TxInternalInterrupt__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 + +/* SCSI_Out_DBx */ +#define SCSI_Out_DBx__0__MASK 0x01u +#define SCSI_Out_DBx__0__PC CYREG_PRT0_PC0 +#define SCSI_Out_DBx__0__PORT 0u +#define SCSI_Out_DBx__0__SHIFT 0 +#define SCSI_Out_DBx__1__MASK 0x02u +#define SCSI_Out_DBx__1__PC CYREG_PRT0_PC1 +#define SCSI_Out_DBx__1__PORT 0u +#define SCSI_Out_DBx__1__SHIFT 1 +#define SCSI_Out_DBx__2__MASK 0x04u +#define SCSI_Out_DBx__2__PC CYREG_PRT0_PC2 +#define SCSI_Out_DBx__2__PORT 0u +#define SCSI_Out_DBx__2__SHIFT 2 +#define SCSI_Out_DBx__3__MASK 0x08u +#define SCSI_Out_DBx__3__PC CYREG_PRT0_PC3 +#define SCSI_Out_DBx__3__PORT 0u +#define SCSI_Out_DBx__3__SHIFT 3 +#define SCSI_Out_DBx__4__MASK 0x10u +#define SCSI_Out_DBx__4__PC CYREG_PRT0_PC4 +#define SCSI_Out_DBx__4__PORT 0u +#define SCSI_Out_DBx__4__SHIFT 4 +#define SCSI_Out_DBx__5__MASK 0x20u +#define SCSI_Out_DBx__5__PC CYREG_PRT0_PC5 +#define SCSI_Out_DBx__5__PORT 0u +#define SCSI_Out_DBx__5__SHIFT 5 +#define SCSI_Out_DBx__6__MASK 0x40u +#define SCSI_Out_DBx__6__PC CYREG_PRT0_PC6 +#define SCSI_Out_DBx__6__PORT 0u +#define SCSI_Out_DBx__6__SHIFT 6 +#define SCSI_Out_DBx__7__MASK 0x80u +#define SCSI_Out_DBx__7__PC CYREG_PRT0_PC7 +#define SCSI_Out_DBx__7__PORT 0u +#define SCSI_Out_DBx__7__SHIFT 7 +#define SCSI_Out_DBx__AG CYREG_PRT0_AG +#define SCSI_Out_DBx__AMUX CYREG_PRT0_AMUX +#define SCSI_Out_DBx__BIE CYREG_PRT0_BIE +#define SCSI_Out_DBx__BIT_MASK CYREG_PRT0_BIT_MASK +#define SCSI_Out_DBx__BYP CYREG_PRT0_BYP +#define SCSI_Out_DBx__CTL CYREG_PRT0_CTL +#define SCSI_Out_DBx__DM0 CYREG_PRT0_DM0 +#define SCSI_Out_DBx__DM1 CYREG_PRT0_DM1 +#define SCSI_Out_DBx__DM2 CYREG_PRT0_DM2 +#define SCSI_Out_DBx__DR CYREG_PRT0_DR +#define SCSI_Out_DBx__INP_DIS CYREG_PRT0_INP_DIS +#define SCSI_Out_DBx__LCD_COM_SEG CYREG_PRT0_LCD_COM_SEG +#define SCSI_Out_DBx__LCD_EN CYREG_PRT0_LCD_EN +#define SCSI_Out_DBx__MASK 0xFFu +#define SCSI_Out_DBx__PORT 0u +#define SCSI_Out_DBx__PRT CYREG_PRT0_PRT +#define SCSI_Out_DBx__PRTDSI__CAPS_SEL CYREG_PRT0_CAPS_SEL +#define SCSI_Out_DBx__PRTDSI__DBL_SYNC_IN CYREG_PRT0_DBL_SYNC_IN +#define SCSI_Out_DBx__PRTDSI__OE_SEL0 CYREG_PRT0_OE_SEL0 +#define SCSI_Out_DBx__PRTDSI__OE_SEL1 CYREG_PRT0_OE_SEL1 +#define SCSI_Out_DBx__PRTDSI__OUT_SEL0 CYREG_PRT0_OUT_SEL0 +#define SCSI_Out_DBx__PRTDSI__OUT_SEL1 CYREG_PRT0_OUT_SEL1 +#define SCSI_Out_DBx__PRTDSI__SYNC_OUT CYREG_PRT0_SYNC_OUT +#define SCSI_Out_DBx__PS CYREG_PRT0_PS +#define SCSI_Out_DBx__SCSI_Out_DB0__MASK 0x01u +#define SCSI_Out_DBx__SCSI_Out_DB0__PC CYREG_PRT0_PC0 +#define SCSI_Out_DBx__SCSI_Out_DB0__PORT 0u +#define SCSI_Out_DBx__SCSI_Out_DB0__SHIFT 0 +#define SCSI_Out_DBx__SCSI_Out_DB1__MASK 0x02u +#define SCSI_Out_DBx__SCSI_Out_DB1__PC CYREG_PRT0_PC1 +#define SCSI_Out_DBx__SCSI_Out_DB1__PORT 0u +#define SCSI_Out_DBx__SCSI_Out_DB1__SHIFT 1 +#define SCSI_Out_DBx__SCSI_Out_DB2__MASK 0x04u +#define SCSI_Out_DBx__SCSI_Out_DB2__PC CYREG_PRT0_PC2 +#define SCSI_Out_DBx__SCSI_Out_DB2__PORT 0u +#define SCSI_Out_DBx__SCSI_Out_DB2__SHIFT 2 +#define SCSI_Out_DBx__SCSI_Out_DB3__MASK 0x08u +#define SCSI_Out_DBx__SCSI_Out_DB3__PC CYREG_PRT0_PC3 +#define SCSI_Out_DBx__SCSI_Out_DB3__PORT 0u +#define SCSI_Out_DBx__SCSI_Out_DB3__SHIFT 3 +#define SCSI_Out_DBx__SCSI_Out_DB4__MASK 0x10u +#define SCSI_Out_DBx__SCSI_Out_DB4__PC CYREG_PRT0_PC4 +#define SCSI_Out_DBx__SCSI_Out_DB4__PORT 0u +#define SCSI_Out_DBx__SCSI_Out_DB4__SHIFT 4 +#define SCSI_Out_DBx__SCSI_Out_DB5__MASK 0x20u +#define SCSI_Out_DBx__SCSI_Out_DB5__PC CYREG_PRT0_PC5 +#define SCSI_Out_DBx__SCSI_Out_DB5__PORT 0u +#define SCSI_Out_DBx__SCSI_Out_DB5__SHIFT 5 +#define SCSI_Out_DBx__SCSI_Out_DB6__MASK 0x40u +#define SCSI_Out_DBx__SCSI_Out_DB6__PC CYREG_PRT0_PC6 +#define SCSI_Out_DBx__SCSI_Out_DB6__PORT 0u +#define SCSI_Out_DBx__SCSI_Out_DB6__SHIFT 6 +#define SCSI_Out_DBx__SCSI_Out_DB7__MASK 0x80u +#define SCSI_Out_DBx__SCSI_Out_DB7__PC CYREG_PRT0_PC7 +#define SCSI_Out_DBx__SCSI_Out_DB7__PORT 0u +#define SCSI_Out_DBx__SCSI_Out_DB7__SHIFT 7 +#define SCSI_Out_DBx__SHIFT 0 +#define SCSI_Out_DBx__SLW CYREG_PRT0_SLW + +/* SDCard_BSPIM */ +#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG CYREG_B1_UDB07_08_ACTL +#define SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG CYREG_B1_UDB07_08_ST +#define SDCard_BSPIM_BitCounter_ST__MASK_REG CYREG_B1_UDB07_MSK +#define SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG CYREG_B1_UDB07_MSK_ACTL +#define SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG CYREG_B1_UDB07_MSK_ACTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG CYREG_B1_UDB07_ACTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG CYREG_B1_UDB07_ST_CTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG CYREG_B1_UDB07_ST_CTL +#define SDCard_BSPIM_BitCounter_ST__STATUS_REG CYREG_B1_UDB07_ST +#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG CYREG_B1_UDB07_08_ACTL +#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG CYREG_B1_UDB07_08_CTL +#define SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG CYREG_B1_UDB07_08_CTL +#define SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG CYREG_B1_UDB07_08_CTL +#define SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG CYREG_B1_UDB07_08_CTL +#define SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG CYREG_B1_UDB07_08_MSK +#define SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG CYREG_B1_UDB07_08_MSK +#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG CYREG_B1_UDB07_08_MSK +#define SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG CYREG_B1_UDB07_08_MSK +#define SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG CYREG_B1_UDB07_ACTL +#define SDCard_BSPIM_BitCounter__CONTROL_REG CYREG_B1_UDB07_CTL +#define SDCard_BSPIM_BitCounter__CONTROL_ST_REG CYREG_B1_UDB07_ST_CTL +#define SDCard_BSPIM_BitCounter__COUNT_REG CYREG_B1_UDB07_CTL +#define SDCard_BSPIM_BitCounter__COUNT_ST_REG CYREG_B1_UDB07_ST_CTL +#define SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG CYREG_B1_UDB07_MSK_ACTL +#define SDCard_BSPIM_BitCounter__PERIOD_REG CYREG_B1_UDB07_MSK +#define SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG CYREG_B1_UDB07_MSK_ACTL +#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B1_UDB04_05_ACTL +#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG CYREG_B1_UDB04_05_ST +#define SDCard_BSPIM_RxStsReg__4__MASK 0x10u +#define SDCard_BSPIM_RxStsReg__4__POS 4 +#define SDCard_BSPIM_RxStsReg__5__MASK 0x20u +#define SDCard_BSPIM_RxStsReg__5__POS 5 +#define SDCard_BSPIM_RxStsReg__6__MASK 0x40u +#define SDCard_BSPIM_RxStsReg__6__POS 6 +#define SDCard_BSPIM_RxStsReg__MASK 0x70u +#define SDCard_BSPIM_RxStsReg__MASK_REG CYREG_B1_UDB04_MSK +#define SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG CYREG_B1_UDB04_ACTL +#define SDCard_BSPIM_RxStsReg__STATUS_REG CYREG_B1_UDB04_ST +#define SDCard_BSPIM_TxStsReg__0__MASK 0x01u +#define SDCard_BSPIM_TxStsReg__0__POS 0 +#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB07_08_ACTL +#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG CYREG_B0_UDB07_08_ST +#define SDCard_BSPIM_TxStsReg__1__MASK 0x02u +#define SDCard_BSPIM_TxStsReg__1__POS 1 +#define SDCard_BSPIM_TxStsReg__2__MASK 0x04u +#define SDCard_BSPIM_TxStsReg__2__POS 2 +#define SDCard_BSPIM_TxStsReg__3__MASK 0x08u +#define SDCard_BSPIM_TxStsReg__3__POS 3 +#define SDCard_BSPIM_TxStsReg__4__MASK 0x10u +#define SDCard_BSPIM_TxStsReg__4__POS 4 +#define SDCard_BSPIM_TxStsReg__MASK 0x1Fu +#define SDCard_BSPIM_TxStsReg__MASK_REG CYREG_B0_UDB07_MSK +#define SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG CYREG_B0_UDB07_ACTL +#define SDCard_BSPIM_TxStsReg__STATUS_REG CYREG_B0_UDB07_ST +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG CYREG_B1_UDB07_08_A0 +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG CYREG_B1_UDB07_08_A1 +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG CYREG_B1_UDB07_08_D0 +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_D1_REG CYREG_B1_UDB07_08_D1 +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_DP_AUX_CTL_REG CYREG_B1_UDB07_08_ACTL +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_F0_REG CYREG_B1_UDB07_08_F0 +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_F1_REG CYREG_B1_UDB07_08_F1 +#define SDCard_BSPIM_sR8_Dp_u0__A0_A1_REG CYREG_B1_UDB07_A0_A1 +#define SDCard_BSPIM_sR8_Dp_u0__A0_REG CYREG_B1_UDB07_A0 +#define SDCard_BSPIM_sR8_Dp_u0__A1_REG CYREG_B1_UDB07_A1 +#define SDCard_BSPIM_sR8_Dp_u0__D0_D1_REG CYREG_B1_UDB07_D0_D1 +#define SDCard_BSPIM_sR8_Dp_u0__D0_REG CYREG_B1_UDB07_D0 +#define SDCard_BSPIM_sR8_Dp_u0__D1_REG CYREG_B1_UDB07_D1 +#define SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG CYREG_B1_UDB07_ACTL +#define SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG CYREG_B1_UDB07_F0_F1 +#define SDCard_BSPIM_sR8_Dp_u0__F0_REG CYREG_B1_UDB07_F0 +#define SDCard_BSPIM_sR8_Dp_u0__F1_REG CYREG_B1_UDB07_F1 +#define SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG CYREG_B1_UDB07_MSK_ACTL +#define SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG CYREG_B1_UDB07_MSK_ACTL + +/* SCSI_In_DBx */ +#define SCSI_In_DBx__0__MASK 0x01u +#define SCSI_In_DBx__0__PC CYREG_PRT2_PC0 +#define SCSI_In_DBx__0__PORT 2u +#define SCSI_In_DBx__0__SHIFT 0 +#define SCSI_In_DBx__1__MASK 0x02u +#define SCSI_In_DBx__1__PC CYREG_PRT2_PC1 +#define SCSI_In_DBx__1__PORT 2u +#define SCSI_In_DBx__1__SHIFT 1 +#define SCSI_In_DBx__2__MASK 0x04u +#define SCSI_In_DBx__2__PC CYREG_PRT2_PC2 +#define SCSI_In_DBx__2__PORT 2u +#define SCSI_In_DBx__2__SHIFT 2 +#define SCSI_In_DBx__3__MASK 0x08u +#define SCSI_In_DBx__3__PC CYREG_PRT2_PC3 +#define SCSI_In_DBx__3__PORT 2u +#define SCSI_In_DBx__3__SHIFT 3 +#define SCSI_In_DBx__4__MASK 0x10u +#define SCSI_In_DBx__4__PC CYREG_PRT2_PC4 +#define SCSI_In_DBx__4__PORT 2u +#define SCSI_In_DBx__4__SHIFT 4 +#define SCSI_In_DBx__5__MASK 0x20u +#define SCSI_In_DBx__5__PC CYREG_PRT2_PC5 +#define SCSI_In_DBx__5__PORT 2u +#define SCSI_In_DBx__5__SHIFT 5 +#define SCSI_In_DBx__6__MASK 0x40u +#define SCSI_In_DBx__6__PC CYREG_PRT2_PC6 +#define SCSI_In_DBx__6__PORT 2u +#define SCSI_In_DBx__6__SHIFT 6 +#define SCSI_In_DBx__7__MASK 0x80u +#define SCSI_In_DBx__7__PC CYREG_PRT2_PC7 +#define SCSI_In_DBx__7__PORT 2u +#define SCSI_In_DBx__7__SHIFT 7 +#define SCSI_In_DBx__AG CYREG_PRT2_AG +#define SCSI_In_DBx__AMUX CYREG_PRT2_AMUX +#define SCSI_In_DBx__BIE CYREG_PRT2_BIE +#define SCSI_In_DBx__BIT_MASK CYREG_PRT2_BIT_MASK +#define SCSI_In_DBx__BYP CYREG_PRT2_BYP +#define SCSI_In_DBx__CTL CYREG_PRT2_CTL +#define SCSI_In_DBx__DM0 CYREG_PRT2_DM0 +#define SCSI_In_DBx__DM1 CYREG_PRT2_DM1 +#define SCSI_In_DBx__DM2 CYREG_PRT2_DM2 +#define SCSI_In_DBx__DR CYREG_PRT2_DR +#define SCSI_In_DBx__INP_DIS CYREG_PRT2_INP_DIS +#define SCSI_In_DBx__LCD_COM_SEG CYREG_PRT2_LCD_COM_SEG +#define SCSI_In_DBx__LCD_EN CYREG_PRT2_LCD_EN +#define SCSI_In_DBx__MASK 0xFFu +#define SCSI_In_DBx__PORT 2u +#define SCSI_In_DBx__PRT CYREG_PRT2_PRT +#define SCSI_In_DBx__PRTDSI__CAPS_SEL CYREG_PRT2_CAPS_SEL +#define SCSI_In_DBx__PRTDSI__DBL_SYNC_IN CYREG_PRT2_DBL_SYNC_IN +#define SCSI_In_DBx__PRTDSI__OE_SEL0 CYREG_PRT2_OE_SEL0 +#define SCSI_In_DBx__PRTDSI__OE_SEL1 CYREG_PRT2_OE_SEL1 +#define SCSI_In_DBx__PRTDSI__OUT_SEL0 CYREG_PRT2_OUT_SEL0 +#define SCSI_In_DBx__PRTDSI__OUT_SEL1 CYREG_PRT2_OUT_SEL1 +#define SCSI_In_DBx__PRTDSI__SYNC_OUT CYREG_PRT2_SYNC_OUT +#define SCSI_In_DBx__PS CYREG_PRT2_PS +#define SCSI_In_DBx__SCSI_Out_DB0__MASK 0x01u +#define SCSI_In_DBx__SCSI_Out_DB0__PC CYREG_PRT2_PC0 +#define SCSI_In_DBx__SCSI_Out_DB0__PORT 2u +#define SCSI_In_DBx__SCSI_Out_DB0__SHIFT 0 +#define SCSI_In_DBx__SCSI_Out_DB1__MASK 0x02u +#define SCSI_In_DBx__SCSI_Out_DB1__PC CYREG_PRT2_PC1 +#define SCSI_In_DBx__SCSI_Out_DB1__PORT 2u +#define SCSI_In_DBx__SCSI_Out_DB1__SHIFT 1 +#define SCSI_In_DBx__SCSI_Out_DB2__MASK 0x04u +#define SCSI_In_DBx__SCSI_Out_DB2__PC CYREG_PRT2_PC2 +#define SCSI_In_DBx__SCSI_Out_DB2__PORT 2u +#define SCSI_In_DBx__SCSI_Out_DB2__SHIFT 2 +#define SCSI_In_DBx__SCSI_Out_DB3__MASK 0x08u +#define SCSI_In_DBx__SCSI_Out_DB3__PC CYREG_PRT2_PC3 +#define SCSI_In_DBx__SCSI_Out_DB3__PORT 2u +#define SCSI_In_DBx__SCSI_Out_DB3__SHIFT 3 +#define SCSI_In_DBx__SCSI_Out_DB4__MASK 0x10u +#define SCSI_In_DBx__SCSI_Out_DB4__PC CYREG_PRT2_PC4 +#define SCSI_In_DBx__SCSI_Out_DB4__PORT 2u +#define SCSI_In_DBx__SCSI_Out_DB4__SHIFT 4 +#define SCSI_In_DBx__SCSI_Out_DB5__MASK 0x20u +#define SCSI_In_DBx__SCSI_Out_DB5__PC CYREG_PRT2_PC5 +#define SCSI_In_DBx__SCSI_Out_DB5__PORT 2u +#define SCSI_In_DBx__SCSI_Out_DB5__SHIFT 5 +#define SCSI_In_DBx__SCSI_Out_DB6__MASK 0x40u +#define SCSI_In_DBx__SCSI_Out_DB6__PC CYREG_PRT2_PC6 +#define SCSI_In_DBx__SCSI_Out_DB6__PORT 2u +#define SCSI_In_DBx__SCSI_Out_DB6__SHIFT 6 +#define SCSI_In_DBx__SCSI_Out_DB7__MASK 0x80u +#define SCSI_In_DBx__SCSI_Out_DB7__PC CYREG_PRT2_PC7 +#define SCSI_In_DBx__SCSI_Out_DB7__PORT 2u +#define SCSI_In_DBx__SCSI_Out_DB7__SHIFT 7 +#define SCSI_In_DBx__SHIFT 0 +#define SCSI_In_DBx__SLW CYREG_PRT2_SLW + +/* SD_Data_Clk */ +#define SD_Data_Clk__CFG0 CYREG_CLKDIST_DCFG0_CFG0 +#define SD_Data_Clk__CFG1 CYREG_CLKDIST_DCFG0_CFG1 +#define SD_Data_Clk__CFG2 CYREG_CLKDIST_DCFG0_CFG2 +#define SD_Data_Clk__CFG2_SRC_SEL_MASK 0x07u +#define SD_Data_Clk__INDEX 0x00u +#define SD_Data_Clk__PM_ACT_CFG CYREG_PM_ACT_CFG2 +#define SD_Data_Clk__PM_ACT_MSK 0x01u +#define SD_Data_Clk__PM_STBY_CFG CYREG_PM_STBY_CFG2 +#define SD_Data_Clk__PM_STBY_MSK 0x01u + +/* SD_Init_Clk */ +#define SD_Init_Clk__CFG0 CYREG_CLKDIST_DCFG1_CFG0 +#define SD_Init_Clk__CFG1 CYREG_CLKDIST_DCFG1_CFG1 +#define SD_Init_Clk__CFG2 CYREG_CLKDIST_DCFG1_CFG2 +#define SD_Init_Clk__CFG2_SRC_SEL_MASK 0x07u +#define SD_Init_Clk__INDEX 0x01u +#define SD_Init_Clk__PM_ACT_CFG CYREG_PM_ACT_CFG2 +#define SD_Init_Clk__PM_ACT_MSK 0x02u +#define SD_Init_Clk__PM_STBY_CFG CYREG_PM_STBY_CFG2 +#define SD_Init_Clk__PM_STBY_MSK 0x02u + +/* SD_Clk_Ctl */ +#define SD_Clk_Ctl_Sync_ctrl_reg__0__MASK 0x01u +#define SD_Clk_Ctl_Sync_ctrl_reg__0__POS 0 +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B1_UDB05_06_ACTL +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B1_UDB05_06_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B1_UDB05_06_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B1_UDB05_06_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B1_UDB05_06_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B1_UDB05_06_MSK +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B1_UDB05_06_MSK +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B1_UDB05_06_MSK +#define SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B1_UDB05_06_MSK +#define SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B1_UDB05_ACTL +#define SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_REG CYREG_B1_UDB05_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B1_UDB05_ST_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__COUNT_REG CYREG_B1_UDB05_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__COUNT_ST_REG CYREG_B1_UDB05_ST_CTL +#define SD_Clk_Ctl_Sync_ctrl_reg__MASK 0x01u +#define SD_Clk_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B1_UDB05_MSK_ACTL +#define SD_Clk_Ctl_Sync_ctrl_reg__PERIOD_REG CYREG_B1_UDB05_MSK +#define SD_Clk_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B1_UDB05_MSK_ACTL + +/* PARITY_EN */ +#define PARITY_EN__0__MASK 0x10u +#define PARITY_EN__0__PC CYREG_PRT5_PC4 +#define PARITY_EN__0__PORT 5u +#define PARITY_EN__0__SHIFT 4 +#define PARITY_EN__AG CYREG_PRT5_AG +#define PARITY_EN__AMUX CYREG_PRT5_AMUX +#define PARITY_EN__BIE CYREG_PRT5_BIE +#define PARITY_EN__BIT_MASK CYREG_PRT5_BIT_MASK +#define PARITY_EN__BYP CYREG_PRT5_BYP +#define PARITY_EN__CTL CYREG_PRT5_CTL +#define PARITY_EN__DM0 CYREG_PRT5_DM0 +#define PARITY_EN__DM1 CYREG_PRT5_DM1 +#define PARITY_EN__DM2 CYREG_PRT5_DM2 +#define PARITY_EN__DR CYREG_PRT5_DR +#define PARITY_EN__INP_DIS CYREG_PRT5_INP_DIS +#define PARITY_EN__LCD_COM_SEG CYREG_PRT5_LCD_COM_SEG +#define PARITY_EN__LCD_EN CYREG_PRT5_LCD_EN +#define PARITY_EN__MASK 0x10u +#define PARITY_EN__PORT 5u +#define PARITY_EN__PRT CYREG_PRT5_PRT +#define PARITY_EN__PRTDSI__CAPS_SEL CYREG_PRT5_CAPS_SEL +#define PARITY_EN__PRTDSI__DBL_SYNC_IN CYREG_PRT5_DBL_SYNC_IN +#define PARITY_EN__PRTDSI__OE_SEL0 CYREG_PRT5_OE_SEL0 +#define PARITY_EN__PRTDSI__OE_SEL1 CYREG_PRT5_OE_SEL1 +#define PARITY_EN__PRTDSI__OUT_SEL0 CYREG_PRT5_OUT_SEL0 +#define PARITY_EN__PRTDSI__OUT_SEL1 CYREG_PRT5_OUT_SEL1 +#define PARITY_EN__PRTDSI__SYNC_OUT CYREG_PRT5_SYNC_OUT +#define PARITY_EN__PS CYREG_PRT5_PS +#define PARITY_EN__SHIFT 4 +#define PARITY_EN__SLW CYREG_PRT5_SLW + +/* SCSI_Out */ +#define SCSI_Out__0__AG CYREG_PRT4_AG +#define SCSI_Out__0__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__0__BIE CYREG_PRT4_BIE +#define SCSI_Out__0__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__0__BYP CYREG_PRT4_BYP +#define SCSI_Out__0__CTL CYREG_PRT4_CTL +#define SCSI_Out__0__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__0__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__0__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__0__DR CYREG_PRT4_DR +#define SCSI_Out__0__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__0__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__0__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__0__MASK 0x04u +#define SCSI_Out__0__PC CYREG_PRT4_PC2 +#define SCSI_Out__0__PORT 4u +#define SCSI_Out__0__PRT CYREG_PRT4_PRT +#define SCSI_Out__0__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__0__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__0__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__0__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__0__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__0__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__0__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__0__PS CYREG_PRT4_PS +#define SCSI_Out__0__SHIFT 2 +#define SCSI_Out__0__SLW CYREG_PRT4_SLW +#define SCSI_Out__1__AG CYREG_PRT4_AG +#define SCSI_Out__1__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__1__BIE CYREG_PRT4_BIE +#define SCSI_Out__1__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__1__BYP CYREG_PRT4_BYP +#define SCSI_Out__1__CTL CYREG_PRT4_CTL +#define SCSI_Out__1__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__1__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__1__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__1__DR CYREG_PRT4_DR +#define SCSI_Out__1__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__1__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__1__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__1__MASK 0x08u +#define SCSI_Out__1__PC CYREG_PRT4_PC3 +#define SCSI_Out__1__PORT 4u +#define SCSI_Out__1__PRT CYREG_PRT4_PRT +#define SCSI_Out__1__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__1__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__1__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__1__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__1__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__1__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__1__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__1__PS CYREG_PRT4_PS +#define SCSI_Out__1__SHIFT 3 +#define SCSI_Out__1__SLW CYREG_PRT4_SLW +#define SCSI_Out__2__AG CYREG_PRT4_AG +#define SCSI_Out__2__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__2__BIE CYREG_PRT4_BIE +#define SCSI_Out__2__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__2__BYP CYREG_PRT4_BYP +#define SCSI_Out__2__CTL CYREG_PRT4_CTL +#define SCSI_Out__2__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__2__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__2__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__2__DR CYREG_PRT4_DR +#define SCSI_Out__2__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__2__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__2__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__2__MASK 0x10u +#define SCSI_Out__2__PC CYREG_PRT4_PC4 +#define SCSI_Out__2__PORT 4u +#define SCSI_Out__2__PRT CYREG_PRT4_PRT +#define SCSI_Out__2__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__2__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__2__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__2__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__2__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__2__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__2__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__2__PS CYREG_PRT4_PS +#define SCSI_Out__2__SHIFT 4 +#define SCSI_Out__2__SLW CYREG_PRT4_SLW +#define SCSI_Out__3__AG CYREG_PRT4_AG +#define SCSI_Out__3__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__3__BIE CYREG_PRT4_BIE +#define SCSI_Out__3__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__3__BYP CYREG_PRT4_BYP +#define SCSI_Out__3__CTL CYREG_PRT4_CTL +#define SCSI_Out__3__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__3__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__3__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__3__DR CYREG_PRT4_DR +#define SCSI_Out__3__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__3__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__3__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__3__MASK 0x20u +#define SCSI_Out__3__PC CYREG_PRT4_PC5 +#define SCSI_Out__3__PORT 4u +#define SCSI_Out__3__PRT CYREG_PRT4_PRT +#define SCSI_Out__3__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__3__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__3__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__3__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__3__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__3__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__3__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__3__PS CYREG_PRT4_PS +#define SCSI_Out__3__SHIFT 5 +#define SCSI_Out__3__SLW CYREG_PRT4_SLW +#define SCSI_Out__4__AG CYREG_PRT4_AG +#define SCSI_Out__4__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__4__BIE CYREG_PRT4_BIE +#define SCSI_Out__4__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__4__BYP CYREG_PRT4_BYP +#define SCSI_Out__4__CTL CYREG_PRT4_CTL +#define SCSI_Out__4__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__4__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__4__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__4__DR CYREG_PRT4_DR +#define SCSI_Out__4__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__4__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__4__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__4__MASK 0x40u +#define SCSI_Out__4__PC CYREG_PRT4_PC6 +#define SCSI_Out__4__PORT 4u +#define SCSI_Out__4__PRT CYREG_PRT4_PRT +#define SCSI_Out__4__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__4__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__4__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__4__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__4__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__4__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__4__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__4__PS CYREG_PRT4_PS +#define SCSI_Out__4__SHIFT 6 +#define SCSI_Out__4__SLW CYREG_PRT4_SLW +#define SCSI_Out__5__AG CYREG_PRT4_AG +#define SCSI_Out__5__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__5__BIE CYREG_PRT4_BIE +#define SCSI_Out__5__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__5__BYP CYREG_PRT4_BYP +#define SCSI_Out__5__CTL CYREG_PRT4_CTL +#define SCSI_Out__5__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__5__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__5__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__5__DR CYREG_PRT4_DR +#define SCSI_Out__5__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__5__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__5__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__5__MASK 0x80u +#define SCSI_Out__5__PC CYREG_PRT4_PC7 +#define SCSI_Out__5__PORT 4u +#define SCSI_Out__5__PRT CYREG_PRT4_PRT +#define SCSI_Out__5__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__5__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__5__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__5__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__5__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__5__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__5__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__5__PS CYREG_PRT4_PS +#define SCSI_Out__5__SHIFT 7 +#define SCSI_Out__5__SLW CYREG_PRT4_SLW +#define SCSI_Out__6__AG CYREG_PRT6_AG +#define SCSI_Out__6__AMUX CYREG_PRT6_AMUX +#define SCSI_Out__6__BIE CYREG_PRT6_BIE +#define SCSI_Out__6__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out__6__BYP CYREG_PRT6_BYP +#define SCSI_Out__6__CTL CYREG_PRT6_CTL +#define SCSI_Out__6__DM0 CYREG_PRT6_DM0 +#define SCSI_Out__6__DM1 CYREG_PRT6_DM1 +#define SCSI_Out__6__DM2 CYREG_PRT6_DM2 +#define SCSI_Out__6__DR CYREG_PRT6_DR +#define SCSI_Out__6__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out__6__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out__6__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out__6__MASK 0x01u +#define SCSI_Out__6__PC CYREG_PRT6_PC0 +#define SCSI_Out__6__PORT 6u +#define SCSI_Out__6__PRT CYREG_PRT6_PRT +#define SCSI_Out__6__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out__6__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out__6__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out__6__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out__6__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out__6__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out__6__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out__6__PS CYREG_PRT6_PS +#define SCSI_Out__6__SHIFT 0 +#define SCSI_Out__6__SLW CYREG_PRT6_SLW +#define SCSI_Out__7__AG CYREG_PRT6_AG +#define SCSI_Out__7__AMUX CYREG_PRT6_AMUX +#define SCSI_Out__7__BIE CYREG_PRT6_BIE +#define SCSI_Out__7__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out__7__BYP CYREG_PRT6_BYP +#define SCSI_Out__7__CTL CYREG_PRT6_CTL +#define SCSI_Out__7__DM0 CYREG_PRT6_DM0 +#define SCSI_Out__7__DM1 CYREG_PRT6_DM1 +#define SCSI_Out__7__DM2 CYREG_PRT6_DM2 +#define SCSI_Out__7__DR CYREG_PRT6_DR +#define SCSI_Out__7__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out__7__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out__7__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out__7__MASK 0x02u +#define SCSI_Out__7__PC CYREG_PRT6_PC1 +#define SCSI_Out__7__PORT 6u +#define SCSI_Out__7__PRT CYREG_PRT6_PRT +#define SCSI_Out__7__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out__7__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out__7__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out__7__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out__7__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out__7__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out__7__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out__7__PS CYREG_PRT6_PS +#define SCSI_Out__7__SHIFT 1 +#define SCSI_Out__7__SLW CYREG_PRT6_SLW +#define SCSI_Out__8__AG CYREG_PRT6_AG +#define SCSI_Out__8__AMUX CYREG_PRT6_AMUX +#define SCSI_Out__8__BIE CYREG_PRT6_BIE +#define SCSI_Out__8__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out__8__BYP CYREG_PRT6_BYP +#define SCSI_Out__8__CTL CYREG_PRT6_CTL +#define SCSI_Out__8__DM0 CYREG_PRT6_DM0 +#define SCSI_Out__8__DM1 CYREG_PRT6_DM1 +#define SCSI_Out__8__DM2 CYREG_PRT6_DM2 +#define SCSI_Out__8__DR CYREG_PRT6_DR +#define SCSI_Out__8__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out__8__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out__8__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out__8__MASK 0x04u +#define SCSI_Out__8__PC CYREG_PRT6_PC2 +#define SCSI_Out__8__PORT 6u +#define SCSI_Out__8__PRT CYREG_PRT6_PRT +#define SCSI_Out__8__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out__8__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out__8__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out__8__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out__8__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out__8__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out__8__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out__8__PS CYREG_PRT6_PS +#define SCSI_Out__8__SHIFT 2 +#define SCSI_Out__8__SLW CYREG_PRT6_SLW +#define SCSI_Out__9__AG CYREG_PRT6_AG +#define SCSI_Out__9__AMUX CYREG_PRT6_AMUX +#define SCSI_Out__9__BIE CYREG_PRT6_BIE +#define SCSI_Out__9__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out__9__BYP CYREG_PRT6_BYP +#define SCSI_Out__9__CTL CYREG_PRT6_CTL +#define SCSI_Out__9__DM0 CYREG_PRT6_DM0 +#define SCSI_Out__9__DM1 CYREG_PRT6_DM1 +#define SCSI_Out__9__DM2 CYREG_PRT6_DM2 +#define SCSI_Out__9__DR CYREG_PRT6_DR +#define SCSI_Out__9__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out__9__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out__9__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out__9__MASK 0x08u +#define SCSI_Out__9__PC CYREG_PRT6_PC3 +#define SCSI_Out__9__PORT 6u +#define SCSI_Out__9__PRT CYREG_PRT6_PRT +#define SCSI_Out__9__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out__9__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out__9__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out__9__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out__9__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out__9__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out__9__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out__9__PS CYREG_PRT6_PS +#define SCSI_Out__9__SHIFT 3 +#define SCSI_Out__9__SLW CYREG_PRT6_SLW +#define SCSI_Out__ACK__AG CYREG_PRT4_AG +#define SCSI_Out__ACK__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__ACK__BIE CYREG_PRT4_BIE +#define SCSI_Out__ACK__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__ACK__BYP CYREG_PRT4_BYP +#define SCSI_Out__ACK__CTL CYREG_PRT4_CTL +#define SCSI_Out__ACK__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__ACK__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__ACK__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__ACK__DR CYREG_PRT4_DR +#define SCSI_Out__ACK__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__ACK__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__ACK__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__ACK__MASK 0x20u +#define SCSI_Out__ACK__PC CYREG_PRT4_PC5 +#define SCSI_Out__ACK__PORT 4u +#define SCSI_Out__ACK__PRT CYREG_PRT4_PRT +#define SCSI_Out__ACK__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__ACK__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__ACK__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__ACK__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__ACK__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__ACK__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__ACK__PS CYREG_PRT4_PS +#define SCSI_Out__ACK__SHIFT 5 +#define SCSI_Out__ACK__SLW CYREG_PRT4_SLW +#define SCSI_Out__ATN__AG CYREG_PRT4_AG +#define SCSI_Out__ATN__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__ATN__BIE CYREG_PRT4_BIE +#define SCSI_Out__ATN__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__ATN__BYP CYREG_PRT4_BYP +#define SCSI_Out__ATN__CTL CYREG_PRT4_CTL +#define SCSI_Out__ATN__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__ATN__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__ATN__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__ATN__DR CYREG_PRT4_DR +#define SCSI_Out__ATN__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__ATN__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__ATN__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__ATN__MASK 0x08u +#define SCSI_Out__ATN__PC CYREG_PRT4_PC3 +#define SCSI_Out__ATN__PORT 4u +#define SCSI_Out__ATN__PRT CYREG_PRT4_PRT +#define SCSI_Out__ATN__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__ATN__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__ATN__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__ATN__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__ATN__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__ATN__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__ATN__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__ATN__PS CYREG_PRT4_PS +#define SCSI_Out__ATN__SHIFT 3 +#define SCSI_Out__ATN__SLW CYREG_PRT4_SLW +#define SCSI_Out__BSY__AG CYREG_PRT4_AG +#define SCSI_Out__BSY__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__BSY__BIE CYREG_PRT4_BIE +#define SCSI_Out__BSY__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__BSY__BYP CYREG_PRT4_BYP +#define SCSI_Out__BSY__CTL CYREG_PRT4_CTL +#define SCSI_Out__BSY__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__BSY__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__BSY__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__BSY__DR CYREG_PRT4_DR +#define SCSI_Out__BSY__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__BSY__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__BSY__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__BSY__MASK 0x10u +#define SCSI_Out__BSY__PC CYREG_PRT4_PC4 +#define SCSI_Out__BSY__PORT 4u +#define SCSI_Out__BSY__PRT CYREG_PRT4_PRT +#define SCSI_Out__BSY__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__BSY__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__BSY__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__BSY__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__BSY__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__BSY__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__BSY__PS CYREG_PRT4_PS +#define SCSI_Out__BSY__SHIFT 4 +#define SCSI_Out__BSY__SLW CYREG_PRT4_SLW +#define SCSI_Out__CD__AG CYREG_PRT6_AG +#define SCSI_Out__CD__AMUX CYREG_PRT6_AMUX +#define SCSI_Out__CD__BIE CYREG_PRT6_BIE +#define SCSI_Out__CD__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out__CD__BYP CYREG_PRT6_BYP +#define SCSI_Out__CD__CTL CYREG_PRT6_CTL +#define SCSI_Out__CD__DM0 CYREG_PRT6_DM0 +#define SCSI_Out__CD__DM1 CYREG_PRT6_DM1 +#define SCSI_Out__CD__DM2 CYREG_PRT6_DM2 +#define SCSI_Out__CD__DR CYREG_PRT6_DR +#define SCSI_Out__CD__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out__CD__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out__CD__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out__CD__MASK 0x02u +#define SCSI_Out__CD__PC CYREG_PRT6_PC1 +#define SCSI_Out__CD__PORT 6u +#define SCSI_Out__CD__PRT CYREG_PRT6_PRT +#define SCSI_Out__CD__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out__CD__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out__CD__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out__CD__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out__CD__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out__CD__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out__CD__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out__CD__PS CYREG_PRT6_PS +#define SCSI_Out__CD__SHIFT 1 +#define SCSI_Out__CD__SLW CYREG_PRT6_SLW +#define SCSI_Out__DBP__AG CYREG_PRT4_AG +#define SCSI_Out__DBP__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__DBP__BIE CYREG_PRT4_BIE +#define SCSI_Out__DBP__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__DBP__BYP CYREG_PRT4_BYP +#define SCSI_Out__DBP__CTL CYREG_PRT4_CTL +#define SCSI_Out__DBP__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__DBP__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__DBP__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__DBP__DR CYREG_PRT4_DR +#define SCSI_Out__DBP__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__DBP__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__DBP__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__DBP__MASK 0x04u +#define SCSI_Out__DBP__PC CYREG_PRT4_PC2 +#define SCSI_Out__DBP__PORT 4u +#define SCSI_Out__DBP__PRT CYREG_PRT4_PRT +#define SCSI_Out__DBP__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__DBP__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__DBP__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__DBP__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__DBP__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__DBP__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__DBP__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__DBP__PS CYREG_PRT4_PS +#define SCSI_Out__DBP__SHIFT 2 +#define SCSI_Out__DBP__SLW CYREG_PRT4_SLW +#define SCSI_Out__IO__AG CYREG_PRT6_AG +#define SCSI_Out__IO__AMUX CYREG_PRT6_AMUX +#define SCSI_Out__IO__BIE CYREG_PRT6_BIE +#define SCSI_Out__IO__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out__IO__BYP CYREG_PRT6_BYP +#define SCSI_Out__IO__CTL CYREG_PRT6_CTL +#define SCSI_Out__IO__DM0 CYREG_PRT6_DM0 +#define SCSI_Out__IO__DM1 CYREG_PRT6_DM1 +#define SCSI_Out__IO__DM2 CYREG_PRT6_DM2 +#define SCSI_Out__IO__DR CYREG_PRT6_DR +#define SCSI_Out__IO__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out__IO__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out__IO__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out__IO__MASK 0x08u +#define SCSI_Out__IO__PC CYREG_PRT6_PC3 +#define SCSI_Out__IO__PORT 6u +#define SCSI_Out__IO__PRT CYREG_PRT6_PRT +#define SCSI_Out__IO__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out__IO__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out__IO__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out__IO__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out__IO__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out__IO__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out__IO__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out__IO__PS CYREG_PRT6_PS +#define SCSI_Out__IO__SHIFT 3 +#define SCSI_Out__IO__SLW CYREG_PRT6_SLW +#define SCSI_Out__MSG__AG CYREG_PRT4_AG +#define SCSI_Out__MSG__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__MSG__BIE CYREG_PRT4_BIE +#define SCSI_Out__MSG__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__MSG__BYP CYREG_PRT4_BYP +#define SCSI_Out__MSG__CTL CYREG_PRT4_CTL +#define SCSI_Out__MSG__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__MSG__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__MSG__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__MSG__DR CYREG_PRT4_DR +#define SCSI_Out__MSG__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__MSG__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__MSG__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__MSG__MASK 0x80u +#define SCSI_Out__MSG__PC CYREG_PRT4_PC7 +#define SCSI_Out__MSG__PORT 4u +#define SCSI_Out__MSG__PRT CYREG_PRT4_PRT +#define SCSI_Out__MSG__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__MSG__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__MSG__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__MSG__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__MSG__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__MSG__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__MSG__PS CYREG_PRT4_PS +#define SCSI_Out__MSG__SHIFT 7 +#define SCSI_Out__MSG__SLW CYREG_PRT4_SLW +#define SCSI_Out__REQ__AG CYREG_PRT6_AG +#define SCSI_Out__REQ__AMUX CYREG_PRT6_AMUX +#define SCSI_Out__REQ__BIE CYREG_PRT6_BIE +#define SCSI_Out__REQ__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out__REQ__BYP CYREG_PRT6_BYP +#define SCSI_Out__REQ__CTL CYREG_PRT6_CTL +#define SCSI_Out__REQ__DM0 CYREG_PRT6_DM0 +#define SCSI_Out__REQ__DM1 CYREG_PRT6_DM1 +#define SCSI_Out__REQ__DM2 CYREG_PRT6_DM2 +#define SCSI_Out__REQ__DR CYREG_PRT6_DR +#define SCSI_Out__REQ__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out__REQ__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out__REQ__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out__REQ__MASK 0x04u +#define SCSI_Out__REQ__PC CYREG_PRT6_PC2 +#define SCSI_Out__REQ__PORT 6u +#define SCSI_Out__REQ__PRT CYREG_PRT6_PRT +#define SCSI_Out__REQ__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out__REQ__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out__REQ__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out__REQ__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out__REQ__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out__REQ__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out__REQ__PS CYREG_PRT6_PS +#define SCSI_Out__REQ__SHIFT 2 +#define SCSI_Out__REQ__SLW CYREG_PRT6_SLW +#define SCSI_Out__RST__AG CYREG_PRT4_AG +#define SCSI_Out__RST__AMUX CYREG_PRT4_AMUX +#define SCSI_Out__RST__BIE CYREG_PRT4_BIE +#define SCSI_Out__RST__BIT_MASK CYREG_PRT4_BIT_MASK +#define SCSI_Out__RST__BYP CYREG_PRT4_BYP +#define SCSI_Out__RST__CTL CYREG_PRT4_CTL +#define SCSI_Out__RST__DM0 CYREG_PRT4_DM0 +#define SCSI_Out__RST__DM1 CYREG_PRT4_DM1 +#define SCSI_Out__RST__DM2 CYREG_PRT4_DM2 +#define SCSI_Out__RST__DR CYREG_PRT4_DR +#define SCSI_Out__RST__INP_DIS CYREG_PRT4_INP_DIS +#define SCSI_Out__RST__LCD_COM_SEG CYREG_PRT4_LCD_COM_SEG +#define SCSI_Out__RST__LCD_EN CYREG_PRT4_LCD_EN +#define SCSI_Out__RST__MASK 0x40u +#define SCSI_Out__RST__PC CYREG_PRT4_PC6 +#define SCSI_Out__RST__PORT 4u +#define SCSI_Out__RST__PRT CYREG_PRT4_PRT +#define SCSI_Out__RST__PRTDSI__CAPS_SEL CYREG_PRT4_CAPS_SEL +#define SCSI_Out__RST__PRTDSI__DBL_SYNC_IN CYREG_PRT4_DBL_SYNC_IN +#define SCSI_Out__RST__PRTDSI__OE_SEL0 CYREG_PRT4_OE_SEL0 +#define SCSI_Out__RST__PRTDSI__OE_SEL1 CYREG_PRT4_OE_SEL1 +#define SCSI_Out__RST__PRTDSI__OUT_SEL0 CYREG_PRT4_OUT_SEL0 +#define SCSI_Out__RST__PRTDSI__OUT_SEL1 CYREG_PRT4_OUT_SEL1 +#define SCSI_Out__RST__PRTDSI__SYNC_OUT CYREG_PRT4_SYNC_OUT +#define SCSI_Out__RST__PS CYREG_PRT4_PS +#define SCSI_Out__RST__SHIFT 6 +#define SCSI_Out__RST__SLW CYREG_PRT4_SLW +#define SCSI_Out__SEL__AG CYREG_PRT6_AG +#define SCSI_Out__SEL__AMUX CYREG_PRT6_AMUX +#define SCSI_Out__SEL__BIE CYREG_PRT6_BIE +#define SCSI_Out__SEL__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_Out__SEL__BYP CYREG_PRT6_BYP +#define SCSI_Out__SEL__CTL CYREG_PRT6_CTL +#define SCSI_Out__SEL__DM0 CYREG_PRT6_DM0 +#define SCSI_Out__SEL__DM1 CYREG_PRT6_DM1 +#define SCSI_Out__SEL__DM2 CYREG_PRT6_DM2 +#define SCSI_Out__SEL__DR CYREG_PRT6_DR +#define SCSI_Out__SEL__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_Out__SEL__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_Out__SEL__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_Out__SEL__MASK 0x01u +#define SCSI_Out__SEL__PC CYREG_PRT6_PC0 +#define SCSI_Out__SEL__PORT 6u +#define SCSI_Out__SEL__PRT CYREG_PRT6_PRT +#define SCSI_Out__SEL__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_Out__SEL__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_Out__SEL__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_Out__SEL__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_Out__SEL__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_Out__SEL__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_Out__SEL__PS CYREG_PRT6_PS +#define SCSI_Out__SEL__SHIFT 0 +#define SCSI_Out__SEL__SLW CYREG_PRT6_SLW + +/* SCSI_ID */ +#define SCSI_ID__0__MASK 0x80u +#define SCSI_ID__0__PC CYREG_PRT5_PC7 +#define SCSI_ID__0__PORT 5u +#define SCSI_ID__0__SHIFT 7 +#define SCSI_ID__1__MASK 0x40u +#define SCSI_ID__1__PC CYREG_PRT5_PC6 +#define SCSI_ID__1__PORT 5u +#define SCSI_ID__1__SHIFT 6 +#define SCSI_ID__2__MASK 0x20u +#define SCSI_ID__2__PC CYREG_PRT5_PC5 +#define SCSI_ID__2__PORT 5u +#define SCSI_ID__2__SHIFT 5 +#define SCSI_ID__AG CYREG_PRT5_AG +#define SCSI_ID__AMUX CYREG_PRT5_AMUX +#define SCSI_ID__BIE CYREG_PRT5_BIE +#define SCSI_ID__BIT_MASK CYREG_PRT5_BIT_MASK +#define SCSI_ID__BYP CYREG_PRT5_BYP +#define SCSI_ID__CTL CYREG_PRT5_CTL +#define SCSI_ID__DM0 CYREG_PRT5_DM0 +#define SCSI_ID__DM1 CYREG_PRT5_DM1 +#define SCSI_ID__DM2 CYREG_PRT5_DM2 +#define SCSI_ID__DR CYREG_PRT5_DR +#define SCSI_ID__INP_DIS CYREG_PRT5_INP_DIS +#define SCSI_ID__LCD_COM_SEG CYREG_PRT5_LCD_COM_SEG +#define SCSI_ID__LCD_EN CYREG_PRT5_LCD_EN +#define SCSI_ID__PORT 5u +#define SCSI_ID__PRT CYREG_PRT5_PRT +#define SCSI_ID__PRTDSI__CAPS_SEL CYREG_PRT5_CAPS_SEL +#define SCSI_ID__PRTDSI__DBL_SYNC_IN CYREG_PRT5_DBL_SYNC_IN +#define SCSI_ID__PRTDSI__OE_SEL0 CYREG_PRT5_OE_SEL0 +#define SCSI_ID__PRTDSI__OE_SEL1 CYREG_PRT5_OE_SEL1 +#define SCSI_ID__PRTDSI__OUT_SEL0 CYREG_PRT5_OUT_SEL0 +#define SCSI_ID__PRTDSI__OUT_SEL1 CYREG_PRT5_OUT_SEL1 +#define SCSI_ID__PRTDSI__SYNC_OUT CYREG_PRT5_SYNC_OUT +#define SCSI_ID__PS CYREG_PRT5_PS +#define SCSI_ID__SLW CYREG_PRT5_SLW + +/* SCSI_In */ +#define SCSI_In__0__AG CYREG_PRT12_AG +#define SCSI_In__0__BIE CYREG_PRT12_BIE +#define SCSI_In__0__BIT_MASK CYREG_PRT12_BIT_MASK +#define SCSI_In__0__BYP CYREG_PRT12_BYP +#define SCSI_In__0__DM0 CYREG_PRT12_DM0 +#define SCSI_In__0__DM1 CYREG_PRT12_DM1 +#define SCSI_In__0__DM2 CYREG_PRT12_DM2 +#define SCSI_In__0__DR CYREG_PRT12_DR +#define SCSI_In__0__INP_DIS CYREG_PRT12_INP_DIS +#define SCSI_In__0__MASK 0x10u +#define SCSI_In__0__PC CYREG_PRT12_PC4 +#define SCSI_In__0__PORT 12u +#define SCSI_In__0__PRT CYREG_PRT12_PRT +#define SCSI_In__0__PRTDSI__DBL_SYNC_IN CYREG_PRT12_DBL_SYNC_IN +#define SCSI_In__0__PRTDSI__OE_SEL0 CYREG_PRT12_OE_SEL0 +#define SCSI_In__0__PRTDSI__OE_SEL1 CYREG_PRT12_OE_SEL1 +#define SCSI_In__0__PRTDSI__OUT_SEL0 CYREG_PRT12_OUT_SEL0 +#define SCSI_In__0__PRTDSI__OUT_SEL1 CYREG_PRT12_OUT_SEL1 +#define SCSI_In__0__PRTDSI__SYNC_OUT CYREG_PRT12_SYNC_OUT +#define SCSI_In__0__PS CYREG_PRT12_PS +#define SCSI_In__0__SHIFT 4 +#define SCSI_In__0__SIO_CFG CYREG_PRT12_SIO_CFG +#define SCSI_In__0__SIO_DIFF CYREG_PRT12_SIO_DIFF +#define SCSI_In__0__SIO_HYST_EN CYREG_PRT12_SIO_HYST_EN +#define SCSI_In__0__SIO_REG_HIFREQ CYREG_PRT12_SIO_REG_HIFREQ +#define SCSI_In__0__SLW CYREG_PRT12_SLW +#define SCSI_In__1__AG CYREG_PRT12_AG +#define SCSI_In__1__BIE CYREG_PRT12_BIE +#define SCSI_In__1__BIT_MASK CYREG_PRT12_BIT_MASK +#define SCSI_In__1__BYP CYREG_PRT12_BYP +#define SCSI_In__1__DM0 CYREG_PRT12_DM0 +#define SCSI_In__1__DM1 CYREG_PRT12_DM1 +#define SCSI_In__1__DM2 CYREG_PRT12_DM2 +#define SCSI_In__1__DR CYREG_PRT12_DR +#define SCSI_In__1__INP_DIS CYREG_PRT12_INP_DIS +#define SCSI_In__1__MASK 0x20u +#define SCSI_In__1__PC CYREG_PRT12_PC5 +#define SCSI_In__1__PORT 12u +#define SCSI_In__1__PRT CYREG_PRT12_PRT +#define SCSI_In__1__PRTDSI__DBL_SYNC_IN CYREG_PRT12_DBL_SYNC_IN +#define SCSI_In__1__PRTDSI__OE_SEL0 CYREG_PRT12_OE_SEL0 +#define SCSI_In__1__PRTDSI__OE_SEL1 CYREG_PRT12_OE_SEL1 +#define SCSI_In__1__PRTDSI__OUT_SEL0 CYREG_PRT12_OUT_SEL0 +#define SCSI_In__1__PRTDSI__OUT_SEL1 CYREG_PRT12_OUT_SEL1 +#define SCSI_In__1__PRTDSI__SYNC_OUT CYREG_PRT12_SYNC_OUT +#define SCSI_In__1__PS CYREG_PRT12_PS +#define SCSI_In__1__SHIFT 5 +#define SCSI_In__1__SIO_CFG CYREG_PRT12_SIO_CFG +#define SCSI_In__1__SIO_DIFF CYREG_PRT12_SIO_DIFF +#define SCSI_In__1__SIO_HYST_EN CYREG_PRT12_SIO_HYST_EN +#define SCSI_In__1__SIO_REG_HIFREQ CYREG_PRT12_SIO_REG_HIFREQ +#define SCSI_In__1__SLW CYREG_PRT12_SLW +#define SCSI_In__2__AG CYREG_PRT6_AG +#define SCSI_In__2__AMUX CYREG_PRT6_AMUX +#define SCSI_In__2__BIE CYREG_PRT6_BIE +#define SCSI_In__2__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_In__2__BYP CYREG_PRT6_BYP +#define SCSI_In__2__CTL CYREG_PRT6_CTL +#define SCSI_In__2__DM0 CYREG_PRT6_DM0 +#define SCSI_In__2__DM1 CYREG_PRT6_DM1 +#define SCSI_In__2__DM2 CYREG_PRT6_DM2 +#define SCSI_In__2__DR CYREG_PRT6_DR +#define SCSI_In__2__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_In__2__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_In__2__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_In__2__MASK 0x10u +#define SCSI_In__2__PC CYREG_PRT6_PC4 +#define SCSI_In__2__PORT 6u +#define SCSI_In__2__PRT CYREG_PRT6_PRT +#define SCSI_In__2__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_In__2__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_In__2__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_In__2__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_In__2__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_In__2__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_In__2__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_In__2__PS CYREG_PRT6_PS +#define SCSI_In__2__SHIFT 4 +#define SCSI_In__2__SLW CYREG_PRT6_SLW +#define SCSI_In__3__AG CYREG_PRT6_AG +#define SCSI_In__3__AMUX CYREG_PRT6_AMUX +#define SCSI_In__3__BIE CYREG_PRT6_BIE +#define SCSI_In__3__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_In__3__BYP CYREG_PRT6_BYP +#define SCSI_In__3__CTL CYREG_PRT6_CTL +#define SCSI_In__3__DM0 CYREG_PRT6_DM0 +#define SCSI_In__3__DM1 CYREG_PRT6_DM1 +#define SCSI_In__3__DM2 CYREG_PRT6_DM2 +#define SCSI_In__3__DR CYREG_PRT6_DR +#define SCSI_In__3__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_In__3__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_In__3__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_In__3__MASK 0x20u +#define SCSI_In__3__PC CYREG_PRT6_PC5 +#define SCSI_In__3__PORT 6u +#define SCSI_In__3__PRT CYREG_PRT6_PRT +#define SCSI_In__3__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_In__3__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_In__3__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_In__3__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_In__3__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_In__3__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_In__3__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_In__3__PS CYREG_PRT6_PS +#define SCSI_In__3__SHIFT 5 +#define SCSI_In__3__SLW CYREG_PRT6_SLW +#define SCSI_In__4__AG CYREG_PRT6_AG +#define SCSI_In__4__AMUX CYREG_PRT6_AMUX +#define SCSI_In__4__BIE CYREG_PRT6_BIE +#define SCSI_In__4__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_In__4__BYP CYREG_PRT6_BYP +#define SCSI_In__4__CTL CYREG_PRT6_CTL +#define SCSI_In__4__DM0 CYREG_PRT6_DM0 +#define SCSI_In__4__DM1 CYREG_PRT6_DM1 +#define SCSI_In__4__DM2 CYREG_PRT6_DM2 +#define SCSI_In__4__DR CYREG_PRT6_DR +#define SCSI_In__4__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_In__4__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_In__4__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_In__4__MASK 0x40u +#define SCSI_In__4__PC CYREG_PRT6_PC6 +#define SCSI_In__4__PORT 6u +#define SCSI_In__4__PRT CYREG_PRT6_PRT +#define SCSI_In__4__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_In__4__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_In__4__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_In__4__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_In__4__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_In__4__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_In__4__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_In__4__PS CYREG_PRT6_PS +#define SCSI_In__4__SHIFT 6 +#define SCSI_In__4__SLW CYREG_PRT6_SLW +#define SCSI_In__5__AG CYREG_PRT6_AG +#define SCSI_In__5__AMUX CYREG_PRT6_AMUX +#define SCSI_In__5__BIE CYREG_PRT6_BIE +#define SCSI_In__5__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_In__5__BYP CYREG_PRT6_BYP +#define SCSI_In__5__CTL CYREG_PRT6_CTL +#define SCSI_In__5__DM0 CYREG_PRT6_DM0 +#define SCSI_In__5__DM1 CYREG_PRT6_DM1 +#define SCSI_In__5__DM2 CYREG_PRT6_DM2 +#define SCSI_In__5__DR CYREG_PRT6_DR +#define SCSI_In__5__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_In__5__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_In__5__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_In__5__MASK 0x80u +#define SCSI_In__5__PC CYREG_PRT6_PC7 +#define SCSI_In__5__PORT 6u +#define SCSI_In__5__PRT CYREG_PRT6_PRT +#define SCSI_In__5__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_In__5__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_In__5__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_In__5__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_In__5__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_In__5__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_In__5__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_In__5__PS CYREG_PRT6_PS +#define SCSI_In__5__SHIFT 7 +#define SCSI_In__5__SLW CYREG_PRT6_SLW +#define SCSI_In__6__AG CYREG_PRT5_AG +#define SCSI_In__6__AMUX CYREG_PRT5_AMUX +#define SCSI_In__6__BIE CYREG_PRT5_BIE +#define SCSI_In__6__BIT_MASK CYREG_PRT5_BIT_MASK +#define SCSI_In__6__BYP CYREG_PRT5_BYP +#define SCSI_In__6__CTL CYREG_PRT5_CTL +#define SCSI_In__6__DM0 CYREG_PRT5_DM0 +#define SCSI_In__6__DM1 CYREG_PRT5_DM1 +#define SCSI_In__6__DM2 CYREG_PRT5_DM2 +#define SCSI_In__6__DR CYREG_PRT5_DR +#define SCSI_In__6__INP_DIS CYREG_PRT5_INP_DIS +#define SCSI_In__6__LCD_COM_SEG CYREG_PRT5_LCD_COM_SEG +#define SCSI_In__6__LCD_EN CYREG_PRT5_LCD_EN +#define SCSI_In__6__MASK 0x01u +#define SCSI_In__6__PC CYREG_PRT5_PC0 +#define SCSI_In__6__PORT 5u +#define SCSI_In__6__PRT CYREG_PRT5_PRT +#define SCSI_In__6__PRTDSI__CAPS_SEL CYREG_PRT5_CAPS_SEL +#define SCSI_In__6__PRTDSI__DBL_SYNC_IN CYREG_PRT5_DBL_SYNC_IN +#define SCSI_In__6__PRTDSI__OE_SEL0 CYREG_PRT5_OE_SEL0 +#define SCSI_In__6__PRTDSI__OE_SEL1 CYREG_PRT5_OE_SEL1 +#define SCSI_In__6__PRTDSI__OUT_SEL0 CYREG_PRT5_OUT_SEL0 +#define SCSI_In__6__PRTDSI__OUT_SEL1 CYREG_PRT5_OUT_SEL1 +#define SCSI_In__6__PRTDSI__SYNC_OUT CYREG_PRT5_SYNC_OUT +#define SCSI_In__6__PS CYREG_PRT5_PS +#define SCSI_In__6__SHIFT 0 +#define SCSI_In__6__SLW CYREG_PRT5_SLW +#define SCSI_In__7__AG CYREG_PRT5_AG +#define SCSI_In__7__AMUX CYREG_PRT5_AMUX +#define SCSI_In__7__BIE CYREG_PRT5_BIE +#define SCSI_In__7__BIT_MASK CYREG_PRT5_BIT_MASK +#define SCSI_In__7__BYP CYREG_PRT5_BYP +#define SCSI_In__7__CTL CYREG_PRT5_CTL +#define SCSI_In__7__DM0 CYREG_PRT5_DM0 +#define SCSI_In__7__DM1 CYREG_PRT5_DM1 +#define SCSI_In__7__DM2 CYREG_PRT5_DM2 +#define SCSI_In__7__DR CYREG_PRT5_DR +#define SCSI_In__7__INP_DIS CYREG_PRT5_INP_DIS +#define SCSI_In__7__LCD_COM_SEG CYREG_PRT5_LCD_COM_SEG +#define SCSI_In__7__LCD_EN CYREG_PRT5_LCD_EN +#define SCSI_In__7__MASK 0x02u +#define SCSI_In__7__PC CYREG_PRT5_PC1 +#define SCSI_In__7__PORT 5u +#define SCSI_In__7__PRT CYREG_PRT5_PRT +#define SCSI_In__7__PRTDSI__CAPS_SEL CYREG_PRT5_CAPS_SEL +#define SCSI_In__7__PRTDSI__DBL_SYNC_IN CYREG_PRT5_DBL_SYNC_IN +#define SCSI_In__7__PRTDSI__OE_SEL0 CYREG_PRT5_OE_SEL0 +#define SCSI_In__7__PRTDSI__OE_SEL1 CYREG_PRT5_OE_SEL1 +#define SCSI_In__7__PRTDSI__OUT_SEL0 CYREG_PRT5_OUT_SEL0 +#define SCSI_In__7__PRTDSI__OUT_SEL1 CYREG_PRT5_OUT_SEL1 +#define SCSI_In__7__PRTDSI__SYNC_OUT CYREG_PRT5_SYNC_OUT +#define SCSI_In__7__PS CYREG_PRT5_PS +#define SCSI_In__7__SHIFT 1 +#define SCSI_In__7__SLW CYREG_PRT5_SLW +#define SCSI_In__8__AG CYREG_PRT5_AG +#define SCSI_In__8__AMUX CYREG_PRT5_AMUX +#define SCSI_In__8__BIE CYREG_PRT5_BIE +#define SCSI_In__8__BIT_MASK CYREG_PRT5_BIT_MASK +#define SCSI_In__8__BYP CYREG_PRT5_BYP +#define SCSI_In__8__CTL CYREG_PRT5_CTL +#define SCSI_In__8__DM0 CYREG_PRT5_DM0 +#define SCSI_In__8__DM1 CYREG_PRT5_DM1 +#define SCSI_In__8__DM2 CYREG_PRT5_DM2 +#define SCSI_In__8__DR CYREG_PRT5_DR +#define SCSI_In__8__INP_DIS CYREG_PRT5_INP_DIS +#define SCSI_In__8__LCD_COM_SEG CYREG_PRT5_LCD_COM_SEG +#define SCSI_In__8__LCD_EN CYREG_PRT5_LCD_EN +#define SCSI_In__8__MASK 0x04u +#define SCSI_In__8__PC CYREG_PRT5_PC2 +#define SCSI_In__8__PORT 5u +#define SCSI_In__8__PRT CYREG_PRT5_PRT +#define SCSI_In__8__PRTDSI__CAPS_SEL CYREG_PRT5_CAPS_SEL +#define SCSI_In__8__PRTDSI__DBL_SYNC_IN CYREG_PRT5_DBL_SYNC_IN +#define SCSI_In__8__PRTDSI__OE_SEL0 CYREG_PRT5_OE_SEL0 +#define SCSI_In__8__PRTDSI__OE_SEL1 CYREG_PRT5_OE_SEL1 +#define SCSI_In__8__PRTDSI__OUT_SEL0 CYREG_PRT5_OUT_SEL0 +#define SCSI_In__8__PRTDSI__OUT_SEL1 CYREG_PRT5_OUT_SEL1 +#define SCSI_In__8__PRTDSI__SYNC_OUT CYREG_PRT5_SYNC_OUT +#define SCSI_In__8__PS CYREG_PRT5_PS +#define SCSI_In__8__SHIFT 2 +#define SCSI_In__8__SLW CYREG_PRT5_SLW +#define SCSI_In__9__AG CYREG_PRT5_AG +#define SCSI_In__9__AMUX CYREG_PRT5_AMUX +#define SCSI_In__9__BIE CYREG_PRT5_BIE +#define SCSI_In__9__BIT_MASK CYREG_PRT5_BIT_MASK +#define SCSI_In__9__BYP CYREG_PRT5_BYP +#define SCSI_In__9__CTL CYREG_PRT5_CTL +#define SCSI_In__9__DM0 CYREG_PRT5_DM0 +#define SCSI_In__9__DM1 CYREG_PRT5_DM1 +#define SCSI_In__9__DM2 CYREG_PRT5_DM2 +#define SCSI_In__9__DR CYREG_PRT5_DR +#define SCSI_In__9__INP_DIS CYREG_PRT5_INP_DIS +#define SCSI_In__9__LCD_COM_SEG CYREG_PRT5_LCD_COM_SEG +#define SCSI_In__9__LCD_EN CYREG_PRT5_LCD_EN +#define SCSI_In__9__MASK 0x08u +#define SCSI_In__9__PC CYREG_PRT5_PC3 +#define SCSI_In__9__PORT 5u +#define SCSI_In__9__PRT CYREG_PRT5_PRT +#define SCSI_In__9__PRTDSI__CAPS_SEL CYREG_PRT5_CAPS_SEL +#define SCSI_In__9__PRTDSI__DBL_SYNC_IN CYREG_PRT5_DBL_SYNC_IN +#define SCSI_In__9__PRTDSI__OE_SEL0 CYREG_PRT5_OE_SEL0 +#define SCSI_In__9__PRTDSI__OE_SEL1 CYREG_PRT5_OE_SEL1 +#define SCSI_In__9__PRTDSI__OUT_SEL0 CYREG_PRT5_OUT_SEL0 +#define SCSI_In__9__PRTDSI__OUT_SEL1 CYREG_PRT5_OUT_SEL1 +#define SCSI_In__9__PRTDSI__SYNC_OUT CYREG_PRT5_SYNC_OUT +#define SCSI_In__9__PS CYREG_PRT5_PS +#define SCSI_In__9__SHIFT 3 +#define SCSI_In__9__SLW CYREG_PRT5_SLW +#define SCSI_In__ACK__AG CYREG_PRT6_AG +#define SCSI_In__ACK__AMUX CYREG_PRT6_AMUX +#define SCSI_In__ACK__BIE CYREG_PRT6_BIE +#define SCSI_In__ACK__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_In__ACK__BYP CYREG_PRT6_BYP +#define SCSI_In__ACK__CTL CYREG_PRT6_CTL +#define SCSI_In__ACK__DM0 CYREG_PRT6_DM0 +#define SCSI_In__ACK__DM1 CYREG_PRT6_DM1 +#define SCSI_In__ACK__DM2 CYREG_PRT6_DM2 +#define SCSI_In__ACK__DR CYREG_PRT6_DR +#define SCSI_In__ACK__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_In__ACK__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_In__ACK__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_In__ACK__MASK 0x20u +#define SCSI_In__ACK__PC CYREG_PRT6_PC5 +#define SCSI_In__ACK__PORT 6u +#define SCSI_In__ACK__PRT CYREG_PRT6_PRT +#define SCSI_In__ACK__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_In__ACK__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_In__ACK__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_In__ACK__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_In__ACK__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_In__ACK__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_In__ACK__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_In__ACK__PS CYREG_PRT6_PS +#define SCSI_In__ACK__SHIFT 5 +#define SCSI_In__ACK__SLW CYREG_PRT6_SLW +#define SCSI_In__ATN__AG CYREG_PRT12_AG +#define SCSI_In__ATN__BIE CYREG_PRT12_BIE +#define SCSI_In__ATN__BIT_MASK CYREG_PRT12_BIT_MASK +#define SCSI_In__ATN__BYP CYREG_PRT12_BYP +#define SCSI_In__ATN__DM0 CYREG_PRT12_DM0 +#define SCSI_In__ATN__DM1 CYREG_PRT12_DM1 +#define SCSI_In__ATN__DM2 CYREG_PRT12_DM2 +#define SCSI_In__ATN__DR CYREG_PRT12_DR +#define SCSI_In__ATN__INP_DIS CYREG_PRT12_INP_DIS +#define SCSI_In__ATN__MASK 0x20u +#define SCSI_In__ATN__PC CYREG_PRT12_PC5 +#define SCSI_In__ATN__PORT 12u +#define SCSI_In__ATN__PRT CYREG_PRT12_PRT +#define SCSI_In__ATN__PRTDSI__DBL_SYNC_IN CYREG_PRT12_DBL_SYNC_IN +#define SCSI_In__ATN__PRTDSI__OE_SEL0 CYREG_PRT12_OE_SEL0 +#define SCSI_In__ATN__PRTDSI__OE_SEL1 CYREG_PRT12_OE_SEL1 +#define SCSI_In__ATN__PRTDSI__OUT_SEL0 CYREG_PRT12_OUT_SEL0 +#define SCSI_In__ATN__PRTDSI__OUT_SEL1 CYREG_PRT12_OUT_SEL1 +#define SCSI_In__ATN__PRTDSI__SYNC_OUT CYREG_PRT12_SYNC_OUT +#define SCSI_In__ATN__PS CYREG_PRT12_PS +#define SCSI_In__ATN__SHIFT 5 +#define SCSI_In__ATN__SIO_CFG CYREG_PRT12_SIO_CFG +#define SCSI_In__ATN__SIO_DIFF CYREG_PRT12_SIO_DIFF +#define SCSI_In__ATN__SIO_HYST_EN CYREG_PRT12_SIO_HYST_EN +#define SCSI_In__ATN__SIO_REG_HIFREQ CYREG_PRT12_SIO_REG_HIFREQ +#define SCSI_In__ATN__SLW CYREG_PRT12_SLW +#define SCSI_In__BSY__AG CYREG_PRT6_AG +#define SCSI_In__BSY__AMUX CYREG_PRT6_AMUX +#define SCSI_In__BSY__BIE CYREG_PRT6_BIE +#define SCSI_In__BSY__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_In__BSY__BYP CYREG_PRT6_BYP +#define SCSI_In__BSY__CTL CYREG_PRT6_CTL +#define SCSI_In__BSY__DM0 CYREG_PRT6_DM0 +#define SCSI_In__BSY__DM1 CYREG_PRT6_DM1 +#define SCSI_In__BSY__DM2 CYREG_PRT6_DM2 +#define SCSI_In__BSY__DR CYREG_PRT6_DR +#define SCSI_In__BSY__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_In__BSY__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_In__BSY__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_In__BSY__MASK 0x10u +#define SCSI_In__BSY__PC CYREG_PRT6_PC4 +#define SCSI_In__BSY__PORT 6u +#define SCSI_In__BSY__PRT CYREG_PRT6_PRT +#define SCSI_In__BSY__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_In__BSY__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_In__BSY__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_In__BSY__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_In__BSY__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_In__BSY__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_In__BSY__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_In__BSY__PS CYREG_PRT6_PS +#define SCSI_In__BSY__SHIFT 4 +#define SCSI_In__BSY__SLW CYREG_PRT6_SLW +#define SCSI_In__CD__AG CYREG_PRT5_AG +#define SCSI_In__CD__AMUX CYREG_PRT5_AMUX +#define SCSI_In__CD__BIE CYREG_PRT5_BIE +#define SCSI_In__CD__BIT_MASK CYREG_PRT5_BIT_MASK +#define SCSI_In__CD__BYP CYREG_PRT5_BYP +#define SCSI_In__CD__CTL CYREG_PRT5_CTL +#define SCSI_In__CD__DM0 CYREG_PRT5_DM0 +#define SCSI_In__CD__DM1 CYREG_PRT5_DM1 +#define SCSI_In__CD__DM2 CYREG_PRT5_DM2 +#define SCSI_In__CD__DR CYREG_PRT5_DR +#define SCSI_In__CD__INP_DIS CYREG_PRT5_INP_DIS +#define SCSI_In__CD__LCD_COM_SEG CYREG_PRT5_LCD_COM_SEG +#define SCSI_In__CD__LCD_EN CYREG_PRT5_LCD_EN +#define SCSI_In__CD__MASK 0x02u +#define SCSI_In__CD__PC CYREG_PRT5_PC1 +#define SCSI_In__CD__PORT 5u +#define SCSI_In__CD__PRT CYREG_PRT5_PRT +#define SCSI_In__CD__PRTDSI__CAPS_SEL CYREG_PRT5_CAPS_SEL +#define SCSI_In__CD__PRTDSI__DBL_SYNC_IN CYREG_PRT5_DBL_SYNC_IN +#define SCSI_In__CD__PRTDSI__OE_SEL0 CYREG_PRT5_OE_SEL0 +#define SCSI_In__CD__PRTDSI__OE_SEL1 CYREG_PRT5_OE_SEL1 +#define SCSI_In__CD__PRTDSI__OUT_SEL0 CYREG_PRT5_OUT_SEL0 +#define SCSI_In__CD__PRTDSI__OUT_SEL1 CYREG_PRT5_OUT_SEL1 +#define SCSI_In__CD__PRTDSI__SYNC_OUT CYREG_PRT5_SYNC_OUT +#define SCSI_In__CD__PS CYREG_PRT5_PS +#define SCSI_In__CD__SHIFT 1 +#define SCSI_In__CD__SLW CYREG_PRT5_SLW +#define SCSI_In__DBP__AG CYREG_PRT12_AG +#define SCSI_In__DBP__BIE CYREG_PRT12_BIE +#define SCSI_In__DBP__BIT_MASK CYREG_PRT12_BIT_MASK +#define SCSI_In__DBP__BYP CYREG_PRT12_BYP +#define SCSI_In__DBP__DM0 CYREG_PRT12_DM0 +#define SCSI_In__DBP__DM1 CYREG_PRT12_DM1 +#define SCSI_In__DBP__DM2 CYREG_PRT12_DM2 +#define SCSI_In__DBP__DR CYREG_PRT12_DR +#define SCSI_In__DBP__INP_DIS CYREG_PRT12_INP_DIS +#define SCSI_In__DBP__MASK 0x10u +#define SCSI_In__DBP__PC CYREG_PRT12_PC4 +#define SCSI_In__DBP__PORT 12u +#define SCSI_In__DBP__PRT CYREG_PRT12_PRT +#define SCSI_In__DBP__PRTDSI__DBL_SYNC_IN CYREG_PRT12_DBL_SYNC_IN +#define SCSI_In__DBP__PRTDSI__OE_SEL0 CYREG_PRT12_OE_SEL0 +#define SCSI_In__DBP__PRTDSI__OE_SEL1 CYREG_PRT12_OE_SEL1 +#define SCSI_In__DBP__PRTDSI__OUT_SEL0 CYREG_PRT12_OUT_SEL0 +#define SCSI_In__DBP__PRTDSI__OUT_SEL1 CYREG_PRT12_OUT_SEL1 +#define SCSI_In__DBP__PRTDSI__SYNC_OUT CYREG_PRT12_SYNC_OUT +#define SCSI_In__DBP__PS CYREG_PRT12_PS +#define SCSI_In__DBP__SHIFT 4 +#define SCSI_In__DBP__SIO_CFG CYREG_PRT12_SIO_CFG +#define SCSI_In__DBP__SIO_DIFF CYREG_PRT12_SIO_DIFF +#define SCSI_In__DBP__SIO_HYST_EN CYREG_PRT12_SIO_HYST_EN +#define SCSI_In__DBP__SIO_REG_HIFREQ CYREG_PRT12_SIO_REG_HIFREQ +#define SCSI_In__DBP__SLW CYREG_PRT12_SLW +#define SCSI_In__IO__AG CYREG_PRT5_AG +#define SCSI_In__IO__AMUX CYREG_PRT5_AMUX +#define SCSI_In__IO__BIE CYREG_PRT5_BIE +#define SCSI_In__IO__BIT_MASK CYREG_PRT5_BIT_MASK +#define SCSI_In__IO__BYP CYREG_PRT5_BYP +#define SCSI_In__IO__CTL CYREG_PRT5_CTL +#define SCSI_In__IO__DM0 CYREG_PRT5_DM0 +#define SCSI_In__IO__DM1 CYREG_PRT5_DM1 +#define SCSI_In__IO__DM2 CYREG_PRT5_DM2 +#define SCSI_In__IO__DR CYREG_PRT5_DR +#define SCSI_In__IO__INP_DIS CYREG_PRT5_INP_DIS +#define SCSI_In__IO__LCD_COM_SEG CYREG_PRT5_LCD_COM_SEG +#define SCSI_In__IO__LCD_EN CYREG_PRT5_LCD_EN +#define SCSI_In__IO__MASK 0x08u +#define SCSI_In__IO__PC CYREG_PRT5_PC3 +#define SCSI_In__IO__PORT 5u +#define SCSI_In__IO__PRT CYREG_PRT5_PRT +#define SCSI_In__IO__PRTDSI__CAPS_SEL CYREG_PRT5_CAPS_SEL +#define SCSI_In__IO__PRTDSI__DBL_SYNC_IN CYREG_PRT5_DBL_SYNC_IN +#define SCSI_In__IO__PRTDSI__OE_SEL0 CYREG_PRT5_OE_SEL0 +#define SCSI_In__IO__PRTDSI__OE_SEL1 CYREG_PRT5_OE_SEL1 +#define SCSI_In__IO__PRTDSI__OUT_SEL0 CYREG_PRT5_OUT_SEL0 +#define SCSI_In__IO__PRTDSI__OUT_SEL1 CYREG_PRT5_OUT_SEL1 +#define SCSI_In__IO__PRTDSI__SYNC_OUT CYREG_PRT5_SYNC_OUT +#define SCSI_In__IO__PS CYREG_PRT5_PS +#define SCSI_In__IO__SHIFT 3 +#define SCSI_In__IO__SLW CYREG_PRT5_SLW +#define SCSI_In__MSG__AG CYREG_PRT6_AG +#define SCSI_In__MSG__AMUX CYREG_PRT6_AMUX +#define SCSI_In__MSG__BIE CYREG_PRT6_BIE +#define SCSI_In__MSG__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_In__MSG__BYP CYREG_PRT6_BYP +#define SCSI_In__MSG__CTL CYREG_PRT6_CTL +#define SCSI_In__MSG__DM0 CYREG_PRT6_DM0 +#define SCSI_In__MSG__DM1 CYREG_PRT6_DM1 +#define SCSI_In__MSG__DM2 CYREG_PRT6_DM2 +#define SCSI_In__MSG__DR CYREG_PRT6_DR +#define SCSI_In__MSG__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_In__MSG__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_In__MSG__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_In__MSG__MASK 0x80u +#define SCSI_In__MSG__PC CYREG_PRT6_PC7 +#define SCSI_In__MSG__PORT 6u +#define SCSI_In__MSG__PRT CYREG_PRT6_PRT +#define SCSI_In__MSG__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_In__MSG__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_In__MSG__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_In__MSG__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_In__MSG__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_In__MSG__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_In__MSG__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_In__MSG__PS CYREG_PRT6_PS +#define SCSI_In__MSG__SHIFT 7 +#define SCSI_In__MSG__SLW CYREG_PRT6_SLW +#define SCSI_In__REQ__AG CYREG_PRT5_AG +#define SCSI_In__REQ__AMUX CYREG_PRT5_AMUX +#define SCSI_In__REQ__BIE CYREG_PRT5_BIE +#define SCSI_In__REQ__BIT_MASK CYREG_PRT5_BIT_MASK +#define SCSI_In__REQ__BYP CYREG_PRT5_BYP +#define SCSI_In__REQ__CTL CYREG_PRT5_CTL +#define SCSI_In__REQ__DM0 CYREG_PRT5_DM0 +#define SCSI_In__REQ__DM1 CYREG_PRT5_DM1 +#define SCSI_In__REQ__DM2 CYREG_PRT5_DM2 +#define SCSI_In__REQ__DR CYREG_PRT5_DR +#define SCSI_In__REQ__INP_DIS CYREG_PRT5_INP_DIS +#define SCSI_In__REQ__LCD_COM_SEG CYREG_PRT5_LCD_COM_SEG +#define SCSI_In__REQ__LCD_EN CYREG_PRT5_LCD_EN +#define SCSI_In__REQ__MASK 0x04u +#define SCSI_In__REQ__PC CYREG_PRT5_PC2 +#define SCSI_In__REQ__PORT 5u +#define SCSI_In__REQ__PRT CYREG_PRT5_PRT +#define SCSI_In__REQ__PRTDSI__CAPS_SEL CYREG_PRT5_CAPS_SEL +#define SCSI_In__REQ__PRTDSI__DBL_SYNC_IN CYREG_PRT5_DBL_SYNC_IN +#define SCSI_In__REQ__PRTDSI__OE_SEL0 CYREG_PRT5_OE_SEL0 +#define SCSI_In__REQ__PRTDSI__OE_SEL1 CYREG_PRT5_OE_SEL1 +#define SCSI_In__REQ__PRTDSI__OUT_SEL0 CYREG_PRT5_OUT_SEL0 +#define SCSI_In__REQ__PRTDSI__OUT_SEL1 CYREG_PRT5_OUT_SEL1 +#define SCSI_In__REQ__PRTDSI__SYNC_OUT CYREG_PRT5_SYNC_OUT +#define SCSI_In__REQ__PS CYREG_PRT5_PS +#define SCSI_In__REQ__SHIFT 2 +#define SCSI_In__REQ__SLW CYREG_PRT5_SLW +#define SCSI_In__RST__AG CYREG_PRT6_AG +#define SCSI_In__RST__AMUX CYREG_PRT6_AMUX +#define SCSI_In__RST__BIE CYREG_PRT6_BIE +#define SCSI_In__RST__BIT_MASK CYREG_PRT6_BIT_MASK +#define SCSI_In__RST__BYP CYREG_PRT6_BYP +#define SCSI_In__RST__CTL CYREG_PRT6_CTL +#define SCSI_In__RST__DM0 CYREG_PRT6_DM0 +#define SCSI_In__RST__DM1 CYREG_PRT6_DM1 +#define SCSI_In__RST__DM2 CYREG_PRT6_DM2 +#define SCSI_In__RST__DR CYREG_PRT6_DR +#define SCSI_In__RST__INP_DIS CYREG_PRT6_INP_DIS +#define SCSI_In__RST__LCD_COM_SEG CYREG_PRT6_LCD_COM_SEG +#define SCSI_In__RST__LCD_EN CYREG_PRT6_LCD_EN +#define SCSI_In__RST__MASK 0x40u +#define SCSI_In__RST__PC CYREG_PRT6_PC6 +#define SCSI_In__RST__PORT 6u +#define SCSI_In__RST__PRT CYREG_PRT6_PRT +#define SCSI_In__RST__PRTDSI__CAPS_SEL CYREG_PRT6_CAPS_SEL +#define SCSI_In__RST__PRTDSI__DBL_SYNC_IN CYREG_PRT6_DBL_SYNC_IN +#define SCSI_In__RST__PRTDSI__OE_SEL0 CYREG_PRT6_OE_SEL0 +#define SCSI_In__RST__PRTDSI__OE_SEL1 CYREG_PRT6_OE_SEL1 +#define SCSI_In__RST__PRTDSI__OUT_SEL0 CYREG_PRT6_OUT_SEL0 +#define SCSI_In__RST__PRTDSI__OUT_SEL1 CYREG_PRT6_OUT_SEL1 +#define SCSI_In__RST__PRTDSI__SYNC_OUT CYREG_PRT6_SYNC_OUT +#define SCSI_In__RST__PS CYREG_PRT6_PS +#define SCSI_In__RST__SHIFT 6 +#define SCSI_In__RST__SLW CYREG_PRT6_SLW +#define SCSI_In__SEL__AG CYREG_PRT5_AG +#define SCSI_In__SEL__AMUX CYREG_PRT5_AMUX +#define SCSI_In__SEL__BIE CYREG_PRT5_BIE +#define SCSI_In__SEL__BIT_MASK CYREG_PRT5_BIT_MASK +#define SCSI_In__SEL__BYP CYREG_PRT5_BYP +#define SCSI_In__SEL__CTL CYREG_PRT5_CTL +#define SCSI_In__SEL__DM0 CYREG_PRT5_DM0 +#define SCSI_In__SEL__DM1 CYREG_PRT5_DM1 +#define SCSI_In__SEL__DM2 CYREG_PRT5_DM2 +#define SCSI_In__SEL__DR CYREG_PRT5_DR +#define SCSI_In__SEL__INP_DIS CYREG_PRT5_INP_DIS +#define SCSI_In__SEL__LCD_COM_SEG CYREG_PRT5_LCD_COM_SEG +#define SCSI_In__SEL__LCD_EN CYREG_PRT5_LCD_EN +#define SCSI_In__SEL__MASK 0x01u +#define SCSI_In__SEL__PC CYREG_PRT5_PC0 +#define SCSI_In__SEL__PORT 5u +#define SCSI_In__SEL__PRT CYREG_PRT5_PRT +#define SCSI_In__SEL__PRTDSI__CAPS_SEL CYREG_PRT5_CAPS_SEL +#define SCSI_In__SEL__PRTDSI__DBL_SYNC_IN CYREG_PRT5_DBL_SYNC_IN +#define SCSI_In__SEL__PRTDSI__OE_SEL0 CYREG_PRT5_OE_SEL0 +#define SCSI_In__SEL__PRTDSI__OE_SEL1 CYREG_PRT5_OE_SEL1 +#define SCSI_In__SEL__PRTDSI__OUT_SEL0 CYREG_PRT5_OUT_SEL0 +#define SCSI_In__SEL__PRTDSI__OUT_SEL1 CYREG_PRT5_OUT_SEL1 +#define SCSI_In__SEL__PRTDSI__SYNC_OUT CYREG_PRT5_SYNC_OUT +#define SCSI_In__SEL__PS CYREG_PRT5_PS +#define SCSI_In__SEL__SHIFT 0 +#define SCSI_In__SEL__SLW CYREG_PRT5_SLW + +/* SD_DAT1 */ +#define SD_DAT1__0__MASK 0x20u +#define SD_DAT1__0__PC CYREG_PRT3_PC5 +#define SD_DAT1__0__PORT 3u +#define SD_DAT1__0__SHIFT 5 +#define SD_DAT1__AG CYREG_PRT3_AG +#define SD_DAT1__AMUX CYREG_PRT3_AMUX +#define SD_DAT1__BIE CYREG_PRT3_BIE +#define SD_DAT1__BIT_MASK CYREG_PRT3_BIT_MASK +#define SD_DAT1__BYP CYREG_PRT3_BYP +#define SD_DAT1__CTL CYREG_PRT3_CTL +#define SD_DAT1__DM0 CYREG_PRT3_DM0 +#define SD_DAT1__DM1 CYREG_PRT3_DM1 +#define SD_DAT1__DM2 CYREG_PRT3_DM2 +#define SD_DAT1__DR CYREG_PRT3_DR +#define SD_DAT1__INP_DIS CYREG_PRT3_INP_DIS +#define SD_DAT1__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG +#define SD_DAT1__LCD_EN CYREG_PRT3_LCD_EN +#define SD_DAT1__MASK 0x20u +#define SD_DAT1__PORT 3u +#define SD_DAT1__PRT CYREG_PRT3_PRT +#define SD_DAT1__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL +#define SD_DAT1__PRTDSI__DBL_SYNC_IN CYREG_PRT3_DBL_SYNC_IN +#define SD_DAT1__PRTDSI__OE_SEL0 CYREG_PRT3_OE_SEL0 +#define SD_DAT1__PRTDSI__OE_SEL1 CYREG_PRT3_OE_SEL1 +#define SD_DAT1__PRTDSI__OUT_SEL0 CYREG_PRT3_OUT_SEL0 +#define SD_DAT1__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 +#define SD_DAT1__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT +#define SD_DAT1__PS CYREG_PRT3_PS +#define SD_DAT1__SHIFT 5 +#define SD_DAT1__SLW CYREG_PRT3_SLW + +/* SD_DAT2 */ +#define SD_DAT2__0__MASK 0x01u +#define SD_DAT2__0__PC CYREG_PRT3_PC0 +#define SD_DAT2__0__PORT 3u +#define SD_DAT2__0__SHIFT 0 +#define SD_DAT2__AG CYREG_PRT3_AG +#define SD_DAT2__AMUX CYREG_PRT3_AMUX +#define SD_DAT2__BIE CYREG_PRT3_BIE +#define SD_DAT2__BIT_MASK CYREG_PRT3_BIT_MASK +#define SD_DAT2__BYP CYREG_PRT3_BYP +#define SD_DAT2__CTL CYREG_PRT3_CTL +#define SD_DAT2__DM0 CYREG_PRT3_DM0 +#define SD_DAT2__DM1 CYREG_PRT3_DM1 +#define SD_DAT2__DM2 CYREG_PRT3_DM2 +#define SD_DAT2__DR CYREG_PRT3_DR +#define SD_DAT2__INP_DIS CYREG_PRT3_INP_DIS +#define SD_DAT2__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG +#define SD_DAT2__LCD_EN CYREG_PRT3_LCD_EN +#define SD_DAT2__MASK 0x01u +#define SD_DAT2__PORT 3u +#define SD_DAT2__PRT CYREG_PRT3_PRT +#define SD_DAT2__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL +#define SD_DAT2__PRTDSI__DBL_SYNC_IN CYREG_PRT3_DBL_SYNC_IN +#define SD_DAT2__PRTDSI__OE_SEL0 CYREG_PRT3_OE_SEL0 +#define SD_DAT2__PRTDSI__OE_SEL1 CYREG_PRT3_OE_SEL1 +#define SD_DAT2__PRTDSI__OUT_SEL0 CYREG_PRT3_OUT_SEL0 +#define SD_DAT2__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 +#define SD_DAT2__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT +#define SD_DAT2__PS CYREG_PRT3_PS +#define SD_DAT2__SHIFT 0 +#define SD_DAT2__SLW CYREG_PRT3_SLW + +/* SD_MISO */ +#define SD_MISO__0__MASK 0x10u +#define SD_MISO__0__PC CYREG_PRT3_PC4 +#define SD_MISO__0__PORT 3u +#define SD_MISO__0__SHIFT 4 +#define SD_MISO__AG CYREG_PRT3_AG +#define SD_MISO__AMUX CYREG_PRT3_AMUX +#define SD_MISO__BIE CYREG_PRT3_BIE +#define SD_MISO__BIT_MASK CYREG_PRT3_BIT_MASK +#define SD_MISO__BYP CYREG_PRT3_BYP +#define SD_MISO__CTL CYREG_PRT3_CTL +#define SD_MISO__DM0 CYREG_PRT3_DM0 +#define SD_MISO__DM1 CYREG_PRT3_DM1 +#define SD_MISO__DM2 CYREG_PRT3_DM2 +#define SD_MISO__DR CYREG_PRT3_DR +#define SD_MISO__INP_DIS CYREG_PRT3_INP_DIS +#define SD_MISO__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG +#define SD_MISO__LCD_EN CYREG_PRT3_LCD_EN +#define SD_MISO__MASK 0x10u +#define SD_MISO__PORT 3u +#define SD_MISO__PRT CYREG_PRT3_PRT +#define SD_MISO__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL +#define SD_MISO__PRTDSI__DBL_SYNC_IN CYREG_PRT3_DBL_SYNC_IN +#define SD_MISO__PRTDSI__OE_SEL0 CYREG_PRT3_OE_SEL0 +#define SD_MISO__PRTDSI__OE_SEL1 CYREG_PRT3_OE_SEL1 +#define SD_MISO__PRTDSI__OUT_SEL0 CYREG_PRT3_OUT_SEL0 +#define SD_MISO__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 +#define SD_MISO__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT +#define SD_MISO__PS CYREG_PRT3_PS +#define SD_MISO__SHIFT 4 +#define SD_MISO__SLW CYREG_PRT3_SLW + +/* SD_MOSI */ +#define SD_MOSI__0__MASK 0x04u +#define SD_MOSI__0__PC CYREG_PRT3_PC2 +#define SD_MOSI__0__PORT 3u +#define SD_MOSI__0__SHIFT 2 +#define SD_MOSI__AG CYREG_PRT3_AG +#define SD_MOSI__AMUX CYREG_PRT3_AMUX +#define SD_MOSI__BIE CYREG_PRT3_BIE +#define SD_MOSI__BIT_MASK CYREG_PRT3_BIT_MASK +#define SD_MOSI__BYP CYREG_PRT3_BYP +#define SD_MOSI__CTL CYREG_PRT3_CTL +#define SD_MOSI__DM0 CYREG_PRT3_DM0 +#define SD_MOSI__DM1 CYREG_PRT3_DM1 +#define SD_MOSI__DM2 CYREG_PRT3_DM2 +#define SD_MOSI__DR CYREG_PRT3_DR +#define SD_MOSI__INP_DIS CYREG_PRT3_INP_DIS +#define SD_MOSI__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG +#define SD_MOSI__LCD_EN CYREG_PRT3_LCD_EN +#define SD_MOSI__MASK 0x04u +#define SD_MOSI__PORT 3u +#define SD_MOSI__PRT CYREG_PRT3_PRT +#define SD_MOSI__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL +#define SD_MOSI__PRTDSI__DBL_SYNC_IN CYREG_PRT3_DBL_SYNC_IN +#define SD_MOSI__PRTDSI__OE_SEL0 CYREG_PRT3_OE_SEL0 +#define SD_MOSI__PRTDSI__OE_SEL1 CYREG_PRT3_OE_SEL1 +#define SD_MOSI__PRTDSI__OUT_SEL0 CYREG_PRT3_OUT_SEL0 +#define SD_MOSI__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 +#define SD_MOSI__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT +#define SD_MOSI__PS CYREG_PRT3_PS +#define SD_MOSI__SHIFT 2 +#define SD_MOSI__SLW CYREG_PRT3_SLW + +/* SD_SCK */ +#define SD_SCK__0__MASK 0x08u +#define SD_SCK__0__PC CYREG_PRT3_PC3 +#define SD_SCK__0__PORT 3u +#define SD_SCK__0__SHIFT 3 +#define SD_SCK__AG CYREG_PRT3_AG +#define SD_SCK__AMUX CYREG_PRT3_AMUX +#define SD_SCK__BIE CYREG_PRT3_BIE +#define SD_SCK__BIT_MASK CYREG_PRT3_BIT_MASK +#define SD_SCK__BYP CYREG_PRT3_BYP +#define SD_SCK__CTL CYREG_PRT3_CTL +#define SD_SCK__DM0 CYREG_PRT3_DM0 +#define SD_SCK__DM1 CYREG_PRT3_DM1 +#define SD_SCK__DM2 CYREG_PRT3_DM2 +#define SD_SCK__DR CYREG_PRT3_DR +#define SD_SCK__INP_DIS CYREG_PRT3_INP_DIS +#define SD_SCK__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG +#define SD_SCK__LCD_EN CYREG_PRT3_LCD_EN +#define SD_SCK__MASK 0x08u +#define SD_SCK__PORT 3u +#define SD_SCK__PRT CYREG_PRT3_PRT +#define SD_SCK__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL +#define SD_SCK__PRTDSI__DBL_SYNC_IN CYREG_PRT3_DBL_SYNC_IN +#define SD_SCK__PRTDSI__OE_SEL0 CYREG_PRT3_OE_SEL0 +#define SD_SCK__PRTDSI__OE_SEL1 CYREG_PRT3_OE_SEL1 +#define SD_SCK__PRTDSI__OUT_SEL0 CYREG_PRT3_OUT_SEL0 +#define SD_SCK__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 +#define SD_SCK__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT +#define SD_SCK__PS CYREG_PRT3_PS +#define SD_SCK__SHIFT 3 +#define SD_SCK__SLW CYREG_PRT3_SLW + +/* SD_CD */ +#define SD_CD__0__MASK 0x40u +#define SD_CD__0__PC CYREG_PRT3_PC6 +#define SD_CD__0__PORT 3u +#define SD_CD__0__SHIFT 6 +#define SD_CD__AG CYREG_PRT3_AG +#define SD_CD__AMUX CYREG_PRT3_AMUX +#define SD_CD__BIE CYREG_PRT3_BIE +#define SD_CD__BIT_MASK CYREG_PRT3_BIT_MASK +#define SD_CD__BYP CYREG_PRT3_BYP +#define SD_CD__CTL CYREG_PRT3_CTL +#define SD_CD__DM0 CYREG_PRT3_DM0 +#define SD_CD__DM1 CYREG_PRT3_DM1 +#define SD_CD__DM2 CYREG_PRT3_DM2 +#define SD_CD__DR CYREG_PRT3_DR +#define SD_CD__INP_DIS CYREG_PRT3_INP_DIS +#define SD_CD__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG +#define SD_CD__LCD_EN CYREG_PRT3_LCD_EN +#define SD_CD__MASK 0x40u +#define SD_CD__PORT 3u +#define SD_CD__PRT CYREG_PRT3_PRT +#define SD_CD__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL +#define SD_CD__PRTDSI__DBL_SYNC_IN CYREG_PRT3_DBL_SYNC_IN +#define SD_CD__PRTDSI__OE_SEL0 CYREG_PRT3_OE_SEL0 +#define SD_CD__PRTDSI__OE_SEL1 CYREG_PRT3_OE_SEL1 +#define SD_CD__PRTDSI__OUT_SEL0 CYREG_PRT3_OUT_SEL0 +#define SD_CD__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 +#define SD_CD__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT +#define SD_CD__PS CYREG_PRT3_PS +#define SD_CD__SHIFT 6 +#define SD_CD__SLW CYREG_PRT3_SLW + +/* SD_CS */ +#define SD_CS__0__MASK 0x02u +#define SD_CS__0__PC CYREG_PRT3_PC1 +#define SD_CS__0__PORT 3u +#define SD_CS__0__SHIFT 1 +#define SD_CS__AG CYREG_PRT3_AG +#define SD_CS__AMUX CYREG_PRT3_AMUX +#define SD_CS__BIE CYREG_PRT3_BIE +#define SD_CS__BIT_MASK CYREG_PRT3_BIT_MASK +#define SD_CS__BYP CYREG_PRT3_BYP +#define SD_CS__CTL CYREG_PRT3_CTL +#define SD_CS__DM0 CYREG_PRT3_DM0 +#define SD_CS__DM1 CYREG_PRT3_DM1 +#define SD_CS__DM2 CYREG_PRT3_DM2 +#define SD_CS__DR CYREG_PRT3_DR +#define SD_CS__INP_DIS CYREG_PRT3_INP_DIS +#define SD_CS__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG +#define SD_CS__LCD_EN CYREG_PRT3_LCD_EN +#define SD_CS__MASK 0x02u +#define SD_CS__PORT 3u +#define SD_CS__PRT CYREG_PRT3_PRT +#define SD_CS__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL +#define SD_CS__PRTDSI__DBL_SYNC_IN CYREG_PRT3_DBL_SYNC_IN +#define SD_CS__PRTDSI__OE_SEL0 CYREG_PRT3_OE_SEL0 +#define SD_CS__PRTDSI__OE_SEL1 CYREG_PRT3_OE_SEL1 +#define SD_CS__PRTDSI__OUT_SEL0 CYREG_PRT3_OUT_SEL0 +#define SD_CS__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 +#define SD_CS__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT +#define SD_CS__PS CYREG_PRT3_PS +#define SD_CS__SHIFT 1 +#define SD_CS__SLW CYREG_PRT3_SLW + +/* SD_WP */ +#define SD_WP__0__MASK 0x80u +#define SD_WP__0__PC CYREG_PRT3_PC7 +#define SD_WP__0__PORT 3u +#define SD_WP__0__SHIFT 7 +#define SD_WP__AG CYREG_PRT3_AG +#define SD_WP__AMUX CYREG_PRT3_AMUX +#define SD_WP__BIE CYREG_PRT3_BIE +#define SD_WP__BIT_MASK CYREG_PRT3_BIT_MASK +#define SD_WP__BYP CYREG_PRT3_BYP +#define SD_WP__CTL CYREG_PRT3_CTL +#define SD_WP__DM0 CYREG_PRT3_DM0 +#define SD_WP__DM1 CYREG_PRT3_DM1 +#define SD_WP__DM2 CYREG_PRT3_DM2 +#define SD_WP__DR CYREG_PRT3_DR +#define SD_WP__INP_DIS CYREG_PRT3_INP_DIS +#define SD_WP__LCD_COM_SEG CYREG_PRT3_LCD_COM_SEG +#define SD_WP__LCD_EN CYREG_PRT3_LCD_EN +#define SD_WP__MASK 0x80u +#define SD_WP__PORT 3u +#define SD_WP__PRT CYREG_PRT3_PRT +#define SD_WP__PRTDSI__CAPS_SEL CYREG_PRT3_CAPS_SEL +#define SD_WP__PRTDSI__DBL_SYNC_IN CYREG_PRT3_DBL_SYNC_IN +#define SD_WP__PRTDSI__OE_SEL0 CYREG_PRT3_OE_SEL0 +#define SD_WP__PRTDSI__OE_SEL1 CYREG_PRT3_OE_SEL1 +#define SD_WP__PRTDSI__OUT_SEL0 CYREG_PRT3_OUT_SEL0 +#define SD_WP__PRTDSI__OUT_SEL1 CYREG_PRT3_OUT_SEL1 +#define SD_WP__PRTDSI__SYNC_OUT CYREG_PRT3_SYNC_OUT +#define SD_WP__PS CYREG_PRT3_PS +#define SD_WP__SHIFT 7 +#define SD_WP__SLW CYREG_PRT3_SLW + +/* LED1 */ +#define LED1__0__MASK 0x08u +#define LED1__0__PC CYREG_PRT12_PC3 +#define LED1__0__PORT 12u +#define LED1__0__SHIFT 3 +#define LED1__AG CYREG_PRT12_AG +#define LED1__BIE CYREG_PRT12_BIE +#define LED1__BIT_MASK CYREG_PRT12_BIT_MASK +#define LED1__BYP CYREG_PRT12_BYP +#define LED1__DM0 CYREG_PRT12_DM0 +#define LED1__DM1 CYREG_PRT12_DM1 +#define LED1__DM2 CYREG_PRT12_DM2 +#define LED1__DR CYREG_PRT12_DR +#define LED1__INP_DIS CYREG_PRT12_INP_DIS +#define LED1__MASK 0x08u +#define LED1__PORT 12u +#define LED1__PRT CYREG_PRT12_PRT +#define LED1__PRTDSI__DBL_SYNC_IN CYREG_PRT12_DBL_SYNC_IN +#define LED1__PRTDSI__OE_SEL0 CYREG_PRT12_OE_SEL0 +#define LED1__PRTDSI__OE_SEL1 CYREG_PRT12_OE_SEL1 +#define LED1__PRTDSI__OUT_SEL0 CYREG_PRT12_OUT_SEL0 +#define LED1__PRTDSI__OUT_SEL1 CYREG_PRT12_OUT_SEL1 +#define LED1__PRTDSI__SYNC_OUT CYREG_PRT12_SYNC_OUT +#define LED1__PS CYREG_PRT12_PS +#define LED1__SHIFT 3 +#define LED1__SIO_CFG CYREG_PRT12_SIO_CFG +#define LED1__SIO_DIFF CYREG_PRT12_SIO_DIFF +#define LED1__SIO_HYST_EN CYREG_PRT12_SIO_HYST_EN +#define LED1__SIO_REG_HIFREQ CYREG_PRT12_SIO_REG_HIFREQ +#define LED1__SLW CYREG_PRT12_SLW + +/* Miscellaneous */ +/* -- WARNING: define names containing LEOPARD or PANTHER are deprecated and will be removed in a future release */ +#define CYDEV_DEBUGGING_DPS_SWD_SWV 6 +#define CYDEV_CONFIG_UNUSED_IO_AllowButWarn 0 +#define CYDEV_CONFIGURATION_MODE_COMPRESSED 0 +#define CYDEV_CONFIG_FASTBOOT_ENABLED 1 +#define CYDEV_CHIP_REV_PSOC5LP_PRODUCTION 0u +#define CYDEV_CHIP_REVISION_5B_PRODUCTION 0u +#define CYDEV_CHIP_MEMBER_5B 4u +#define CYDEV_CHIP_FAMILY_PSOC5 3u +#define CYDEV_CHIP_DIE_PSOC5LP 4u +#define CYDEV_CHIP_DIE_EXPECT CYDEV_CHIP_DIE_PSOC5LP +#define BCLK__BUS_CLK__HZ 64000000U +#define BCLK__BUS_CLK__KHZ 64000U +#define BCLK__BUS_CLK__MHZ 64U +#define CYDEV_CHIP_DIE_ACTUAL CYDEV_CHIP_DIE_EXPECT +#define CYDEV_CHIP_DIE_LEOPARD 1u +#define CYDEV_CHIP_DIE_PANTHER 3u +#define CYDEV_CHIP_DIE_PSOC4A 2u +#define CYDEV_CHIP_DIE_UNKNOWN 0u +#define CYDEV_CHIP_FAMILY_PSOC3 1u +#define CYDEV_CHIP_FAMILY_PSOC4 2u +#define CYDEV_CHIP_FAMILY_UNKNOWN 0u +#define CYDEV_CHIP_FAMILY_USED CYDEV_CHIP_FAMILY_PSOC5 +#define CYDEV_CHIP_JTAG_ID 0x2E12F069u +#define CYDEV_CHIP_MEMBER_3A 1u +#define CYDEV_CHIP_MEMBER_4A 2u +#define CYDEV_CHIP_MEMBER_5A 3u +#define CYDEV_CHIP_MEMBER_UNKNOWN 0u +#define CYDEV_CHIP_MEMBER_USED CYDEV_CHIP_MEMBER_5B +#define CYDEV_CHIP_REVISION_3A_ES1 0u +#define CYDEV_CHIP_REVISION_3A_ES2 1u +#define CYDEV_CHIP_REVISION_3A_ES3 3u +#define CYDEV_CHIP_REVISION_3A_PRODUCTION 3u +#define CYDEV_CHIP_REVISION_4A_ES0 17u +#define CYDEV_CHIP_REVISION_4A_PRODUCTION 17u +#define CYDEV_CHIP_REVISION_5A_ES0 0u +#define CYDEV_CHIP_REVISION_5A_ES1 1u +#define CYDEV_CHIP_REVISION_5A_PRODUCTION 1u +#define CYDEV_CHIP_REVISION_5B_ES0 0u +#define CYDEV_CHIP_REVISION_USED CYDEV_CHIP_REVISION_5B_PRODUCTION +#define CYDEV_CHIP_REV_EXPECT CYDEV_CHIP_REV_PSOC5LP_PRODUCTION +#define CYDEV_CHIP_REV_LEOPARD_ES1 0u +#define CYDEV_CHIP_REV_LEOPARD_ES2 1u +#define CYDEV_CHIP_REV_LEOPARD_ES3 3u +#define CYDEV_CHIP_REV_LEOPARD_PRODUCTION 3u +#define CYDEV_CHIP_REV_PANTHER_ES0 0u +#define CYDEV_CHIP_REV_PANTHER_ES1 1u +#define CYDEV_CHIP_REV_PANTHER_PRODUCTION 1u +#define CYDEV_CHIP_REV_PSOC4A_ES0 17u +#define CYDEV_CHIP_REV_PSOC4A_PRODUCTION 17u +#define CYDEV_CHIP_REV_PSOC5LP_ES0 0u +#define CYDEV_CONFIGURATION_COMPRESSED 1 +#define CYDEV_CONFIGURATION_DMA 0 +#define CYDEV_CONFIGURATION_ECC 1 +#define CYDEV_CONFIGURATION_IMOENABLED CYDEV_CONFIG_FASTBOOT_ENABLED +#define CYDEV_CONFIGURATION_MODE CYDEV_CONFIGURATION_MODE_COMPRESSED +#define CYDEV_CONFIGURATION_MODE_DMA 2 +#define CYDEV_CONFIGURATION_MODE_UNCOMPRESSED 1 +#define CYDEV_CONFIG_UNUSED_IO CYDEV_CONFIG_UNUSED_IO_AllowButWarn +#define CYDEV_CONFIG_UNUSED_IO_AllowWithInfo 1 +#define CYDEV_CONFIG_UNUSED_IO_Disallowed 2 +#define CYDEV_DEBUGGING_DPS CYDEV_DEBUGGING_DPS_SWD_SWV +#define CYDEV_DEBUGGING_DPS_Disable 3 +#define CYDEV_DEBUGGING_DPS_JTAG_4 1 +#define CYDEV_DEBUGGING_DPS_JTAG_5 0 +#define CYDEV_DEBUGGING_DPS_SWD 2 +#define CYDEV_DEBUGGING_ENABLE 1 +#define CYDEV_DEBUGGING_REQXRES 1 +#define CYDEV_DEBUGGING_XRES 0 +#define CYDEV_DEBUG_ENABLE_MASK 0x20u +#define CYDEV_DEBUG_ENABLE_REGISTER CYREG_MLOGIC_DEBUG +#define CYDEV_DMA_CHANNELS_AVAILABLE 24u +#define CYDEV_ECC_ENABLE 0 +#define CYDEV_HEAP_SIZE 0x1000 +#define CYDEV_INSTRUCT_CACHE_ENABLED 1 +#define CYDEV_INTR_RISING 0x00000003u +#define CYDEV_PROJ_TYPE 0 +#define CYDEV_PROJ_TYPE_BOOTLOADER 1 +#define CYDEV_PROJ_TYPE_LOADABLE 2 +#define CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER 3 +#define CYDEV_PROJ_TYPE_STANDARD 0 +#define CYDEV_PROTECTION_ENABLE 0 +#define CYDEV_STACK_SIZE 0x4000 +#define CYDEV_USE_BUNDLED_CMSIS 1 +#define CYDEV_VARIABLE_VDDA 0 +#define CYDEV_VDDA 5.0 +#define CYDEV_VDDA_MV 5000 +#define CYDEV_VDDD 5.0 +#define CYDEV_VDDD_MV 5000 +#define CYDEV_VDDIO0 5.0 +#define CYDEV_VDDIO0_MV 5000 +#define CYDEV_VDDIO1 5.0 +#define CYDEV_VDDIO1_MV 5000 +#define CYDEV_VDDIO2 5.0 +#define CYDEV_VDDIO2_MV 5000 +#define CYDEV_VDDIO3 3.3 +#define CYDEV_VDDIO3_MV 3300 +#define CYDEV_VIO0 5 +#define CYDEV_VIO0_MV 5000 +#define CYDEV_VIO1 5 +#define CYDEV_VIO1_MV 5000 +#define CYDEV_VIO2 5 +#define CYDEV_VIO2_MV 5000 +#define CYDEV_VIO3 3.3 +#define CYDEV_VIO3_MV 3300 +#define DMA_CHANNELS_USED__MASK0 0x00000000u +#define CYDEV_BOOTLOADER_ENABLE 0 + +#endif /* INCLUDED_CYFITTER_H */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c new file mode 100644 index 0000000..200ebad --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c @@ -0,0 +1,412 @@ +/******************************************************************************* +* FILENAME: cyfitter_cfg.c +* PSoC Creator 2.2 Component Pack 6 +* +* Description: +* This file is automatically generated by PSoC Creator with device +* initialization code. Except for the user defined sections in +* CyClockStartupError(), this file should not be modified. +* +******************************************************************************** +* Copyright 2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#include +#include +#include +#include +#include +#include + +/* Clock startup error codes */ +#define CYCLOCKSTART_NO_ERROR 0u +#define CYCLOCKSTART_XTAL_ERROR 1u +#define CYCLOCKSTART_32KHZ_ERROR 2u +#define CYCLOCKSTART_PLL_ERROR 3u + +#ifdef CY_NEED_CYCLOCKSTARTUPERROR +/******************************************************************************* +* Function Name: CyClockStartupError +******************************************************************************** +* Summary: +* If an error is encountered during clock configuration (crystal startup error, +* PLL lock error, etc.), the system will end up here. Unless reimplemented by +* the customer, this function will stop in an infinite loop. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((unused)) +#endif +static void CyClockStartupError(uint8 errorCode); +#if defined(__GNUC__) || defined(__ARMCC_VERSION) +__attribute__ ((unused)) +#endif +static void CyClockStartupError(uint8 errorCode) +{ + /* To remove the compiler warning if errorCode not used. */ + errorCode = errorCode; + + /* `#START CyClockStartupError` */ + + /* If we have a clock startup error (bad MHz crystal, PLL lock, etc.), */ + /* we will end up here to allow the customer to implement something to */ + /* deal with the clock condition. */ + + /* `#END` */ + + /* If nothing else, stop here since the clocks have not started */ + /* correctly. */ + while(1) {} +} +#endif + + +#if defined(__GNUC__) || defined(__ARMCC_VERSION) + #define CYPACKED __attribute__ ((packed)) + #define CYALIGNED __attribute__ ((aligned)) + + #if defined(__ARMCC_VERSION) + #define CY_CFG_MEMORY_BARRIER() __memory_changed() + #else + #define CY_CFG_MEMORY_BARRIER() __sync_synchronize() + #endif + + + __attribute__ ((unused)) + static void CYMEMZERO(void *s, size_t n); + __attribute__ ((unused)) + static void CYMEMZERO(void *s, size_t n) + { + (void)memset(s, 0, n); + } + __attribute__ ((unused)) + static void CYCONFIGCPY(void *dest, const void *src, size_t n); + __attribute__ ((unused)) + static void CYCONFIGCPY(void *dest, const void *src, size_t n) + { + (void)memcpy(dest, src, n); + } + __attribute__ ((unused)) + static void CYCONFIGCPYCODE(void *dest, const void *src, size_t n); + __attribute__ ((unused)) + static void CYCONFIGCPYCODE(void *dest, const void *src, size_t n) + { + (void)memcpy(dest, src, n); + } +#else + #error Unsupported toolchain +#endif + +#define CY_CFG_BASE_ADDR_COUNT 16u +typedef struct +{ + uint8 offset; + uint8 value; +} CYPACKED cy_cfg_addrvalue_t; + +#define cy_cfg_addr_table ((const uint32 CYFAR *)0x48000000u) +#define cy_cfg_data_table ((const cy_cfg_addrvalue_t CYFAR *)0x48000040u) + +/* UDB_1_1_0_CONFIG Address: CYDEV_UCFG_B1_P3_U1_BASE Size (bytes): 128 */ +#define BS_UDB_1_1_0_CONFIG_VAL ((const uint8 CYFAR *)0x480002CCu) + +/* IOPINS0_0 Address: CYREG_PRT0_DM0 Size (bytes): 8 */ +#define BS_IOPINS0_0_VAL ((const uint8 CYFAR *)0x4800034Cu) + +/* IOPINS0_7 Address: CYREG_PRT12_DR Size (bytes): 10 */ +#define BS_IOPINS0_7_VAL ((const uint8 CYFAR *)0x48000354u) + +/* IOPINS1_7 Address: CYREG_PRT12_DR + 0x0000000Bu Size (bytes): 5 */ +#define BS_IOPINS1_7_VAL ((const uint8 CYFAR *)0x48000360u) + +/* IOPINS0_2 Address: CYREG_PRT2_DM0 Size (bytes): 8 */ +#define BS_IOPINS0_2_VAL ((const uint8 CYFAR *)0x48000368u) + +/* IOPINS0_3 Address: CYREG_PRT3_DR Size (bytes): 10 */ +#define BS_IOPINS0_3_VAL ((const uint8 CYFAR *)0x48000370u) + +/* IOPINS0_4 Address: CYREG_PRT4_DM0 Size (bytes): 8 */ +#define BS_IOPINS0_4_VAL ((const uint8 CYFAR *)0x4800037Cu) + +/* IOPINS0_5 Address: CYREG_PRT5_DR Size (bytes): 10 */ +#define BS_IOPINS0_5_VAL ((const uint8 CYFAR *)0x48000384u) + +/* IOPINS0_6 Address: CYREG_PRT6_DM0 Size (bytes): 8 */ +#define BS_IOPINS0_6_VAL ((const uint8 CYFAR *)0x48000390u) + + +/******************************************************************************* +* Function Name: cfg_write_bytes32 +******************************************************************************** +* Summary: +* This function is used for setting up the chip configuration areas that +* contain relatively sparse data. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ +static void cfg_write_bytes32(const uint32 addr_table[], const cy_cfg_addrvalue_t data_table[]); +static void cfg_write_bytes32(const uint32 addr_table[], const cy_cfg_addrvalue_t data_table[]) +{ + /* For 32-bit little-endian architectures */ + uint32 i, j = 0u; + for (i = 0u; i < CY_CFG_BASE_ADDR_COUNT; i++) + { + uint32 baseAddr = addr_table[i]; + uint8 count = (uint8)baseAddr; + baseAddr &= 0xFFFFFF00u; + while (count != 0u) + { + CY_SET_XTND_REG8((void CYFAR *)(baseAddr + data_table[j].offset), data_table[j].value); + j++; + count--; + } + } +} + +/******************************************************************************* +* Function Name: ClockSetup +******************************************************************************** +* +* Summary: +* Performs the initialization of all of the clocks in the device based on the +* settings in the Clock tab of the DWR. This includes enabling the requested +* clocks and setting the necessary dividers to produce the desired frequency. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ +static void ClockSetup(void); +static void ClockSetup(void) +{ + uint32 timeout; + uint8 pllLock; + + + /* Configure Digital Clocks based on settings from Clock DWR */ + CY_SET_XTND_REG16((void CYFAR *)(CYREG_CLKDIST_DCFG0_CFG0), 0x0001u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_DCFG0_CFG0 + 0x2u), 0x18u); + CY_SET_XTND_REG16((void CYFAR *)(CYREG_CLKDIST_DCFG1_CFG0), 0x001Du); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_DCFG1_CFG0 + 0x2u), 0x19u); + + /* Configure ILO based on settings from Clock DWR */ + CY_SET_XTND_REG8((void CYFAR *)(CYREG_SLOWCLK_ILO_CR0), 0x02u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_CR), 0x08u); + + /* Configure IMO based on settings from Clock DWR */ + CY_SET_XTND_REG8((void CYFAR *)(CYREG_FASTCLK_IMO_CR), 0x02u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_IMO_TR1), (CY_GET_XTND_REG8((void CYFAR *)CYREG_FLSHID_CUST_TABLES_IMO_24MHZ))); + + /* Configure PLL based on settings from Clock DWR */ + CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_P), 0x0818u); + CY_SET_XTND_REG16((void CYFAR *)(CYREG_FASTCLK_PLL_CFG0), 0x1051u); + /* Wait up to 250us for the PLL to lock */ + pllLock = 0u; + for (timeout = 250u / 10u; (timeout > 0u) && (pllLock != 0x03u); timeout--) { + pllLock = 0x03u & ((uint8)((uint8)pllLock << 1) | ((CY_GET_XTND_REG8((void CYFAR *)CYREG_FASTCLK_PLL_SR) & 0x01u) >> 0)); + CyDelayCycles(10u * 48u); /* Delay 10us based on 48MHz clock */ + } + + /* Configure Bus/Master Clock based on settings from Clock DWR */ + CY_SET_XTND_REG16((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x0100u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x07u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG0), 0x00u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_BCFG2), 0x48u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_MSTR0), 0x00u); + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CLKDIST_LD), 0x02u); + + CY_SET_XTND_REG8((void CYFAR *)(CYREG_PM_ACT_CFG2), ((CY_GET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG2) | 0x02u))); +} + + +/* Analog API Functions */ + + +/******************************************************************************* +* Function Name: AnalogSetDefault +******************************************************************************** +* +* Summary: +* Sets up the analog portions of the chip to default values based on chip +* configuration options from the project. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ +static void AnalogSetDefault(void); +static void AnalogSetDefault(void) +{ + uint8 bg_xover_inl_trim = CY_GET_XTND_REG8((void CYFAR *)(CYREG_FLSHID_MFG_CFG_BG_XOVER_INL_TRIM + 1u)); + CY_SET_XTND_REG8((void CYFAR *)CYREG_BG_DFT0, bg_xover_inl_trim & 0x07u); + CY_SET_XTND_REG8((void CYFAR *)CYREG_BG_DFT1, ((uint8)((uint8)bg_xover_inl_trim >> 4)) & 0x0Fu); + CY_SET_XTND_REG8((void CYFAR *)CYREG_PUMP_CR0, 0x44u); +} + + +/******************************************************************************* +* Function Name: SetAnalogRoutingPumps +******************************************************************************** +* +* Summary: +* Enables or disables the analog pumps feeding analog routing switches. +* Intended to be called at startup, based on the Vdda system configuration; +* may be called during operation when the user informs us that the Vdda voltage +* crossed the pump threshold. +* +* Parameters: +* enabled - 1 to enable the pumps, 0 to disable the pumps +* +* Return: +* void +* +*******************************************************************************/ +void SetAnalogRoutingPumps(uint8 enabled) +{ + uint8 regValue = CY_GET_XTND_REG8((void CYFAR *)CYREG_PUMP_CR0); + if (enabled != 0u) + { + regValue |= 0x00u; + } + else + { + regValue &= (uint8)~0x00u; + } + CY_SET_XTND_REG8((void CYFAR *)CYREG_PUMP_CR0, regValue); +} + +#define CY_AMUX_UNUSED CYREG_BOOST_SR + + +/******************************************************************************* +* Function Name: cyfitter_cfg +******************************************************************************** +* Summary: +* This function is called by the start-up code for the selected device. It +* performs all of the necessary device configuration based on the design +* settings. This includes settings from the Design Wide Resources (DWR) such +* as Clocks and Pins as well as any component configuration that is necessary. +* +* Parameters: +* void +* +* Return: +* void +* +*******************************************************************************/ + +void cyfitter_cfg(void) +{ +#ifdef CYGlobalIntDisable + /* Disable interrupts by default. Let user enable if/when they want. */ + CYGlobalIntDisable +#endif + + + /* Set Flash Cycles based on max possible frequency in case a glitch occurs during ClockSetup(). */ + CY_SET_XTND_REG8((void CYFAR *)(CYREG_CACHE_CC_CTL), (((CYDEV_INSTRUCT_CACHE_ENABLED) != 0) ? 0x01u : 0x00u)); + /* Setup clocks based on selections from Clock DWR */ + ClockSetup(); + /* Enable/Disable Debug functionality based on settings from System DWR */ + CY_SET_XTND_REG8((void CYFAR *)CYREG_MLOGIC_DEBUG, (CY_GET_XTND_REG8((void CYFAR *)CYREG_MLOGIC_DEBUG) | 0x04u)); + + { + + typedef struct { + void CYFAR *address; + uint16 size; + } CYPACKED cfg_memset_t; + + + typedef struct { + void CYFAR *dest; + const void CYFAR *src; + uint16 size; + } CYPACKED cfg_memcpy_t; + + static const cfg_memset_t CYCODE cfg_memset_list [] = { + /* address, size */ + {(void CYFAR *)(CYREG_PRT1_DR), 16u}, + {(void CYFAR *)(CYREG_PRT15_DR), 16u}, + {(void CYFAR *)(CYDEV_UCFG_B0_P0_U0_BASE), 4096u}, + {(void CYFAR *)(CYDEV_UCFG_B1_P2_U0_BASE), 640u}, + {(void CYFAR *)(CYDEV_UCFG_B1_P3_ROUTE_BASE), 1280u}, + {(void CYFAR *)(CYDEV_UCFG_DSI0_BASE), 2560u}, + {(void CYFAR *)(CYDEV_UCFG_DSI12_BASE), 512u}, + {(void CYFAR *)(CYREG_BCTL0_MDCLK_EN), 32u}, + }; + + static const cfg_memcpy_t CYCODE cfg_memcpy_list [] = { + /* dest, src, size */ + {(void CYFAR *)(CYDEV_UCFG_B1_P3_U1_BASE), BS_UDB_1_1_0_CONFIG_VAL, 128u}, + }; + + uint8 CYDATA i; + + /* Zero out critical memory blocks before beginning configuration */ + for (i = 0u; i < (sizeof(cfg_memset_list)/sizeof(cfg_memset_list[0])); i++) + { + const cfg_memset_t CYCODE * CYDATA ms = &cfg_memset_list[i]; + CYMEMZERO(ms->address, (uint32)(ms->size)); + } + + /* Copy device configuration data into registers */ + for (i = 0u; i < (sizeof(cfg_memcpy_list)/sizeof(cfg_memcpy_list[0])); i++) + { + const cfg_memcpy_t CYCODE * CYDATA mc = &cfg_memcpy_list[i]; + void * CYDATA destPtr = mc->dest; + const void * CYDATA srcPtr = mc->src; + uint16 CYDATA numBytes = mc->size; + CYCONFIGCPY(destPtr, srcPtr, numBytes); + } + + cfg_write_bytes32(cy_cfg_addr_table, cy_cfg_data_table); + + /* Enable digital routing */ + CY_SET_XTND_REG8((void CYFAR *)CYREG_BCTL0_BANK_CTL, CY_GET_XTND_REG8((void CYFAR *)CYREG_BCTL0_BANK_CTL) | 0x02u); + CY_SET_XTND_REG8((void CYFAR *)CYREG_BCTL1_BANK_CTL, CY_GET_XTND_REG8((void CYFAR *)CYREG_BCTL1_BANK_CTL) | 0x02u); + + /* Enable UDB array */ + CY_SET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG0, CY_GET_XTND_REG8((void CYFAR *)CYREG_PM_ACT_CFG0) | 0x40u); + CY_SET_XTND_REG8((void CYFAR *)CYREG_PM_AVAIL_CR2, CY_GET_XTND_REG8((void CYFAR *)CYREG_PM_AVAIL_CR2) | 0x10u); + } + + /* Perform second pass device configuration. These items must be configured in specific order after the regular configuration is done. */ + CYCONFIGCPY((void CYFAR *)(CYREG_PRT0_DM0), (const void CYFAR *)(BS_IOPINS0_0_VAL), 8u); + CYCONFIGCPY((void CYFAR *)(CYREG_PRT12_DR), (const void CYFAR *)(BS_IOPINS0_7_VAL), 10u); + CYCONFIGCPY((void CYFAR *)(CYREG_PRT12_DR + 0x0000000Bu), (const void CYFAR *)(BS_IOPINS1_7_VAL), 5u); + CYCONFIGCPY((void CYFAR *)(CYREG_PRT2_DM0), (const void CYFAR *)(BS_IOPINS0_2_VAL), 8u); + CYCONFIGCPY((void CYFAR *)(CYREG_PRT3_DR), (const void CYFAR *)(BS_IOPINS0_3_VAL), 10u); + CYCONFIGCPY((void CYFAR *)(CYREG_PRT4_DM0), (const void CYFAR *)(BS_IOPINS0_4_VAL), 8u); + CYCONFIGCPY((void CYFAR *)(CYREG_PRT5_DR), (const void CYFAR *)(BS_IOPINS0_5_VAL), 10u); + CYCONFIGCPY((void CYFAR *)(CYREG_PRT6_DM0), (const void CYFAR *)(BS_IOPINS0_6_VAL), 8u); + + /* Switch Boost to the precision bandgap reference from its internal reference */ + CY_SET_REG8((void CYXDATA *)CYDEV_BOOST_CR2, (CY_GET_REG8((void CYXDATA *)CYDEV_BOOST_CR2) | 0x08u)); + + /* Perform basic analog initialization to defaults */ + AnalogSetDefault(); + + /* Configure alternate active mode */ + CYCONFIGCPY((void CYFAR *)CYDEV_PM_STBY_BASE, (const void CYFAR *)CYDEV_PM_ACT_BASE, 14u); +} diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h new file mode 100644 index 0000000..2a831c5 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.h @@ -0,0 +1,28 @@ +/******************************************************************************* +* FILENAME: cyfitter_cfg.h +* PSoC Creator 2.2 Component Pack 6 +* +* Description: +* This file is automatically generated by PSoC Creator. +* +******************************************************************************** +* Copyright 2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +********************************************************************************/ + +#ifndef CYFITTER_CFG_H +#define CYFITTER_CFG_H + +#include + +extern void cyfitter_cfg(void); + +/* Analog Set/Unset methods */ +extern void SetAnalogRoutingPumps(uint8 enabled); + + +#endif /* CYFITTER_CFG_H */ + +/*[]*/ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc new file mode 100644 index 0000000..629bee4 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc @@ -0,0 +1,1867 @@ +.ifndef INCLUDED_CYFITTERGNU_INC +.set INCLUDED_CYFITTERGNU_INC, 1 +.include "cydevicegnu.inc" +.include "cydevicegnu_trm.inc" + +/* SDCard_RxInternalInterrupt */ +.set SDCard_RxInternalInterrupt__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set SDCard_RxInternalInterrupt__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set SDCard_RxInternalInterrupt__INTC_MASK, 0x01 +.set SDCard_RxInternalInterrupt__INTC_NUMBER, 0 +.set SDCard_RxInternalInterrupt__INTC_PRIOR_NUM, 7 +.set SDCard_RxInternalInterrupt__INTC_PRIOR_REG, CYREG_NVIC_PRI_0 +.set SDCard_RxInternalInterrupt__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set SDCard_RxInternalInterrupt__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* SDCard_TxInternalInterrupt */ +.set SDCard_TxInternalInterrupt__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 +.set SDCard_TxInternalInterrupt__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 +.set SDCard_TxInternalInterrupt__INTC_MASK, 0x02 +.set SDCard_TxInternalInterrupt__INTC_NUMBER, 1 +.set SDCard_TxInternalInterrupt__INTC_PRIOR_NUM, 7 +.set SDCard_TxInternalInterrupt__INTC_PRIOR_REG, CYREG_NVIC_PRI_1 +.set SDCard_TxInternalInterrupt__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 +.set SDCard_TxInternalInterrupt__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 + +/* SCSI_Out_DBx */ +.set SCSI_Out_DBx__0__MASK, 0x01 +.set SCSI_Out_DBx__0__PC, CYREG_PRT0_PC0 +.set SCSI_Out_DBx__0__PORT, 0 +.set SCSI_Out_DBx__0__SHIFT, 0 +.set SCSI_Out_DBx__1__MASK, 0x02 +.set SCSI_Out_DBx__1__PC, CYREG_PRT0_PC1 +.set SCSI_Out_DBx__1__PORT, 0 +.set SCSI_Out_DBx__1__SHIFT, 1 +.set SCSI_Out_DBx__2__MASK, 0x04 +.set SCSI_Out_DBx__2__PC, CYREG_PRT0_PC2 +.set SCSI_Out_DBx__2__PORT, 0 +.set SCSI_Out_DBx__2__SHIFT, 2 +.set SCSI_Out_DBx__3__MASK, 0x08 +.set SCSI_Out_DBx__3__PC, CYREG_PRT0_PC3 +.set SCSI_Out_DBx__3__PORT, 0 +.set SCSI_Out_DBx__3__SHIFT, 3 +.set SCSI_Out_DBx__4__MASK, 0x10 +.set SCSI_Out_DBx__4__PC, CYREG_PRT0_PC4 +.set SCSI_Out_DBx__4__PORT, 0 +.set SCSI_Out_DBx__4__SHIFT, 4 +.set SCSI_Out_DBx__5__MASK, 0x20 +.set SCSI_Out_DBx__5__PC, CYREG_PRT0_PC5 +.set SCSI_Out_DBx__5__PORT, 0 +.set SCSI_Out_DBx__5__SHIFT, 5 +.set SCSI_Out_DBx__6__MASK, 0x40 +.set SCSI_Out_DBx__6__PC, CYREG_PRT0_PC6 +.set SCSI_Out_DBx__6__PORT, 0 +.set SCSI_Out_DBx__6__SHIFT, 6 +.set SCSI_Out_DBx__7__MASK, 0x80 +.set SCSI_Out_DBx__7__PC, CYREG_PRT0_PC7 +.set SCSI_Out_DBx__7__PORT, 0 +.set SCSI_Out_DBx__7__SHIFT, 7 +.set SCSI_Out_DBx__AG, CYREG_PRT0_AG +.set SCSI_Out_DBx__AMUX, CYREG_PRT0_AMUX +.set SCSI_Out_DBx__BIE, CYREG_PRT0_BIE +.set SCSI_Out_DBx__BIT_MASK, CYREG_PRT0_BIT_MASK +.set SCSI_Out_DBx__BYP, CYREG_PRT0_BYP +.set SCSI_Out_DBx__CTL, CYREG_PRT0_CTL +.set SCSI_Out_DBx__DM0, CYREG_PRT0_DM0 +.set SCSI_Out_DBx__DM1, CYREG_PRT0_DM1 +.set SCSI_Out_DBx__DM2, CYREG_PRT0_DM2 +.set SCSI_Out_DBx__DR, CYREG_PRT0_DR +.set SCSI_Out_DBx__INP_DIS, CYREG_PRT0_INP_DIS +.set SCSI_Out_DBx__LCD_COM_SEG, CYREG_PRT0_LCD_COM_SEG +.set SCSI_Out_DBx__LCD_EN, CYREG_PRT0_LCD_EN +.set SCSI_Out_DBx__MASK, 0xFF +.set SCSI_Out_DBx__PORT, 0 +.set SCSI_Out_DBx__PRT, CYREG_PRT0_PRT +.set SCSI_Out_DBx__PRTDSI__CAPS_SEL, CYREG_PRT0_CAPS_SEL +.set SCSI_Out_DBx__PRTDSI__DBL_SYNC_IN, CYREG_PRT0_DBL_SYNC_IN +.set SCSI_Out_DBx__PRTDSI__OE_SEL0, CYREG_PRT0_OE_SEL0 +.set SCSI_Out_DBx__PRTDSI__OE_SEL1, CYREG_PRT0_OE_SEL1 +.set SCSI_Out_DBx__PRTDSI__OUT_SEL0, CYREG_PRT0_OUT_SEL0 +.set SCSI_Out_DBx__PRTDSI__OUT_SEL1, CYREG_PRT0_OUT_SEL1 +.set SCSI_Out_DBx__PRTDSI__SYNC_OUT, CYREG_PRT0_SYNC_OUT +.set SCSI_Out_DBx__PS, CYREG_PRT0_PS +.set SCSI_Out_DBx__SCSI_Out_DB0__MASK, 0x01 +.set SCSI_Out_DBx__SCSI_Out_DB0__PC, CYREG_PRT0_PC0 +.set SCSI_Out_DBx__SCSI_Out_DB0__PORT, 0 +.set SCSI_Out_DBx__SCSI_Out_DB0__SHIFT, 0 +.set SCSI_Out_DBx__SCSI_Out_DB1__MASK, 0x02 +.set SCSI_Out_DBx__SCSI_Out_DB1__PC, CYREG_PRT0_PC1 +.set SCSI_Out_DBx__SCSI_Out_DB1__PORT, 0 +.set SCSI_Out_DBx__SCSI_Out_DB1__SHIFT, 1 +.set SCSI_Out_DBx__SCSI_Out_DB2__MASK, 0x04 +.set SCSI_Out_DBx__SCSI_Out_DB2__PC, CYREG_PRT0_PC2 +.set SCSI_Out_DBx__SCSI_Out_DB2__PORT, 0 +.set SCSI_Out_DBx__SCSI_Out_DB2__SHIFT, 2 +.set SCSI_Out_DBx__SCSI_Out_DB3__MASK, 0x08 +.set SCSI_Out_DBx__SCSI_Out_DB3__PC, CYREG_PRT0_PC3 +.set SCSI_Out_DBx__SCSI_Out_DB3__PORT, 0 +.set SCSI_Out_DBx__SCSI_Out_DB3__SHIFT, 3 +.set SCSI_Out_DBx__SCSI_Out_DB4__MASK, 0x10 +.set SCSI_Out_DBx__SCSI_Out_DB4__PC, CYREG_PRT0_PC4 +.set SCSI_Out_DBx__SCSI_Out_DB4__PORT, 0 +.set SCSI_Out_DBx__SCSI_Out_DB4__SHIFT, 4 +.set SCSI_Out_DBx__SCSI_Out_DB5__MASK, 0x20 +.set SCSI_Out_DBx__SCSI_Out_DB5__PC, CYREG_PRT0_PC5 +.set SCSI_Out_DBx__SCSI_Out_DB5__PORT, 0 +.set SCSI_Out_DBx__SCSI_Out_DB5__SHIFT, 5 +.set SCSI_Out_DBx__SCSI_Out_DB6__MASK, 0x40 +.set SCSI_Out_DBx__SCSI_Out_DB6__PC, CYREG_PRT0_PC6 +.set SCSI_Out_DBx__SCSI_Out_DB6__PORT, 0 +.set SCSI_Out_DBx__SCSI_Out_DB6__SHIFT, 6 +.set SCSI_Out_DBx__SCSI_Out_DB7__MASK, 0x80 +.set SCSI_Out_DBx__SCSI_Out_DB7__PC, CYREG_PRT0_PC7 +.set SCSI_Out_DBx__SCSI_Out_DB7__PORT, 0 +.set SCSI_Out_DBx__SCSI_Out_DB7__SHIFT, 7 +.set SCSI_Out_DBx__SHIFT, 0 +.set SCSI_Out_DBx__SLW, CYREG_PRT0_SLW + +/* SDCard_BSPIM */ +.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG, CYREG_B1_UDB07_08_ACTL +.set SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG, CYREG_B1_UDB07_08_ST +.set SDCard_BSPIM_BitCounter_ST__MASK_REG, CYREG_B1_UDB07_MSK +.set SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG, CYREG_B1_UDB07_MSK_ACTL +.set SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG, CYREG_B1_UDB07_MSK_ACTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG, CYREG_B1_UDB07_ACTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG, CYREG_B1_UDB07_ST_CTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG, CYREG_B1_UDB07_ST_CTL +.set SDCard_BSPIM_BitCounter_ST__STATUS_REG, CYREG_B1_UDB07_ST +.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG, CYREG_B1_UDB07_08_ACTL +.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG, CYREG_B1_UDB07_08_CTL +.set SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG, CYREG_B1_UDB07_08_CTL +.set SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG, CYREG_B1_UDB07_08_CTL +.set SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG, CYREG_B1_UDB07_08_CTL +.set SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG, CYREG_B1_UDB07_08_MSK +.set SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG, CYREG_B1_UDB07_08_MSK +.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG, CYREG_B1_UDB07_08_MSK +.set SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG, CYREG_B1_UDB07_08_MSK +.set SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG, CYREG_B1_UDB07_ACTL +.set SDCard_BSPIM_BitCounter__CONTROL_REG, CYREG_B1_UDB07_CTL +.set SDCard_BSPIM_BitCounter__CONTROL_ST_REG, CYREG_B1_UDB07_ST_CTL +.set SDCard_BSPIM_BitCounter__COUNT_REG, CYREG_B1_UDB07_CTL +.set SDCard_BSPIM_BitCounter__COUNT_ST_REG, CYREG_B1_UDB07_ST_CTL +.set SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG, CYREG_B1_UDB07_MSK_ACTL +.set SDCard_BSPIM_BitCounter__PERIOD_REG, CYREG_B1_UDB07_MSK +.set SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG, CYREG_B1_UDB07_MSK_ACTL +.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B1_UDB04_05_ACTL +.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG, CYREG_B1_UDB04_05_ST +.set SDCard_BSPIM_RxStsReg__4__MASK, 0x10 +.set SDCard_BSPIM_RxStsReg__4__POS, 4 +.set SDCard_BSPIM_RxStsReg__5__MASK, 0x20 +.set SDCard_BSPIM_RxStsReg__5__POS, 5 +.set SDCard_BSPIM_RxStsReg__6__MASK, 0x40 +.set SDCard_BSPIM_RxStsReg__6__POS, 6 +.set SDCard_BSPIM_RxStsReg__MASK, 0x70 +.set SDCard_BSPIM_RxStsReg__MASK_REG, CYREG_B1_UDB04_MSK +.set SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG, CYREG_B1_UDB04_ACTL +.set SDCard_BSPIM_RxStsReg__STATUS_REG, CYREG_B1_UDB04_ST +.set SDCard_BSPIM_TxStsReg__0__MASK, 0x01 +.set SDCard_BSPIM_TxStsReg__0__POS, 0 +.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB07_08_ACTL +.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG, CYREG_B0_UDB07_08_ST +.set SDCard_BSPIM_TxStsReg__1__MASK, 0x02 +.set SDCard_BSPIM_TxStsReg__1__POS, 1 +.set SDCard_BSPIM_TxStsReg__2__MASK, 0x04 +.set SDCard_BSPIM_TxStsReg__2__POS, 2 +.set SDCard_BSPIM_TxStsReg__3__MASK, 0x08 +.set SDCard_BSPIM_TxStsReg__3__POS, 3 +.set SDCard_BSPIM_TxStsReg__4__MASK, 0x10 +.set SDCard_BSPIM_TxStsReg__4__POS, 4 +.set SDCard_BSPIM_TxStsReg__MASK, 0x1F +.set SDCard_BSPIM_TxStsReg__MASK_REG, CYREG_B0_UDB07_MSK +.set SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB07_ACTL +.set SDCard_BSPIM_TxStsReg__STATUS_REG, CYREG_B0_UDB07_ST +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG, CYREG_B1_UDB07_08_A0 +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG, CYREG_B1_UDB07_08_A1 +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG, CYREG_B1_UDB07_08_D0 +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_D1_REG, CYREG_B1_UDB07_08_D1 +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_DP_AUX_CTL_REG, CYREG_B1_UDB07_08_ACTL +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_F0_REG, CYREG_B1_UDB07_08_F0 +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_F1_REG, CYREG_B1_UDB07_08_F1 +.set SDCard_BSPIM_sR8_Dp_u0__A0_A1_REG, CYREG_B1_UDB07_A0_A1 +.set SDCard_BSPIM_sR8_Dp_u0__A0_REG, CYREG_B1_UDB07_A0 +.set SDCard_BSPIM_sR8_Dp_u0__A1_REG, CYREG_B1_UDB07_A1 +.set SDCard_BSPIM_sR8_Dp_u0__D0_D1_REG, CYREG_B1_UDB07_D0_D1 +.set SDCard_BSPIM_sR8_Dp_u0__D0_REG, CYREG_B1_UDB07_D0 +.set SDCard_BSPIM_sR8_Dp_u0__D1_REG, CYREG_B1_UDB07_D1 +.set SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG, CYREG_B1_UDB07_ACTL +.set SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG, CYREG_B1_UDB07_F0_F1 +.set SDCard_BSPIM_sR8_Dp_u0__F0_REG, CYREG_B1_UDB07_F0 +.set SDCard_BSPIM_sR8_Dp_u0__F1_REG, CYREG_B1_UDB07_F1 +.set SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG, CYREG_B1_UDB07_MSK_ACTL +.set SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG, CYREG_B1_UDB07_MSK_ACTL + +/* SCSI_In_DBx */ +.set SCSI_In_DBx__0__MASK, 0x01 +.set SCSI_In_DBx__0__PC, CYREG_PRT2_PC0 +.set SCSI_In_DBx__0__PORT, 2 +.set SCSI_In_DBx__0__SHIFT, 0 +.set SCSI_In_DBx__1__MASK, 0x02 +.set SCSI_In_DBx__1__PC, CYREG_PRT2_PC1 +.set SCSI_In_DBx__1__PORT, 2 +.set SCSI_In_DBx__1__SHIFT, 1 +.set SCSI_In_DBx__2__MASK, 0x04 +.set SCSI_In_DBx__2__PC, CYREG_PRT2_PC2 +.set SCSI_In_DBx__2__PORT, 2 +.set SCSI_In_DBx__2__SHIFT, 2 +.set SCSI_In_DBx__3__MASK, 0x08 +.set SCSI_In_DBx__3__PC, CYREG_PRT2_PC3 +.set SCSI_In_DBx__3__PORT, 2 +.set SCSI_In_DBx__3__SHIFT, 3 +.set SCSI_In_DBx__4__MASK, 0x10 +.set SCSI_In_DBx__4__PC, CYREG_PRT2_PC4 +.set SCSI_In_DBx__4__PORT, 2 +.set SCSI_In_DBx__4__SHIFT, 4 +.set SCSI_In_DBx__5__MASK, 0x20 +.set SCSI_In_DBx__5__PC, CYREG_PRT2_PC5 +.set SCSI_In_DBx__5__PORT, 2 +.set SCSI_In_DBx__5__SHIFT, 5 +.set SCSI_In_DBx__6__MASK, 0x40 +.set SCSI_In_DBx__6__PC, CYREG_PRT2_PC6 +.set SCSI_In_DBx__6__PORT, 2 +.set SCSI_In_DBx__6__SHIFT, 6 +.set SCSI_In_DBx__7__MASK, 0x80 +.set SCSI_In_DBx__7__PC, CYREG_PRT2_PC7 +.set SCSI_In_DBx__7__PORT, 2 +.set SCSI_In_DBx__7__SHIFT, 7 +.set SCSI_In_DBx__AG, CYREG_PRT2_AG +.set SCSI_In_DBx__AMUX, CYREG_PRT2_AMUX +.set SCSI_In_DBx__BIE, CYREG_PRT2_BIE +.set SCSI_In_DBx__BIT_MASK, CYREG_PRT2_BIT_MASK +.set SCSI_In_DBx__BYP, CYREG_PRT2_BYP +.set SCSI_In_DBx__CTL, CYREG_PRT2_CTL +.set SCSI_In_DBx__DM0, CYREG_PRT2_DM0 +.set SCSI_In_DBx__DM1, CYREG_PRT2_DM1 +.set SCSI_In_DBx__DM2, CYREG_PRT2_DM2 +.set SCSI_In_DBx__DR, CYREG_PRT2_DR +.set SCSI_In_DBx__INP_DIS, CYREG_PRT2_INP_DIS +.set SCSI_In_DBx__LCD_COM_SEG, CYREG_PRT2_LCD_COM_SEG +.set SCSI_In_DBx__LCD_EN, CYREG_PRT2_LCD_EN +.set SCSI_In_DBx__MASK, 0xFF +.set SCSI_In_DBx__PORT, 2 +.set SCSI_In_DBx__PRT, CYREG_PRT2_PRT +.set SCSI_In_DBx__PRTDSI__CAPS_SEL, CYREG_PRT2_CAPS_SEL +.set SCSI_In_DBx__PRTDSI__DBL_SYNC_IN, CYREG_PRT2_DBL_SYNC_IN +.set SCSI_In_DBx__PRTDSI__OE_SEL0, CYREG_PRT2_OE_SEL0 +.set SCSI_In_DBx__PRTDSI__OE_SEL1, CYREG_PRT2_OE_SEL1 +.set SCSI_In_DBx__PRTDSI__OUT_SEL0, CYREG_PRT2_OUT_SEL0 +.set SCSI_In_DBx__PRTDSI__OUT_SEL1, CYREG_PRT2_OUT_SEL1 +.set SCSI_In_DBx__PRTDSI__SYNC_OUT, CYREG_PRT2_SYNC_OUT +.set SCSI_In_DBx__PS, CYREG_PRT2_PS +.set SCSI_In_DBx__SCSI_Out_DB0__MASK, 0x01 +.set SCSI_In_DBx__SCSI_Out_DB0__PC, CYREG_PRT2_PC0 +.set SCSI_In_DBx__SCSI_Out_DB0__PORT, 2 +.set SCSI_In_DBx__SCSI_Out_DB0__SHIFT, 0 +.set SCSI_In_DBx__SCSI_Out_DB1__MASK, 0x02 +.set SCSI_In_DBx__SCSI_Out_DB1__PC, CYREG_PRT2_PC1 +.set SCSI_In_DBx__SCSI_Out_DB1__PORT, 2 +.set SCSI_In_DBx__SCSI_Out_DB1__SHIFT, 1 +.set SCSI_In_DBx__SCSI_Out_DB2__MASK, 0x04 +.set SCSI_In_DBx__SCSI_Out_DB2__PC, CYREG_PRT2_PC2 +.set SCSI_In_DBx__SCSI_Out_DB2__PORT, 2 +.set SCSI_In_DBx__SCSI_Out_DB2__SHIFT, 2 +.set SCSI_In_DBx__SCSI_Out_DB3__MASK, 0x08 +.set SCSI_In_DBx__SCSI_Out_DB3__PC, CYREG_PRT2_PC3 +.set SCSI_In_DBx__SCSI_Out_DB3__PORT, 2 +.set SCSI_In_DBx__SCSI_Out_DB3__SHIFT, 3 +.set SCSI_In_DBx__SCSI_Out_DB4__MASK, 0x10 +.set SCSI_In_DBx__SCSI_Out_DB4__PC, CYREG_PRT2_PC4 +.set SCSI_In_DBx__SCSI_Out_DB4__PORT, 2 +.set SCSI_In_DBx__SCSI_Out_DB4__SHIFT, 4 +.set SCSI_In_DBx__SCSI_Out_DB5__MASK, 0x20 +.set SCSI_In_DBx__SCSI_Out_DB5__PC, CYREG_PRT2_PC5 +.set SCSI_In_DBx__SCSI_Out_DB5__PORT, 2 +.set SCSI_In_DBx__SCSI_Out_DB5__SHIFT, 5 +.set SCSI_In_DBx__SCSI_Out_DB6__MASK, 0x40 +.set SCSI_In_DBx__SCSI_Out_DB6__PC, CYREG_PRT2_PC6 +.set SCSI_In_DBx__SCSI_Out_DB6__PORT, 2 +.set SCSI_In_DBx__SCSI_Out_DB6__SHIFT, 6 +.set SCSI_In_DBx__SCSI_Out_DB7__MASK, 0x80 +.set SCSI_In_DBx__SCSI_Out_DB7__PC, CYREG_PRT2_PC7 +.set SCSI_In_DBx__SCSI_Out_DB7__PORT, 2 +.set SCSI_In_DBx__SCSI_Out_DB7__SHIFT, 7 +.set SCSI_In_DBx__SHIFT, 0 +.set SCSI_In_DBx__SLW, CYREG_PRT2_SLW + +/* SD_Data_Clk */ +.set SD_Data_Clk__CFG0, CYREG_CLKDIST_DCFG0_CFG0 +.set SD_Data_Clk__CFG1, CYREG_CLKDIST_DCFG0_CFG1 +.set SD_Data_Clk__CFG2, CYREG_CLKDIST_DCFG0_CFG2 +.set SD_Data_Clk__CFG2_SRC_SEL_MASK, 0x07 +.set SD_Data_Clk__INDEX, 0x00 +.set SD_Data_Clk__PM_ACT_CFG, CYREG_PM_ACT_CFG2 +.set SD_Data_Clk__PM_ACT_MSK, 0x01 +.set SD_Data_Clk__PM_STBY_CFG, CYREG_PM_STBY_CFG2 +.set SD_Data_Clk__PM_STBY_MSK, 0x01 + +/* SD_Init_Clk */ +.set SD_Init_Clk__CFG0, CYREG_CLKDIST_DCFG1_CFG0 +.set SD_Init_Clk__CFG1, CYREG_CLKDIST_DCFG1_CFG1 +.set SD_Init_Clk__CFG2, CYREG_CLKDIST_DCFG1_CFG2 +.set SD_Init_Clk__CFG2_SRC_SEL_MASK, 0x07 +.set SD_Init_Clk__INDEX, 0x01 +.set SD_Init_Clk__PM_ACT_CFG, CYREG_PM_ACT_CFG2 +.set SD_Init_Clk__PM_ACT_MSK, 0x02 +.set SD_Init_Clk__PM_STBY_CFG, CYREG_PM_STBY_CFG2 +.set SD_Init_Clk__PM_STBY_MSK, 0x02 + +/* SD_Clk_Ctl */ +.set SD_Clk_Ctl_Sync_ctrl_reg__0__MASK, 0x01 +.set SD_Clk_Ctl_Sync_ctrl_reg__0__POS, 0 +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B1_UDB05_06_ACTL +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B1_UDB05_06_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B1_UDB05_06_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B1_UDB05_06_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B1_UDB05_06_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B1_UDB05_06_MSK +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B1_UDB05_06_MSK +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B1_UDB05_06_MSK +.set SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B1_UDB05_06_MSK +.set SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B1_UDB05_ACTL +.set SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_REG, CYREG_B1_UDB05_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B1_UDB05_ST_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__COUNT_REG, CYREG_B1_UDB05_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B1_UDB05_ST_CTL +.set SD_Clk_Ctl_Sync_ctrl_reg__MASK, 0x01 +.set SD_Clk_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B1_UDB05_MSK_ACTL +.set SD_Clk_Ctl_Sync_ctrl_reg__PERIOD_REG, CYREG_B1_UDB05_MSK +.set SD_Clk_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B1_UDB05_MSK_ACTL + +/* PARITY_EN */ +.set PARITY_EN__0__MASK, 0x10 +.set PARITY_EN__0__PC, CYREG_PRT5_PC4 +.set PARITY_EN__0__PORT, 5 +.set PARITY_EN__0__SHIFT, 4 +.set PARITY_EN__AG, CYREG_PRT5_AG +.set PARITY_EN__AMUX, CYREG_PRT5_AMUX +.set PARITY_EN__BIE, CYREG_PRT5_BIE +.set PARITY_EN__BIT_MASK, CYREG_PRT5_BIT_MASK +.set PARITY_EN__BYP, CYREG_PRT5_BYP +.set PARITY_EN__CTL, CYREG_PRT5_CTL +.set PARITY_EN__DM0, CYREG_PRT5_DM0 +.set PARITY_EN__DM1, CYREG_PRT5_DM1 +.set PARITY_EN__DM2, CYREG_PRT5_DM2 +.set PARITY_EN__DR, CYREG_PRT5_DR +.set PARITY_EN__INP_DIS, CYREG_PRT5_INP_DIS +.set PARITY_EN__LCD_COM_SEG, CYREG_PRT5_LCD_COM_SEG +.set PARITY_EN__LCD_EN, CYREG_PRT5_LCD_EN +.set PARITY_EN__MASK, 0x10 +.set PARITY_EN__PORT, 5 +.set PARITY_EN__PRT, CYREG_PRT5_PRT +.set PARITY_EN__PRTDSI__CAPS_SEL, CYREG_PRT5_CAPS_SEL +.set PARITY_EN__PRTDSI__DBL_SYNC_IN, CYREG_PRT5_DBL_SYNC_IN +.set PARITY_EN__PRTDSI__OE_SEL0, CYREG_PRT5_OE_SEL0 +.set PARITY_EN__PRTDSI__OE_SEL1, CYREG_PRT5_OE_SEL1 +.set PARITY_EN__PRTDSI__OUT_SEL0, CYREG_PRT5_OUT_SEL0 +.set PARITY_EN__PRTDSI__OUT_SEL1, CYREG_PRT5_OUT_SEL1 +.set PARITY_EN__PRTDSI__SYNC_OUT, CYREG_PRT5_SYNC_OUT +.set PARITY_EN__PS, CYREG_PRT5_PS +.set PARITY_EN__SHIFT, 4 +.set PARITY_EN__SLW, CYREG_PRT5_SLW + +/* SCSI_Out */ +.set SCSI_Out__0__AG, CYREG_PRT4_AG +.set SCSI_Out__0__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__0__BIE, CYREG_PRT4_BIE +.set SCSI_Out__0__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__0__BYP, CYREG_PRT4_BYP +.set SCSI_Out__0__CTL, CYREG_PRT4_CTL +.set SCSI_Out__0__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__0__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__0__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__0__DR, CYREG_PRT4_DR +.set SCSI_Out__0__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__0__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__0__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__0__MASK, 0x04 +.set SCSI_Out__0__PC, CYREG_PRT4_PC2 +.set SCSI_Out__0__PORT, 4 +.set SCSI_Out__0__PRT, CYREG_PRT4_PRT +.set SCSI_Out__0__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__0__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__0__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__0__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__0__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__0__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__0__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__0__PS, CYREG_PRT4_PS +.set SCSI_Out__0__SHIFT, 2 +.set SCSI_Out__0__SLW, CYREG_PRT4_SLW +.set SCSI_Out__1__AG, CYREG_PRT4_AG +.set SCSI_Out__1__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__1__BIE, CYREG_PRT4_BIE +.set SCSI_Out__1__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__1__BYP, CYREG_PRT4_BYP +.set SCSI_Out__1__CTL, CYREG_PRT4_CTL +.set SCSI_Out__1__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__1__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__1__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__1__DR, CYREG_PRT4_DR +.set SCSI_Out__1__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__1__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__1__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__1__MASK, 0x08 +.set SCSI_Out__1__PC, CYREG_PRT4_PC3 +.set SCSI_Out__1__PORT, 4 +.set SCSI_Out__1__PRT, CYREG_PRT4_PRT +.set SCSI_Out__1__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__1__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__1__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__1__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__1__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__1__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__1__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__1__PS, CYREG_PRT4_PS +.set SCSI_Out__1__SHIFT, 3 +.set SCSI_Out__1__SLW, CYREG_PRT4_SLW +.set SCSI_Out__2__AG, CYREG_PRT4_AG +.set SCSI_Out__2__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__2__BIE, CYREG_PRT4_BIE +.set SCSI_Out__2__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__2__BYP, CYREG_PRT4_BYP +.set SCSI_Out__2__CTL, CYREG_PRT4_CTL +.set SCSI_Out__2__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__2__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__2__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__2__DR, CYREG_PRT4_DR +.set SCSI_Out__2__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__2__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__2__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__2__MASK, 0x10 +.set SCSI_Out__2__PC, CYREG_PRT4_PC4 +.set SCSI_Out__2__PORT, 4 +.set SCSI_Out__2__PRT, CYREG_PRT4_PRT +.set SCSI_Out__2__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__2__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__2__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__2__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__2__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__2__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__2__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__2__PS, CYREG_PRT4_PS +.set SCSI_Out__2__SHIFT, 4 +.set SCSI_Out__2__SLW, CYREG_PRT4_SLW +.set SCSI_Out__3__AG, CYREG_PRT4_AG +.set SCSI_Out__3__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__3__BIE, CYREG_PRT4_BIE +.set SCSI_Out__3__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__3__BYP, CYREG_PRT4_BYP +.set SCSI_Out__3__CTL, CYREG_PRT4_CTL +.set SCSI_Out__3__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__3__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__3__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__3__DR, CYREG_PRT4_DR +.set SCSI_Out__3__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__3__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__3__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__3__MASK, 0x20 +.set SCSI_Out__3__PC, CYREG_PRT4_PC5 +.set SCSI_Out__3__PORT, 4 +.set SCSI_Out__3__PRT, CYREG_PRT4_PRT +.set SCSI_Out__3__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__3__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__3__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__3__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__3__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__3__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__3__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__3__PS, CYREG_PRT4_PS +.set SCSI_Out__3__SHIFT, 5 +.set SCSI_Out__3__SLW, CYREG_PRT4_SLW +.set SCSI_Out__4__AG, CYREG_PRT4_AG +.set SCSI_Out__4__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__4__BIE, CYREG_PRT4_BIE +.set SCSI_Out__4__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__4__BYP, CYREG_PRT4_BYP +.set SCSI_Out__4__CTL, CYREG_PRT4_CTL +.set SCSI_Out__4__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__4__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__4__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__4__DR, CYREG_PRT4_DR +.set SCSI_Out__4__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__4__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__4__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__4__MASK, 0x40 +.set SCSI_Out__4__PC, CYREG_PRT4_PC6 +.set SCSI_Out__4__PORT, 4 +.set SCSI_Out__4__PRT, CYREG_PRT4_PRT +.set SCSI_Out__4__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__4__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__4__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__4__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__4__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__4__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__4__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__4__PS, CYREG_PRT4_PS +.set SCSI_Out__4__SHIFT, 6 +.set SCSI_Out__4__SLW, CYREG_PRT4_SLW +.set SCSI_Out__5__AG, CYREG_PRT4_AG +.set SCSI_Out__5__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__5__BIE, CYREG_PRT4_BIE +.set SCSI_Out__5__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__5__BYP, CYREG_PRT4_BYP +.set SCSI_Out__5__CTL, CYREG_PRT4_CTL +.set SCSI_Out__5__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__5__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__5__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__5__DR, CYREG_PRT4_DR +.set SCSI_Out__5__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__5__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__5__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__5__MASK, 0x80 +.set SCSI_Out__5__PC, CYREG_PRT4_PC7 +.set SCSI_Out__5__PORT, 4 +.set SCSI_Out__5__PRT, CYREG_PRT4_PRT +.set SCSI_Out__5__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__5__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__5__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__5__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__5__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__5__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__5__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__5__PS, CYREG_PRT4_PS +.set SCSI_Out__5__SHIFT, 7 +.set SCSI_Out__5__SLW, CYREG_PRT4_SLW +.set SCSI_Out__6__AG, CYREG_PRT6_AG +.set SCSI_Out__6__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out__6__BIE, CYREG_PRT6_BIE +.set SCSI_Out__6__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out__6__BYP, CYREG_PRT6_BYP +.set SCSI_Out__6__CTL, CYREG_PRT6_CTL +.set SCSI_Out__6__DM0, CYREG_PRT6_DM0 +.set SCSI_Out__6__DM1, CYREG_PRT6_DM1 +.set SCSI_Out__6__DM2, CYREG_PRT6_DM2 +.set SCSI_Out__6__DR, CYREG_PRT6_DR +.set SCSI_Out__6__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out__6__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out__6__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out__6__MASK, 0x01 +.set SCSI_Out__6__PC, CYREG_PRT6_PC0 +.set SCSI_Out__6__PORT, 6 +.set SCSI_Out__6__PRT, CYREG_PRT6_PRT +.set SCSI_Out__6__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out__6__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out__6__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out__6__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out__6__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out__6__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out__6__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out__6__PS, CYREG_PRT6_PS +.set SCSI_Out__6__SHIFT, 0 +.set SCSI_Out__6__SLW, CYREG_PRT6_SLW +.set SCSI_Out__7__AG, CYREG_PRT6_AG +.set SCSI_Out__7__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out__7__BIE, CYREG_PRT6_BIE +.set SCSI_Out__7__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out__7__BYP, CYREG_PRT6_BYP +.set SCSI_Out__7__CTL, CYREG_PRT6_CTL +.set SCSI_Out__7__DM0, CYREG_PRT6_DM0 +.set SCSI_Out__7__DM1, CYREG_PRT6_DM1 +.set SCSI_Out__7__DM2, CYREG_PRT6_DM2 +.set SCSI_Out__7__DR, CYREG_PRT6_DR +.set SCSI_Out__7__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out__7__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out__7__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out__7__MASK, 0x02 +.set SCSI_Out__7__PC, CYREG_PRT6_PC1 +.set SCSI_Out__7__PORT, 6 +.set SCSI_Out__7__PRT, CYREG_PRT6_PRT +.set SCSI_Out__7__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out__7__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out__7__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out__7__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out__7__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out__7__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out__7__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out__7__PS, CYREG_PRT6_PS +.set SCSI_Out__7__SHIFT, 1 +.set SCSI_Out__7__SLW, CYREG_PRT6_SLW +.set SCSI_Out__8__AG, CYREG_PRT6_AG +.set SCSI_Out__8__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out__8__BIE, CYREG_PRT6_BIE +.set SCSI_Out__8__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out__8__BYP, CYREG_PRT6_BYP +.set SCSI_Out__8__CTL, CYREG_PRT6_CTL +.set SCSI_Out__8__DM0, CYREG_PRT6_DM0 +.set SCSI_Out__8__DM1, CYREG_PRT6_DM1 +.set SCSI_Out__8__DM2, CYREG_PRT6_DM2 +.set SCSI_Out__8__DR, CYREG_PRT6_DR +.set SCSI_Out__8__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out__8__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out__8__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out__8__MASK, 0x04 +.set SCSI_Out__8__PC, CYREG_PRT6_PC2 +.set SCSI_Out__8__PORT, 6 +.set SCSI_Out__8__PRT, CYREG_PRT6_PRT +.set SCSI_Out__8__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out__8__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out__8__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out__8__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out__8__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out__8__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out__8__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out__8__PS, CYREG_PRT6_PS +.set SCSI_Out__8__SHIFT, 2 +.set SCSI_Out__8__SLW, CYREG_PRT6_SLW +.set SCSI_Out__9__AG, CYREG_PRT6_AG +.set SCSI_Out__9__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out__9__BIE, CYREG_PRT6_BIE +.set SCSI_Out__9__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out__9__BYP, CYREG_PRT6_BYP +.set SCSI_Out__9__CTL, CYREG_PRT6_CTL +.set SCSI_Out__9__DM0, CYREG_PRT6_DM0 +.set SCSI_Out__9__DM1, CYREG_PRT6_DM1 +.set SCSI_Out__9__DM2, CYREG_PRT6_DM2 +.set SCSI_Out__9__DR, CYREG_PRT6_DR +.set SCSI_Out__9__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out__9__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out__9__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out__9__MASK, 0x08 +.set SCSI_Out__9__PC, CYREG_PRT6_PC3 +.set SCSI_Out__9__PORT, 6 +.set SCSI_Out__9__PRT, CYREG_PRT6_PRT +.set SCSI_Out__9__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out__9__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out__9__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out__9__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out__9__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out__9__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out__9__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out__9__PS, CYREG_PRT6_PS +.set SCSI_Out__9__SHIFT, 3 +.set SCSI_Out__9__SLW, CYREG_PRT6_SLW +.set SCSI_Out__ACK__AG, CYREG_PRT4_AG +.set SCSI_Out__ACK__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__ACK__BIE, CYREG_PRT4_BIE +.set SCSI_Out__ACK__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__ACK__BYP, CYREG_PRT4_BYP +.set SCSI_Out__ACK__CTL, CYREG_PRT4_CTL +.set SCSI_Out__ACK__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__ACK__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__ACK__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__ACK__DR, CYREG_PRT4_DR +.set SCSI_Out__ACK__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__ACK__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__ACK__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__ACK__MASK, 0x20 +.set SCSI_Out__ACK__PC, CYREG_PRT4_PC5 +.set SCSI_Out__ACK__PORT, 4 +.set SCSI_Out__ACK__PRT, CYREG_PRT4_PRT +.set SCSI_Out__ACK__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__ACK__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__ACK__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__ACK__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__ACK__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__ACK__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__ACK__PS, CYREG_PRT4_PS +.set SCSI_Out__ACK__SHIFT, 5 +.set SCSI_Out__ACK__SLW, CYREG_PRT4_SLW +.set SCSI_Out__ATN__AG, CYREG_PRT4_AG +.set SCSI_Out__ATN__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__ATN__BIE, CYREG_PRT4_BIE +.set SCSI_Out__ATN__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__ATN__BYP, CYREG_PRT4_BYP +.set SCSI_Out__ATN__CTL, CYREG_PRT4_CTL +.set SCSI_Out__ATN__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__ATN__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__ATN__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__ATN__DR, CYREG_PRT4_DR +.set SCSI_Out__ATN__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__ATN__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__ATN__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__ATN__MASK, 0x08 +.set SCSI_Out__ATN__PC, CYREG_PRT4_PC3 +.set SCSI_Out__ATN__PORT, 4 +.set SCSI_Out__ATN__PRT, CYREG_PRT4_PRT +.set SCSI_Out__ATN__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__ATN__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__ATN__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__ATN__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__ATN__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__ATN__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__ATN__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__ATN__PS, CYREG_PRT4_PS +.set SCSI_Out__ATN__SHIFT, 3 +.set SCSI_Out__ATN__SLW, CYREG_PRT4_SLW +.set SCSI_Out__BSY__AG, CYREG_PRT4_AG +.set SCSI_Out__BSY__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__BSY__BIE, CYREG_PRT4_BIE +.set SCSI_Out__BSY__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__BSY__BYP, CYREG_PRT4_BYP +.set SCSI_Out__BSY__CTL, CYREG_PRT4_CTL +.set SCSI_Out__BSY__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__BSY__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__BSY__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__BSY__DR, CYREG_PRT4_DR +.set SCSI_Out__BSY__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__BSY__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__BSY__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__BSY__MASK, 0x10 +.set SCSI_Out__BSY__PC, CYREG_PRT4_PC4 +.set SCSI_Out__BSY__PORT, 4 +.set SCSI_Out__BSY__PRT, CYREG_PRT4_PRT +.set SCSI_Out__BSY__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__BSY__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__BSY__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__BSY__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__BSY__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__BSY__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__BSY__PS, CYREG_PRT4_PS +.set SCSI_Out__BSY__SHIFT, 4 +.set SCSI_Out__BSY__SLW, CYREG_PRT4_SLW +.set SCSI_Out__CD__AG, CYREG_PRT6_AG +.set SCSI_Out__CD__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out__CD__BIE, CYREG_PRT6_BIE +.set SCSI_Out__CD__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out__CD__BYP, CYREG_PRT6_BYP +.set SCSI_Out__CD__CTL, CYREG_PRT6_CTL +.set SCSI_Out__CD__DM0, CYREG_PRT6_DM0 +.set SCSI_Out__CD__DM1, CYREG_PRT6_DM1 +.set SCSI_Out__CD__DM2, CYREG_PRT6_DM2 +.set SCSI_Out__CD__DR, CYREG_PRT6_DR +.set SCSI_Out__CD__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out__CD__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out__CD__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out__CD__MASK, 0x02 +.set SCSI_Out__CD__PC, CYREG_PRT6_PC1 +.set SCSI_Out__CD__PORT, 6 +.set SCSI_Out__CD__PRT, CYREG_PRT6_PRT +.set SCSI_Out__CD__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out__CD__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out__CD__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out__CD__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out__CD__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out__CD__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out__CD__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out__CD__PS, CYREG_PRT6_PS +.set SCSI_Out__CD__SHIFT, 1 +.set SCSI_Out__CD__SLW, CYREG_PRT6_SLW +.set SCSI_Out__DBP__AG, CYREG_PRT4_AG +.set SCSI_Out__DBP__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__DBP__BIE, CYREG_PRT4_BIE +.set SCSI_Out__DBP__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__DBP__BYP, CYREG_PRT4_BYP +.set SCSI_Out__DBP__CTL, CYREG_PRT4_CTL +.set SCSI_Out__DBP__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__DBP__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__DBP__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__DBP__DR, CYREG_PRT4_DR +.set SCSI_Out__DBP__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__DBP__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__DBP__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__DBP__MASK, 0x04 +.set SCSI_Out__DBP__PC, CYREG_PRT4_PC2 +.set SCSI_Out__DBP__PORT, 4 +.set SCSI_Out__DBP__PRT, CYREG_PRT4_PRT +.set SCSI_Out__DBP__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__DBP__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__DBP__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__DBP__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__DBP__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__DBP__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__DBP__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__DBP__PS, CYREG_PRT4_PS +.set SCSI_Out__DBP__SHIFT, 2 +.set SCSI_Out__DBP__SLW, CYREG_PRT4_SLW +.set SCSI_Out__IO__AG, CYREG_PRT6_AG +.set SCSI_Out__IO__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out__IO__BIE, CYREG_PRT6_BIE +.set SCSI_Out__IO__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out__IO__BYP, CYREG_PRT6_BYP +.set SCSI_Out__IO__CTL, CYREG_PRT6_CTL +.set SCSI_Out__IO__DM0, CYREG_PRT6_DM0 +.set SCSI_Out__IO__DM1, CYREG_PRT6_DM1 +.set SCSI_Out__IO__DM2, CYREG_PRT6_DM2 +.set SCSI_Out__IO__DR, CYREG_PRT6_DR +.set SCSI_Out__IO__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out__IO__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out__IO__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out__IO__MASK, 0x08 +.set SCSI_Out__IO__PC, CYREG_PRT6_PC3 +.set SCSI_Out__IO__PORT, 6 +.set SCSI_Out__IO__PRT, CYREG_PRT6_PRT +.set SCSI_Out__IO__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out__IO__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out__IO__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out__IO__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out__IO__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out__IO__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out__IO__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out__IO__PS, CYREG_PRT6_PS +.set SCSI_Out__IO__SHIFT, 3 +.set SCSI_Out__IO__SLW, CYREG_PRT6_SLW +.set SCSI_Out__MSG__AG, CYREG_PRT4_AG +.set SCSI_Out__MSG__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__MSG__BIE, CYREG_PRT4_BIE +.set SCSI_Out__MSG__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__MSG__BYP, CYREG_PRT4_BYP +.set SCSI_Out__MSG__CTL, CYREG_PRT4_CTL +.set SCSI_Out__MSG__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__MSG__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__MSG__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__MSG__DR, CYREG_PRT4_DR +.set SCSI_Out__MSG__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__MSG__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__MSG__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__MSG__MASK, 0x80 +.set SCSI_Out__MSG__PC, CYREG_PRT4_PC7 +.set SCSI_Out__MSG__PORT, 4 +.set SCSI_Out__MSG__PRT, CYREG_PRT4_PRT +.set SCSI_Out__MSG__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__MSG__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__MSG__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__MSG__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__MSG__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__MSG__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__MSG__PS, CYREG_PRT4_PS +.set SCSI_Out__MSG__SHIFT, 7 +.set SCSI_Out__MSG__SLW, CYREG_PRT4_SLW +.set SCSI_Out__REQ__AG, CYREG_PRT6_AG +.set SCSI_Out__REQ__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out__REQ__BIE, CYREG_PRT6_BIE +.set SCSI_Out__REQ__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out__REQ__BYP, CYREG_PRT6_BYP +.set SCSI_Out__REQ__CTL, CYREG_PRT6_CTL +.set SCSI_Out__REQ__DM0, CYREG_PRT6_DM0 +.set SCSI_Out__REQ__DM1, CYREG_PRT6_DM1 +.set SCSI_Out__REQ__DM2, CYREG_PRT6_DM2 +.set SCSI_Out__REQ__DR, CYREG_PRT6_DR +.set SCSI_Out__REQ__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out__REQ__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out__REQ__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out__REQ__MASK, 0x04 +.set SCSI_Out__REQ__PC, CYREG_PRT6_PC2 +.set SCSI_Out__REQ__PORT, 6 +.set SCSI_Out__REQ__PRT, CYREG_PRT6_PRT +.set SCSI_Out__REQ__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out__REQ__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out__REQ__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out__REQ__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out__REQ__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out__REQ__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out__REQ__PS, CYREG_PRT6_PS +.set SCSI_Out__REQ__SHIFT, 2 +.set SCSI_Out__REQ__SLW, CYREG_PRT6_SLW +.set SCSI_Out__RST__AG, CYREG_PRT4_AG +.set SCSI_Out__RST__AMUX, CYREG_PRT4_AMUX +.set SCSI_Out__RST__BIE, CYREG_PRT4_BIE +.set SCSI_Out__RST__BIT_MASK, CYREG_PRT4_BIT_MASK +.set SCSI_Out__RST__BYP, CYREG_PRT4_BYP +.set SCSI_Out__RST__CTL, CYREG_PRT4_CTL +.set SCSI_Out__RST__DM0, CYREG_PRT4_DM0 +.set SCSI_Out__RST__DM1, CYREG_PRT4_DM1 +.set SCSI_Out__RST__DM2, CYREG_PRT4_DM2 +.set SCSI_Out__RST__DR, CYREG_PRT4_DR +.set SCSI_Out__RST__INP_DIS, CYREG_PRT4_INP_DIS +.set SCSI_Out__RST__LCD_COM_SEG, CYREG_PRT4_LCD_COM_SEG +.set SCSI_Out__RST__LCD_EN, CYREG_PRT4_LCD_EN +.set SCSI_Out__RST__MASK, 0x40 +.set SCSI_Out__RST__PC, CYREG_PRT4_PC6 +.set SCSI_Out__RST__PORT, 4 +.set SCSI_Out__RST__PRT, CYREG_PRT4_PRT +.set SCSI_Out__RST__PRTDSI__CAPS_SEL, CYREG_PRT4_CAPS_SEL +.set SCSI_Out__RST__PRTDSI__DBL_SYNC_IN, CYREG_PRT4_DBL_SYNC_IN +.set SCSI_Out__RST__PRTDSI__OE_SEL0, CYREG_PRT4_OE_SEL0 +.set SCSI_Out__RST__PRTDSI__OE_SEL1, CYREG_PRT4_OE_SEL1 +.set SCSI_Out__RST__PRTDSI__OUT_SEL0, CYREG_PRT4_OUT_SEL0 +.set SCSI_Out__RST__PRTDSI__OUT_SEL1, CYREG_PRT4_OUT_SEL1 +.set SCSI_Out__RST__PRTDSI__SYNC_OUT, CYREG_PRT4_SYNC_OUT +.set SCSI_Out__RST__PS, CYREG_PRT4_PS +.set SCSI_Out__RST__SHIFT, 6 +.set SCSI_Out__RST__SLW, CYREG_PRT4_SLW +.set SCSI_Out__SEL__AG, CYREG_PRT6_AG +.set SCSI_Out__SEL__AMUX, CYREG_PRT6_AMUX +.set SCSI_Out__SEL__BIE, CYREG_PRT6_BIE +.set SCSI_Out__SEL__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_Out__SEL__BYP, CYREG_PRT6_BYP +.set SCSI_Out__SEL__CTL, CYREG_PRT6_CTL +.set SCSI_Out__SEL__DM0, CYREG_PRT6_DM0 +.set SCSI_Out__SEL__DM1, CYREG_PRT6_DM1 +.set SCSI_Out__SEL__DM2, CYREG_PRT6_DM2 +.set SCSI_Out__SEL__DR, CYREG_PRT6_DR +.set SCSI_Out__SEL__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_Out__SEL__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_Out__SEL__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_Out__SEL__MASK, 0x01 +.set SCSI_Out__SEL__PC, CYREG_PRT6_PC0 +.set SCSI_Out__SEL__PORT, 6 +.set SCSI_Out__SEL__PRT, CYREG_PRT6_PRT +.set SCSI_Out__SEL__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_Out__SEL__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_Out__SEL__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_Out__SEL__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_Out__SEL__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_Out__SEL__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_Out__SEL__PS, CYREG_PRT6_PS +.set SCSI_Out__SEL__SHIFT, 0 +.set SCSI_Out__SEL__SLW, CYREG_PRT6_SLW + +/* SCSI_ID */ +.set SCSI_ID__0__MASK, 0x80 +.set SCSI_ID__0__PC, CYREG_PRT5_PC7 +.set SCSI_ID__0__PORT, 5 +.set SCSI_ID__0__SHIFT, 7 +.set SCSI_ID__1__MASK, 0x40 +.set SCSI_ID__1__PC, CYREG_PRT5_PC6 +.set SCSI_ID__1__PORT, 5 +.set SCSI_ID__1__SHIFT, 6 +.set SCSI_ID__2__MASK, 0x20 +.set SCSI_ID__2__PC, CYREG_PRT5_PC5 +.set SCSI_ID__2__PORT, 5 +.set SCSI_ID__2__SHIFT, 5 +.set SCSI_ID__AG, CYREG_PRT5_AG +.set SCSI_ID__AMUX, CYREG_PRT5_AMUX +.set SCSI_ID__BIE, CYREG_PRT5_BIE +.set SCSI_ID__BIT_MASK, CYREG_PRT5_BIT_MASK +.set SCSI_ID__BYP, CYREG_PRT5_BYP +.set SCSI_ID__CTL, CYREG_PRT5_CTL +.set SCSI_ID__DM0, CYREG_PRT5_DM0 +.set SCSI_ID__DM1, CYREG_PRT5_DM1 +.set SCSI_ID__DM2, CYREG_PRT5_DM2 +.set SCSI_ID__DR, CYREG_PRT5_DR +.set SCSI_ID__INP_DIS, CYREG_PRT5_INP_DIS +.set SCSI_ID__LCD_COM_SEG, CYREG_PRT5_LCD_COM_SEG +.set SCSI_ID__LCD_EN, CYREG_PRT5_LCD_EN +.set SCSI_ID__PORT, 5 +.set SCSI_ID__PRT, CYREG_PRT5_PRT +.set SCSI_ID__PRTDSI__CAPS_SEL, CYREG_PRT5_CAPS_SEL +.set SCSI_ID__PRTDSI__DBL_SYNC_IN, CYREG_PRT5_DBL_SYNC_IN +.set SCSI_ID__PRTDSI__OE_SEL0, CYREG_PRT5_OE_SEL0 +.set SCSI_ID__PRTDSI__OE_SEL1, CYREG_PRT5_OE_SEL1 +.set SCSI_ID__PRTDSI__OUT_SEL0, CYREG_PRT5_OUT_SEL0 +.set SCSI_ID__PRTDSI__OUT_SEL1, CYREG_PRT5_OUT_SEL1 +.set SCSI_ID__PRTDSI__SYNC_OUT, CYREG_PRT5_SYNC_OUT +.set SCSI_ID__PS, CYREG_PRT5_PS +.set SCSI_ID__SLW, CYREG_PRT5_SLW + +/* SCSI_In */ +.set SCSI_In__0__AG, CYREG_PRT12_AG +.set SCSI_In__0__BIE, CYREG_PRT12_BIE +.set SCSI_In__0__BIT_MASK, CYREG_PRT12_BIT_MASK +.set SCSI_In__0__BYP, CYREG_PRT12_BYP +.set SCSI_In__0__DM0, CYREG_PRT12_DM0 +.set SCSI_In__0__DM1, CYREG_PRT12_DM1 +.set SCSI_In__0__DM2, CYREG_PRT12_DM2 +.set SCSI_In__0__DR, CYREG_PRT12_DR +.set SCSI_In__0__INP_DIS, CYREG_PRT12_INP_DIS +.set SCSI_In__0__MASK, 0x10 +.set SCSI_In__0__PC, CYREG_PRT12_PC4 +.set SCSI_In__0__PORT, 12 +.set SCSI_In__0__PRT, CYREG_PRT12_PRT +.set SCSI_In__0__PRTDSI__DBL_SYNC_IN, CYREG_PRT12_DBL_SYNC_IN +.set SCSI_In__0__PRTDSI__OE_SEL0, CYREG_PRT12_OE_SEL0 +.set SCSI_In__0__PRTDSI__OE_SEL1, CYREG_PRT12_OE_SEL1 +.set SCSI_In__0__PRTDSI__OUT_SEL0, CYREG_PRT12_OUT_SEL0 +.set SCSI_In__0__PRTDSI__OUT_SEL1, CYREG_PRT12_OUT_SEL1 +.set SCSI_In__0__PRTDSI__SYNC_OUT, CYREG_PRT12_SYNC_OUT +.set SCSI_In__0__PS, CYREG_PRT12_PS +.set SCSI_In__0__SHIFT, 4 +.set SCSI_In__0__SIO_CFG, CYREG_PRT12_SIO_CFG +.set SCSI_In__0__SIO_DIFF, CYREG_PRT12_SIO_DIFF +.set SCSI_In__0__SIO_HYST_EN, CYREG_PRT12_SIO_HYST_EN +.set SCSI_In__0__SIO_REG_HIFREQ, CYREG_PRT12_SIO_REG_HIFREQ +.set SCSI_In__0__SLW, CYREG_PRT12_SLW +.set SCSI_In__1__AG, CYREG_PRT12_AG +.set SCSI_In__1__BIE, CYREG_PRT12_BIE +.set SCSI_In__1__BIT_MASK, CYREG_PRT12_BIT_MASK +.set SCSI_In__1__BYP, CYREG_PRT12_BYP +.set SCSI_In__1__DM0, CYREG_PRT12_DM0 +.set SCSI_In__1__DM1, CYREG_PRT12_DM1 +.set SCSI_In__1__DM2, CYREG_PRT12_DM2 +.set SCSI_In__1__DR, CYREG_PRT12_DR +.set SCSI_In__1__INP_DIS, CYREG_PRT12_INP_DIS +.set SCSI_In__1__MASK, 0x20 +.set SCSI_In__1__PC, CYREG_PRT12_PC5 +.set SCSI_In__1__PORT, 12 +.set SCSI_In__1__PRT, CYREG_PRT12_PRT +.set SCSI_In__1__PRTDSI__DBL_SYNC_IN, CYREG_PRT12_DBL_SYNC_IN +.set SCSI_In__1__PRTDSI__OE_SEL0, CYREG_PRT12_OE_SEL0 +.set SCSI_In__1__PRTDSI__OE_SEL1, CYREG_PRT12_OE_SEL1 +.set SCSI_In__1__PRTDSI__OUT_SEL0, CYREG_PRT12_OUT_SEL0 +.set SCSI_In__1__PRTDSI__OUT_SEL1, CYREG_PRT12_OUT_SEL1 +.set SCSI_In__1__PRTDSI__SYNC_OUT, CYREG_PRT12_SYNC_OUT +.set SCSI_In__1__PS, CYREG_PRT12_PS +.set SCSI_In__1__SHIFT, 5 +.set SCSI_In__1__SIO_CFG, CYREG_PRT12_SIO_CFG +.set SCSI_In__1__SIO_DIFF, CYREG_PRT12_SIO_DIFF +.set SCSI_In__1__SIO_HYST_EN, CYREG_PRT12_SIO_HYST_EN +.set SCSI_In__1__SIO_REG_HIFREQ, CYREG_PRT12_SIO_REG_HIFREQ +.set SCSI_In__1__SLW, CYREG_PRT12_SLW +.set SCSI_In__2__AG, CYREG_PRT6_AG +.set SCSI_In__2__AMUX, CYREG_PRT6_AMUX +.set SCSI_In__2__BIE, CYREG_PRT6_BIE +.set SCSI_In__2__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_In__2__BYP, CYREG_PRT6_BYP +.set SCSI_In__2__CTL, CYREG_PRT6_CTL +.set SCSI_In__2__DM0, CYREG_PRT6_DM0 +.set SCSI_In__2__DM1, CYREG_PRT6_DM1 +.set SCSI_In__2__DM2, CYREG_PRT6_DM2 +.set SCSI_In__2__DR, CYREG_PRT6_DR +.set SCSI_In__2__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_In__2__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_In__2__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_In__2__MASK, 0x10 +.set SCSI_In__2__PC, CYREG_PRT6_PC4 +.set SCSI_In__2__PORT, 6 +.set SCSI_In__2__PRT, CYREG_PRT6_PRT +.set SCSI_In__2__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_In__2__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_In__2__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_In__2__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_In__2__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_In__2__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_In__2__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_In__2__PS, CYREG_PRT6_PS +.set SCSI_In__2__SHIFT, 4 +.set SCSI_In__2__SLW, CYREG_PRT6_SLW +.set SCSI_In__3__AG, CYREG_PRT6_AG +.set SCSI_In__3__AMUX, CYREG_PRT6_AMUX +.set SCSI_In__3__BIE, CYREG_PRT6_BIE +.set SCSI_In__3__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_In__3__BYP, CYREG_PRT6_BYP +.set SCSI_In__3__CTL, CYREG_PRT6_CTL +.set SCSI_In__3__DM0, CYREG_PRT6_DM0 +.set SCSI_In__3__DM1, CYREG_PRT6_DM1 +.set SCSI_In__3__DM2, CYREG_PRT6_DM2 +.set SCSI_In__3__DR, CYREG_PRT6_DR +.set SCSI_In__3__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_In__3__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_In__3__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_In__3__MASK, 0x20 +.set SCSI_In__3__PC, CYREG_PRT6_PC5 +.set SCSI_In__3__PORT, 6 +.set SCSI_In__3__PRT, CYREG_PRT6_PRT +.set SCSI_In__3__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_In__3__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_In__3__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_In__3__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_In__3__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_In__3__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_In__3__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_In__3__PS, CYREG_PRT6_PS +.set SCSI_In__3__SHIFT, 5 +.set SCSI_In__3__SLW, CYREG_PRT6_SLW +.set SCSI_In__4__AG, CYREG_PRT6_AG +.set SCSI_In__4__AMUX, CYREG_PRT6_AMUX +.set SCSI_In__4__BIE, CYREG_PRT6_BIE +.set SCSI_In__4__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_In__4__BYP, CYREG_PRT6_BYP +.set SCSI_In__4__CTL, CYREG_PRT6_CTL +.set SCSI_In__4__DM0, CYREG_PRT6_DM0 +.set SCSI_In__4__DM1, CYREG_PRT6_DM1 +.set SCSI_In__4__DM2, CYREG_PRT6_DM2 +.set SCSI_In__4__DR, CYREG_PRT6_DR +.set SCSI_In__4__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_In__4__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_In__4__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_In__4__MASK, 0x40 +.set SCSI_In__4__PC, CYREG_PRT6_PC6 +.set SCSI_In__4__PORT, 6 +.set SCSI_In__4__PRT, CYREG_PRT6_PRT +.set SCSI_In__4__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_In__4__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_In__4__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_In__4__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_In__4__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_In__4__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_In__4__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_In__4__PS, CYREG_PRT6_PS +.set SCSI_In__4__SHIFT, 6 +.set SCSI_In__4__SLW, CYREG_PRT6_SLW +.set SCSI_In__5__AG, CYREG_PRT6_AG +.set SCSI_In__5__AMUX, CYREG_PRT6_AMUX +.set SCSI_In__5__BIE, CYREG_PRT6_BIE +.set SCSI_In__5__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_In__5__BYP, CYREG_PRT6_BYP +.set SCSI_In__5__CTL, CYREG_PRT6_CTL +.set SCSI_In__5__DM0, CYREG_PRT6_DM0 +.set SCSI_In__5__DM1, CYREG_PRT6_DM1 +.set SCSI_In__5__DM2, CYREG_PRT6_DM2 +.set SCSI_In__5__DR, CYREG_PRT6_DR +.set SCSI_In__5__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_In__5__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_In__5__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_In__5__MASK, 0x80 +.set SCSI_In__5__PC, CYREG_PRT6_PC7 +.set SCSI_In__5__PORT, 6 +.set SCSI_In__5__PRT, CYREG_PRT6_PRT +.set SCSI_In__5__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_In__5__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_In__5__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_In__5__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_In__5__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_In__5__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_In__5__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_In__5__PS, CYREG_PRT6_PS +.set SCSI_In__5__SHIFT, 7 +.set SCSI_In__5__SLW, CYREG_PRT6_SLW +.set SCSI_In__6__AG, CYREG_PRT5_AG +.set SCSI_In__6__AMUX, CYREG_PRT5_AMUX +.set SCSI_In__6__BIE, CYREG_PRT5_BIE +.set SCSI_In__6__BIT_MASK, CYREG_PRT5_BIT_MASK +.set SCSI_In__6__BYP, CYREG_PRT5_BYP +.set SCSI_In__6__CTL, CYREG_PRT5_CTL +.set SCSI_In__6__DM0, CYREG_PRT5_DM0 +.set SCSI_In__6__DM1, CYREG_PRT5_DM1 +.set SCSI_In__6__DM2, CYREG_PRT5_DM2 +.set SCSI_In__6__DR, CYREG_PRT5_DR +.set SCSI_In__6__INP_DIS, CYREG_PRT5_INP_DIS +.set SCSI_In__6__LCD_COM_SEG, CYREG_PRT5_LCD_COM_SEG +.set SCSI_In__6__LCD_EN, CYREG_PRT5_LCD_EN +.set SCSI_In__6__MASK, 0x01 +.set SCSI_In__6__PC, CYREG_PRT5_PC0 +.set SCSI_In__6__PORT, 5 +.set SCSI_In__6__PRT, CYREG_PRT5_PRT +.set SCSI_In__6__PRTDSI__CAPS_SEL, CYREG_PRT5_CAPS_SEL +.set SCSI_In__6__PRTDSI__DBL_SYNC_IN, CYREG_PRT5_DBL_SYNC_IN +.set SCSI_In__6__PRTDSI__OE_SEL0, CYREG_PRT5_OE_SEL0 +.set SCSI_In__6__PRTDSI__OE_SEL1, CYREG_PRT5_OE_SEL1 +.set SCSI_In__6__PRTDSI__OUT_SEL0, CYREG_PRT5_OUT_SEL0 +.set SCSI_In__6__PRTDSI__OUT_SEL1, CYREG_PRT5_OUT_SEL1 +.set SCSI_In__6__PRTDSI__SYNC_OUT, CYREG_PRT5_SYNC_OUT +.set SCSI_In__6__PS, CYREG_PRT5_PS +.set SCSI_In__6__SHIFT, 0 +.set SCSI_In__6__SLW, CYREG_PRT5_SLW +.set SCSI_In__7__AG, CYREG_PRT5_AG +.set SCSI_In__7__AMUX, CYREG_PRT5_AMUX +.set SCSI_In__7__BIE, CYREG_PRT5_BIE +.set SCSI_In__7__BIT_MASK, CYREG_PRT5_BIT_MASK +.set SCSI_In__7__BYP, CYREG_PRT5_BYP +.set SCSI_In__7__CTL, CYREG_PRT5_CTL +.set SCSI_In__7__DM0, CYREG_PRT5_DM0 +.set SCSI_In__7__DM1, CYREG_PRT5_DM1 +.set SCSI_In__7__DM2, CYREG_PRT5_DM2 +.set SCSI_In__7__DR, CYREG_PRT5_DR +.set SCSI_In__7__INP_DIS, CYREG_PRT5_INP_DIS +.set SCSI_In__7__LCD_COM_SEG, CYREG_PRT5_LCD_COM_SEG +.set SCSI_In__7__LCD_EN, CYREG_PRT5_LCD_EN +.set SCSI_In__7__MASK, 0x02 +.set SCSI_In__7__PC, CYREG_PRT5_PC1 +.set SCSI_In__7__PORT, 5 +.set SCSI_In__7__PRT, CYREG_PRT5_PRT +.set SCSI_In__7__PRTDSI__CAPS_SEL, CYREG_PRT5_CAPS_SEL +.set SCSI_In__7__PRTDSI__DBL_SYNC_IN, CYREG_PRT5_DBL_SYNC_IN +.set SCSI_In__7__PRTDSI__OE_SEL0, CYREG_PRT5_OE_SEL0 +.set SCSI_In__7__PRTDSI__OE_SEL1, CYREG_PRT5_OE_SEL1 +.set SCSI_In__7__PRTDSI__OUT_SEL0, CYREG_PRT5_OUT_SEL0 +.set SCSI_In__7__PRTDSI__OUT_SEL1, CYREG_PRT5_OUT_SEL1 +.set SCSI_In__7__PRTDSI__SYNC_OUT, CYREG_PRT5_SYNC_OUT +.set SCSI_In__7__PS, CYREG_PRT5_PS +.set SCSI_In__7__SHIFT, 1 +.set SCSI_In__7__SLW, CYREG_PRT5_SLW +.set SCSI_In__8__AG, CYREG_PRT5_AG +.set SCSI_In__8__AMUX, CYREG_PRT5_AMUX +.set SCSI_In__8__BIE, CYREG_PRT5_BIE +.set SCSI_In__8__BIT_MASK, CYREG_PRT5_BIT_MASK +.set SCSI_In__8__BYP, CYREG_PRT5_BYP +.set SCSI_In__8__CTL, CYREG_PRT5_CTL +.set SCSI_In__8__DM0, CYREG_PRT5_DM0 +.set SCSI_In__8__DM1, CYREG_PRT5_DM1 +.set SCSI_In__8__DM2, CYREG_PRT5_DM2 +.set SCSI_In__8__DR, CYREG_PRT5_DR +.set SCSI_In__8__INP_DIS, CYREG_PRT5_INP_DIS +.set SCSI_In__8__LCD_COM_SEG, CYREG_PRT5_LCD_COM_SEG +.set SCSI_In__8__LCD_EN, CYREG_PRT5_LCD_EN +.set SCSI_In__8__MASK, 0x04 +.set SCSI_In__8__PC, CYREG_PRT5_PC2 +.set SCSI_In__8__PORT, 5 +.set SCSI_In__8__PRT, CYREG_PRT5_PRT +.set SCSI_In__8__PRTDSI__CAPS_SEL, CYREG_PRT5_CAPS_SEL +.set SCSI_In__8__PRTDSI__DBL_SYNC_IN, CYREG_PRT5_DBL_SYNC_IN +.set SCSI_In__8__PRTDSI__OE_SEL0, CYREG_PRT5_OE_SEL0 +.set SCSI_In__8__PRTDSI__OE_SEL1, CYREG_PRT5_OE_SEL1 +.set SCSI_In__8__PRTDSI__OUT_SEL0, CYREG_PRT5_OUT_SEL0 +.set SCSI_In__8__PRTDSI__OUT_SEL1, CYREG_PRT5_OUT_SEL1 +.set SCSI_In__8__PRTDSI__SYNC_OUT, CYREG_PRT5_SYNC_OUT +.set SCSI_In__8__PS, CYREG_PRT5_PS +.set SCSI_In__8__SHIFT, 2 +.set SCSI_In__8__SLW, CYREG_PRT5_SLW +.set SCSI_In__9__AG, CYREG_PRT5_AG +.set SCSI_In__9__AMUX, CYREG_PRT5_AMUX +.set SCSI_In__9__BIE, CYREG_PRT5_BIE +.set SCSI_In__9__BIT_MASK, CYREG_PRT5_BIT_MASK +.set SCSI_In__9__BYP, CYREG_PRT5_BYP +.set SCSI_In__9__CTL, CYREG_PRT5_CTL +.set SCSI_In__9__DM0, CYREG_PRT5_DM0 +.set SCSI_In__9__DM1, CYREG_PRT5_DM1 +.set SCSI_In__9__DM2, CYREG_PRT5_DM2 +.set SCSI_In__9__DR, CYREG_PRT5_DR +.set SCSI_In__9__INP_DIS, CYREG_PRT5_INP_DIS +.set SCSI_In__9__LCD_COM_SEG, CYREG_PRT5_LCD_COM_SEG +.set SCSI_In__9__LCD_EN, CYREG_PRT5_LCD_EN +.set SCSI_In__9__MASK, 0x08 +.set SCSI_In__9__PC, CYREG_PRT5_PC3 +.set SCSI_In__9__PORT, 5 +.set SCSI_In__9__PRT, CYREG_PRT5_PRT +.set SCSI_In__9__PRTDSI__CAPS_SEL, CYREG_PRT5_CAPS_SEL +.set SCSI_In__9__PRTDSI__DBL_SYNC_IN, CYREG_PRT5_DBL_SYNC_IN +.set SCSI_In__9__PRTDSI__OE_SEL0, CYREG_PRT5_OE_SEL0 +.set SCSI_In__9__PRTDSI__OE_SEL1, CYREG_PRT5_OE_SEL1 +.set SCSI_In__9__PRTDSI__OUT_SEL0, CYREG_PRT5_OUT_SEL0 +.set SCSI_In__9__PRTDSI__OUT_SEL1, CYREG_PRT5_OUT_SEL1 +.set SCSI_In__9__PRTDSI__SYNC_OUT, CYREG_PRT5_SYNC_OUT +.set SCSI_In__9__PS, CYREG_PRT5_PS +.set SCSI_In__9__SHIFT, 3 +.set SCSI_In__9__SLW, CYREG_PRT5_SLW +.set SCSI_In__ACK__AG, CYREG_PRT6_AG +.set SCSI_In__ACK__AMUX, CYREG_PRT6_AMUX +.set SCSI_In__ACK__BIE, CYREG_PRT6_BIE +.set SCSI_In__ACK__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_In__ACK__BYP, CYREG_PRT6_BYP +.set SCSI_In__ACK__CTL, CYREG_PRT6_CTL +.set SCSI_In__ACK__DM0, CYREG_PRT6_DM0 +.set SCSI_In__ACK__DM1, CYREG_PRT6_DM1 +.set SCSI_In__ACK__DM2, CYREG_PRT6_DM2 +.set SCSI_In__ACK__DR, CYREG_PRT6_DR +.set SCSI_In__ACK__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_In__ACK__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_In__ACK__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_In__ACK__MASK, 0x20 +.set SCSI_In__ACK__PC, CYREG_PRT6_PC5 +.set SCSI_In__ACK__PORT, 6 +.set SCSI_In__ACK__PRT, CYREG_PRT6_PRT +.set SCSI_In__ACK__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_In__ACK__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_In__ACK__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_In__ACK__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_In__ACK__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_In__ACK__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_In__ACK__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_In__ACK__PS, CYREG_PRT6_PS +.set SCSI_In__ACK__SHIFT, 5 +.set SCSI_In__ACK__SLW, CYREG_PRT6_SLW +.set SCSI_In__ATN__AG, CYREG_PRT12_AG +.set SCSI_In__ATN__BIE, CYREG_PRT12_BIE +.set SCSI_In__ATN__BIT_MASK, CYREG_PRT12_BIT_MASK +.set SCSI_In__ATN__BYP, CYREG_PRT12_BYP +.set SCSI_In__ATN__DM0, CYREG_PRT12_DM0 +.set SCSI_In__ATN__DM1, CYREG_PRT12_DM1 +.set SCSI_In__ATN__DM2, CYREG_PRT12_DM2 +.set SCSI_In__ATN__DR, CYREG_PRT12_DR +.set SCSI_In__ATN__INP_DIS, CYREG_PRT12_INP_DIS +.set SCSI_In__ATN__MASK, 0x20 +.set SCSI_In__ATN__PC, CYREG_PRT12_PC5 +.set SCSI_In__ATN__PORT, 12 +.set SCSI_In__ATN__PRT, CYREG_PRT12_PRT +.set SCSI_In__ATN__PRTDSI__DBL_SYNC_IN, CYREG_PRT12_DBL_SYNC_IN +.set SCSI_In__ATN__PRTDSI__OE_SEL0, CYREG_PRT12_OE_SEL0 +.set SCSI_In__ATN__PRTDSI__OE_SEL1, CYREG_PRT12_OE_SEL1 +.set SCSI_In__ATN__PRTDSI__OUT_SEL0, CYREG_PRT12_OUT_SEL0 +.set SCSI_In__ATN__PRTDSI__OUT_SEL1, CYREG_PRT12_OUT_SEL1 +.set SCSI_In__ATN__PRTDSI__SYNC_OUT, CYREG_PRT12_SYNC_OUT +.set SCSI_In__ATN__PS, CYREG_PRT12_PS +.set SCSI_In__ATN__SHIFT, 5 +.set SCSI_In__ATN__SIO_CFG, CYREG_PRT12_SIO_CFG +.set SCSI_In__ATN__SIO_DIFF, CYREG_PRT12_SIO_DIFF +.set SCSI_In__ATN__SIO_HYST_EN, CYREG_PRT12_SIO_HYST_EN +.set SCSI_In__ATN__SIO_REG_HIFREQ, CYREG_PRT12_SIO_REG_HIFREQ +.set SCSI_In__ATN__SLW, CYREG_PRT12_SLW +.set SCSI_In__BSY__AG, CYREG_PRT6_AG +.set SCSI_In__BSY__AMUX, CYREG_PRT6_AMUX +.set SCSI_In__BSY__BIE, CYREG_PRT6_BIE +.set SCSI_In__BSY__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_In__BSY__BYP, CYREG_PRT6_BYP +.set SCSI_In__BSY__CTL, CYREG_PRT6_CTL +.set SCSI_In__BSY__DM0, CYREG_PRT6_DM0 +.set SCSI_In__BSY__DM1, CYREG_PRT6_DM1 +.set SCSI_In__BSY__DM2, CYREG_PRT6_DM2 +.set SCSI_In__BSY__DR, CYREG_PRT6_DR +.set SCSI_In__BSY__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_In__BSY__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_In__BSY__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_In__BSY__MASK, 0x10 +.set SCSI_In__BSY__PC, CYREG_PRT6_PC4 +.set SCSI_In__BSY__PORT, 6 +.set SCSI_In__BSY__PRT, CYREG_PRT6_PRT +.set SCSI_In__BSY__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_In__BSY__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_In__BSY__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_In__BSY__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_In__BSY__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_In__BSY__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_In__BSY__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_In__BSY__PS, CYREG_PRT6_PS +.set SCSI_In__BSY__SHIFT, 4 +.set SCSI_In__BSY__SLW, CYREG_PRT6_SLW +.set SCSI_In__CD__AG, CYREG_PRT5_AG +.set SCSI_In__CD__AMUX, CYREG_PRT5_AMUX +.set SCSI_In__CD__BIE, CYREG_PRT5_BIE +.set SCSI_In__CD__BIT_MASK, CYREG_PRT5_BIT_MASK +.set SCSI_In__CD__BYP, CYREG_PRT5_BYP +.set SCSI_In__CD__CTL, CYREG_PRT5_CTL +.set SCSI_In__CD__DM0, CYREG_PRT5_DM0 +.set SCSI_In__CD__DM1, CYREG_PRT5_DM1 +.set SCSI_In__CD__DM2, CYREG_PRT5_DM2 +.set SCSI_In__CD__DR, CYREG_PRT5_DR +.set SCSI_In__CD__INP_DIS, CYREG_PRT5_INP_DIS +.set SCSI_In__CD__LCD_COM_SEG, CYREG_PRT5_LCD_COM_SEG +.set SCSI_In__CD__LCD_EN, CYREG_PRT5_LCD_EN +.set SCSI_In__CD__MASK, 0x02 +.set SCSI_In__CD__PC, CYREG_PRT5_PC1 +.set SCSI_In__CD__PORT, 5 +.set SCSI_In__CD__PRT, CYREG_PRT5_PRT +.set SCSI_In__CD__PRTDSI__CAPS_SEL, CYREG_PRT5_CAPS_SEL +.set SCSI_In__CD__PRTDSI__DBL_SYNC_IN, CYREG_PRT5_DBL_SYNC_IN +.set SCSI_In__CD__PRTDSI__OE_SEL0, CYREG_PRT5_OE_SEL0 +.set SCSI_In__CD__PRTDSI__OE_SEL1, CYREG_PRT5_OE_SEL1 +.set SCSI_In__CD__PRTDSI__OUT_SEL0, CYREG_PRT5_OUT_SEL0 +.set SCSI_In__CD__PRTDSI__OUT_SEL1, CYREG_PRT5_OUT_SEL1 +.set SCSI_In__CD__PRTDSI__SYNC_OUT, CYREG_PRT5_SYNC_OUT +.set SCSI_In__CD__PS, CYREG_PRT5_PS +.set SCSI_In__CD__SHIFT, 1 +.set SCSI_In__CD__SLW, CYREG_PRT5_SLW +.set SCSI_In__DBP__AG, CYREG_PRT12_AG +.set SCSI_In__DBP__BIE, CYREG_PRT12_BIE +.set SCSI_In__DBP__BIT_MASK, CYREG_PRT12_BIT_MASK +.set SCSI_In__DBP__BYP, CYREG_PRT12_BYP +.set SCSI_In__DBP__DM0, CYREG_PRT12_DM0 +.set SCSI_In__DBP__DM1, CYREG_PRT12_DM1 +.set SCSI_In__DBP__DM2, CYREG_PRT12_DM2 +.set SCSI_In__DBP__DR, CYREG_PRT12_DR +.set SCSI_In__DBP__INP_DIS, CYREG_PRT12_INP_DIS +.set SCSI_In__DBP__MASK, 0x10 +.set SCSI_In__DBP__PC, CYREG_PRT12_PC4 +.set SCSI_In__DBP__PORT, 12 +.set SCSI_In__DBP__PRT, CYREG_PRT12_PRT +.set SCSI_In__DBP__PRTDSI__DBL_SYNC_IN, CYREG_PRT12_DBL_SYNC_IN +.set SCSI_In__DBP__PRTDSI__OE_SEL0, CYREG_PRT12_OE_SEL0 +.set SCSI_In__DBP__PRTDSI__OE_SEL1, CYREG_PRT12_OE_SEL1 +.set SCSI_In__DBP__PRTDSI__OUT_SEL0, CYREG_PRT12_OUT_SEL0 +.set SCSI_In__DBP__PRTDSI__OUT_SEL1, CYREG_PRT12_OUT_SEL1 +.set SCSI_In__DBP__PRTDSI__SYNC_OUT, CYREG_PRT12_SYNC_OUT +.set SCSI_In__DBP__PS, CYREG_PRT12_PS +.set SCSI_In__DBP__SHIFT, 4 +.set SCSI_In__DBP__SIO_CFG, CYREG_PRT12_SIO_CFG +.set SCSI_In__DBP__SIO_DIFF, CYREG_PRT12_SIO_DIFF +.set SCSI_In__DBP__SIO_HYST_EN, CYREG_PRT12_SIO_HYST_EN +.set SCSI_In__DBP__SIO_REG_HIFREQ, CYREG_PRT12_SIO_REG_HIFREQ +.set SCSI_In__DBP__SLW, CYREG_PRT12_SLW +.set SCSI_In__IO__AG, CYREG_PRT5_AG +.set SCSI_In__IO__AMUX, CYREG_PRT5_AMUX +.set SCSI_In__IO__BIE, CYREG_PRT5_BIE +.set SCSI_In__IO__BIT_MASK, CYREG_PRT5_BIT_MASK +.set SCSI_In__IO__BYP, CYREG_PRT5_BYP +.set SCSI_In__IO__CTL, CYREG_PRT5_CTL +.set SCSI_In__IO__DM0, CYREG_PRT5_DM0 +.set SCSI_In__IO__DM1, CYREG_PRT5_DM1 +.set SCSI_In__IO__DM2, CYREG_PRT5_DM2 +.set SCSI_In__IO__DR, CYREG_PRT5_DR +.set SCSI_In__IO__INP_DIS, CYREG_PRT5_INP_DIS +.set SCSI_In__IO__LCD_COM_SEG, CYREG_PRT5_LCD_COM_SEG +.set SCSI_In__IO__LCD_EN, CYREG_PRT5_LCD_EN +.set SCSI_In__IO__MASK, 0x08 +.set SCSI_In__IO__PC, CYREG_PRT5_PC3 +.set SCSI_In__IO__PORT, 5 +.set SCSI_In__IO__PRT, CYREG_PRT5_PRT +.set SCSI_In__IO__PRTDSI__CAPS_SEL, CYREG_PRT5_CAPS_SEL +.set SCSI_In__IO__PRTDSI__DBL_SYNC_IN, CYREG_PRT5_DBL_SYNC_IN +.set SCSI_In__IO__PRTDSI__OE_SEL0, CYREG_PRT5_OE_SEL0 +.set SCSI_In__IO__PRTDSI__OE_SEL1, CYREG_PRT5_OE_SEL1 +.set SCSI_In__IO__PRTDSI__OUT_SEL0, CYREG_PRT5_OUT_SEL0 +.set SCSI_In__IO__PRTDSI__OUT_SEL1, CYREG_PRT5_OUT_SEL1 +.set SCSI_In__IO__PRTDSI__SYNC_OUT, CYREG_PRT5_SYNC_OUT +.set SCSI_In__IO__PS, CYREG_PRT5_PS +.set SCSI_In__IO__SHIFT, 3 +.set SCSI_In__IO__SLW, CYREG_PRT5_SLW +.set SCSI_In__MSG__AG, CYREG_PRT6_AG +.set SCSI_In__MSG__AMUX, CYREG_PRT6_AMUX +.set SCSI_In__MSG__BIE, CYREG_PRT6_BIE +.set SCSI_In__MSG__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_In__MSG__BYP, CYREG_PRT6_BYP +.set SCSI_In__MSG__CTL, CYREG_PRT6_CTL +.set SCSI_In__MSG__DM0, CYREG_PRT6_DM0 +.set SCSI_In__MSG__DM1, CYREG_PRT6_DM1 +.set SCSI_In__MSG__DM2, CYREG_PRT6_DM2 +.set SCSI_In__MSG__DR, CYREG_PRT6_DR +.set SCSI_In__MSG__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_In__MSG__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_In__MSG__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_In__MSG__MASK, 0x80 +.set SCSI_In__MSG__PC, CYREG_PRT6_PC7 +.set SCSI_In__MSG__PORT, 6 +.set SCSI_In__MSG__PRT, CYREG_PRT6_PRT +.set SCSI_In__MSG__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_In__MSG__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_In__MSG__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_In__MSG__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_In__MSG__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_In__MSG__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_In__MSG__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_In__MSG__PS, CYREG_PRT6_PS +.set SCSI_In__MSG__SHIFT, 7 +.set SCSI_In__MSG__SLW, CYREG_PRT6_SLW +.set SCSI_In__REQ__AG, CYREG_PRT5_AG +.set SCSI_In__REQ__AMUX, CYREG_PRT5_AMUX +.set SCSI_In__REQ__BIE, CYREG_PRT5_BIE +.set SCSI_In__REQ__BIT_MASK, CYREG_PRT5_BIT_MASK +.set SCSI_In__REQ__BYP, CYREG_PRT5_BYP +.set SCSI_In__REQ__CTL, CYREG_PRT5_CTL +.set SCSI_In__REQ__DM0, CYREG_PRT5_DM0 +.set SCSI_In__REQ__DM1, CYREG_PRT5_DM1 +.set SCSI_In__REQ__DM2, CYREG_PRT5_DM2 +.set SCSI_In__REQ__DR, CYREG_PRT5_DR +.set SCSI_In__REQ__INP_DIS, CYREG_PRT5_INP_DIS +.set SCSI_In__REQ__LCD_COM_SEG, CYREG_PRT5_LCD_COM_SEG +.set SCSI_In__REQ__LCD_EN, CYREG_PRT5_LCD_EN +.set SCSI_In__REQ__MASK, 0x04 +.set SCSI_In__REQ__PC, CYREG_PRT5_PC2 +.set SCSI_In__REQ__PORT, 5 +.set SCSI_In__REQ__PRT, CYREG_PRT5_PRT +.set SCSI_In__REQ__PRTDSI__CAPS_SEL, CYREG_PRT5_CAPS_SEL +.set SCSI_In__REQ__PRTDSI__DBL_SYNC_IN, CYREG_PRT5_DBL_SYNC_IN +.set SCSI_In__REQ__PRTDSI__OE_SEL0, CYREG_PRT5_OE_SEL0 +.set SCSI_In__REQ__PRTDSI__OE_SEL1, CYREG_PRT5_OE_SEL1 +.set SCSI_In__REQ__PRTDSI__OUT_SEL0, CYREG_PRT5_OUT_SEL0 +.set SCSI_In__REQ__PRTDSI__OUT_SEL1, CYREG_PRT5_OUT_SEL1 +.set SCSI_In__REQ__PRTDSI__SYNC_OUT, CYREG_PRT5_SYNC_OUT +.set SCSI_In__REQ__PS, CYREG_PRT5_PS +.set SCSI_In__REQ__SHIFT, 2 +.set SCSI_In__REQ__SLW, CYREG_PRT5_SLW +.set SCSI_In__RST__AG, CYREG_PRT6_AG +.set SCSI_In__RST__AMUX, CYREG_PRT6_AMUX +.set SCSI_In__RST__BIE, CYREG_PRT6_BIE +.set SCSI_In__RST__BIT_MASK, CYREG_PRT6_BIT_MASK +.set SCSI_In__RST__BYP, CYREG_PRT6_BYP +.set SCSI_In__RST__CTL, CYREG_PRT6_CTL +.set SCSI_In__RST__DM0, CYREG_PRT6_DM0 +.set SCSI_In__RST__DM1, CYREG_PRT6_DM1 +.set SCSI_In__RST__DM2, CYREG_PRT6_DM2 +.set SCSI_In__RST__DR, CYREG_PRT6_DR +.set SCSI_In__RST__INP_DIS, CYREG_PRT6_INP_DIS +.set SCSI_In__RST__LCD_COM_SEG, CYREG_PRT6_LCD_COM_SEG +.set SCSI_In__RST__LCD_EN, CYREG_PRT6_LCD_EN +.set SCSI_In__RST__MASK, 0x40 +.set SCSI_In__RST__PC, CYREG_PRT6_PC6 +.set SCSI_In__RST__PORT, 6 +.set SCSI_In__RST__PRT, CYREG_PRT6_PRT +.set SCSI_In__RST__PRTDSI__CAPS_SEL, CYREG_PRT6_CAPS_SEL +.set SCSI_In__RST__PRTDSI__DBL_SYNC_IN, CYREG_PRT6_DBL_SYNC_IN +.set SCSI_In__RST__PRTDSI__OE_SEL0, CYREG_PRT6_OE_SEL0 +.set SCSI_In__RST__PRTDSI__OE_SEL1, CYREG_PRT6_OE_SEL1 +.set SCSI_In__RST__PRTDSI__OUT_SEL0, CYREG_PRT6_OUT_SEL0 +.set SCSI_In__RST__PRTDSI__OUT_SEL1, CYREG_PRT6_OUT_SEL1 +.set SCSI_In__RST__PRTDSI__SYNC_OUT, CYREG_PRT6_SYNC_OUT +.set SCSI_In__RST__PS, CYREG_PRT6_PS +.set SCSI_In__RST__SHIFT, 6 +.set SCSI_In__RST__SLW, CYREG_PRT6_SLW +.set SCSI_In__SEL__AG, CYREG_PRT5_AG +.set SCSI_In__SEL__AMUX, CYREG_PRT5_AMUX +.set SCSI_In__SEL__BIE, CYREG_PRT5_BIE +.set SCSI_In__SEL__BIT_MASK, CYREG_PRT5_BIT_MASK +.set SCSI_In__SEL__BYP, CYREG_PRT5_BYP +.set SCSI_In__SEL__CTL, CYREG_PRT5_CTL +.set SCSI_In__SEL__DM0, CYREG_PRT5_DM0 +.set SCSI_In__SEL__DM1, CYREG_PRT5_DM1 +.set SCSI_In__SEL__DM2, CYREG_PRT5_DM2 +.set SCSI_In__SEL__DR, CYREG_PRT5_DR +.set SCSI_In__SEL__INP_DIS, CYREG_PRT5_INP_DIS +.set SCSI_In__SEL__LCD_COM_SEG, CYREG_PRT5_LCD_COM_SEG +.set SCSI_In__SEL__LCD_EN, CYREG_PRT5_LCD_EN +.set SCSI_In__SEL__MASK, 0x01 +.set SCSI_In__SEL__PC, CYREG_PRT5_PC0 +.set SCSI_In__SEL__PORT, 5 +.set SCSI_In__SEL__PRT, CYREG_PRT5_PRT +.set SCSI_In__SEL__PRTDSI__CAPS_SEL, CYREG_PRT5_CAPS_SEL +.set SCSI_In__SEL__PRTDSI__DBL_SYNC_IN, CYREG_PRT5_DBL_SYNC_IN +.set SCSI_In__SEL__PRTDSI__OE_SEL0, CYREG_PRT5_OE_SEL0 +.set SCSI_In__SEL__PRTDSI__OE_SEL1, CYREG_PRT5_OE_SEL1 +.set SCSI_In__SEL__PRTDSI__OUT_SEL0, CYREG_PRT5_OUT_SEL0 +.set SCSI_In__SEL__PRTDSI__OUT_SEL1, CYREG_PRT5_OUT_SEL1 +.set SCSI_In__SEL__PRTDSI__SYNC_OUT, CYREG_PRT5_SYNC_OUT +.set SCSI_In__SEL__PS, CYREG_PRT5_PS +.set SCSI_In__SEL__SHIFT, 0 +.set SCSI_In__SEL__SLW, CYREG_PRT5_SLW + +/* SD_DAT1 */ +.set SD_DAT1__0__MASK, 0x20 +.set SD_DAT1__0__PC, CYREG_PRT3_PC5 +.set SD_DAT1__0__PORT, 3 +.set SD_DAT1__0__SHIFT, 5 +.set SD_DAT1__AG, CYREG_PRT3_AG +.set SD_DAT1__AMUX, CYREG_PRT3_AMUX +.set SD_DAT1__BIE, CYREG_PRT3_BIE +.set SD_DAT1__BIT_MASK, CYREG_PRT3_BIT_MASK +.set SD_DAT1__BYP, CYREG_PRT3_BYP +.set SD_DAT1__CTL, CYREG_PRT3_CTL +.set SD_DAT1__DM0, CYREG_PRT3_DM0 +.set SD_DAT1__DM1, CYREG_PRT3_DM1 +.set SD_DAT1__DM2, CYREG_PRT3_DM2 +.set SD_DAT1__DR, CYREG_PRT3_DR +.set SD_DAT1__INP_DIS, CYREG_PRT3_INP_DIS +.set SD_DAT1__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG +.set SD_DAT1__LCD_EN, CYREG_PRT3_LCD_EN +.set SD_DAT1__MASK, 0x20 +.set SD_DAT1__PORT, 3 +.set SD_DAT1__PRT, CYREG_PRT3_PRT +.set SD_DAT1__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL +.set SD_DAT1__PRTDSI__DBL_SYNC_IN, CYREG_PRT3_DBL_SYNC_IN +.set SD_DAT1__PRTDSI__OE_SEL0, CYREG_PRT3_OE_SEL0 +.set SD_DAT1__PRTDSI__OE_SEL1, CYREG_PRT3_OE_SEL1 +.set SD_DAT1__PRTDSI__OUT_SEL0, CYREG_PRT3_OUT_SEL0 +.set SD_DAT1__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 +.set SD_DAT1__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT +.set SD_DAT1__PS, CYREG_PRT3_PS +.set SD_DAT1__SHIFT, 5 +.set SD_DAT1__SLW, CYREG_PRT3_SLW + +/* SD_DAT2 */ +.set SD_DAT2__0__MASK, 0x01 +.set SD_DAT2__0__PC, CYREG_PRT3_PC0 +.set SD_DAT2__0__PORT, 3 +.set SD_DAT2__0__SHIFT, 0 +.set SD_DAT2__AG, CYREG_PRT3_AG +.set SD_DAT2__AMUX, CYREG_PRT3_AMUX +.set SD_DAT2__BIE, CYREG_PRT3_BIE +.set SD_DAT2__BIT_MASK, CYREG_PRT3_BIT_MASK +.set SD_DAT2__BYP, CYREG_PRT3_BYP +.set SD_DAT2__CTL, CYREG_PRT3_CTL +.set SD_DAT2__DM0, CYREG_PRT3_DM0 +.set SD_DAT2__DM1, CYREG_PRT3_DM1 +.set SD_DAT2__DM2, CYREG_PRT3_DM2 +.set SD_DAT2__DR, CYREG_PRT3_DR +.set SD_DAT2__INP_DIS, CYREG_PRT3_INP_DIS +.set SD_DAT2__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG +.set SD_DAT2__LCD_EN, CYREG_PRT3_LCD_EN +.set SD_DAT2__MASK, 0x01 +.set SD_DAT2__PORT, 3 +.set SD_DAT2__PRT, CYREG_PRT3_PRT +.set SD_DAT2__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL +.set SD_DAT2__PRTDSI__DBL_SYNC_IN, CYREG_PRT3_DBL_SYNC_IN +.set SD_DAT2__PRTDSI__OE_SEL0, CYREG_PRT3_OE_SEL0 +.set SD_DAT2__PRTDSI__OE_SEL1, CYREG_PRT3_OE_SEL1 +.set SD_DAT2__PRTDSI__OUT_SEL0, CYREG_PRT3_OUT_SEL0 +.set SD_DAT2__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 +.set SD_DAT2__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT +.set SD_DAT2__PS, CYREG_PRT3_PS +.set SD_DAT2__SHIFT, 0 +.set SD_DAT2__SLW, CYREG_PRT3_SLW + +/* SD_MISO */ +.set SD_MISO__0__MASK, 0x10 +.set SD_MISO__0__PC, CYREG_PRT3_PC4 +.set SD_MISO__0__PORT, 3 +.set SD_MISO__0__SHIFT, 4 +.set SD_MISO__AG, CYREG_PRT3_AG +.set SD_MISO__AMUX, CYREG_PRT3_AMUX +.set SD_MISO__BIE, CYREG_PRT3_BIE +.set SD_MISO__BIT_MASK, CYREG_PRT3_BIT_MASK +.set SD_MISO__BYP, CYREG_PRT3_BYP +.set SD_MISO__CTL, CYREG_PRT3_CTL +.set SD_MISO__DM0, CYREG_PRT3_DM0 +.set SD_MISO__DM1, CYREG_PRT3_DM1 +.set SD_MISO__DM2, CYREG_PRT3_DM2 +.set SD_MISO__DR, CYREG_PRT3_DR +.set SD_MISO__INP_DIS, CYREG_PRT3_INP_DIS +.set SD_MISO__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG +.set SD_MISO__LCD_EN, CYREG_PRT3_LCD_EN +.set SD_MISO__MASK, 0x10 +.set SD_MISO__PORT, 3 +.set SD_MISO__PRT, CYREG_PRT3_PRT +.set SD_MISO__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL +.set SD_MISO__PRTDSI__DBL_SYNC_IN, CYREG_PRT3_DBL_SYNC_IN +.set SD_MISO__PRTDSI__OE_SEL0, CYREG_PRT3_OE_SEL0 +.set SD_MISO__PRTDSI__OE_SEL1, CYREG_PRT3_OE_SEL1 +.set SD_MISO__PRTDSI__OUT_SEL0, CYREG_PRT3_OUT_SEL0 +.set SD_MISO__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 +.set SD_MISO__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT +.set SD_MISO__PS, CYREG_PRT3_PS +.set SD_MISO__SHIFT, 4 +.set SD_MISO__SLW, CYREG_PRT3_SLW + +/* SD_MOSI */ +.set SD_MOSI__0__MASK, 0x04 +.set SD_MOSI__0__PC, CYREG_PRT3_PC2 +.set SD_MOSI__0__PORT, 3 +.set SD_MOSI__0__SHIFT, 2 +.set SD_MOSI__AG, CYREG_PRT3_AG +.set SD_MOSI__AMUX, CYREG_PRT3_AMUX +.set SD_MOSI__BIE, CYREG_PRT3_BIE +.set SD_MOSI__BIT_MASK, CYREG_PRT3_BIT_MASK +.set SD_MOSI__BYP, CYREG_PRT3_BYP +.set SD_MOSI__CTL, CYREG_PRT3_CTL +.set SD_MOSI__DM0, CYREG_PRT3_DM0 +.set SD_MOSI__DM1, CYREG_PRT3_DM1 +.set SD_MOSI__DM2, CYREG_PRT3_DM2 +.set SD_MOSI__DR, CYREG_PRT3_DR +.set SD_MOSI__INP_DIS, CYREG_PRT3_INP_DIS +.set SD_MOSI__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG +.set SD_MOSI__LCD_EN, CYREG_PRT3_LCD_EN +.set SD_MOSI__MASK, 0x04 +.set SD_MOSI__PORT, 3 +.set SD_MOSI__PRT, CYREG_PRT3_PRT +.set SD_MOSI__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL +.set SD_MOSI__PRTDSI__DBL_SYNC_IN, CYREG_PRT3_DBL_SYNC_IN +.set SD_MOSI__PRTDSI__OE_SEL0, CYREG_PRT3_OE_SEL0 +.set SD_MOSI__PRTDSI__OE_SEL1, CYREG_PRT3_OE_SEL1 +.set SD_MOSI__PRTDSI__OUT_SEL0, CYREG_PRT3_OUT_SEL0 +.set SD_MOSI__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 +.set SD_MOSI__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT +.set SD_MOSI__PS, CYREG_PRT3_PS +.set SD_MOSI__SHIFT, 2 +.set SD_MOSI__SLW, CYREG_PRT3_SLW + +/* SD_SCK */ +.set SD_SCK__0__MASK, 0x08 +.set SD_SCK__0__PC, CYREG_PRT3_PC3 +.set SD_SCK__0__PORT, 3 +.set SD_SCK__0__SHIFT, 3 +.set SD_SCK__AG, CYREG_PRT3_AG +.set SD_SCK__AMUX, CYREG_PRT3_AMUX +.set SD_SCK__BIE, CYREG_PRT3_BIE +.set SD_SCK__BIT_MASK, CYREG_PRT3_BIT_MASK +.set SD_SCK__BYP, CYREG_PRT3_BYP +.set SD_SCK__CTL, CYREG_PRT3_CTL +.set SD_SCK__DM0, CYREG_PRT3_DM0 +.set SD_SCK__DM1, CYREG_PRT3_DM1 +.set SD_SCK__DM2, CYREG_PRT3_DM2 +.set SD_SCK__DR, CYREG_PRT3_DR +.set SD_SCK__INP_DIS, CYREG_PRT3_INP_DIS +.set SD_SCK__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG +.set SD_SCK__LCD_EN, CYREG_PRT3_LCD_EN +.set SD_SCK__MASK, 0x08 +.set SD_SCK__PORT, 3 +.set SD_SCK__PRT, CYREG_PRT3_PRT +.set SD_SCK__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL +.set SD_SCK__PRTDSI__DBL_SYNC_IN, CYREG_PRT3_DBL_SYNC_IN +.set SD_SCK__PRTDSI__OE_SEL0, CYREG_PRT3_OE_SEL0 +.set SD_SCK__PRTDSI__OE_SEL1, CYREG_PRT3_OE_SEL1 +.set SD_SCK__PRTDSI__OUT_SEL0, CYREG_PRT3_OUT_SEL0 +.set SD_SCK__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 +.set SD_SCK__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT +.set SD_SCK__PS, CYREG_PRT3_PS +.set SD_SCK__SHIFT, 3 +.set SD_SCK__SLW, CYREG_PRT3_SLW + +/* SD_CD */ +.set SD_CD__0__MASK, 0x40 +.set SD_CD__0__PC, CYREG_PRT3_PC6 +.set SD_CD__0__PORT, 3 +.set SD_CD__0__SHIFT, 6 +.set SD_CD__AG, CYREG_PRT3_AG +.set SD_CD__AMUX, CYREG_PRT3_AMUX +.set SD_CD__BIE, CYREG_PRT3_BIE +.set SD_CD__BIT_MASK, CYREG_PRT3_BIT_MASK +.set SD_CD__BYP, CYREG_PRT3_BYP +.set SD_CD__CTL, CYREG_PRT3_CTL +.set SD_CD__DM0, CYREG_PRT3_DM0 +.set SD_CD__DM1, CYREG_PRT3_DM1 +.set SD_CD__DM2, CYREG_PRT3_DM2 +.set SD_CD__DR, CYREG_PRT3_DR +.set SD_CD__INP_DIS, CYREG_PRT3_INP_DIS +.set SD_CD__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG +.set SD_CD__LCD_EN, CYREG_PRT3_LCD_EN +.set SD_CD__MASK, 0x40 +.set SD_CD__PORT, 3 +.set SD_CD__PRT, CYREG_PRT3_PRT +.set SD_CD__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL +.set SD_CD__PRTDSI__DBL_SYNC_IN, CYREG_PRT3_DBL_SYNC_IN +.set SD_CD__PRTDSI__OE_SEL0, CYREG_PRT3_OE_SEL0 +.set SD_CD__PRTDSI__OE_SEL1, CYREG_PRT3_OE_SEL1 +.set SD_CD__PRTDSI__OUT_SEL0, CYREG_PRT3_OUT_SEL0 +.set SD_CD__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 +.set SD_CD__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT +.set SD_CD__PS, CYREG_PRT3_PS +.set SD_CD__SHIFT, 6 +.set SD_CD__SLW, CYREG_PRT3_SLW + +/* SD_CS */ +.set SD_CS__0__MASK, 0x02 +.set SD_CS__0__PC, CYREG_PRT3_PC1 +.set SD_CS__0__PORT, 3 +.set SD_CS__0__SHIFT, 1 +.set SD_CS__AG, CYREG_PRT3_AG +.set SD_CS__AMUX, CYREG_PRT3_AMUX +.set SD_CS__BIE, CYREG_PRT3_BIE +.set SD_CS__BIT_MASK, CYREG_PRT3_BIT_MASK +.set SD_CS__BYP, CYREG_PRT3_BYP +.set SD_CS__CTL, CYREG_PRT3_CTL +.set SD_CS__DM0, CYREG_PRT3_DM0 +.set SD_CS__DM1, CYREG_PRT3_DM1 +.set SD_CS__DM2, CYREG_PRT3_DM2 +.set SD_CS__DR, CYREG_PRT3_DR +.set SD_CS__INP_DIS, CYREG_PRT3_INP_DIS +.set SD_CS__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG +.set SD_CS__LCD_EN, CYREG_PRT3_LCD_EN +.set SD_CS__MASK, 0x02 +.set SD_CS__PORT, 3 +.set SD_CS__PRT, CYREG_PRT3_PRT +.set SD_CS__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL +.set SD_CS__PRTDSI__DBL_SYNC_IN, CYREG_PRT3_DBL_SYNC_IN +.set SD_CS__PRTDSI__OE_SEL0, CYREG_PRT3_OE_SEL0 +.set SD_CS__PRTDSI__OE_SEL1, CYREG_PRT3_OE_SEL1 +.set SD_CS__PRTDSI__OUT_SEL0, CYREG_PRT3_OUT_SEL0 +.set SD_CS__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 +.set SD_CS__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT +.set SD_CS__PS, CYREG_PRT3_PS +.set SD_CS__SHIFT, 1 +.set SD_CS__SLW, CYREG_PRT3_SLW + +/* SD_WP */ +.set SD_WP__0__MASK, 0x80 +.set SD_WP__0__PC, CYREG_PRT3_PC7 +.set SD_WP__0__PORT, 3 +.set SD_WP__0__SHIFT, 7 +.set SD_WP__AG, CYREG_PRT3_AG +.set SD_WP__AMUX, CYREG_PRT3_AMUX +.set SD_WP__BIE, CYREG_PRT3_BIE +.set SD_WP__BIT_MASK, CYREG_PRT3_BIT_MASK +.set SD_WP__BYP, CYREG_PRT3_BYP +.set SD_WP__CTL, CYREG_PRT3_CTL +.set SD_WP__DM0, CYREG_PRT3_DM0 +.set SD_WP__DM1, CYREG_PRT3_DM1 +.set SD_WP__DM2, CYREG_PRT3_DM2 +.set SD_WP__DR, CYREG_PRT3_DR +.set SD_WP__INP_DIS, CYREG_PRT3_INP_DIS +.set SD_WP__LCD_COM_SEG, CYREG_PRT3_LCD_COM_SEG +.set SD_WP__LCD_EN, CYREG_PRT3_LCD_EN +.set SD_WP__MASK, 0x80 +.set SD_WP__PORT, 3 +.set SD_WP__PRT, CYREG_PRT3_PRT +.set SD_WP__PRTDSI__CAPS_SEL, CYREG_PRT3_CAPS_SEL +.set SD_WP__PRTDSI__DBL_SYNC_IN, CYREG_PRT3_DBL_SYNC_IN +.set SD_WP__PRTDSI__OE_SEL0, CYREG_PRT3_OE_SEL0 +.set SD_WP__PRTDSI__OE_SEL1, CYREG_PRT3_OE_SEL1 +.set SD_WP__PRTDSI__OUT_SEL0, CYREG_PRT3_OUT_SEL0 +.set SD_WP__PRTDSI__OUT_SEL1, CYREG_PRT3_OUT_SEL1 +.set SD_WP__PRTDSI__SYNC_OUT, CYREG_PRT3_SYNC_OUT +.set SD_WP__PS, CYREG_PRT3_PS +.set SD_WP__SHIFT, 7 +.set SD_WP__SLW, CYREG_PRT3_SLW + +/* LED1 */ +.set LED1__0__MASK, 0x08 +.set LED1__0__PC, CYREG_PRT12_PC3 +.set LED1__0__PORT, 12 +.set LED1__0__SHIFT, 3 +.set LED1__AG, CYREG_PRT12_AG +.set LED1__BIE, CYREG_PRT12_BIE +.set LED1__BIT_MASK, CYREG_PRT12_BIT_MASK +.set LED1__BYP, CYREG_PRT12_BYP +.set LED1__DM0, CYREG_PRT12_DM0 +.set LED1__DM1, CYREG_PRT12_DM1 +.set LED1__DM2, CYREG_PRT12_DM2 +.set LED1__DR, CYREG_PRT12_DR +.set LED1__INP_DIS, CYREG_PRT12_INP_DIS +.set LED1__MASK, 0x08 +.set LED1__PORT, 12 +.set LED1__PRT, CYREG_PRT12_PRT +.set LED1__PRTDSI__DBL_SYNC_IN, CYREG_PRT12_DBL_SYNC_IN +.set LED1__PRTDSI__OE_SEL0, CYREG_PRT12_OE_SEL0 +.set LED1__PRTDSI__OE_SEL1, CYREG_PRT12_OE_SEL1 +.set LED1__PRTDSI__OUT_SEL0, CYREG_PRT12_OUT_SEL0 +.set LED1__PRTDSI__OUT_SEL1, CYREG_PRT12_OUT_SEL1 +.set LED1__PRTDSI__SYNC_OUT, CYREG_PRT12_SYNC_OUT +.set LED1__PS, CYREG_PRT12_PS +.set LED1__SHIFT, 3 +.set LED1__SIO_CFG, CYREG_PRT12_SIO_CFG +.set LED1__SIO_DIFF, CYREG_PRT12_SIO_DIFF +.set LED1__SIO_HYST_EN, CYREG_PRT12_SIO_HYST_EN +.set LED1__SIO_REG_HIFREQ, CYREG_PRT12_SIO_REG_HIFREQ +.set LED1__SLW, CYREG_PRT12_SLW + +/* Miscellaneous */ +/* -- WARNING: define names containing LEOPARD or PANTHER are deprecated and will be removed in a future release */ +.set CYDEV_DEBUGGING_DPS_SWD_SWV, 6 +.set CYDEV_CONFIG_UNUSED_IO_AllowButWarn, 0 +.set CYDEV_CONFIGURATION_MODE_COMPRESSED, 0 +.set CYDEV_CONFIG_FASTBOOT_ENABLED, 1 +.set CYDEV_CHIP_REV_PSOC5LP_PRODUCTION, 0 +.set CYDEV_CHIP_REVISION_5B_PRODUCTION, 0 +.set CYDEV_CHIP_MEMBER_5B, 4 +.set CYDEV_CHIP_FAMILY_PSOC5, 3 +.set CYDEV_CHIP_DIE_PSOC5LP, 4 +.set CYDEV_CHIP_DIE_EXPECT, CYDEV_CHIP_DIE_PSOC5LP +.set BCLK__BUS_CLK__HZ, 64000000 +.set BCLK__BUS_CLK__KHZ, 64000 +.set BCLK__BUS_CLK__MHZ, 64 +.set CYDEV_CHIP_DIE_ACTUAL, CYDEV_CHIP_DIE_EXPECT +.set CYDEV_CHIP_DIE_LEOPARD, 1 +.set CYDEV_CHIP_DIE_PANTHER, 3 +.set CYDEV_CHIP_DIE_PSOC4A, 2 +.set CYDEV_CHIP_DIE_UNKNOWN, 0 +.set CYDEV_CHIP_FAMILY_PSOC3, 1 +.set CYDEV_CHIP_FAMILY_PSOC4, 2 +.set CYDEV_CHIP_FAMILY_UNKNOWN, 0 +.set CYDEV_CHIP_FAMILY_USED, CYDEV_CHIP_FAMILY_PSOC5 +.set CYDEV_CHIP_JTAG_ID, 0x2E12F069 +.set CYDEV_CHIP_MEMBER_3A, 1 +.set CYDEV_CHIP_MEMBER_4A, 2 +.set CYDEV_CHIP_MEMBER_5A, 3 +.set CYDEV_CHIP_MEMBER_UNKNOWN, 0 +.set CYDEV_CHIP_MEMBER_USED, CYDEV_CHIP_MEMBER_5B +.set CYDEV_CHIP_REVISION_3A_ES1, 0 +.set CYDEV_CHIP_REVISION_3A_ES2, 1 +.set CYDEV_CHIP_REVISION_3A_ES3, 3 +.set CYDEV_CHIP_REVISION_3A_PRODUCTION, 3 +.set CYDEV_CHIP_REVISION_4A_ES0, 17 +.set CYDEV_CHIP_REVISION_4A_PRODUCTION, 17 +.set CYDEV_CHIP_REVISION_5A_ES0, 0 +.set CYDEV_CHIP_REVISION_5A_ES1, 1 +.set CYDEV_CHIP_REVISION_5A_PRODUCTION, 1 +.set CYDEV_CHIP_REVISION_5B_ES0, 0 +.set CYDEV_CHIP_REVISION_USED, CYDEV_CHIP_REVISION_5B_PRODUCTION +.set CYDEV_CHIP_REV_EXPECT, CYDEV_CHIP_REV_PSOC5LP_PRODUCTION +.set CYDEV_CHIP_REV_LEOPARD_ES1, 0 +.set CYDEV_CHIP_REV_LEOPARD_ES2, 1 +.set CYDEV_CHIP_REV_LEOPARD_ES3, 3 +.set CYDEV_CHIP_REV_LEOPARD_PRODUCTION, 3 +.set CYDEV_CHIP_REV_PANTHER_ES0, 0 +.set CYDEV_CHIP_REV_PANTHER_ES1, 1 +.set CYDEV_CHIP_REV_PANTHER_PRODUCTION, 1 +.set CYDEV_CHIP_REV_PSOC4A_ES0, 17 +.set CYDEV_CHIP_REV_PSOC4A_PRODUCTION, 17 +.set CYDEV_CHIP_REV_PSOC5LP_ES0, 0 +.set CYDEV_CONFIGURATION_COMPRESSED, 1 +.set CYDEV_CONFIGURATION_DMA, 0 +.set CYDEV_CONFIGURATION_ECC, 1 +.set CYDEV_CONFIGURATION_IMOENABLED, CYDEV_CONFIG_FASTBOOT_ENABLED +.set CYDEV_CONFIGURATION_MODE, CYDEV_CONFIGURATION_MODE_COMPRESSED +.set CYDEV_CONFIGURATION_MODE_DMA, 2 +.set CYDEV_CONFIGURATION_MODE_UNCOMPRESSED, 1 +.set CYDEV_CONFIG_UNUSED_IO, CYDEV_CONFIG_UNUSED_IO_AllowButWarn +.set CYDEV_CONFIG_UNUSED_IO_AllowWithInfo, 1 +.set CYDEV_CONFIG_UNUSED_IO_Disallowed, 2 +.set CYDEV_DEBUGGING_DPS, CYDEV_DEBUGGING_DPS_SWD_SWV +.set CYDEV_DEBUGGING_DPS_Disable, 3 +.set CYDEV_DEBUGGING_DPS_JTAG_4, 1 +.set CYDEV_DEBUGGING_DPS_JTAG_5, 0 +.set CYDEV_DEBUGGING_DPS_SWD, 2 +.set CYDEV_DEBUGGING_ENABLE, 1 +.set CYDEV_DEBUGGING_REQXRES, 1 +.set CYDEV_DEBUGGING_XRES, 0 +.set CYDEV_DEBUG_ENABLE_MASK, 0x20 +.set CYDEV_DEBUG_ENABLE_REGISTER, CYREG_MLOGIC_DEBUG +.set CYDEV_DMA_CHANNELS_AVAILABLE, 24 +.set CYDEV_ECC_ENABLE, 0 +.set CYDEV_HEAP_SIZE, 0x1000 +.set CYDEV_INSTRUCT_CACHE_ENABLED, 1 +.set CYDEV_INTR_RISING, 0x00000003 +.set CYDEV_PROJ_TYPE, 0 +.set CYDEV_PROJ_TYPE_BOOTLOADER, 1 +.set CYDEV_PROJ_TYPE_LOADABLE, 2 +.set CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER, 3 +.set CYDEV_PROJ_TYPE_STANDARD, 0 +.set CYDEV_PROTECTION_ENABLE, 0 +.set CYDEV_STACK_SIZE, 0x4000 +.set CYDEV_USE_BUNDLED_CMSIS, 1 +.set CYDEV_VARIABLE_VDDA, 0 +.set CYDEV_VDDA_MV, 5000 +.set CYDEV_VDDD_MV, 5000 +.set CYDEV_VDDIO0_MV, 5000 +.set CYDEV_VDDIO1_MV, 5000 +.set CYDEV_VDDIO2_MV, 5000 +.set CYDEV_VDDIO3_MV, 3300 +.set CYDEV_VIO0, 5 +.set CYDEV_VIO0_MV, 5000 +.set CYDEV_VIO1, 5 +.set CYDEV_VIO1_MV, 5000 +.set CYDEV_VIO2, 5 +.set CYDEV_VIO2_MV, 5000 +.set CYDEV_VIO3_MV, 3300 +.set DMA_CHANNELS_USED__MASK0, 0x00000000 +.set CYDEV_BOOTLOADER_ENABLE, 0 +.endif diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc new file mode 100644 index 0000000..3699982 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc @@ -0,0 +1,1868 @@ + IF :LNOT::DEF:INCLUDED_CYFITTERRV_INC +INCLUDED_CYFITTERRV_INC EQU 1 + GET cydevicerv.inc + GET cydevicerv_trm.inc + +; SDCard_RxInternalInterrupt +SDCard_RxInternalInterrupt__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +SDCard_RxInternalInterrupt__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +SDCard_RxInternalInterrupt__INTC_MASK EQU 0x01 +SDCard_RxInternalInterrupt__INTC_NUMBER EQU 0 +SDCard_RxInternalInterrupt__INTC_PRIOR_NUM EQU 7 +SDCard_RxInternalInterrupt__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_0 +SDCard_RxInternalInterrupt__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +SDCard_RxInternalInterrupt__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; SDCard_TxInternalInterrupt +SDCard_TxInternalInterrupt__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 +SDCard_TxInternalInterrupt__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 +SDCard_TxInternalInterrupt__INTC_MASK EQU 0x02 +SDCard_TxInternalInterrupt__INTC_NUMBER EQU 1 +SDCard_TxInternalInterrupt__INTC_PRIOR_NUM EQU 7 +SDCard_TxInternalInterrupt__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_1 +SDCard_TxInternalInterrupt__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 +SDCard_TxInternalInterrupt__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 + +; SCSI_Out_DBx +SCSI_Out_DBx__0__MASK EQU 0x01 +SCSI_Out_DBx__0__PC EQU CYREG_PRT0_PC0 +SCSI_Out_DBx__0__PORT EQU 0 +SCSI_Out_DBx__0__SHIFT EQU 0 +SCSI_Out_DBx__1__MASK EQU 0x02 +SCSI_Out_DBx__1__PC EQU CYREG_PRT0_PC1 +SCSI_Out_DBx__1__PORT EQU 0 +SCSI_Out_DBx__1__SHIFT EQU 1 +SCSI_Out_DBx__2__MASK EQU 0x04 +SCSI_Out_DBx__2__PC EQU CYREG_PRT0_PC2 +SCSI_Out_DBx__2__PORT EQU 0 +SCSI_Out_DBx__2__SHIFT EQU 2 +SCSI_Out_DBx__3__MASK EQU 0x08 +SCSI_Out_DBx__3__PC EQU CYREG_PRT0_PC3 +SCSI_Out_DBx__3__PORT EQU 0 +SCSI_Out_DBx__3__SHIFT EQU 3 +SCSI_Out_DBx__4__MASK EQU 0x10 +SCSI_Out_DBx__4__PC EQU CYREG_PRT0_PC4 +SCSI_Out_DBx__4__PORT EQU 0 +SCSI_Out_DBx__4__SHIFT EQU 4 +SCSI_Out_DBx__5__MASK EQU 0x20 +SCSI_Out_DBx__5__PC EQU CYREG_PRT0_PC5 +SCSI_Out_DBx__5__PORT EQU 0 +SCSI_Out_DBx__5__SHIFT EQU 5 +SCSI_Out_DBx__6__MASK EQU 0x40 +SCSI_Out_DBx__6__PC EQU CYREG_PRT0_PC6 +SCSI_Out_DBx__6__PORT EQU 0 +SCSI_Out_DBx__6__SHIFT EQU 6 +SCSI_Out_DBx__7__MASK EQU 0x80 +SCSI_Out_DBx__7__PC EQU CYREG_PRT0_PC7 +SCSI_Out_DBx__7__PORT EQU 0 +SCSI_Out_DBx__7__SHIFT EQU 7 +SCSI_Out_DBx__AG EQU CYREG_PRT0_AG +SCSI_Out_DBx__AMUX EQU CYREG_PRT0_AMUX +SCSI_Out_DBx__BIE EQU CYREG_PRT0_BIE +SCSI_Out_DBx__BIT_MASK EQU CYREG_PRT0_BIT_MASK +SCSI_Out_DBx__BYP EQU CYREG_PRT0_BYP +SCSI_Out_DBx__CTL EQU CYREG_PRT0_CTL +SCSI_Out_DBx__DM0 EQU CYREG_PRT0_DM0 +SCSI_Out_DBx__DM1 EQU CYREG_PRT0_DM1 +SCSI_Out_DBx__DM2 EQU CYREG_PRT0_DM2 +SCSI_Out_DBx__DR EQU CYREG_PRT0_DR +SCSI_Out_DBx__INP_DIS EQU CYREG_PRT0_INP_DIS +SCSI_Out_DBx__LCD_COM_SEG EQU CYREG_PRT0_LCD_COM_SEG +SCSI_Out_DBx__LCD_EN EQU CYREG_PRT0_LCD_EN +SCSI_Out_DBx__MASK EQU 0xFF +SCSI_Out_DBx__PORT EQU 0 +SCSI_Out_DBx__PRT EQU CYREG_PRT0_PRT +SCSI_Out_DBx__PRTDSI__CAPS_SEL EQU CYREG_PRT0_CAPS_SEL +SCSI_Out_DBx__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT0_DBL_SYNC_IN +SCSI_Out_DBx__PRTDSI__OE_SEL0 EQU CYREG_PRT0_OE_SEL0 +SCSI_Out_DBx__PRTDSI__OE_SEL1 EQU CYREG_PRT0_OE_SEL1 +SCSI_Out_DBx__PRTDSI__OUT_SEL0 EQU CYREG_PRT0_OUT_SEL0 +SCSI_Out_DBx__PRTDSI__OUT_SEL1 EQU CYREG_PRT0_OUT_SEL1 +SCSI_Out_DBx__PRTDSI__SYNC_OUT EQU CYREG_PRT0_SYNC_OUT +SCSI_Out_DBx__PS EQU CYREG_PRT0_PS +SCSI_Out_DBx__SCSI_Out_DB0__MASK EQU 0x01 +SCSI_Out_DBx__SCSI_Out_DB0__PC EQU CYREG_PRT0_PC0 +SCSI_Out_DBx__SCSI_Out_DB0__PORT EQU 0 +SCSI_Out_DBx__SCSI_Out_DB0__SHIFT EQU 0 +SCSI_Out_DBx__SCSI_Out_DB1__MASK EQU 0x02 +SCSI_Out_DBx__SCSI_Out_DB1__PC EQU CYREG_PRT0_PC1 +SCSI_Out_DBx__SCSI_Out_DB1__PORT EQU 0 +SCSI_Out_DBx__SCSI_Out_DB1__SHIFT EQU 1 +SCSI_Out_DBx__SCSI_Out_DB2__MASK EQU 0x04 +SCSI_Out_DBx__SCSI_Out_DB2__PC EQU CYREG_PRT0_PC2 +SCSI_Out_DBx__SCSI_Out_DB2__PORT EQU 0 +SCSI_Out_DBx__SCSI_Out_DB2__SHIFT EQU 2 +SCSI_Out_DBx__SCSI_Out_DB3__MASK EQU 0x08 +SCSI_Out_DBx__SCSI_Out_DB3__PC EQU CYREG_PRT0_PC3 +SCSI_Out_DBx__SCSI_Out_DB3__PORT EQU 0 +SCSI_Out_DBx__SCSI_Out_DB3__SHIFT EQU 3 +SCSI_Out_DBx__SCSI_Out_DB4__MASK EQU 0x10 +SCSI_Out_DBx__SCSI_Out_DB4__PC EQU CYREG_PRT0_PC4 +SCSI_Out_DBx__SCSI_Out_DB4__PORT EQU 0 +SCSI_Out_DBx__SCSI_Out_DB4__SHIFT EQU 4 +SCSI_Out_DBx__SCSI_Out_DB5__MASK EQU 0x20 +SCSI_Out_DBx__SCSI_Out_DB5__PC EQU CYREG_PRT0_PC5 +SCSI_Out_DBx__SCSI_Out_DB5__PORT EQU 0 +SCSI_Out_DBx__SCSI_Out_DB5__SHIFT EQU 5 +SCSI_Out_DBx__SCSI_Out_DB6__MASK EQU 0x40 +SCSI_Out_DBx__SCSI_Out_DB6__PC EQU CYREG_PRT0_PC6 +SCSI_Out_DBx__SCSI_Out_DB6__PORT EQU 0 +SCSI_Out_DBx__SCSI_Out_DB6__SHIFT EQU 6 +SCSI_Out_DBx__SCSI_Out_DB7__MASK EQU 0x80 +SCSI_Out_DBx__SCSI_Out_DB7__PC EQU CYREG_PRT0_PC7 +SCSI_Out_DBx__SCSI_Out_DB7__PORT EQU 0 +SCSI_Out_DBx__SCSI_Out_DB7__SHIFT EQU 7 +SCSI_Out_DBx__SHIFT EQU 0 +SCSI_Out_DBx__SLW EQU CYREG_PRT0_SLW + +; SDCard_BSPIM +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL +SDCard_BSPIM_BitCounter_ST__16BIT_STATUS_REG EQU CYREG_B1_UDB07_08_ST +SDCard_BSPIM_BitCounter_ST__MASK_REG EQU CYREG_B1_UDB07_MSK +SDCard_BSPIM_BitCounter_ST__MASK_ST_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__PER_ST_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB07_ACTL +SDCard_BSPIM_BitCounter_ST__STATUS_CNT_REG EQU CYREG_B1_UDB07_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_CONTROL_REG EQU CYREG_B1_UDB07_ST_CTL +SDCard_BSPIM_BitCounter_ST__STATUS_REG EQU CYREG_B1_UDB07_ST +SDCard_BSPIM_BitCounter__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_CONTROL_REG EQU CYREG_B1_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_CONTROL_COUNT_REG EQU CYREG_B1_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_CONTROL_REG EQU CYREG_B1_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_COUNT_COUNT_REG EQU CYREG_B1_UDB07_08_CTL +SDCard_BSPIM_BitCounter__16BIT_MASK_MASK_REG EQU CYREG_B1_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_MASK_PERIOD_REG EQU CYREG_B1_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_MASK_REG EQU CYREG_B1_UDB07_08_MSK +SDCard_BSPIM_BitCounter__16BIT_PERIOD_PERIOD_REG EQU CYREG_B1_UDB07_08_MSK +SDCard_BSPIM_BitCounter__CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB07_ACTL +SDCard_BSPIM_BitCounter__CONTROL_REG EQU CYREG_B1_UDB07_CTL +SDCard_BSPIM_BitCounter__CONTROL_ST_REG EQU CYREG_B1_UDB07_ST_CTL +SDCard_BSPIM_BitCounter__COUNT_REG EQU CYREG_B1_UDB07_CTL +SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B1_UDB07_ST_CTL +SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B1_UDB07_MSK +SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB04_05_ACTL +SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB04_05_ST +SDCard_BSPIM_RxStsReg__4__MASK EQU 0x10 +SDCard_BSPIM_RxStsReg__4__POS EQU 4 +SDCard_BSPIM_RxStsReg__5__MASK EQU 0x20 +SDCard_BSPIM_RxStsReg__5__POS EQU 5 +SDCard_BSPIM_RxStsReg__6__MASK EQU 0x40 +SDCard_BSPIM_RxStsReg__6__POS EQU 6 +SDCard_BSPIM_RxStsReg__MASK EQU 0x70 +SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B1_UDB04_MSK +SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB04_ACTL +SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B1_UDB04_ST +SDCard_BSPIM_TxStsReg__0__MASK EQU 0x01 +SDCard_BSPIM_TxStsReg__0__POS EQU 0 +SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_08_ACTL +SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB07_08_ST +SDCard_BSPIM_TxStsReg__1__MASK EQU 0x02 +SDCard_BSPIM_TxStsReg__1__POS EQU 1 +SDCard_BSPIM_TxStsReg__2__MASK EQU 0x04 +SDCard_BSPIM_TxStsReg__2__POS EQU 2 +SDCard_BSPIM_TxStsReg__3__MASK EQU 0x08 +SDCard_BSPIM_TxStsReg__3__POS EQU 3 +SDCard_BSPIM_TxStsReg__4__MASK EQU 0x10 +SDCard_BSPIM_TxStsReg__4__POS EQU 4 +SDCard_BSPIM_TxStsReg__MASK EQU 0x1F +SDCard_BSPIM_TxStsReg__MASK_REG EQU CYREG_B0_UDB07_MSK +SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB07_ACTL +SDCard_BSPIM_TxStsReg__STATUS_REG EQU CYREG_B0_UDB07_ST +SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG EQU CYREG_B1_UDB07_08_A0 +SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG EQU CYREG_B1_UDB07_08_A1 +SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG EQU CYREG_B1_UDB07_08_D0 +SDCard_BSPIM_sR8_Dp_u0__16BIT_D1_REG EQU CYREG_B1_UDB07_08_D1 +SDCard_BSPIM_sR8_Dp_u0__16BIT_DP_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL +SDCard_BSPIM_sR8_Dp_u0__16BIT_F0_REG EQU CYREG_B1_UDB07_08_F0 +SDCard_BSPIM_sR8_Dp_u0__16BIT_F1_REG EQU CYREG_B1_UDB07_08_F1 +SDCard_BSPIM_sR8_Dp_u0__A0_A1_REG EQU CYREG_B1_UDB07_A0_A1 +SDCard_BSPIM_sR8_Dp_u0__A0_REG EQU CYREG_B1_UDB07_A0 +SDCard_BSPIM_sR8_Dp_u0__A1_REG EQU CYREG_B1_UDB07_A1 +SDCard_BSPIM_sR8_Dp_u0__D0_D1_REG EQU CYREG_B1_UDB07_D0_D1 +SDCard_BSPIM_sR8_Dp_u0__D0_REG EQU CYREG_B1_UDB07_D0 +SDCard_BSPIM_sR8_Dp_u0__D1_REG EQU CYREG_B1_UDB07_D1 +SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG EQU CYREG_B1_UDB07_ACTL +SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG EQU CYREG_B1_UDB07_F0_F1 +SDCard_BSPIM_sR8_Dp_u0__F0_REG EQU CYREG_B1_UDB07_F0 +SDCard_BSPIM_sR8_Dp_u0__F1_REG EQU CYREG_B1_UDB07_F1 +SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL + +; SCSI_In_DBx +SCSI_In_DBx__0__MASK EQU 0x01 +SCSI_In_DBx__0__PC EQU CYREG_PRT2_PC0 +SCSI_In_DBx__0__PORT EQU 2 +SCSI_In_DBx__0__SHIFT EQU 0 +SCSI_In_DBx__1__MASK EQU 0x02 +SCSI_In_DBx__1__PC EQU CYREG_PRT2_PC1 +SCSI_In_DBx__1__PORT EQU 2 +SCSI_In_DBx__1__SHIFT EQU 1 +SCSI_In_DBx__2__MASK EQU 0x04 +SCSI_In_DBx__2__PC EQU CYREG_PRT2_PC2 +SCSI_In_DBx__2__PORT EQU 2 +SCSI_In_DBx__2__SHIFT EQU 2 +SCSI_In_DBx__3__MASK EQU 0x08 +SCSI_In_DBx__3__PC EQU CYREG_PRT2_PC3 +SCSI_In_DBx__3__PORT EQU 2 +SCSI_In_DBx__3__SHIFT EQU 3 +SCSI_In_DBx__4__MASK EQU 0x10 +SCSI_In_DBx__4__PC EQU CYREG_PRT2_PC4 +SCSI_In_DBx__4__PORT EQU 2 +SCSI_In_DBx__4__SHIFT EQU 4 +SCSI_In_DBx__5__MASK EQU 0x20 +SCSI_In_DBx__5__PC EQU CYREG_PRT2_PC5 +SCSI_In_DBx__5__PORT EQU 2 +SCSI_In_DBx__5__SHIFT EQU 5 +SCSI_In_DBx__6__MASK EQU 0x40 +SCSI_In_DBx__6__PC EQU CYREG_PRT2_PC6 +SCSI_In_DBx__6__PORT EQU 2 +SCSI_In_DBx__6__SHIFT EQU 6 +SCSI_In_DBx__7__MASK EQU 0x80 +SCSI_In_DBx__7__PC EQU CYREG_PRT2_PC7 +SCSI_In_DBx__7__PORT EQU 2 +SCSI_In_DBx__7__SHIFT EQU 7 +SCSI_In_DBx__AG EQU CYREG_PRT2_AG +SCSI_In_DBx__AMUX EQU CYREG_PRT2_AMUX +SCSI_In_DBx__BIE EQU CYREG_PRT2_BIE +SCSI_In_DBx__BIT_MASK EQU CYREG_PRT2_BIT_MASK +SCSI_In_DBx__BYP EQU CYREG_PRT2_BYP +SCSI_In_DBx__CTL EQU CYREG_PRT2_CTL +SCSI_In_DBx__DM0 EQU CYREG_PRT2_DM0 +SCSI_In_DBx__DM1 EQU CYREG_PRT2_DM1 +SCSI_In_DBx__DM2 EQU CYREG_PRT2_DM2 +SCSI_In_DBx__DR EQU CYREG_PRT2_DR +SCSI_In_DBx__INP_DIS EQU CYREG_PRT2_INP_DIS +SCSI_In_DBx__LCD_COM_SEG EQU CYREG_PRT2_LCD_COM_SEG +SCSI_In_DBx__LCD_EN EQU CYREG_PRT2_LCD_EN +SCSI_In_DBx__MASK EQU 0xFF +SCSI_In_DBx__PORT EQU 2 +SCSI_In_DBx__PRT EQU CYREG_PRT2_PRT +SCSI_In_DBx__PRTDSI__CAPS_SEL EQU CYREG_PRT2_CAPS_SEL +SCSI_In_DBx__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT2_DBL_SYNC_IN +SCSI_In_DBx__PRTDSI__OE_SEL0 EQU CYREG_PRT2_OE_SEL0 +SCSI_In_DBx__PRTDSI__OE_SEL1 EQU CYREG_PRT2_OE_SEL1 +SCSI_In_DBx__PRTDSI__OUT_SEL0 EQU CYREG_PRT2_OUT_SEL0 +SCSI_In_DBx__PRTDSI__OUT_SEL1 EQU CYREG_PRT2_OUT_SEL1 +SCSI_In_DBx__PRTDSI__SYNC_OUT EQU CYREG_PRT2_SYNC_OUT +SCSI_In_DBx__PS EQU CYREG_PRT2_PS +SCSI_In_DBx__SCSI_Out_DB0__MASK EQU 0x01 +SCSI_In_DBx__SCSI_Out_DB0__PC EQU CYREG_PRT2_PC0 +SCSI_In_DBx__SCSI_Out_DB0__PORT EQU 2 +SCSI_In_DBx__SCSI_Out_DB0__SHIFT EQU 0 +SCSI_In_DBx__SCSI_Out_DB1__MASK EQU 0x02 +SCSI_In_DBx__SCSI_Out_DB1__PC EQU CYREG_PRT2_PC1 +SCSI_In_DBx__SCSI_Out_DB1__PORT EQU 2 +SCSI_In_DBx__SCSI_Out_DB1__SHIFT EQU 1 +SCSI_In_DBx__SCSI_Out_DB2__MASK EQU 0x04 +SCSI_In_DBx__SCSI_Out_DB2__PC EQU CYREG_PRT2_PC2 +SCSI_In_DBx__SCSI_Out_DB2__PORT EQU 2 +SCSI_In_DBx__SCSI_Out_DB2__SHIFT EQU 2 +SCSI_In_DBx__SCSI_Out_DB3__MASK EQU 0x08 +SCSI_In_DBx__SCSI_Out_DB3__PC EQU CYREG_PRT2_PC3 +SCSI_In_DBx__SCSI_Out_DB3__PORT EQU 2 +SCSI_In_DBx__SCSI_Out_DB3__SHIFT EQU 3 +SCSI_In_DBx__SCSI_Out_DB4__MASK EQU 0x10 +SCSI_In_DBx__SCSI_Out_DB4__PC EQU CYREG_PRT2_PC4 +SCSI_In_DBx__SCSI_Out_DB4__PORT EQU 2 +SCSI_In_DBx__SCSI_Out_DB4__SHIFT EQU 4 +SCSI_In_DBx__SCSI_Out_DB5__MASK EQU 0x20 +SCSI_In_DBx__SCSI_Out_DB5__PC EQU CYREG_PRT2_PC5 +SCSI_In_DBx__SCSI_Out_DB5__PORT EQU 2 +SCSI_In_DBx__SCSI_Out_DB5__SHIFT EQU 5 +SCSI_In_DBx__SCSI_Out_DB6__MASK EQU 0x40 +SCSI_In_DBx__SCSI_Out_DB6__PC EQU CYREG_PRT2_PC6 +SCSI_In_DBx__SCSI_Out_DB6__PORT EQU 2 +SCSI_In_DBx__SCSI_Out_DB6__SHIFT EQU 6 +SCSI_In_DBx__SCSI_Out_DB7__MASK EQU 0x80 +SCSI_In_DBx__SCSI_Out_DB7__PC EQU CYREG_PRT2_PC7 +SCSI_In_DBx__SCSI_Out_DB7__PORT EQU 2 +SCSI_In_DBx__SCSI_Out_DB7__SHIFT EQU 7 +SCSI_In_DBx__SHIFT EQU 0 +SCSI_In_DBx__SLW EQU CYREG_PRT2_SLW + +; SD_Data_Clk +SD_Data_Clk__CFG0 EQU CYREG_CLKDIST_DCFG0_CFG0 +SD_Data_Clk__CFG1 EQU CYREG_CLKDIST_DCFG0_CFG1 +SD_Data_Clk__CFG2 EQU CYREG_CLKDIST_DCFG0_CFG2 +SD_Data_Clk__CFG2_SRC_SEL_MASK EQU 0x07 +SD_Data_Clk__INDEX EQU 0x00 +SD_Data_Clk__PM_ACT_CFG EQU CYREG_PM_ACT_CFG2 +SD_Data_Clk__PM_ACT_MSK EQU 0x01 +SD_Data_Clk__PM_STBY_CFG EQU CYREG_PM_STBY_CFG2 +SD_Data_Clk__PM_STBY_MSK EQU 0x01 + +; SD_Init_Clk +SD_Init_Clk__CFG0 EQU CYREG_CLKDIST_DCFG1_CFG0 +SD_Init_Clk__CFG1 EQU CYREG_CLKDIST_DCFG1_CFG1 +SD_Init_Clk__CFG2 EQU CYREG_CLKDIST_DCFG1_CFG2 +SD_Init_Clk__CFG2_SRC_SEL_MASK EQU 0x07 +SD_Init_Clk__INDEX EQU 0x01 +SD_Init_Clk__PM_ACT_CFG EQU CYREG_PM_ACT_CFG2 +SD_Init_Clk__PM_ACT_MSK EQU 0x02 +SD_Init_Clk__PM_STBY_CFG EQU CYREG_PM_STBY_CFG2 +SD_Init_Clk__PM_STBY_MSK EQU 0x02 + +; SD_Clk_Ctl +SD_Clk_Ctl_Sync_ctrl_reg__0__MASK EQU 0x01 +SD_Clk_Ctl_Sync_ctrl_reg__0__POS EQU 0 +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB05_06_ACTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B1_UDB05_06_CTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B1_UDB05_06_CTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B1_UDB05_06_CTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B1_UDB05_06_CTL +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B1_UDB05_06_MSK +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B1_UDB05_06_MSK +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B1_UDB05_06_MSK +SD_Clk_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B1_UDB05_06_MSK +SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB05_ACTL +SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B1_UDB05_CTL +SD_Clk_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B1_UDB05_ST_CTL +SD_Clk_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B1_UDB05_CTL +SD_Clk_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B1_UDB05_ST_CTL +SD_Clk_Ctl_Sync_ctrl_reg__MASK EQU 0x01 +SD_Clk_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B1_UDB05_MSK_ACTL +SD_Clk_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B1_UDB05_MSK +SD_Clk_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B1_UDB05_MSK_ACTL + +; PARITY_EN +PARITY_EN__0__MASK EQU 0x10 +PARITY_EN__0__PC EQU CYREG_PRT5_PC4 +PARITY_EN__0__PORT EQU 5 +PARITY_EN__0__SHIFT EQU 4 +PARITY_EN__AG EQU CYREG_PRT5_AG +PARITY_EN__AMUX EQU CYREG_PRT5_AMUX +PARITY_EN__BIE EQU CYREG_PRT5_BIE +PARITY_EN__BIT_MASK EQU CYREG_PRT5_BIT_MASK +PARITY_EN__BYP EQU CYREG_PRT5_BYP +PARITY_EN__CTL EQU CYREG_PRT5_CTL +PARITY_EN__DM0 EQU CYREG_PRT5_DM0 +PARITY_EN__DM1 EQU CYREG_PRT5_DM1 +PARITY_EN__DM2 EQU CYREG_PRT5_DM2 +PARITY_EN__DR EQU CYREG_PRT5_DR +PARITY_EN__INP_DIS EQU CYREG_PRT5_INP_DIS +PARITY_EN__LCD_COM_SEG EQU CYREG_PRT5_LCD_COM_SEG +PARITY_EN__LCD_EN EQU CYREG_PRT5_LCD_EN +PARITY_EN__MASK EQU 0x10 +PARITY_EN__PORT EQU 5 +PARITY_EN__PRT EQU CYREG_PRT5_PRT +PARITY_EN__PRTDSI__CAPS_SEL EQU CYREG_PRT5_CAPS_SEL +PARITY_EN__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT5_DBL_SYNC_IN +PARITY_EN__PRTDSI__OE_SEL0 EQU CYREG_PRT5_OE_SEL0 +PARITY_EN__PRTDSI__OE_SEL1 EQU CYREG_PRT5_OE_SEL1 +PARITY_EN__PRTDSI__OUT_SEL0 EQU CYREG_PRT5_OUT_SEL0 +PARITY_EN__PRTDSI__OUT_SEL1 EQU CYREG_PRT5_OUT_SEL1 +PARITY_EN__PRTDSI__SYNC_OUT EQU CYREG_PRT5_SYNC_OUT +PARITY_EN__PS EQU CYREG_PRT5_PS +PARITY_EN__SHIFT EQU 4 +PARITY_EN__SLW EQU CYREG_PRT5_SLW + +; SCSI_Out +SCSI_Out__0__AG EQU CYREG_PRT4_AG +SCSI_Out__0__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__0__BIE EQU CYREG_PRT4_BIE +SCSI_Out__0__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__0__BYP EQU CYREG_PRT4_BYP +SCSI_Out__0__CTL EQU CYREG_PRT4_CTL +SCSI_Out__0__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__0__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__0__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__0__DR EQU CYREG_PRT4_DR +SCSI_Out__0__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__0__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__0__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__0__MASK EQU 0x04 +SCSI_Out__0__PC EQU CYREG_PRT4_PC2 +SCSI_Out__0__PORT EQU 4 +SCSI_Out__0__PRT EQU CYREG_PRT4_PRT +SCSI_Out__0__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__0__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__0__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__0__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__0__PS EQU CYREG_PRT4_PS +SCSI_Out__0__SHIFT EQU 2 +SCSI_Out__0__SLW EQU CYREG_PRT4_SLW +SCSI_Out__1__AG EQU CYREG_PRT4_AG +SCSI_Out__1__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__1__BIE EQU CYREG_PRT4_BIE +SCSI_Out__1__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__1__BYP EQU CYREG_PRT4_BYP +SCSI_Out__1__CTL EQU CYREG_PRT4_CTL +SCSI_Out__1__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__1__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__1__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__1__DR EQU CYREG_PRT4_DR +SCSI_Out__1__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__1__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__1__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__1__MASK EQU 0x08 +SCSI_Out__1__PC EQU CYREG_PRT4_PC3 +SCSI_Out__1__PORT EQU 4 +SCSI_Out__1__PRT EQU CYREG_PRT4_PRT +SCSI_Out__1__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__1__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__1__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__1__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__1__PS EQU CYREG_PRT4_PS +SCSI_Out__1__SHIFT EQU 3 +SCSI_Out__1__SLW EQU CYREG_PRT4_SLW +SCSI_Out__2__AG EQU CYREG_PRT4_AG +SCSI_Out__2__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__2__BIE EQU CYREG_PRT4_BIE +SCSI_Out__2__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__2__BYP EQU CYREG_PRT4_BYP +SCSI_Out__2__CTL EQU CYREG_PRT4_CTL +SCSI_Out__2__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__2__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__2__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__2__DR EQU CYREG_PRT4_DR +SCSI_Out__2__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__2__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__2__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__2__MASK EQU 0x10 +SCSI_Out__2__PC EQU CYREG_PRT4_PC4 +SCSI_Out__2__PORT EQU 4 +SCSI_Out__2__PRT EQU CYREG_PRT4_PRT +SCSI_Out__2__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__2__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__2__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__2__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__2__PS EQU CYREG_PRT4_PS +SCSI_Out__2__SHIFT EQU 4 +SCSI_Out__2__SLW EQU CYREG_PRT4_SLW +SCSI_Out__3__AG EQU CYREG_PRT4_AG +SCSI_Out__3__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__3__BIE EQU CYREG_PRT4_BIE +SCSI_Out__3__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__3__BYP EQU CYREG_PRT4_BYP +SCSI_Out__3__CTL EQU CYREG_PRT4_CTL +SCSI_Out__3__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__3__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__3__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__3__DR EQU CYREG_PRT4_DR +SCSI_Out__3__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__3__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__3__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__3__MASK EQU 0x20 +SCSI_Out__3__PC EQU CYREG_PRT4_PC5 +SCSI_Out__3__PORT EQU 4 +SCSI_Out__3__PRT EQU CYREG_PRT4_PRT +SCSI_Out__3__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__3__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__3__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__3__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__3__PS EQU CYREG_PRT4_PS +SCSI_Out__3__SHIFT EQU 5 +SCSI_Out__3__SLW EQU CYREG_PRT4_SLW +SCSI_Out__4__AG EQU CYREG_PRT4_AG +SCSI_Out__4__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__4__BIE EQU CYREG_PRT4_BIE +SCSI_Out__4__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__4__BYP EQU CYREG_PRT4_BYP +SCSI_Out__4__CTL EQU CYREG_PRT4_CTL +SCSI_Out__4__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__4__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__4__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__4__DR EQU CYREG_PRT4_DR +SCSI_Out__4__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__4__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__4__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__4__MASK EQU 0x40 +SCSI_Out__4__PC EQU CYREG_PRT4_PC6 +SCSI_Out__4__PORT EQU 4 +SCSI_Out__4__PRT EQU CYREG_PRT4_PRT +SCSI_Out__4__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__4__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__4__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__4__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__4__PS EQU CYREG_PRT4_PS +SCSI_Out__4__SHIFT EQU 6 +SCSI_Out__4__SLW EQU CYREG_PRT4_SLW +SCSI_Out__5__AG EQU CYREG_PRT4_AG +SCSI_Out__5__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__5__BIE EQU CYREG_PRT4_BIE +SCSI_Out__5__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__5__BYP EQU CYREG_PRT4_BYP +SCSI_Out__5__CTL EQU CYREG_PRT4_CTL +SCSI_Out__5__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__5__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__5__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__5__DR EQU CYREG_PRT4_DR +SCSI_Out__5__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__5__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__5__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__5__MASK EQU 0x80 +SCSI_Out__5__PC EQU CYREG_PRT4_PC7 +SCSI_Out__5__PORT EQU 4 +SCSI_Out__5__PRT EQU CYREG_PRT4_PRT +SCSI_Out__5__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__5__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__5__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__5__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__5__PS EQU CYREG_PRT4_PS +SCSI_Out__5__SHIFT EQU 7 +SCSI_Out__5__SLW EQU CYREG_PRT4_SLW +SCSI_Out__6__AG EQU CYREG_PRT6_AG +SCSI_Out__6__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out__6__BIE EQU CYREG_PRT6_BIE +SCSI_Out__6__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out__6__BYP EQU CYREG_PRT6_BYP +SCSI_Out__6__CTL EQU CYREG_PRT6_CTL +SCSI_Out__6__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out__6__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out__6__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out__6__DR EQU CYREG_PRT6_DR +SCSI_Out__6__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out__6__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out__6__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out__6__MASK EQU 0x01 +SCSI_Out__6__PC EQU CYREG_PRT6_PC0 +SCSI_Out__6__PORT EQU 6 +SCSI_Out__6__PRT EQU CYREG_PRT6_PRT +SCSI_Out__6__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out__6__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out__6__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out__6__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out__6__PS EQU CYREG_PRT6_PS +SCSI_Out__6__SHIFT EQU 0 +SCSI_Out__6__SLW EQU CYREG_PRT6_SLW +SCSI_Out__7__AG EQU CYREG_PRT6_AG +SCSI_Out__7__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out__7__BIE EQU CYREG_PRT6_BIE +SCSI_Out__7__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out__7__BYP EQU CYREG_PRT6_BYP +SCSI_Out__7__CTL EQU CYREG_PRT6_CTL +SCSI_Out__7__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out__7__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out__7__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out__7__DR EQU CYREG_PRT6_DR +SCSI_Out__7__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out__7__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out__7__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out__7__MASK EQU 0x02 +SCSI_Out__7__PC EQU CYREG_PRT6_PC1 +SCSI_Out__7__PORT EQU 6 +SCSI_Out__7__PRT EQU CYREG_PRT6_PRT +SCSI_Out__7__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out__7__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out__7__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out__7__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out__7__PS EQU CYREG_PRT6_PS +SCSI_Out__7__SHIFT EQU 1 +SCSI_Out__7__SLW EQU CYREG_PRT6_SLW +SCSI_Out__8__AG EQU CYREG_PRT6_AG +SCSI_Out__8__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out__8__BIE EQU CYREG_PRT6_BIE +SCSI_Out__8__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out__8__BYP EQU CYREG_PRT6_BYP +SCSI_Out__8__CTL EQU CYREG_PRT6_CTL +SCSI_Out__8__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out__8__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out__8__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out__8__DR EQU CYREG_PRT6_DR +SCSI_Out__8__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out__8__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out__8__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out__8__MASK EQU 0x04 +SCSI_Out__8__PC EQU CYREG_PRT6_PC2 +SCSI_Out__8__PORT EQU 6 +SCSI_Out__8__PRT EQU CYREG_PRT6_PRT +SCSI_Out__8__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out__8__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out__8__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out__8__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out__8__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out__8__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out__8__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out__8__PS EQU CYREG_PRT6_PS +SCSI_Out__8__SHIFT EQU 2 +SCSI_Out__8__SLW EQU CYREG_PRT6_SLW +SCSI_Out__9__AG EQU CYREG_PRT6_AG +SCSI_Out__9__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out__9__BIE EQU CYREG_PRT6_BIE +SCSI_Out__9__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out__9__BYP EQU CYREG_PRT6_BYP +SCSI_Out__9__CTL EQU CYREG_PRT6_CTL +SCSI_Out__9__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out__9__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out__9__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out__9__DR EQU CYREG_PRT6_DR +SCSI_Out__9__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out__9__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out__9__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out__9__MASK EQU 0x08 +SCSI_Out__9__PC EQU CYREG_PRT6_PC3 +SCSI_Out__9__PORT EQU 6 +SCSI_Out__9__PRT EQU CYREG_PRT6_PRT +SCSI_Out__9__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out__9__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out__9__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out__9__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out__9__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out__9__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out__9__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out__9__PS EQU CYREG_PRT6_PS +SCSI_Out__9__SHIFT EQU 3 +SCSI_Out__9__SLW EQU CYREG_PRT6_SLW +SCSI_Out__ACK__AG EQU CYREG_PRT4_AG +SCSI_Out__ACK__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__ACK__BIE EQU CYREG_PRT4_BIE +SCSI_Out__ACK__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__ACK__BYP EQU CYREG_PRT4_BYP +SCSI_Out__ACK__CTL EQU CYREG_PRT4_CTL +SCSI_Out__ACK__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__ACK__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__ACK__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__ACK__DR EQU CYREG_PRT4_DR +SCSI_Out__ACK__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__ACK__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__ACK__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__ACK__MASK EQU 0x20 +SCSI_Out__ACK__PC EQU CYREG_PRT4_PC5 +SCSI_Out__ACK__PORT EQU 4 +SCSI_Out__ACK__PRT EQU CYREG_PRT4_PRT +SCSI_Out__ACK__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__ACK__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__ACK__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__ACK__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__ACK__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__ACK__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__ACK__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__ACK__PS EQU CYREG_PRT4_PS +SCSI_Out__ACK__SHIFT EQU 5 +SCSI_Out__ACK__SLW EQU CYREG_PRT4_SLW +SCSI_Out__ATN__AG EQU CYREG_PRT4_AG +SCSI_Out__ATN__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__ATN__BIE EQU CYREG_PRT4_BIE +SCSI_Out__ATN__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__ATN__BYP EQU CYREG_PRT4_BYP +SCSI_Out__ATN__CTL EQU CYREG_PRT4_CTL +SCSI_Out__ATN__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__ATN__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__ATN__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__ATN__DR EQU CYREG_PRT4_DR +SCSI_Out__ATN__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__ATN__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__ATN__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__ATN__MASK EQU 0x08 +SCSI_Out__ATN__PC EQU CYREG_PRT4_PC3 +SCSI_Out__ATN__PORT EQU 4 +SCSI_Out__ATN__PRT EQU CYREG_PRT4_PRT +SCSI_Out__ATN__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__ATN__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__ATN__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__ATN__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__ATN__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__ATN__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__ATN__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__ATN__PS EQU CYREG_PRT4_PS +SCSI_Out__ATN__SHIFT EQU 3 +SCSI_Out__ATN__SLW EQU CYREG_PRT4_SLW +SCSI_Out__BSY__AG EQU CYREG_PRT4_AG +SCSI_Out__BSY__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__BSY__BIE EQU CYREG_PRT4_BIE +SCSI_Out__BSY__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__BSY__BYP EQU CYREG_PRT4_BYP +SCSI_Out__BSY__CTL EQU CYREG_PRT4_CTL +SCSI_Out__BSY__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__BSY__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__BSY__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__BSY__DR EQU CYREG_PRT4_DR +SCSI_Out__BSY__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__BSY__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__BSY__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__BSY__MASK EQU 0x10 +SCSI_Out__BSY__PC EQU CYREG_PRT4_PC4 +SCSI_Out__BSY__PORT EQU 4 +SCSI_Out__BSY__PRT EQU CYREG_PRT4_PRT +SCSI_Out__BSY__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__BSY__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__BSY__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__BSY__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__BSY__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__BSY__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__BSY__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__BSY__PS EQU CYREG_PRT4_PS +SCSI_Out__BSY__SHIFT EQU 4 +SCSI_Out__BSY__SLW EQU CYREG_PRT4_SLW +SCSI_Out__CD__AG EQU CYREG_PRT6_AG +SCSI_Out__CD__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out__CD__BIE EQU CYREG_PRT6_BIE +SCSI_Out__CD__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out__CD__BYP EQU CYREG_PRT6_BYP +SCSI_Out__CD__CTL EQU CYREG_PRT6_CTL +SCSI_Out__CD__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out__CD__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out__CD__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out__CD__DR EQU CYREG_PRT6_DR +SCSI_Out__CD__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out__CD__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out__CD__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out__CD__MASK EQU 0x02 +SCSI_Out__CD__PC EQU CYREG_PRT6_PC1 +SCSI_Out__CD__PORT EQU 6 +SCSI_Out__CD__PRT EQU CYREG_PRT6_PRT +SCSI_Out__CD__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out__CD__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out__CD__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out__CD__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out__CD__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out__CD__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out__CD__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out__CD__PS EQU CYREG_PRT6_PS +SCSI_Out__CD__SHIFT EQU 1 +SCSI_Out__CD__SLW EQU CYREG_PRT6_SLW +SCSI_Out__DBP__AG EQU CYREG_PRT4_AG +SCSI_Out__DBP__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__DBP__BIE EQU CYREG_PRT4_BIE +SCSI_Out__DBP__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__DBP__BYP EQU CYREG_PRT4_BYP +SCSI_Out__DBP__CTL EQU CYREG_PRT4_CTL +SCSI_Out__DBP__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__DBP__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__DBP__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__DBP__DR EQU CYREG_PRT4_DR +SCSI_Out__DBP__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__DBP__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__DBP__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__DBP__MASK EQU 0x04 +SCSI_Out__DBP__PC EQU CYREG_PRT4_PC2 +SCSI_Out__DBP__PORT EQU 4 +SCSI_Out__DBP__PRT EQU CYREG_PRT4_PRT +SCSI_Out__DBP__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__DBP__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__DBP__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__DBP__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__DBP__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__DBP__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__DBP__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__DBP__PS EQU CYREG_PRT4_PS +SCSI_Out__DBP__SHIFT EQU 2 +SCSI_Out__DBP__SLW EQU CYREG_PRT4_SLW +SCSI_Out__IO__AG EQU CYREG_PRT6_AG +SCSI_Out__IO__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out__IO__BIE EQU CYREG_PRT6_BIE +SCSI_Out__IO__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out__IO__BYP EQU CYREG_PRT6_BYP +SCSI_Out__IO__CTL EQU CYREG_PRT6_CTL +SCSI_Out__IO__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out__IO__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out__IO__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out__IO__DR EQU CYREG_PRT6_DR +SCSI_Out__IO__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out__IO__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out__IO__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out__IO__MASK EQU 0x08 +SCSI_Out__IO__PC EQU CYREG_PRT6_PC3 +SCSI_Out__IO__PORT EQU 6 +SCSI_Out__IO__PRT EQU CYREG_PRT6_PRT +SCSI_Out__IO__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out__IO__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out__IO__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out__IO__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out__IO__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out__IO__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out__IO__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out__IO__PS EQU CYREG_PRT6_PS +SCSI_Out__IO__SHIFT EQU 3 +SCSI_Out__IO__SLW EQU CYREG_PRT6_SLW +SCSI_Out__MSG__AG EQU CYREG_PRT4_AG +SCSI_Out__MSG__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__MSG__BIE EQU CYREG_PRT4_BIE +SCSI_Out__MSG__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__MSG__BYP EQU CYREG_PRT4_BYP +SCSI_Out__MSG__CTL EQU CYREG_PRT4_CTL +SCSI_Out__MSG__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__MSG__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__MSG__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__MSG__DR EQU CYREG_PRT4_DR +SCSI_Out__MSG__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__MSG__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__MSG__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__MSG__MASK EQU 0x80 +SCSI_Out__MSG__PC EQU CYREG_PRT4_PC7 +SCSI_Out__MSG__PORT EQU 4 +SCSI_Out__MSG__PRT EQU CYREG_PRT4_PRT +SCSI_Out__MSG__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__MSG__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__MSG__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__MSG__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__MSG__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__MSG__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__MSG__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__MSG__PS EQU CYREG_PRT4_PS +SCSI_Out__MSG__SHIFT EQU 7 +SCSI_Out__MSG__SLW EQU CYREG_PRT4_SLW +SCSI_Out__REQ__AG EQU CYREG_PRT6_AG +SCSI_Out__REQ__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out__REQ__BIE EQU CYREG_PRT6_BIE +SCSI_Out__REQ__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out__REQ__BYP EQU CYREG_PRT6_BYP +SCSI_Out__REQ__CTL EQU CYREG_PRT6_CTL +SCSI_Out__REQ__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out__REQ__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out__REQ__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out__REQ__DR EQU CYREG_PRT6_DR +SCSI_Out__REQ__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out__REQ__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out__REQ__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out__REQ__MASK EQU 0x04 +SCSI_Out__REQ__PC EQU CYREG_PRT6_PC2 +SCSI_Out__REQ__PORT EQU 6 +SCSI_Out__REQ__PRT EQU CYREG_PRT6_PRT +SCSI_Out__REQ__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out__REQ__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out__REQ__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out__REQ__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out__REQ__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out__REQ__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out__REQ__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out__REQ__PS EQU CYREG_PRT6_PS +SCSI_Out__REQ__SHIFT EQU 2 +SCSI_Out__REQ__SLW EQU CYREG_PRT6_SLW +SCSI_Out__RST__AG EQU CYREG_PRT4_AG +SCSI_Out__RST__AMUX EQU CYREG_PRT4_AMUX +SCSI_Out__RST__BIE EQU CYREG_PRT4_BIE +SCSI_Out__RST__BIT_MASK EQU CYREG_PRT4_BIT_MASK +SCSI_Out__RST__BYP EQU CYREG_PRT4_BYP +SCSI_Out__RST__CTL EQU CYREG_PRT4_CTL +SCSI_Out__RST__DM0 EQU CYREG_PRT4_DM0 +SCSI_Out__RST__DM1 EQU CYREG_PRT4_DM1 +SCSI_Out__RST__DM2 EQU CYREG_PRT4_DM2 +SCSI_Out__RST__DR EQU CYREG_PRT4_DR +SCSI_Out__RST__INP_DIS EQU CYREG_PRT4_INP_DIS +SCSI_Out__RST__LCD_COM_SEG EQU CYREG_PRT4_LCD_COM_SEG +SCSI_Out__RST__LCD_EN EQU CYREG_PRT4_LCD_EN +SCSI_Out__RST__MASK EQU 0x40 +SCSI_Out__RST__PC EQU CYREG_PRT4_PC6 +SCSI_Out__RST__PORT EQU 4 +SCSI_Out__RST__PRT EQU CYREG_PRT4_PRT +SCSI_Out__RST__PRTDSI__CAPS_SEL EQU CYREG_PRT4_CAPS_SEL +SCSI_Out__RST__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT4_DBL_SYNC_IN +SCSI_Out__RST__PRTDSI__OE_SEL0 EQU CYREG_PRT4_OE_SEL0 +SCSI_Out__RST__PRTDSI__OE_SEL1 EQU CYREG_PRT4_OE_SEL1 +SCSI_Out__RST__PRTDSI__OUT_SEL0 EQU CYREG_PRT4_OUT_SEL0 +SCSI_Out__RST__PRTDSI__OUT_SEL1 EQU CYREG_PRT4_OUT_SEL1 +SCSI_Out__RST__PRTDSI__SYNC_OUT EQU CYREG_PRT4_SYNC_OUT +SCSI_Out__RST__PS EQU CYREG_PRT4_PS +SCSI_Out__RST__SHIFT EQU 6 +SCSI_Out__RST__SLW EQU CYREG_PRT4_SLW +SCSI_Out__SEL__AG EQU CYREG_PRT6_AG +SCSI_Out__SEL__AMUX EQU CYREG_PRT6_AMUX +SCSI_Out__SEL__BIE EQU CYREG_PRT6_BIE +SCSI_Out__SEL__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_Out__SEL__BYP EQU CYREG_PRT6_BYP +SCSI_Out__SEL__CTL EQU CYREG_PRT6_CTL +SCSI_Out__SEL__DM0 EQU CYREG_PRT6_DM0 +SCSI_Out__SEL__DM1 EQU CYREG_PRT6_DM1 +SCSI_Out__SEL__DM2 EQU CYREG_PRT6_DM2 +SCSI_Out__SEL__DR EQU CYREG_PRT6_DR +SCSI_Out__SEL__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_Out__SEL__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_Out__SEL__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_Out__SEL__MASK EQU 0x01 +SCSI_Out__SEL__PC EQU CYREG_PRT6_PC0 +SCSI_Out__SEL__PORT EQU 6 +SCSI_Out__SEL__PRT EQU CYREG_PRT6_PRT +SCSI_Out__SEL__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_Out__SEL__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_Out__SEL__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_Out__SEL__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_Out__SEL__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_Out__SEL__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_Out__SEL__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_Out__SEL__PS EQU CYREG_PRT6_PS +SCSI_Out__SEL__SHIFT EQU 0 +SCSI_Out__SEL__SLW EQU CYREG_PRT6_SLW + +; SCSI_ID +SCSI_ID__0__MASK EQU 0x80 +SCSI_ID__0__PC EQU CYREG_PRT5_PC7 +SCSI_ID__0__PORT EQU 5 +SCSI_ID__0__SHIFT EQU 7 +SCSI_ID__1__MASK EQU 0x40 +SCSI_ID__1__PC EQU CYREG_PRT5_PC6 +SCSI_ID__1__PORT EQU 5 +SCSI_ID__1__SHIFT EQU 6 +SCSI_ID__2__MASK EQU 0x20 +SCSI_ID__2__PC EQU CYREG_PRT5_PC5 +SCSI_ID__2__PORT EQU 5 +SCSI_ID__2__SHIFT EQU 5 +SCSI_ID__AG EQU CYREG_PRT5_AG +SCSI_ID__AMUX EQU CYREG_PRT5_AMUX +SCSI_ID__BIE EQU CYREG_PRT5_BIE +SCSI_ID__BIT_MASK EQU CYREG_PRT5_BIT_MASK +SCSI_ID__BYP EQU CYREG_PRT5_BYP +SCSI_ID__CTL EQU CYREG_PRT5_CTL +SCSI_ID__DM0 EQU CYREG_PRT5_DM0 +SCSI_ID__DM1 EQU CYREG_PRT5_DM1 +SCSI_ID__DM2 EQU CYREG_PRT5_DM2 +SCSI_ID__DR EQU CYREG_PRT5_DR +SCSI_ID__INP_DIS EQU CYREG_PRT5_INP_DIS +SCSI_ID__LCD_COM_SEG EQU CYREG_PRT5_LCD_COM_SEG +SCSI_ID__LCD_EN EQU CYREG_PRT5_LCD_EN +SCSI_ID__PORT EQU 5 +SCSI_ID__PRT EQU CYREG_PRT5_PRT +SCSI_ID__PRTDSI__CAPS_SEL EQU CYREG_PRT5_CAPS_SEL +SCSI_ID__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT5_DBL_SYNC_IN +SCSI_ID__PRTDSI__OE_SEL0 EQU CYREG_PRT5_OE_SEL0 +SCSI_ID__PRTDSI__OE_SEL1 EQU CYREG_PRT5_OE_SEL1 +SCSI_ID__PRTDSI__OUT_SEL0 EQU CYREG_PRT5_OUT_SEL0 +SCSI_ID__PRTDSI__OUT_SEL1 EQU CYREG_PRT5_OUT_SEL1 +SCSI_ID__PRTDSI__SYNC_OUT EQU CYREG_PRT5_SYNC_OUT +SCSI_ID__PS EQU CYREG_PRT5_PS +SCSI_ID__SLW EQU CYREG_PRT5_SLW + +; SCSI_In +SCSI_In__0__AG EQU CYREG_PRT12_AG +SCSI_In__0__BIE EQU CYREG_PRT12_BIE +SCSI_In__0__BIT_MASK EQU CYREG_PRT12_BIT_MASK +SCSI_In__0__BYP EQU CYREG_PRT12_BYP +SCSI_In__0__DM0 EQU CYREG_PRT12_DM0 +SCSI_In__0__DM1 EQU CYREG_PRT12_DM1 +SCSI_In__0__DM2 EQU CYREG_PRT12_DM2 +SCSI_In__0__DR EQU CYREG_PRT12_DR +SCSI_In__0__INP_DIS EQU CYREG_PRT12_INP_DIS +SCSI_In__0__MASK EQU 0x10 +SCSI_In__0__PC EQU CYREG_PRT12_PC4 +SCSI_In__0__PORT EQU 12 +SCSI_In__0__PRT EQU CYREG_PRT12_PRT +SCSI_In__0__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT12_DBL_SYNC_IN +SCSI_In__0__PRTDSI__OE_SEL0 EQU CYREG_PRT12_OE_SEL0 +SCSI_In__0__PRTDSI__OE_SEL1 EQU CYREG_PRT12_OE_SEL1 +SCSI_In__0__PRTDSI__OUT_SEL0 EQU CYREG_PRT12_OUT_SEL0 +SCSI_In__0__PRTDSI__OUT_SEL1 EQU CYREG_PRT12_OUT_SEL1 +SCSI_In__0__PRTDSI__SYNC_OUT EQU CYREG_PRT12_SYNC_OUT +SCSI_In__0__PS EQU CYREG_PRT12_PS +SCSI_In__0__SHIFT EQU 4 +SCSI_In__0__SIO_CFG EQU CYREG_PRT12_SIO_CFG +SCSI_In__0__SIO_DIFF EQU CYREG_PRT12_SIO_DIFF +SCSI_In__0__SIO_HYST_EN EQU CYREG_PRT12_SIO_HYST_EN +SCSI_In__0__SIO_REG_HIFREQ EQU CYREG_PRT12_SIO_REG_HIFREQ +SCSI_In__0__SLW EQU CYREG_PRT12_SLW +SCSI_In__1__AG EQU CYREG_PRT12_AG +SCSI_In__1__BIE EQU CYREG_PRT12_BIE +SCSI_In__1__BIT_MASK EQU CYREG_PRT12_BIT_MASK +SCSI_In__1__BYP EQU CYREG_PRT12_BYP +SCSI_In__1__DM0 EQU CYREG_PRT12_DM0 +SCSI_In__1__DM1 EQU CYREG_PRT12_DM1 +SCSI_In__1__DM2 EQU CYREG_PRT12_DM2 +SCSI_In__1__DR EQU CYREG_PRT12_DR +SCSI_In__1__INP_DIS EQU CYREG_PRT12_INP_DIS +SCSI_In__1__MASK EQU 0x20 +SCSI_In__1__PC EQU CYREG_PRT12_PC5 +SCSI_In__1__PORT EQU 12 +SCSI_In__1__PRT EQU CYREG_PRT12_PRT +SCSI_In__1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT12_DBL_SYNC_IN +SCSI_In__1__PRTDSI__OE_SEL0 EQU CYREG_PRT12_OE_SEL0 +SCSI_In__1__PRTDSI__OE_SEL1 EQU CYREG_PRT12_OE_SEL1 +SCSI_In__1__PRTDSI__OUT_SEL0 EQU CYREG_PRT12_OUT_SEL0 +SCSI_In__1__PRTDSI__OUT_SEL1 EQU CYREG_PRT12_OUT_SEL1 +SCSI_In__1__PRTDSI__SYNC_OUT EQU CYREG_PRT12_SYNC_OUT +SCSI_In__1__PS EQU CYREG_PRT12_PS +SCSI_In__1__SHIFT EQU 5 +SCSI_In__1__SIO_CFG EQU CYREG_PRT12_SIO_CFG +SCSI_In__1__SIO_DIFF EQU CYREG_PRT12_SIO_DIFF +SCSI_In__1__SIO_HYST_EN EQU CYREG_PRT12_SIO_HYST_EN +SCSI_In__1__SIO_REG_HIFREQ EQU CYREG_PRT12_SIO_REG_HIFREQ +SCSI_In__1__SLW EQU CYREG_PRT12_SLW +SCSI_In__2__AG EQU CYREG_PRT6_AG +SCSI_In__2__AMUX EQU CYREG_PRT6_AMUX +SCSI_In__2__BIE EQU CYREG_PRT6_BIE +SCSI_In__2__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_In__2__BYP EQU CYREG_PRT6_BYP +SCSI_In__2__CTL EQU CYREG_PRT6_CTL +SCSI_In__2__DM0 EQU CYREG_PRT6_DM0 +SCSI_In__2__DM1 EQU CYREG_PRT6_DM1 +SCSI_In__2__DM2 EQU CYREG_PRT6_DM2 +SCSI_In__2__DR EQU CYREG_PRT6_DR +SCSI_In__2__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_In__2__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_In__2__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_In__2__MASK EQU 0x10 +SCSI_In__2__PC EQU CYREG_PRT6_PC4 +SCSI_In__2__PORT EQU 6 +SCSI_In__2__PRT EQU CYREG_PRT6_PRT +SCSI_In__2__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_In__2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_In__2__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_In__2__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_In__2__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_In__2__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_In__2__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_In__2__PS EQU CYREG_PRT6_PS +SCSI_In__2__SHIFT EQU 4 +SCSI_In__2__SLW EQU CYREG_PRT6_SLW +SCSI_In__3__AG EQU CYREG_PRT6_AG +SCSI_In__3__AMUX EQU CYREG_PRT6_AMUX +SCSI_In__3__BIE EQU CYREG_PRT6_BIE +SCSI_In__3__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_In__3__BYP EQU CYREG_PRT6_BYP +SCSI_In__3__CTL EQU CYREG_PRT6_CTL +SCSI_In__3__DM0 EQU CYREG_PRT6_DM0 +SCSI_In__3__DM1 EQU CYREG_PRT6_DM1 +SCSI_In__3__DM2 EQU CYREG_PRT6_DM2 +SCSI_In__3__DR EQU CYREG_PRT6_DR +SCSI_In__3__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_In__3__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_In__3__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_In__3__MASK EQU 0x20 +SCSI_In__3__PC EQU CYREG_PRT6_PC5 +SCSI_In__3__PORT EQU 6 +SCSI_In__3__PRT EQU CYREG_PRT6_PRT +SCSI_In__3__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_In__3__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_In__3__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_In__3__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_In__3__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_In__3__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_In__3__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_In__3__PS EQU CYREG_PRT6_PS +SCSI_In__3__SHIFT EQU 5 +SCSI_In__3__SLW EQU CYREG_PRT6_SLW +SCSI_In__4__AG EQU CYREG_PRT6_AG +SCSI_In__4__AMUX EQU CYREG_PRT6_AMUX +SCSI_In__4__BIE EQU CYREG_PRT6_BIE +SCSI_In__4__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_In__4__BYP EQU CYREG_PRT6_BYP +SCSI_In__4__CTL EQU CYREG_PRT6_CTL +SCSI_In__4__DM0 EQU CYREG_PRT6_DM0 +SCSI_In__4__DM1 EQU CYREG_PRT6_DM1 +SCSI_In__4__DM2 EQU CYREG_PRT6_DM2 +SCSI_In__4__DR EQU CYREG_PRT6_DR +SCSI_In__4__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_In__4__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_In__4__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_In__4__MASK EQU 0x40 +SCSI_In__4__PC EQU CYREG_PRT6_PC6 +SCSI_In__4__PORT EQU 6 +SCSI_In__4__PRT EQU CYREG_PRT6_PRT +SCSI_In__4__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_In__4__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_In__4__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_In__4__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_In__4__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_In__4__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_In__4__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_In__4__PS EQU CYREG_PRT6_PS +SCSI_In__4__SHIFT EQU 6 +SCSI_In__4__SLW EQU CYREG_PRT6_SLW +SCSI_In__5__AG EQU CYREG_PRT6_AG +SCSI_In__5__AMUX EQU CYREG_PRT6_AMUX +SCSI_In__5__BIE EQU CYREG_PRT6_BIE +SCSI_In__5__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_In__5__BYP EQU CYREG_PRT6_BYP +SCSI_In__5__CTL EQU CYREG_PRT6_CTL +SCSI_In__5__DM0 EQU CYREG_PRT6_DM0 +SCSI_In__5__DM1 EQU CYREG_PRT6_DM1 +SCSI_In__5__DM2 EQU CYREG_PRT6_DM2 +SCSI_In__5__DR EQU CYREG_PRT6_DR +SCSI_In__5__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_In__5__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_In__5__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_In__5__MASK EQU 0x80 +SCSI_In__5__PC EQU CYREG_PRT6_PC7 +SCSI_In__5__PORT EQU 6 +SCSI_In__5__PRT EQU CYREG_PRT6_PRT +SCSI_In__5__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_In__5__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_In__5__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_In__5__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_In__5__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_In__5__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_In__5__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_In__5__PS EQU CYREG_PRT6_PS +SCSI_In__5__SHIFT EQU 7 +SCSI_In__5__SLW EQU CYREG_PRT6_SLW +SCSI_In__6__AG EQU CYREG_PRT5_AG +SCSI_In__6__AMUX EQU CYREG_PRT5_AMUX +SCSI_In__6__BIE EQU CYREG_PRT5_BIE +SCSI_In__6__BIT_MASK EQU CYREG_PRT5_BIT_MASK +SCSI_In__6__BYP EQU CYREG_PRT5_BYP +SCSI_In__6__CTL EQU CYREG_PRT5_CTL +SCSI_In__6__DM0 EQU CYREG_PRT5_DM0 +SCSI_In__6__DM1 EQU CYREG_PRT5_DM1 +SCSI_In__6__DM2 EQU CYREG_PRT5_DM2 +SCSI_In__6__DR EQU CYREG_PRT5_DR +SCSI_In__6__INP_DIS EQU CYREG_PRT5_INP_DIS +SCSI_In__6__LCD_COM_SEG EQU CYREG_PRT5_LCD_COM_SEG +SCSI_In__6__LCD_EN EQU CYREG_PRT5_LCD_EN +SCSI_In__6__MASK EQU 0x01 +SCSI_In__6__PC EQU CYREG_PRT5_PC0 +SCSI_In__6__PORT EQU 5 +SCSI_In__6__PRT EQU CYREG_PRT5_PRT +SCSI_In__6__PRTDSI__CAPS_SEL EQU CYREG_PRT5_CAPS_SEL +SCSI_In__6__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT5_DBL_SYNC_IN +SCSI_In__6__PRTDSI__OE_SEL0 EQU CYREG_PRT5_OE_SEL0 +SCSI_In__6__PRTDSI__OE_SEL1 EQU CYREG_PRT5_OE_SEL1 +SCSI_In__6__PRTDSI__OUT_SEL0 EQU CYREG_PRT5_OUT_SEL0 +SCSI_In__6__PRTDSI__OUT_SEL1 EQU CYREG_PRT5_OUT_SEL1 +SCSI_In__6__PRTDSI__SYNC_OUT EQU CYREG_PRT5_SYNC_OUT +SCSI_In__6__PS EQU CYREG_PRT5_PS +SCSI_In__6__SHIFT EQU 0 +SCSI_In__6__SLW EQU CYREG_PRT5_SLW +SCSI_In__7__AG EQU CYREG_PRT5_AG +SCSI_In__7__AMUX EQU CYREG_PRT5_AMUX +SCSI_In__7__BIE EQU CYREG_PRT5_BIE +SCSI_In__7__BIT_MASK EQU CYREG_PRT5_BIT_MASK +SCSI_In__7__BYP EQU CYREG_PRT5_BYP +SCSI_In__7__CTL EQU CYREG_PRT5_CTL +SCSI_In__7__DM0 EQU CYREG_PRT5_DM0 +SCSI_In__7__DM1 EQU CYREG_PRT5_DM1 +SCSI_In__7__DM2 EQU CYREG_PRT5_DM2 +SCSI_In__7__DR EQU CYREG_PRT5_DR +SCSI_In__7__INP_DIS EQU CYREG_PRT5_INP_DIS +SCSI_In__7__LCD_COM_SEG EQU CYREG_PRT5_LCD_COM_SEG +SCSI_In__7__LCD_EN EQU CYREG_PRT5_LCD_EN +SCSI_In__7__MASK EQU 0x02 +SCSI_In__7__PC EQU CYREG_PRT5_PC1 +SCSI_In__7__PORT EQU 5 +SCSI_In__7__PRT EQU CYREG_PRT5_PRT +SCSI_In__7__PRTDSI__CAPS_SEL EQU CYREG_PRT5_CAPS_SEL +SCSI_In__7__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT5_DBL_SYNC_IN +SCSI_In__7__PRTDSI__OE_SEL0 EQU CYREG_PRT5_OE_SEL0 +SCSI_In__7__PRTDSI__OE_SEL1 EQU CYREG_PRT5_OE_SEL1 +SCSI_In__7__PRTDSI__OUT_SEL0 EQU CYREG_PRT5_OUT_SEL0 +SCSI_In__7__PRTDSI__OUT_SEL1 EQU CYREG_PRT5_OUT_SEL1 +SCSI_In__7__PRTDSI__SYNC_OUT EQU CYREG_PRT5_SYNC_OUT +SCSI_In__7__PS EQU CYREG_PRT5_PS +SCSI_In__7__SHIFT EQU 1 +SCSI_In__7__SLW EQU CYREG_PRT5_SLW +SCSI_In__8__AG EQU CYREG_PRT5_AG +SCSI_In__8__AMUX EQU CYREG_PRT5_AMUX +SCSI_In__8__BIE EQU CYREG_PRT5_BIE +SCSI_In__8__BIT_MASK EQU CYREG_PRT5_BIT_MASK +SCSI_In__8__BYP EQU CYREG_PRT5_BYP +SCSI_In__8__CTL EQU CYREG_PRT5_CTL +SCSI_In__8__DM0 EQU CYREG_PRT5_DM0 +SCSI_In__8__DM1 EQU CYREG_PRT5_DM1 +SCSI_In__8__DM2 EQU CYREG_PRT5_DM2 +SCSI_In__8__DR EQU CYREG_PRT5_DR +SCSI_In__8__INP_DIS EQU CYREG_PRT5_INP_DIS +SCSI_In__8__LCD_COM_SEG EQU CYREG_PRT5_LCD_COM_SEG +SCSI_In__8__LCD_EN EQU CYREG_PRT5_LCD_EN +SCSI_In__8__MASK EQU 0x04 +SCSI_In__8__PC EQU CYREG_PRT5_PC2 +SCSI_In__8__PORT EQU 5 +SCSI_In__8__PRT EQU CYREG_PRT5_PRT +SCSI_In__8__PRTDSI__CAPS_SEL EQU CYREG_PRT5_CAPS_SEL +SCSI_In__8__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT5_DBL_SYNC_IN +SCSI_In__8__PRTDSI__OE_SEL0 EQU CYREG_PRT5_OE_SEL0 +SCSI_In__8__PRTDSI__OE_SEL1 EQU CYREG_PRT5_OE_SEL1 +SCSI_In__8__PRTDSI__OUT_SEL0 EQU CYREG_PRT5_OUT_SEL0 +SCSI_In__8__PRTDSI__OUT_SEL1 EQU CYREG_PRT5_OUT_SEL1 +SCSI_In__8__PRTDSI__SYNC_OUT EQU CYREG_PRT5_SYNC_OUT +SCSI_In__8__PS EQU CYREG_PRT5_PS +SCSI_In__8__SHIFT EQU 2 +SCSI_In__8__SLW EQU CYREG_PRT5_SLW +SCSI_In__9__AG EQU CYREG_PRT5_AG +SCSI_In__9__AMUX EQU CYREG_PRT5_AMUX +SCSI_In__9__BIE EQU CYREG_PRT5_BIE +SCSI_In__9__BIT_MASK EQU CYREG_PRT5_BIT_MASK +SCSI_In__9__BYP EQU CYREG_PRT5_BYP +SCSI_In__9__CTL EQU CYREG_PRT5_CTL +SCSI_In__9__DM0 EQU CYREG_PRT5_DM0 +SCSI_In__9__DM1 EQU CYREG_PRT5_DM1 +SCSI_In__9__DM2 EQU CYREG_PRT5_DM2 +SCSI_In__9__DR EQU CYREG_PRT5_DR +SCSI_In__9__INP_DIS EQU CYREG_PRT5_INP_DIS +SCSI_In__9__LCD_COM_SEG EQU CYREG_PRT5_LCD_COM_SEG +SCSI_In__9__LCD_EN EQU CYREG_PRT5_LCD_EN +SCSI_In__9__MASK EQU 0x08 +SCSI_In__9__PC EQU CYREG_PRT5_PC3 +SCSI_In__9__PORT EQU 5 +SCSI_In__9__PRT EQU CYREG_PRT5_PRT +SCSI_In__9__PRTDSI__CAPS_SEL EQU CYREG_PRT5_CAPS_SEL +SCSI_In__9__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT5_DBL_SYNC_IN +SCSI_In__9__PRTDSI__OE_SEL0 EQU CYREG_PRT5_OE_SEL0 +SCSI_In__9__PRTDSI__OE_SEL1 EQU CYREG_PRT5_OE_SEL1 +SCSI_In__9__PRTDSI__OUT_SEL0 EQU CYREG_PRT5_OUT_SEL0 +SCSI_In__9__PRTDSI__OUT_SEL1 EQU CYREG_PRT5_OUT_SEL1 +SCSI_In__9__PRTDSI__SYNC_OUT EQU CYREG_PRT5_SYNC_OUT +SCSI_In__9__PS EQU CYREG_PRT5_PS +SCSI_In__9__SHIFT EQU 3 +SCSI_In__9__SLW EQU CYREG_PRT5_SLW +SCSI_In__ACK__AG EQU CYREG_PRT6_AG +SCSI_In__ACK__AMUX EQU CYREG_PRT6_AMUX +SCSI_In__ACK__BIE EQU CYREG_PRT6_BIE +SCSI_In__ACK__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_In__ACK__BYP EQU CYREG_PRT6_BYP +SCSI_In__ACK__CTL EQU CYREG_PRT6_CTL +SCSI_In__ACK__DM0 EQU CYREG_PRT6_DM0 +SCSI_In__ACK__DM1 EQU CYREG_PRT6_DM1 +SCSI_In__ACK__DM2 EQU CYREG_PRT6_DM2 +SCSI_In__ACK__DR EQU CYREG_PRT6_DR +SCSI_In__ACK__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_In__ACK__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_In__ACK__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_In__ACK__MASK EQU 0x20 +SCSI_In__ACK__PC EQU CYREG_PRT6_PC5 +SCSI_In__ACK__PORT EQU 6 +SCSI_In__ACK__PRT EQU CYREG_PRT6_PRT +SCSI_In__ACK__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_In__ACK__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_In__ACK__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_In__ACK__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_In__ACK__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_In__ACK__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_In__ACK__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_In__ACK__PS EQU CYREG_PRT6_PS +SCSI_In__ACK__SHIFT EQU 5 +SCSI_In__ACK__SLW EQU CYREG_PRT6_SLW +SCSI_In__ATN__AG EQU CYREG_PRT12_AG +SCSI_In__ATN__BIE EQU CYREG_PRT12_BIE +SCSI_In__ATN__BIT_MASK EQU CYREG_PRT12_BIT_MASK +SCSI_In__ATN__BYP EQU CYREG_PRT12_BYP +SCSI_In__ATN__DM0 EQU CYREG_PRT12_DM0 +SCSI_In__ATN__DM1 EQU CYREG_PRT12_DM1 +SCSI_In__ATN__DM2 EQU CYREG_PRT12_DM2 +SCSI_In__ATN__DR EQU CYREG_PRT12_DR +SCSI_In__ATN__INP_DIS EQU CYREG_PRT12_INP_DIS +SCSI_In__ATN__MASK EQU 0x20 +SCSI_In__ATN__PC EQU CYREG_PRT12_PC5 +SCSI_In__ATN__PORT EQU 12 +SCSI_In__ATN__PRT EQU CYREG_PRT12_PRT +SCSI_In__ATN__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT12_DBL_SYNC_IN +SCSI_In__ATN__PRTDSI__OE_SEL0 EQU CYREG_PRT12_OE_SEL0 +SCSI_In__ATN__PRTDSI__OE_SEL1 EQU CYREG_PRT12_OE_SEL1 +SCSI_In__ATN__PRTDSI__OUT_SEL0 EQU CYREG_PRT12_OUT_SEL0 +SCSI_In__ATN__PRTDSI__OUT_SEL1 EQU CYREG_PRT12_OUT_SEL1 +SCSI_In__ATN__PRTDSI__SYNC_OUT EQU CYREG_PRT12_SYNC_OUT +SCSI_In__ATN__PS EQU CYREG_PRT12_PS +SCSI_In__ATN__SHIFT EQU 5 +SCSI_In__ATN__SIO_CFG EQU CYREG_PRT12_SIO_CFG +SCSI_In__ATN__SIO_DIFF EQU CYREG_PRT12_SIO_DIFF +SCSI_In__ATN__SIO_HYST_EN EQU CYREG_PRT12_SIO_HYST_EN +SCSI_In__ATN__SIO_REG_HIFREQ EQU CYREG_PRT12_SIO_REG_HIFREQ +SCSI_In__ATN__SLW EQU CYREG_PRT12_SLW +SCSI_In__BSY__AG EQU CYREG_PRT6_AG +SCSI_In__BSY__AMUX EQU CYREG_PRT6_AMUX +SCSI_In__BSY__BIE EQU CYREG_PRT6_BIE +SCSI_In__BSY__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_In__BSY__BYP EQU CYREG_PRT6_BYP +SCSI_In__BSY__CTL EQU CYREG_PRT6_CTL +SCSI_In__BSY__DM0 EQU CYREG_PRT6_DM0 +SCSI_In__BSY__DM1 EQU CYREG_PRT6_DM1 +SCSI_In__BSY__DM2 EQU CYREG_PRT6_DM2 +SCSI_In__BSY__DR EQU CYREG_PRT6_DR +SCSI_In__BSY__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_In__BSY__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_In__BSY__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_In__BSY__MASK EQU 0x10 +SCSI_In__BSY__PC EQU CYREG_PRT6_PC4 +SCSI_In__BSY__PORT EQU 6 +SCSI_In__BSY__PRT EQU CYREG_PRT6_PRT +SCSI_In__BSY__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_In__BSY__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_In__BSY__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_In__BSY__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_In__BSY__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_In__BSY__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_In__BSY__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_In__BSY__PS EQU CYREG_PRT6_PS +SCSI_In__BSY__SHIFT EQU 4 +SCSI_In__BSY__SLW EQU CYREG_PRT6_SLW +SCSI_In__CD__AG EQU CYREG_PRT5_AG +SCSI_In__CD__AMUX EQU CYREG_PRT5_AMUX +SCSI_In__CD__BIE EQU CYREG_PRT5_BIE +SCSI_In__CD__BIT_MASK EQU CYREG_PRT5_BIT_MASK +SCSI_In__CD__BYP EQU CYREG_PRT5_BYP +SCSI_In__CD__CTL EQU CYREG_PRT5_CTL +SCSI_In__CD__DM0 EQU CYREG_PRT5_DM0 +SCSI_In__CD__DM1 EQU CYREG_PRT5_DM1 +SCSI_In__CD__DM2 EQU CYREG_PRT5_DM2 +SCSI_In__CD__DR EQU CYREG_PRT5_DR +SCSI_In__CD__INP_DIS EQU CYREG_PRT5_INP_DIS +SCSI_In__CD__LCD_COM_SEG EQU CYREG_PRT5_LCD_COM_SEG +SCSI_In__CD__LCD_EN EQU CYREG_PRT5_LCD_EN +SCSI_In__CD__MASK EQU 0x02 +SCSI_In__CD__PC EQU CYREG_PRT5_PC1 +SCSI_In__CD__PORT EQU 5 +SCSI_In__CD__PRT EQU CYREG_PRT5_PRT +SCSI_In__CD__PRTDSI__CAPS_SEL EQU CYREG_PRT5_CAPS_SEL +SCSI_In__CD__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT5_DBL_SYNC_IN +SCSI_In__CD__PRTDSI__OE_SEL0 EQU CYREG_PRT5_OE_SEL0 +SCSI_In__CD__PRTDSI__OE_SEL1 EQU CYREG_PRT5_OE_SEL1 +SCSI_In__CD__PRTDSI__OUT_SEL0 EQU CYREG_PRT5_OUT_SEL0 +SCSI_In__CD__PRTDSI__OUT_SEL1 EQU CYREG_PRT5_OUT_SEL1 +SCSI_In__CD__PRTDSI__SYNC_OUT EQU CYREG_PRT5_SYNC_OUT +SCSI_In__CD__PS EQU CYREG_PRT5_PS +SCSI_In__CD__SHIFT EQU 1 +SCSI_In__CD__SLW EQU CYREG_PRT5_SLW +SCSI_In__DBP__AG EQU CYREG_PRT12_AG +SCSI_In__DBP__BIE EQU CYREG_PRT12_BIE +SCSI_In__DBP__BIT_MASK EQU CYREG_PRT12_BIT_MASK +SCSI_In__DBP__BYP EQU CYREG_PRT12_BYP +SCSI_In__DBP__DM0 EQU CYREG_PRT12_DM0 +SCSI_In__DBP__DM1 EQU CYREG_PRT12_DM1 +SCSI_In__DBP__DM2 EQU CYREG_PRT12_DM2 +SCSI_In__DBP__DR EQU CYREG_PRT12_DR +SCSI_In__DBP__INP_DIS EQU CYREG_PRT12_INP_DIS +SCSI_In__DBP__MASK EQU 0x10 +SCSI_In__DBP__PC EQU CYREG_PRT12_PC4 +SCSI_In__DBP__PORT EQU 12 +SCSI_In__DBP__PRT EQU CYREG_PRT12_PRT +SCSI_In__DBP__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT12_DBL_SYNC_IN +SCSI_In__DBP__PRTDSI__OE_SEL0 EQU CYREG_PRT12_OE_SEL0 +SCSI_In__DBP__PRTDSI__OE_SEL1 EQU CYREG_PRT12_OE_SEL1 +SCSI_In__DBP__PRTDSI__OUT_SEL0 EQU CYREG_PRT12_OUT_SEL0 +SCSI_In__DBP__PRTDSI__OUT_SEL1 EQU CYREG_PRT12_OUT_SEL1 +SCSI_In__DBP__PRTDSI__SYNC_OUT EQU CYREG_PRT12_SYNC_OUT +SCSI_In__DBP__PS EQU CYREG_PRT12_PS +SCSI_In__DBP__SHIFT EQU 4 +SCSI_In__DBP__SIO_CFG EQU CYREG_PRT12_SIO_CFG +SCSI_In__DBP__SIO_DIFF EQU CYREG_PRT12_SIO_DIFF +SCSI_In__DBP__SIO_HYST_EN EQU CYREG_PRT12_SIO_HYST_EN +SCSI_In__DBP__SIO_REG_HIFREQ EQU CYREG_PRT12_SIO_REG_HIFREQ +SCSI_In__DBP__SLW EQU CYREG_PRT12_SLW +SCSI_In__IO__AG EQU CYREG_PRT5_AG +SCSI_In__IO__AMUX EQU CYREG_PRT5_AMUX +SCSI_In__IO__BIE EQU CYREG_PRT5_BIE +SCSI_In__IO__BIT_MASK EQU CYREG_PRT5_BIT_MASK +SCSI_In__IO__BYP EQU CYREG_PRT5_BYP +SCSI_In__IO__CTL EQU CYREG_PRT5_CTL +SCSI_In__IO__DM0 EQU CYREG_PRT5_DM0 +SCSI_In__IO__DM1 EQU CYREG_PRT5_DM1 +SCSI_In__IO__DM2 EQU CYREG_PRT5_DM2 +SCSI_In__IO__DR EQU CYREG_PRT5_DR +SCSI_In__IO__INP_DIS EQU CYREG_PRT5_INP_DIS +SCSI_In__IO__LCD_COM_SEG EQU CYREG_PRT5_LCD_COM_SEG +SCSI_In__IO__LCD_EN EQU CYREG_PRT5_LCD_EN +SCSI_In__IO__MASK EQU 0x08 +SCSI_In__IO__PC EQU CYREG_PRT5_PC3 +SCSI_In__IO__PORT EQU 5 +SCSI_In__IO__PRT EQU CYREG_PRT5_PRT +SCSI_In__IO__PRTDSI__CAPS_SEL EQU CYREG_PRT5_CAPS_SEL +SCSI_In__IO__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT5_DBL_SYNC_IN +SCSI_In__IO__PRTDSI__OE_SEL0 EQU CYREG_PRT5_OE_SEL0 +SCSI_In__IO__PRTDSI__OE_SEL1 EQU CYREG_PRT5_OE_SEL1 +SCSI_In__IO__PRTDSI__OUT_SEL0 EQU CYREG_PRT5_OUT_SEL0 +SCSI_In__IO__PRTDSI__OUT_SEL1 EQU CYREG_PRT5_OUT_SEL1 +SCSI_In__IO__PRTDSI__SYNC_OUT EQU CYREG_PRT5_SYNC_OUT +SCSI_In__IO__PS EQU CYREG_PRT5_PS +SCSI_In__IO__SHIFT EQU 3 +SCSI_In__IO__SLW EQU CYREG_PRT5_SLW +SCSI_In__MSG__AG EQU CYREG_PRT6_AG +SCSI_In__MSG__AMUX EQU CYREG_PRT6_AMUX +SCSI_In__MSG__BIE EQU CYREG_PRT6_BIE +SCSI_In__MSG__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_In__MSG__BYP EQU CYREG_PRT6_BYP +SCSI_In__MSG__CTL EQU CYREG_PRT6_CTL +SCSI_In__MSG__DM0 EQU CYREG_PRT6_DM0 +SCSI_In__MSG__DM1 EQU CYREG_PRT6_DM1 +SCSI_In__MSG__DM2 EQU CYREG_PRT6_DM2 +SCSI_In__MSG__DR EQU CYREG_PRT6_DR +SCSI_In__MSG__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_In__MSG__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_In__MSG__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_In__MSG__MASK EQU 0x80 +SCSI_In__MSG__PC EQU CYREG_PRT6_PC7 +SCSI_In__MSG__PORT EQU 6 +SCSI_In__MSG__PRT EQU CYREG_PRT6_PRT +SCSI_In__MSG__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_In__MSG__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_In__MSG__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_In__MSG__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_In__MSG__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_In__MSG__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_In__MSG__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_In__MSG__PS EQU CYREG_PRT6_PS +SCSI_In__MSG__SHIFT EQU 7 +SCSI_In__MSG__SLW EQU CYREG_PRT6_SLW +SCSI_In__REQ__AG EQU CYREG_PRT5_AG +SCSI_In__REQ__AMUX EQU CYREG_PRT5_AMUX +SCSI_In__REQ__BIE EQU CYREG_PRT5_BIE +SCSI_In__REQ__BIT_MASK EQU CYREG_PRT5_BIT_MASK +SCSI_In__REQ__BYP EQU CYREG_PRT5_BYP +SCSI_In__REQ__CTL EQU CYREG_PRT5_CTL +SCSI_In__REQ__DM0 EQU CYREG_PRT5_DM0 +SCSI_In__REQ__DM1 EQU CYREG_PRT5_DM1 +SCSI_In__REQ__DM2 EQU CYREG_PRT5_DM2 +SCSI_In__REQ__DR EQU CYREG_PRT5_DR +SCSI_In__REQ__INP_DIS EQU CYREG_PRT5_INP_DIS +SCSI_In__REQ__LCD_COM_SEG EQU CYREG_PRT5_LCD_COM_SEG +SCSI_In__REQ__LCD_EN EQU CYREG_PRT5_LCD_EN +SCSI_In__REQ__MASK EQU 0x04 +SCSI_In__REQ__PC EQU CYREG_PRT5_PC2 +SCSI_In__REQ__PORT EQU 5 +SCSI_In__REQ__PRT EQU CYREG_PRT5_PRT +SCSI_In__REQ__PRTDSI__CAPS_SEL EQU CYREG_PRT5_CAPS_SEL +SCSI_In__REQ__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT5_DBL_SYNC_IN +SCSI_In__REQ__PRTDSI__OE_SEL0 EQU CYREG_PRT5_OE_SEL0 +SCSI_In__REQ__PRTDSI__OE_SEL1 EQU CYREG_PRT5_OE_SEL1 +SCSI_In__REQ__PRTDSI__OUT_SEL0 EQU CYREG_PRT5_OUT_SEL0 +SCSI_In__REQ__PRTDSI__OUT_SEL1 EQU CYREG_PRT5_OUT_SEL1 +SCSI_In__REQ__PRTDSI__SYNC_OUT EQU CYREG_PRT5_SYNC_OUT +SCSI_In__REQ__PS EQU CYREG_PRT5_PS +SCSI_In__REQ__SHIFT EQU 2 +SCSI_In__REQ__SLW EQU CYREG_PRT5_SLW +SCSI_In__RST__AG EQU CYREG_PRT6_AG +SCSI_In__RST__AMUX EQU CYREG_PRT6_AMUX +SCSI_In__RST__BIE EQU CYREG_PRT6_BIE +SCSI_In__RST__BIT_MASK EQU CYREG_PRT6_BIT_MASK +SCSI_In__RST__BYP EQU CYREG_PRT6_BYP +SCSI_In__RST__CTL EQU CYREG_PRT6_CTL +SCSI_In__RST__DM0 EQU CYREG_PRT6_DM0 +SCSI_In__RST__DM1 EQU CYREG_PRT6_DM1 +SCSI_In__RST__DM2 EQU CYREG_PRT6_DM2 +SCSI_In__RST__DR EQU CYREG_PRT6_DR +SCSI_In__RST__INP_DIS EQU CYREG_PRT6_INP_DIS +SCSI_In__RST__LCD_COM_SEG EQU CYREG_PRT6_LCD_COM_SEG +SCSI_In__RST__LCD_EN EQU CYREG_PRT6_LCD_EN +SCSI_In__RST__MASK EQU 0x40 +SCSI_In__RST__PC EQU CYREG_PRT6_PC6 +SCSI_In__RST__PORT EQU 6 +SCSI_In__RST__PRT EQU CYREG_PRT6_PRT +SCSI_In__RST__PRTDSI__CAPS_SEL EQU CYREG_PRT6_CAPS_SEL +SCSI_In__RST__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT6_DBL_SYNC_IN +SCSI_In__RST__PRTDSI__OE_SEL0 EQU CYREG_PRT6_OE_SEL0 +SCSI_In__RST__PRTDSI__OE_SEL1 EQU CYREG_PRT6_OE_SEL1 +SCSI_In__RST__PRTDSI__OUT_SEL0 EQU CYREG_PRT6_OUT_SEL0 +SCSI_In__RST__PRTDSI__OUT_SEL1 EQU CYREG_PRT6_OUT_SEL1 +SCSI_In__RST__PRTDSI__SYNC_OUT EQU CYREG_PRT6_SYNC_OUT +SCSI_In__RST__PS EQU CYREG_PRT6_PS +SCSI_In__RST__SHIFT EQU 6 +SCSI_In__RST__SLW EQU CYREG_PRT6_SLW +SCSI_In__SEL__AG EQU CYREG_PRT5_AG +SCSI_In__SEL__AMUX EQU CYREG_PRT5_AMUX +SCSI_In__SEL__BIE EQU CYREG_PRT5_BIE +SCSI_In__SEL__BIT_MASK EQU CYREG_PRT5_BIT_MASK +SCSI_In__SEL__BYP EQU CYREG_PRT5_BYP +SCSI_In__SEL__CTL EQU CYREG_PRT5_CTL +SCSI_In__SEL__DM0 EQU CYREG_PRT5_DM0 +SCSI_In__SEL__DM1 EQU CYREG_PRT5_DM1 +SCSI_In__SEL__DM2 EQU CYREG_PRT5_DM2 +SCSI_In__SEL__DR EQU CYREG_PRT5_DR +SCSI_In__SEL__INP_DIS EQU CYREG_PRT5_INP_DIS +SCSI_In__SEL__LCD_COM_SEG EQU CYREG_PRT5_LCD_COM_SEG +SCSI_In__SEL__LCD_EN EQU CYREG_PRT5_LCD_EN +SCSI_In__SEL__MASK EQU 0x01 +SCSI_In__SEL__PC EQU CYREG_PRT5_PC0 +SCSI_In__SEL__PORT EQU 5 +SCSI_In__SEL__PRT EQU CYREG_PRT5_PRT +SCSI_In__SEL__PRTDSI__CAPS_SEL EQU CYREG_PRT5_CAPS_SEL +SCSI_In__SEL__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT5_DBL_SYNC_IN +SCSI_In__SEL__PRTDSI__OE_SEL0 EQU CYREG_PRT5_OE_SEL0 +SCSI_In__SEL__PRTDSI__OE_SEL1 EQU CYREG_PRT5_OE_SEL1 +SCSI_In__SEL__PRTDSI__OUT_SEL0 EQU CYREG_PRT5_OUT_SEL0 +SCSI_In__SEL__PRTDSI__OUT_SEL1 EQU CYREG_PRT5_OUT_SEL1 +SCSI_In__SEL__PRTDSI__SYNC_OUT EQU CYREG_PRT5_SYNC_OUT +SCSI_In__SEL__PS EQU CYREG_PRT5_PS +SCSI_In__SEL__SHIFT EQU 0 +SCSI_In__SEL__SLW EQU CYREG_PRT5_SLW + +; SD_DAT1 +SD_DAT1__0__MASK EQU 0x20 +SD_DAT1__0__PC EQU CYREG_PRT3_PC5 +SD_DAT1__0__PORT EQU 3 +SD_DAT1__0__SHIFT EQU 5 +SD_DAT1__AG EQU CYREG_PRT3_AG +SD_DAT1__AMUX EQU CYREG_PRT3_AMUX +SD_DAT1__BIE EQU CYREG_PRT3_BIE +SD_DAT1__BIT_MASK EQU CYREG_PRT3_BIT_MASK +SD_DAT1__BYP EQU CYREG_PRT3_BYP +SD_DAT1__CTL EQU CYREG_PRT3_CTL +SD_DAT1__DM0 EQU CYREG_PRT3_DM0 +SD_DAT1__DM1 EQU CYREG_PRT3_DM1 +SD_DAT1__DM2 EQU CYREG_PRT3_DM2 +SD_DAT1__DR EQU CYREG_PRT3_DR +SD_DAT1__INP_DIS EQU CYREG_PRT3_INP_DIS +SD_DAT1__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG +SD_DAT1__LCD_EN EQU CYREG_PRT3_LCD_EN +SD_DAT1__MASK EQU 0x20 +SD_DAT1__PORT EQU 3 +SD_DAT1__PRT EQU CYREG_PRT3_PRT +SD_DAT1__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL +SD_DAT1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT3_DBL_SYNC_IN +SD_DAT1__PRTDSI__OE_SEL0 EQU CYREG_PRT3_OE_SEL0 +SD_DAT1__PRTDSI__OE_SEL1 EQU CYREG_PRT3_OE_SEL1 +SD_DAT1__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 +SD_DAT1__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 +SD_DAT1__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT +SD_DAT1__PS EQU CYREG_PRT3_PS +SD_DAT1__SHIFT EQU 5 +SD_DAT1__SLW EQU CYREG_PRT3_SLW + +; SD_DAT2 +SD_DAT2__0__MASK EQU 0x01 +SD_DAT2__0__PC EQU CYREG_PRT3_PC0 +SD_DAT2__0__PORT EQU 3 +SD_DAT2__0__SHIFT EQU 0 +SD_DAT2__AG EQU CYREG_PRT3_AG +SD_DAT2__AMUX EQU CYREG_PRT3_AMUX +SD_DAT2__BIE EQU CYREG_PRT3_BIE +SD_DAT2__BIT_MASK EQU CYREG_PRT3_BIT_MASK +SD_DAT2__BYP EQU CYREG_PRT3_BYP +SD_DAT2__CTL EQU CYREG_PRT3_CTL +SD_DAT2__DM0 EQU CYREG_PRT3_DM0 +SD_DAT2__DM1 EQU CYREG_PRT3_DM1 +SD_DAT2__DM2 EQU CYREG_PRT3_DM2 +SD_DAT2__DR EQU CYREG_PRT3_DR +SD_DAT2__INP_DIS EQU CYREG_PRT3_INP_DIS +SD_DAT2__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG +SD_DAT2__LCD_EN EQU CYREG_PRT3_LCD_EN +SD_DAT2__MASK EQU 0x01 +SD_DAT2__PORT EQU 3 +SD_DAT2__PRT EQU CYREG_PRT3_PRT +SD_DAT2__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL +SD_DAT2__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT3_DBL_SYNC_IN +SD_DAT2__PRTDSI__OE_SEL0 EQU CYREG_PRT3_OE_SEL0 +SD_DAT2__PRTDSI__OE_SEL1 EQU CYREG_PRT3_OE_SEL1 +SD_DAT2__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 +SD_DAT2__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 +SD_DAT2__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT +SD_DAT2__PS EQU CYREG_PRT3_PS +SD_DAT2__SHIFT EQU 0 +SD_DAT2__SLW EQU CYREG_PRT3_SLW + +; SD_MISO +SD_MISO__0__MASK EQU 0x10 +SD_MISO__0__PC EQU CYREG_PRT3_PC4 +SD_MISO__0__PORT EQU 3 +SD_MISO__0__SHIFT EQU 4 +SD_MISO__AG EQU CYREG_PRT3_AG +SD_MISO__AMUX EQU CYREG_PRT3_AMUX +SD_MISO__BIE EQU CYREG_PRT3_BIE +SD_MISO__BIT_MASK EQU CYREG_PRT3_BIT_MASK +SD_MISO__BYP EQU CYREG_PRT3_BYP +SD_MISO__CTL EQU CYREG_PRT3_CTL +SD_MISO__DM0 EQU CYREG_PRT3_DM0 +SD_MISO__DM1 EQU CYREG_PRT3_DM1 +SD_MISO__DM2 EQU CYREG_PRT3_DM2 +SD_MISO__DR EQU CYREG_PRT3_DR +SD_MISO__INP_DIS EQU CYREG_PRT3_INP_DIS +SD_MISO__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG +SD_MISO__LCD_EN EQU CYREG_PRT3_LCD_EN +SD_MISO__MASK EQU 0x10 +SD_MISO__PORT EQU 3 +SD_MISO__PRT EQU CYREG_PRT3_PRT +SD_MISO__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL +SD_MISO__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT3_DBL_SYNC_IN +SD_MISO__PRTDSI__OE_SEL0 EQU CYREG_PRT3_OE_SEL0 +SD_MISO__PRTDSI__OE_SEL1 EQU CYREG_PRT3_OE_SEL1 +SD_MISO__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 +SD_MISO__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 +SD_MISO__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT +SD_MISO__PS EQU CYREG_PRT3_PS +SD_MISO__SHIFT EQU 4 +SD_MISO__SLW EQU CYREG_PRT3_SLW + +; SD_MOSI +SD_MOSI__0__MASK EQU 0x04 +SD_MOSI__0__PC EQU CYREG_PRT3_PC2 +SD_MOSI__0__PORT EQU 3 +SD_MOSI__0__SHIFT EQU 2 +SD_MOSI__AG EQU CYREG_PRT3_AG +SD_MOSI__AMUX EQU CYREG_PRT3_AMUX +SD_MOSI__BIE EQU CYREG_PRT3_BIE +SD_MOSI__BIT_MASK EQU CYREG_PRT3_BIT_MASK +SD_MOSI__BYP EQU CYREG_PRT3_BYP +SD_MOSI__CTL EQU CYREG_PRT3_CTL +SD_MOSI__DM0 EQU CYREG_PRT3_DM0 +SD_MOSI__DM1 EQU CYREG_PRT3_DM1 +SD_MOSI__DM2 EQU CYREG_PRT3_DM2 +SD_MOSI__DR EQU CYREG_PRT3_DR +SD_MOSI__INP_DIS EQU CYREG_PRT3_INP_DIS +SD_MOSI__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG +SD_MOSI__LCD_EN EQU CYREG_PRT3_LCD_EN +SD_MOSI__MASK EQU 0x04 +SD_MOSI__PORT EQU 3 +SD_MOSI__PRT EQU CYREG_PRT3_PRT +SD_MOSI__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL +SD_MOSI__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT3_DBL_SYNC_IN +SD_MOSI__PRTDSI__OE_SEL0 EQU CYREG_PRT3_OE_SEL0 +SD_MOSI__PRTDSI__OE_SEL1 EQU CYREG_PRT3_OE_SEL1 +SD_MOSI__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 +SD_MOSI__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 +SD_MOSI__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT +SD_MOSI__PS EQU CYREG_PRT3_PS +SD_MOSI__SHIFT EQU 2 +SD_MOSI__SLW EQU CYREG_PRT3_SLW + +; SD_SCK +SD_SCK__0__MASK EQU 0x08 +SD_SCK__0__PC EQU CYREG_PRT3_PC3 +SD_SCK__0__PORT EQU 3 +SD_SCK__0__SHIFT EQU 3 +SD_SCK__AG EQU CYREG_PRT3_AG +SD_SCK__AMUX EQU CYREG_PRT3_AMUX +SD_SCK__BIE EQU CYREG_PRT3_BIE +SD_SCK__BIT_MASK EQU CYREG_PRT3_BIT_MASK +SD_SCK__BYP EQU CYREG_PRT3_BYP +SD_SCK__CTL EQU CYREG_PRT3_CTL +SD_SCK__DM0 EQU CYREG_PRT3_DM0 +SD_SCK__DM1 EQU CYREG_PRT3_DM1 +SD_SCK__DM2 EQU CYREG_PRT3_DM2 +SD_SCK__DR EQU CYREG_PRT3_DR +SD_SCK__INP_DIS EQU CYREG_PRT3_INP_DIS +SD_SCK__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG +SD_SCK__LCD_EN EQU CYREG_PRT3_LCD_EN +SD_SCK__MASK EQU 0x08 +SD_SCK__PORT EQU 3 +SD_SCK__PRT EQU CYREG_PRT3_PRT +SD_SCK__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL +SD_SCK__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT3_DBL_SYNC_IN +SD_SCK__PRTDSI__OE_SEL0 EQU CYREG_PRT3_OE_SEL0 +SD_SCK__PRTDSI__OE_SEL1 EQU CYREG_PRT3_OE_SEL1 +SD_SCK__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 +SD_SCK__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 +SD_SCK__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT +SD_SCK__PS EQU CYREG_PRT3_PS +SD_SCK__SHIFT EQU 3 +SD_SCK__SLW EQU CYREG_PRT3_SLW + +; SD_CD +SD_CD__0__MASK EQU 0x40 +SD_CD__0__PC EQU CYREG_PRT3_PC6 +SD_CD__0__PORT EQU 3 +SD_CD__0__SHIFT EQU 6 +SD_CD__AG EQU CYREG_PRT3_AG +SD_CD__AMUX EQU CYREG_PRT3_AMUX +SD_CD__BIE EQU CYREG_PRT3_BIE +SD_CD__BIT_MASK EQU CYREG_PRT3_BIT_MASK +SD_CD__BYP EQU CYREG_PRT3_BYP +SD_CD__CTL EQU CYREG_PRT3_CTL +SD_CD__DM0 EQU CYREG_PRT3_DM0 +SD_CD__DM1 EQU CYREG_PRT3_DM1 +SD_CD__DM2 EQU CYREG_PRT3_DM2 +SD_CD__DR EQU CYREG_PRT3_DR +SD_CD__INP_DIS EQU CYREG_PRT3_INP_DIS +SD_CD__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG +SD_CD__LCD_EN EQU CYREG_PRT3_LCD_EN +SD_CD__MASK EQU 0x40 +SD_CD__PORT EQU 3 +SD_CD__PRT EQU CYREG_PRT3_PRT +SD_CD__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL +SD_CD__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT3_DBL_SYNC_IN +SD_CD__PRTDSI__OE_SEL0 EQU CYREG_PRT3_OE_SEL0 +SD_CD__PRTDSI__OE_SEL1 EQU CYREG_PRT3_OE_SEL1 +SD_CD__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 +SD_CD__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 +SD_CD__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT +SD_CD__PS EQU CYREG_PRT3_PS +SD_CD__SHIFT EQU 6 +SD_CD__SLW EQU CYREG_PRT3_SLW + +; SD_CS +SD_CS__0__MASK EQU 0x02 +SD_CS__0__PC EQU CYREG_PRT3_PC1 +SD_CS__0__PORT EQU 3 +SD_CS__0__SHIFT EQU 1 +SD_CS__AG EQU CYREG_PRT3_AG +SD_CS__AMUX EQU CYREG_PRT3_AMUX +SD_CS__BIE EQU CYREG_PRT3_BIE +SD_CS__BIT_MASK EQU CYREG_PRT3_BIT_MASK +SD_CS__BYP EQU CYREG_PRT3_BYP +SD_CS__CTL EQU CYREG_PRT3_CTL +SD_CS__DM0 EQU CYREG_PRT3_DM0 +SD_CS__DM1 EQU CYREG_PRT3_DM1 +SD_CS__DM2 EQU CYREG_PRT3_DM2 +SD_CS__DR EQU CYREG_PRT3_DR +SD_CS__INP_DIS EQU CYREG_PRT3_INP_DIS +SD_CS__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG +SD_CS__LCD_EN EQU CYREG_PRT3_LCD_EN +SD_CS__MASK EQU 0x02 +SD_CS__PORT EQU 3 +SD_CS__PRT EQU CYREG_PRT3_PRT +SD_CS__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL +SD_CS__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT3_DBL_SYNC_IN +SD_CS__PRTDSI__OE_SEL0 EQU CYREG_PRT3_OE_SEL0 +SD_CS__PRTDSI__OE_SEL1 EQU CYREG_PRT3_OE_SEL1 +SD_CS__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 +SD_CS__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 +SD_CS__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT +SD_CS__PS EQU CYREG_PRT3_PS +SD_CS__SHIFT EQU 1 +SD_CS__SLW EQU CYREG_PRT3_SLW + +; SD_WP +SD_WP__0__MASK EQU 0x80 +SD_WP__0__PC EQU CYREG_PRT3_PC7 +SD_WP__0__PORT EQU 3 +SD_WP__0__SHIFT EQU 7 +SD_WP__AG EQU CYREG_PRT3_AG +SD_WP__AMUX EQU CYREG_PRT3_AMUX +SD_WP__BIE EQU CYREG_PRT3_BIE +SD_WP__BIT_MASK EQU CYREG_PRT3_BIT_MASK +SD_WP__BYP EQU CYREG_PRT3_BYP +SD_WP__CTL EQU CYREG_PRT3_CTL +SD_WP__DM0 EQU CYREG_PRT3_DM0 +SD_WP__DM1 EQU CYREG_PRT3_DM1 +SD_WP__DM2 EQU CYREG_PRT3_DM2 +SD_WP__DR EQU CYREG_PRT3_DR +SD_WP__INP_DIS EQU CYREG_PRT3_INP_DIS +SD_WP__LCD_COM_SEG EQU CYREG_PRT3_LCD_COM_SEG +SD_WP__LCD_EN EQU CYREG_PRT3_LCD_EN +SD_WP__MASK EQU 0x80 +SD_WP__PORT EQU 3 +SD_WP__PRT EQU CYREG_PRT3_PRT +SD_WP__PRTDSI__CAPS_SEL EQU CYREG_PRT3_CAPS_SEL +SD_WP__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT3_DBL_SYNC_IN +SD_WP__PRTDSI__OE_SEL0 EQU CYREG_PRT3_OE_SEL0 +SD_WP__PRTDSI__OE_SEL1 EQU CYREG_PRT3_OE_SEL1 +SD_WP__PRTDSI__OUT_SEL0 EQU CYREG_PRT3_OUT_SEL0 +SD_WP__PRTDSI__OUT_SEL1 EQU CYREG_PRT3_OUT_SEL1 +SD_WP__PRTDSI__SYNC_OUT EQU CYREG_PRT3_SYNC_OUT +SD_WP__PS EQU CYREG_PRT3_PS +SD_WP__SHIFT EQU 7 +SD_WP__SLW EQU CYREG_PRT3_SLW + +; LED1 +LED1__0__MASK EQU 0x08 +LED1__0__PC EQU CYREG_PRT12_PC3 +LED1__0__PORT EQU 12 +LED1__0__SHIFT EQU 3 +LED1__AG EQU CYREG_PRT12_AG +LED1__BIE EQU CYREG_PRT12_BIE +LED1__BIT_MASK EQU CYREG_PRT12_BIT_MASK +LED1__BYP EQU CYREG_PRT12_BYP +LED1__DM0 EQU CYREG_PRT12_DM0 +LED1__DM1 EQU CYREG_PRT12_DM1 +LED1__DM2 EQU CYREG_PRT12_DM2 +LED1__DR EQU CYREG_PRT12_DR +LED1__INP_DIS EQU CYREG_PRT12_INP_DIS +LED1__MASK EQU 0x08 +LED1__PORT EQU 12 +LED1__PRT EQU CYREG_PRT12_PRT +LED1__PRTDSI__DBL_SYNC_IN EQU CYREG_PRT12_DBL_SYNC_IN +LED1__PRTDSI__OE_SEL0 EQU CYREG_PRT12_OE_SEL0 +LED1__PRTDSI__OE_SEL1 EQU CYREG_PRT12_OE_SEL1 +LED1__PRTDSI__OUT_SEL0 EQU CYREG_PRT12_OUT_SEL0 +LED1__PRTDSI__OUT_SEL1 EQU CYREG_PRT12_OUT_SEL1 +LED1__PRTDSI__SYNC_OUT EQU CYREG_PRT12_SYNC_OUT +LED1__PS EQU CYREG_PRT12_PS +LED1__SHIFT EQU 3 +LED1__SIO_CFG EQU CYREG_PRT12_SIO_CFG +LED1__SIO_DIFF EQU CYREG_PRT12_SIO_DIFF +LED1__SIO_HYST_EN EQU CYREG_PRT12_SIO_HYST_EN +LED1__SIO_REG_HIFREQ EQU CYREG_PRT12_SIO_REG_HIFREQ +LED1__SLW EQU CYREG_PRT12_SLW + +; Miscellaneous +; -- WARNING: define names containing LEOPARD or PANTHER are deprecated and will be removed in a future release +CYDEV_DEBUGGING_DPS_SWD_SWV EQU 6 +CYDEV_CONFIG_UNUSED_IO_AllowButWarn EQU 0 +CYDEV_CONFIGURATION_MODE_COMPRESSED EQU 0 +CYDEV_CONFIG_FASTBOOT_ENABLED EQU 1 +CYDEV_CHIP_REV_PSOC5LP_PRODUCTION EQU 0 +CYDEV_CHIP_REVISION_5B_PRODUCTION EQU 0 +CYDEV_CHIP_MEMBER_5B EQU 4 +CYDEV_CHIP_FAMILY_PSOC5 EQU 3 +CYDEV_CHIP_DIE_PSOC5LP EQU 4 +CYDEV_CHIP_DIE_EXPECT EQU CYDEV_CHIP_DIE_PSOC5LP +BCLK__BUS_CLK__HZ EQU 64000000 +BCLK__BUS_CLK__KHZ EQU 64000 +BCLK__BUS_CLK__MHZ EQU 64 +CYDEV_CHIP_DIE_ACTUAL EQU CYDEV_CHIP_DIE_EXPECT +CYDEV_CHIP_DIE_LEOPARD EQU 1 +CYDEV_CHIP_DIE_PANTHER EQU 3 +CYDEV_CHIP_DIE_PSOC4A EQU 2 +CYDEV_CHIP_DIE_UNKNOWN EQU 0 +CYDEV_CHIP_FAMILY_PSOC3 EQU 1 +CYDEV_CHIP_FAMILY_PSOC4 EQU 2 +CYDEV_CHIP_FAMILY_UNKNOWN EQU 0 +CYDEV_CHIP_FAMILY_USED EQU CYDEV_CHIP_FAMILY_PSOC5 +CYDEV_CHIP_JTAG_ID EQU 0x2E12F069 +CYDEV_CHIP_MEMBER_3A EQU 1 +CYDEV_CHIP_MEMBER_4A EQU 2 +CYDEV_CHIP_MEMBER_5A EQU 3 +CYDEV_CHIP_MEMBER_UNKNOWN EQU 0 +CYDEV_CHIP_MEMBER_USED EQU CYDEV_CHIP_MEMBER_5B +CYDEV_CHIP_REVISION_3A_ES1 EQU 0 +CYDEV_CHIP_REVISION_3A_ES2 EQU 1 +CYDEV_CHIP_REVISION_3A_ES3 EQU 3 +CYDEV_CHIP_REVISION_3A_PRODUCTION EQU 3 +CYDEV_CHIP_REVISION_4A_ES0 EQU 17 +CYDEV_CHIP_REVISION_4A_PRODUCTION EQU 17 +CYDEV_CHIP_REVISION_5A_ES0 EQU 0 +CYDEV_CHIP_REVISION_5A_ES1 EQU 1 +CYDEV_CHIP_REVISION_5A_PRODUCTION EQU 1 +CYDEV_CHIP_REVISION_5B_ES0 EQU 0 +CYDEV_CHIP_REVISION_USED EQU CYDEV_CHIP_REVISION_5B_PRODUCTION +CYDEV_CHIP_REV_EXPECT EQU CYDEV_CHIP_REV_PSOC5LP_PRODUCTION +CYDEV_CHIP_REV_LEOPARD_ES1 EQU 0 +CYDEV_CHIP_REV_LEOPARD_ES2 EQU 1 +CYDEV_CHIP_REV_LEOPARD_ES3 EQU 3 +CYDEV_CHIP_REV_LEOPARD_PRODUCTION EQU 3 +CYDEV_CHIP_REV_PANTHER_ES0 EQU 0 +CYDEV_CHIP_REV_PANTHER_ES1 EQU 1 +CYDEV_CHIP_REV_PANTHER_PRODUCTION EQU 1 +CYDEV_CHIP_REV_PSOC4A_ES0 EQU 17 +CYDEV_CHIP_REV_PSOC4A_PRODUCTION EQU 17 +CYDEV_CHIP_REV_PSOC5LP_ES0 EQU 0 +CYDEV_CONFIGURATION_COMPRESSED EQU 1 +CYDEV_CONFIGURATION_DMA EQU 0 +CYDEV_CONFIGURATION_ECC EQU 1 +CYDEV_CONFIGURATION_IMOENABLED EQU CYDEV_CONFIG_FASTBOOT_ENABLED +CYDEV_CONFIGURATION_MODE EQU CYDEV_CONFIGURATION_MODE_COMPRESSED +CYDEV_CONFIGURATION_MODE_DMA EQU 2 +CYDEV_CONFIGURATION_MODE_UNCOMPRESSED EQU 1 +CYDEV_CONFIG_UNUSED_IO EQU CYDEV_CONFIG_UNUSED_IO_AllowButWarn +CYDEV_CONFIG_UNUSED_IO_AllowWithInfo EQU 1 +CYDEV_CONFIG_UNUSED_IO_Disallowed EQU 2 +CYDEV_DEBUGGING_DPS EQU CYDEV_DEBUGGING_DPS_SWD_SWV +CYDEV_DEBUGGING_DPS_Disable EQU 3 +CYDEV_DEBUGGING_DPS_JTAG_4 EQU 1 +CYDEV_DEBUGGING_DPS_JTAG_5 EQU 0 +CYDEV_DEBUGGING_DPS_SWD EQU 2 +CYDEV_DEBUGGING_ENABLE EQU 1 +CYDEV_DEBUGGING_REQXRES EQU 1 +CYDEV_DEBUGGING_XRES EQU 0 +CYDEV_DEBUG_ENABLE_MASK EQU 0x20 +CYDEV_DEBUG_ENABLE_REGISTER EQU CYREG_MLOGIC_DEBUG +CYDEV_DMA_CHANNELS_AVAILABLE EQU 24 +CYDEV_ECC_ENABLE EQU 0 +CYDEV_HEAP_SIZE EQU 0x1000 +CYDEV_INSTRUCT_CACHE_ENABLED EQU 1 +CYDEV_INTR_RISING EQU 0x00000003 +CYDEV_PROJ_TYPE EQU 0 +CYDEV_PROJ_TYPE_BOOTLOADER EQU 1 +CYDEV_PROJ_TYPE_LOADABLE EQU 2 +CYDEV_PROJ_TYPE_MULTIAPPBOOTLOADER EQU 3 +CYDEV_PROJ_TYPE_STANDARD EQU 0 +CYDEV_PROTECTION_ENABLE EQU 0 +CYDEV_STACK_SIZE EQU 0x4000 +CYDEV_USE_BUNDLED_CMSIS EQU 1 +CYDEV_VARIABLE_VDDA EQU 0 +CYDEV_VDDA_MV EQU 5000 +CYDEV_VDDD_MV EQU 5000 +CYDEV_VDDIO0_MV EQU 5000 +CYDEV_VDDIO1_MV EQU 5000 +CYDEV_VDDIO2_MV EQU 5000 +CYDEV_VDDIO3_MV EQU 3300 +CYDEV_VIO0 EQU 5 +CYDEV_VIO0_MV EQU 5000 +CYDEV_VIO1 EQU 5 +CYDEV_VIO1_MV EQU 5000 +CYDEV_VIO2 EQU 5 +CYDEV_VIO2_MV EQU 5000 +CYDEV_VIO3_MV EQU 3300 +DMA_CHANNELS_USED__MASK0 EQU 0x00000000 +CYDEV_BOOTLOADER_ENABLE EQU 0 + ENDIF + END diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cypins.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cypins.h new file mode 100644 index 0000000..21f9573 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cypins.h @@ -0,0 +1,295 @@ +/******************************************************************************* +* File Name: cypins.h +* Version 3.40 +* +* Description: +* This file contains the function prototypes and constants used for port/pin +* in access and control. +* +* Note: +* Documentation of the API's in this file is located in the +* System Reference Guide provided with PSoC Creator. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYPINS_H) +#define CY_BOOT_CYPINS_H + +#include "cyfitter.h" +#include "cytypes.h" + + +/************************************** +* API Parameter Constants +**************************************/ + +#define CY_PINS_PC_DRIVE_MODE_SHIFT (0x01u) +#define CY_PINS_PC_DRIVE_MODE_MASK ((uint8)(0x07u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_0 ((uint8)(0x00u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_1 ((uint8)(0x01u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_2 ((uint8)(0x02u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_3 ((uint8)(0x03u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_4 ((uint8)(0x04u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_5 ((uint8)(0x05u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_6 ((uint8)(0x06u << CY_PINS_PC_DRIVE_MODE_SHIFT)) +#define CY_PINS_PC_DRIVE_MODE_7 ((uint8)(0x07u << CY_PINS_PC_DRIVE_MODE_SHIFT)) + + +/* SetPinDriveMode */ +#define CY_PINS_DM_ALG_HIZ (CY_PINS_PC_DRIVE_MODE_0) +#define CY_PINS_DM_DIG_HIZ (CY_PINS_PC_DRIVE_MODE_1) +#define CY_PINS_DM_RES_UP (CY_PINS_PC_DRIVE_MODE_2) +#define CY_PINS_DM_RES_DWN (CY_PINS_PC_DRIVE_MODE_3) +#define CY_PINS_DM_OD_LO (CY_PINS_PC_DRIVE_MODE_4) +#define CY_PINS_DM_OD_HI (CY_PINS_PC_DRIVE_MODE_5) +#define CY_PINS_DM_STRONG (CY_PINS_PC_DRIVE_MODE_6) +#define CY_PINS_DM_RES_UPDWN (CY_PINS_PC_DRIVE_MODE_7) + + +/************************************** +* Register Constants +**************************************/ + +/* Port Pin Configuration Register */ +#define CY_PINS_PC_DATAOUT (0x01u) +#define CY_PINS_PC_PIN_FASTSLEW (0xBFu) +#define CY_PINS_PC_PIN_SLOWSLEW (0x40u) +#define CY_PINS_PC_PIN_STATE (0x10u) +#define CY_PINS_PC_BIDIR_EN (0x20u) +#define CY_PINS_PC_SLEW (0x40u) +#define CY_PINS_PC_BYPASS (0x80u) + + +/************************************** +* Pin API Macros +**************************************/ + +/******************************************************************************* +* Macro Name: CyPins_ReadPin +******************************************************************************** +* +* Summary: +* Reads the current value on the pin (pin state, PS). +* +* Parameters: +* pinPC: Port pin configuration register (uint16). +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* Return: +* Pin state +* 0: Logic low value +* Non-0: Logic high value +* +*******************************************************************************/ +#define CyPins_ReadPin(pinPC) ( *(reg8 *)(pinPC) & CY_PINS_PC_PIN_STATE ) + + +/******************************************************************************* +* Macro Name: CyPins_SetPin +******************************************************************************** +* +* Summary: +* Set the output value for the pin (data register, DR) to a logic high. +* +* Note that this only has an effect for pins configured as software pins that +* are not driven by hardware. +* +* Parameters: +* pinPC: Port pin configuration register (uint16). +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_SetPin(pinPC) ( *(reg8 *)(pinPC) |= CY_PINS_PC_DATAOUT) + + +/******************************************************************************* +* Macro Name: CyPins_ClearPin +******************************************************************************** +* +* Summary: +* This macro sets the state of the specified pin to 0 +* +* Parameters: +* pinPC: address of a Pin Configuration register. +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_ClearPin(pinPC) ( *(reg8 *)(pinPC) &= ((uint8)(~CY_PINS_PC_DATAOUT))) + + +/******************************************************************************* +* Macro Name: CyPins_SetPinDriveMode +******************************************************************************** +* +* Summary: +* Sets the drive mode for the pin (DM). +* +* Parameters: +* pinPC: Port pin configuration register (uint16) +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* mode: Desired drive mode +* +* Define Source +* PIN_DM_ALG_HIZ Analog HiZ +* PIN_DM_DIG_HIZ Digital HiZ +* PIN_DM_RES_UP Resistive pull up +* PIN_DM_RES_DWN Resistive pull down +* PIN_DM_OD_LO Open drain - drive low +* PIN_DM_OD_HI Open drain - drive high +* PIN_DM_STRONG Strong CMOS Output +* PIN_DM_RES_UPDWN Resistive pull up/down +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_SetPinDriveMode(pinPC, mode) \ + ( *(reg8 *)(pinPC) = (*(reg8 *)(pinPC) & ((uint8)(~CY_PINS_PC_DRIVE_MODE_MASK))) | \ + ((mode) & CY_PINS_PC_DRIVE_MODE_MASK)) + + +/******************************************************************************* +* Macro Name: CyPins_ReadPinDriveMode +******************************************************************************** +* +* Summary: +* Reads the drive mode for the pin (DM). +* +* Parameters: +* pinPC: Port pin configuration register (uint16) +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* +* Return: +* mode: Current drive mode for the pin +* +* Define Source +* PIN_DM_ALG_HIZ Analog HiZ +* PIN_DM_DIG_HIZ Digital HiZ +* PIN_DM_RES_UP Resistive pull up +* PIN_DM_RES_DWN Resistive pull down +* PIN_DM_OD_LO Open drain - drive low +* PIN_DM_OD_HI Open drain - drive high +* PIN_DM_STRONG Strong CMOS Output +* PIN_DM_RES_UPDWN Resistive pull up/down +* +*******************************************************************************/ +#define CyPins_ReadPinDriveMode(pinPC) (*(reg8 *)(pinPC) & CY_PINS_PC_DRIVE_MODE_MASK) + + +/******************************************************************************* +* Macro Name: CyPins_FastSlew +******************************************************************************** +* +* Summary: +* Set the slew rate for the pin to fast edge rate. +* Note that this only applies for pins in strong output drive modes, +* not to resistive drive modes. +* +* Parameters: +* pinPC: address of a Pin Configuration register. +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_FastSlew(pinPC) (*(reg8 *)(pinPC) = (*(reg8 *)(pinPC) & CY_PINS_PC_PIN_FASTSLEW)) + + +/******************************************************************************* +* Macro Name: CyPins_SlowSlew +******************************************************************************** +* +* Summary: +* Set the slew rate for the pin to slow edge rate. +* Note that this only applies for pins in strong output drive modes, +* not to resistive drive modes. +* +* Parameters: +* pinPC: address of a Pin Configuration register. +* #defines for each pin on a chip are provided in the cydevice_trm.h file +* in the form: +* CYREG_PRTx_PCy +* +* where x is a port number 0 - 15 and y is a pin number 0 - 7 +* +* Return: +* None +* +*******************************************************************************/ +#define CyPins_SlowSlew(pinPC) (*(reg8 *)(pinPC) = (*(reg8 *)(pinPC) | CY_PINS_PC_PIN_SLOWSLEW)) + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.30 +*******************************************************************************/ +#define PC_DRIVE_MODE_SHIFT (CY_PINS_PC_DRIVE_MODE_SHIFT) +#define PC_DRIVE_MODE_MASK (CY_PINS_PC_DRIVE_MODE_MASK) +#define PC_DRIVE_MODE_0 (CY_PINS_PC_DRIVE_MODE_0) +#define PC_DRIVE_MODE_1 (CY_PINS_PC_DRIVE_MODE_1) +#define PC_DRIVE_MODE_2 (CY_PINS_PC_DRIVE_MODE_2) +#define PC_DRIVE_MODE_3 (CY_PINS_PC_DRIVE_MODE_3) +#define PC_DRIVE_MODE_4 (CY_PINS_PC_DRIVE_MODE_4) +#define PC_DRIVE_MODE_5 (CY_PINS_PC_DRIVE_MODE_5) +#define PC_DRIVE_MODE_6 (CY_PINS_PC_DRIVE_MODE_6) +#define PC_DRIVE_MODE_7 (CY_PINS_PC_DRIVE_MODE_7) + +#define PIN_DM_ALG_HIZ (CY_PINS_DM_ALG_HIZ) +#define PIN_DM_DIG_HIZ (CY_PINS_DM_DIG_HIZ) +#define PIN_DM_RES_UP (CY_PINS_DM_RES_UP) +#define PIN_DM_RES_DWN (CY_PINS_DM_RES_DWN) +#define PIN_DM_OD_LO (CY_PINS_DM_OD_LO) +#define PIN_DM_OD_HI (CY_PINS_DM_OD_HI) +#define PIN_DM_STRONG (CY_PINS_DM_STRONG) +#define PIN_DM_RES_UPDWN (CY_PINS_DM_RES_UPDWN) + +#define PC_DATAOUT (CY_PINS_PC_DATAOUT) +#define PC_PIN_FASTSLEW (CY_PINS_PC_PIN_FASTSLEW) +#define PC_PIN_SLOWSLEW (CY_PINS_PC_PIN_SLOWSLEW) +#define PC_PIN_STATE (CY_PINS_PC_PIN_STATE) +#define PC_BIDIR_EN (CY_PINS_PC_BIDIR_EN) +#define PC_SLEW (CY_PINS_PC_SLEW) +#define PC_BYPASS (CY_PINS_PC_BYPASS) + +#endif /* (CY_BOOT_CYPINS_H) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cytypes.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cytypes.h new file mode 100644 index 0000000..dda1034 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cytypes.h @@ -0,0 +1,411 @@ +/******************************************************************************* +* FILENAME: cytypes.h +* Version 3.40 +* +* Description: +* CyTypes provides register access macros and approved types for use in +* firmware. +* +* Note: +* Due to endiannesses of the hardware and some compilers, the register +* access macros for big endian compilers use some library calls to arrange +* data the correct way. +* +* Register Access macros and functions perform their operations on an +* input of type pointer to void. The arguments passed to it should be +* pointers to the type associated with the register size. +* (i.e. a "uint8 *" shouldn't be passed to obtain a 16-bit register value) +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_BOOT_CYTYPES_H) +#define CY_BOOT_CYTYPES_H + +#if defined(__C51__) + #include +#endif /* (__C51__) */ + +/* ARM and C99 or later */ +#if defined(__GNUC__) || defined(__ARMCC_VERSION) || (defined(__STDC_VERSION__) && __STDC_VERSION__ >= 199901L) + #include +#endif /* (__GNUC__) || defined(__ARMCC_VERSION) || (defined(__STDC_VERSION__) && __STDC_VERSION__ >= 199901L) */ + +#include "cyfitter.h" + + + +/*************************************** +* Conditional Compilation Parameters +***************************************/ + + +/******************************************************************************* +* FAMILY encodes the overall architectural family +*******************************************************************************/ +#define CY_PSOC3 (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC3) +#define CY_PSOC4 (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC4) +#define CY_PSOC5 (CYDEV_CHIP_FAMILY_USED == CYDEV_CHIP_FAMILY_PSOC5) + + +/******************************************************************************* +* MEMBER encodes both the family and the detailed architecture +*******************************************************************************/ +#define CY_PSOC5A (CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_5A) +#ifdef CYDEV_CHIP_MEMBER_5B + #define CY_PSOC5LP (CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_5B) +#else + #define CY_PSOC5LP 0 +#endif + + +/******************************************************************************* +* UDB revisions +*******************************************************************************/ +#define CY_UDB_V0 (CY_PSOC5A) +#define CY_UDB_V1 (!CY_UDB_V0) + + +/******************************************************************************* +* Base Types. Acceptable types from MISRA-C specifying signedness and size. +*******************************************************************************/ +typedef unsigned char uint8; +typedef unsigned short uint16; +typedef unsigned long uint32; +typedef signed char int8; +typedef signed short int16; +typedef signed long int32; +typedef float float32; + +#if(!CY_PSOC3) + + typedef double float64; + typedef long long int64; + typedef unsigned long long uint64; + +#endif /* (!CY_PSOC3) */ + +/* Signed or unsigned depending on the compiler selection */ +typedef char char8; + + +/******************************************************************************* +* Memory address functions prototypes +*******************************************************************************/ +#if(CY_PSOC3) + + /*************************************************************************** + * Prototypes for absolute memory address functions (cymem.a51) with built-in + * endian conversion. These functions should be called through the + * CY_GET_XTND_REGxx and CY_SET_XTND_REGxx macros. + ***************************************************************************/ + extern uint8 cyread8 (volatile void far *addr); + extern void cywrite8 (volatile void far *addr, uint8 value); + + extern uint16 cyread16 (volatile void far *addr); + extern uint16 cyread16_nodpx(volatile void far *addr); + + extern void cywrite16 (volatile void far *addr, uint16 value); + extern void cywrite16_nodpx(volatile void far *addr, uint16 value); + + extern uint32 cyread24 (volatile void far *addr); + extern uint32 cyread24_nodpx(volatile void far *addr); + + extern void cywrite24 (volatile void far *addr, uint32 value); + extern void cywrite24_nodpx(volatile void far *addr, uint32 value); + + extern uint32 cyread32 (volatile void far *addr); + extern uint32 cyread32_nodpx(volatile void far *addr); + + extern void cywrite32 (volatile void far *addr, uint32 value); + extern void cywrite32_nodpx(volatile void far *addr, uint32 value); + + + /*************************************************************************** + * Memory access routines from cymem.a51 for the generated device + * configuration code. These functions may be subject to change in future + * revisions of the cy_boot component and they are not available for all + * devices. Most code should use memset or memcpy instead. + ***************************************************************************/ + void cymemzero(void far *addr, uint16 size); + void cyconfigcpy(uint16 size, const void far *src, void far *dest) large; + void cyconfigcpycode(uint16 size, const void code *src, void far *dest); + + #define CYCONFIGCPY_DECLARED (1) + +#else + + /* Prototype for function to set a 24-bit register. Located at cyutils.c */ + extern void CySetReg24(uint32 volatile * addr, uint32 value); + + #if(CY_PSOC4) + + extern uint32 CyGetReg24(uint32 volatile * addr); + + #endif /*(CY_PSOC4)*/ + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Memory model definitions. To allow code to be 8051-ARM agnostic. +*******************************************************************************/ +#if(CY_PSOC3) + + #define CYBDATA bdata + #define CYBIT bit + #define CYCODE code + #define CYCOMPACT compact + #define CYDATA data + #define CYFAR far + #define CYIDATA idata + #define CYLARGE large + #define CYPDATA pdata + #define CYREENTRANT reentrant + #define CYSMALL small + #define CYXDATA xdata + #define XDATA xdata + + #define CY_NOINIT + +#else + + #define CYBDATA + #define CYBIT uint8 + #define CYCODE + #define CYCOMPACT + #define CYDATA + #define CYFAR + #define CYIDATA + #define CYLARGE + #define CYPDATA + #define CYREENTRANT + #define CYSMALL + #define CYXDATA + #define XDATA + + #if defined(__ARMCC_VERSION) + #define CY_NOINIT __attribute__ ((section(".noinit"), zero_init)) + #elif defined (__GNUC__) + #define CY_NOINIT __attribute__ ((section(".noinit"))) + #endif /* (__ARMCC_VERSION) */ + + +#endif /* (CY_PSOC3) */ + + +#if(CY_PSOC3) + + /* 8051 naturally returns an 8 bit value. */ + typedef unsigned char cystatus; + +#else + + /* ARM naturally returns a 32 bit value. */ + typedef unsigned long cystatus; + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Hardware Register Types. +*******************************************************************************/ +typedef volatile uint8 CYXDATA reg8; +typedef volatile uint16 CYXDATA reg16; +typedef volatile uint32 CYXDATA reg32; + + +/******************************************************************************* +* Interrupt Types and Macros +*******************************************************************************/ +#if(CY_PSOC3) + + #define CY_ISR(FuncName) void FuncName (void) interrupt 0 + #define CY_ISR_PROTO(FuncName) void FuncName (void) + typedef void (CYCODE * cyisraddress)(void); + +#else + + #define CY_ISR(FuncName) void FuncName (void) + #define CY_ISR_PROTO(FuncName) void FuncName (void) + typedef void (* cyisraddress)(void); + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Register Access +*******************************************************************************/ +#if(CY_PSOC3) + + + /******************************************************************************* + * KEIL for the 8051 is a big endian compiler This causes problems as the on chip + * registers are little endian. Byte swapping for two and four byte registers is + * implemented in the functions below. This will require conditional compilation + * of function prototypes in code. + *******************************************************************************/ + + /* Access macros for 8, 16, 24 and 32-bit registers, IN THE FIRST 64K OF XDATA */ + + #define CY_GET_REG8(addr) (*((reg8 *)(addr))) + #define CY_SET_REG8(addr, value) (*((reg8 *)(addr)) = (uint8)(value)) + + #define CY_GET_REG16(addr) cyread16_nodpx ((volatile void far *)(reg16 *)(addr)) + #define CY_SET_REG16(addr, value) cywrite16_nodpx((volatile void far *)(reg16 *)(addr), value) + + #define CY_GET_REG24(addr) cyread24_nodpx ((volatile void far *)(reg32 *)(addr)) + #define CY_SET_REG24(addr, value) cywrite24_nodpx((volatile void far *)(reg32 *)(addr),value) + + #define CY_GET_REG32(addr) cyread32_nodpx ((volatile void far *)(reg32 *)(addr)) + #define CY_SET_REG32(addr, value) cywrite32_nodpx((volatile void far *)(reg32 *)(addr), value) + + /* Access 8, 16, 24 and 32-bit registers, ABOVE THE FIRST 64K OF XDATA */ + #define CY_GET_XTND_REG8(addr) cyread8((volatile void far *)(addr)) + #define CY_SET_XTND_REG8(addr, value) cywrite8((volatile void far *)(addr), value) + + #define CY_GET_XTND_REG16(addr) cyread16((volatile void far *)(addr)) + #define CY_SET_XTND_REG16(addr, value) cywrite16((volatile void far *)(addr), value) + + #define CY_GET_XTND_REG24(addr) cyread24((volatile void far *)(addr)) + #define CY_SET_XTND_REG24(addr, value) cywrite24((volatile void far *)(addr), value) + + #define CY_GET_XTND_REG32(addr) cyread32((volatile void far *)(addr)) + #define CY_SET_XTND_REG32(addr, value) cywrite32((volatile void far *)(addr), value) + +#else + + /* 8, 16, 24 and 32-bit register access macros */ + #define CY_GET_REG8(addr) (*((reg8 *)(addr))) + #define CY_SET_REG8(addr, value) (*((reg8 *)(addr)) = (uint8)(value)) + + #define CY_GET_REG16(addr) (*((reg16 *)(addr))) + #define CY_SET_REG16(addr, value) (*((reg16 *)(addr)) = (uint16)(value)) + + + #define CY_SET_REG24(addr, value) CySetReg24((reg32 *) (addr), (value)) + #if(CY_PSOC4) + #define CY_GET_REG24(addr) CyGetReg24((reg32 *) (addr)) + #else + #define CY_GET_REG24(addr) (*((reg32 *)(addr)) & 0x00FFFFFFu) + #endif /* (CY_PSOC4) */ + + + #define CY_GET_REG32(addr) (*((reg32 *)(addr))) + #define CY_SET_REG32(addr, value) (*((reg32 *)(addr)) = (uint32)(value)) + + + /* To allow code to be 8051-ARM agnostic. */ + #define CY_GET_XTND_REG8(addr) CY_GET_REG8(addr) + #define CY_SET_XTND_REG8(addr, value) CY_SET_REG8(addr, value) + + #define CY_GET_XTND_REG16(addr) CY_GET_REG16(addr) + #define CY_SET_XTND_REG16(addr, value) CY_SET_REG16(addr, value) + + #define CY_GET_XTND_REG24(addr) CY_GET_REG24(addr) + #define CY_SET_XTND_REG24(addr, value) CY_SET_REG24(addr, value) + + #define CY_GET_XTND_REG32(addr) CY_GET_REG32(addr) + #define CY_SET_XTND_REG32(addr, value) CY_SET_REG32(addr, value) + +#endif /* (CY_PSOC3) */ + + + +/******************************************************************************* +* Data manipulation defines +*******************************************************************************/ + +/* Get 8 bits of a 16 bit value. */ +#define LO8(x) ((uint8) (x)) +#define HI8(x) ((uint8) ((x) >> 8)) + +/* Get 16 bits of a 32 bit value. */ +#define LO16(x) ((uint16) (x)) +#define HI16(x) ((uint16) ((uint32)(x) >> 16)) + +/* Swap the byte ordering of a 32 bit value */ +#define CYSWAP_ENDIAN32(x) \ + ((uint32)(((x) >> 24) | (((x) & 0x00FF0000u) >> 8) | (((x) & 0x0000FF00u) << 8) | ((x) << 24))) + +/* Swap the byte ordering of a 16 bit value */ +#define CYSWAP_ENDIAN16(x) ((uint16)(((x) << 8) | ((x) >> 8))) + + +/******************************************************************************* +* Defines the standard return values used PSoC content. A function is +* not limited to these return values but can use them when returning standard +* error values. Return values can be overloaded if documented in the function +* header. On the 8051 a function can use a larger return type but still use the +* defined return codes. +* +* Zero is successful, all other values indicate some form of failure. 1 - 0x7F - +* standard defined values; 0x80 - ... - user or content defined values. +*******************************************************************************/ +#define CYRET_SUCCESS (0x00u) /* Successful */ +#define CYRET_BAD_PARAM (0x01u) /* One or more invalid parameters */ +#define CYRET_INVALID_OBJECT (0x02u) /* Invalid object specified */ +#define CYRET_MEMORY (0x03u) /* Memory related failure */ +#define CYRET_LOCKED (0x04u) /* Resource lock failure */ +#define CYRET_EMPTY (0x05u) /* No more objects available */ +#define CYRET_BAD_DATA (0x06u) /* Bad data received (CRC or other error check) */ +#define CYRET_STARTED (0x07u) /* Operation started, but not necessarily completed yet */ +#define CYRET_FINISHED (0x08u) /* Operation completed */ +#define CYRET_CANCELED (0x09u) /* Operation canceled */ +#define CYRET_TIMEOUT (0x10u) /* Operation timed out */ +#define CYRET_INVALID_STATE (0x11u) /* Operation not setup or is in an improper state */ +#define CYRET_UNKNOWN ((cystatus) 0xFFFFFFFFu) /* Unknown failure */ + + +/******************************************************************************* +* Intrinsic Defines: Processor NOP instruction +*******************************************************************************/ +#if(CY_PSOC3) + + #define CY_NOP _nop_() + +#else + + #if defined(__ARMCC_VERSION) + + /* RealView */ + #define CY_NOP __nop() + + #else + + /* GCC */ + #define CY_NOP __asm("NOP\n") + + #endif /* defined(__ARMCC_VERSION) */ + +#endif /* (CY_PSOC3) */ + + +/******************************************************************************* +* Following code are OBSOLETE and must not be used starting from cy_boot 3.10 +*******************************************************************************/ + +/* Device is PSoC 3 and the revision is ES2 or earlier */ +#define CY_PSOC3_ES2 ((CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_3A) && \ + (CYDEV_CHIP_REVISION_USED <= CYDEV_CHIP_REVISION_3A_ES2)) + +/* Device is PSoC 3 and the revision is ES3 or later */ +#define CY_PSOC3_ES3 ((CYDEV_CHIP_MEMBER_USED == CYDEV_CHIP_MEMBER_3A) && \ + (CYDEV_CHIP_REVISION_USED >= CYDEV_CHIP_REVISION_3A_ES3)) + +/* Device is PSoC 5 and the revision is ES1 or earlier */ +#define CY_PSOC5_ES1 (CY_PSOC5A && \ + (CYDEV_CHIP_REVISION_USED <= CYDEV_CHIP_REVISION_5A_ES1)) + +/* Device is PSoC 5 and the revision is ES2 or later */ +#define CY_PSOC5_ES2 (CY_PSOC5A && \ + (CYDEV_CHIP_REVISION_USED > CYDEV_CHIP_REVISION_5A_ES1)) + +#endif /* CY_BOOT_CYTYPES_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyutils.c b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyutils.c new file mode 100644 index 0000000..15256d7 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/cyutils.c @@ -0,0 +1,87 @@ +/******************************************************************************* +* FILENAME: cyutils.c +* Version 3.40 +* +* Description: +* CyUtils provides function to handle 24-bit value writes. +* +******************************************************************************** +* Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "cytypes.h" + +#if (!CY_PSOC3) + + /*************************************************************************** + * Function Name: CySetReg24 + **************************************************************************** + * + * Summary: + * Writes the 24-bit value to the specified register. + * + * Parameters: + * addr : adress where data must be written + * value: data that must be written + * + * Return: + * None + * + * Reentrant: + * No + * + ***************************************************************************/ + void CySetReg24(uint32 volatile * addr, uint32 value) + { + uint8 volatile *tmpAddr; + + tmpAddr = (uint8 volatile *) addr; + + tmpAddr[0u] = (uint8) value; + tmpAddr[1u] = (uint8) (value >> 8u); + tmpAddr[2u] = (uint8) (value >> 16u); + } + + + #if(CY_PSOC4) + + /*************************************************************************** + * Function Name: CyGetReg24 + **************************************************************************** + * + * Summary: + * Reads the 24-bit value from the specified register. + * + * Parameters: + * addr : adress where data must be read + * + * Return: + * None + * + * Reentrant: + * No + * + ***************************************************************************/ + uint32 CyGetReg24(uint32 volatile * addr) + { + uint8 volatile *tmpAddr; + uint32 value; + + tmpAddr = (uint8 volatile *) addr; + + value = (uint32) tmpAddr[0u]; + value |= ((uint32) tmpAddr[1u] << 8u ); + value |= ((uint32) tmpAddr[2u] << 16u); + + return(value); + } + + #endif /*(CY_PSOC4)*/ + +#endif /* (!CY_PSOC3) */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/post_link.bat b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/post_link.bat new file mode 100755 index 0000000..d6f7bdc --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/post_link.bat @@ -0,0 +1,19 @@ +@REM This script allows a 3rd party IDE to use CyHexTool to perform +@REM any post processing that is necessary to convert the raw flash +@REM image into a complete hex file to use in programming the PSoC. +@REM USAGE: post_link.bat +@REM arg1: Persistant path back to the directory containing the app project. +@REM arg2: Path (relative to arg1) of the directory where the hex files go. +@REM arg3: Name of the project. +@REM NOTE: This script is auto generated. Do not modify. + +"C:\Program Files (x86)\Cypress\PSoC Creator\2.2\PSoC Creator\bin\cyvalidateide.exe" -dev CY8C5268AXI-LP047 -ide "%~1\%~3" -flsAddr 0x0 -flsSize 0x40000 -sramAddr 0x1FFF8000 -sramSize 0x10000 +@IF %errorlevel% NEQ 0 EXIT /b %errorlevel% +move "%~1\%~2\%~n3.hex" "%~1\%~2\%~n3.ihx" +@IF %errorlevel% NEQ 0 EXIT /b %errorlevel% +"C:\Program Files (x86)\Cypress\PSoC Creator\2.2\PSoC Creator\bin\cyhextool" -o "%~1\%~2\%~n3.hex" -f "%~1\%~2\%~n3.ihx" -prot "%~dp0protect.hex" -id 2E12F069 -a EEPROM=90200000:800,PROGRAM=00000000:40000,CONFIG=80000000:8000,PROTECT=90400000:100 -meta 0001 -cunv 00004005 -wonv BC90ACAF -ecc "%~dp0config.hex" +@IF %errorlevel% NEQ 0 EXIT /b %errorlevel% +CD /D "C:\Keil\UV4" +@IF %errorlevel% NEQ 0 EXIT /b %errorlevel% +IF NOT EXIST "Z:\projects\SCSI2SD\git\software\SCSI2SD\SCSI2SD.cydsn\SCSI2SD.svd" rem "Z:\projects\SCSI2SD\git\software\SCSI2SD\SCSI2SD.cydsn\SCSI2SD.sfr" +@IF %errorlevel% NEQ 0 EXIT /b %errorlevel% diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/project.h b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/project.h new file mode 100644 index 0000000..c93bf75 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/project.h @@ -0,0 +1,64 @@ +/******************************************************************************* + * File Name: project.h + * PSoC Creator 2.2 Component Pack 6 + * + * Description: + * This file is automatically generated by PSoC Creator and should not + * be edited by hand. + * + * + ******************************************************************************** + * Copyright 2008-2013, Cypress Semiconductor Corporation. All rights reserved. + * You may use this file only in accordance with the license, terms, conditions, + * disclaimers, and limitations in the end user license agreement accompanying + * the software package with which this file was provided. + ********************************************************************************/ + +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include +#include + +/*[]*/ + diff --git a/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/protect.hex b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/protect.hex new file mode 100644 index 0000000..34bea6f --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/Generated_Source/PSoC5/protect.hex @@ -0,0 +1,5 @@ +:4000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000C0 +:400040000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000080 +:400080000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000040 +:4000C0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +:00000001FF diff --git a/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cycdx b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cycdx new file mode 100644 index 0000000..880f831 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cycdx @@ -0,0 +1,33 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cydwr b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cydwr new file mode 100755 index 0000000000000000000000000000000000000000..357f64c91c530b51384beefc6c569ddb64cb0826 GIT binary patch literal 121200 zcmeI5UyNPXRmP9grj)jU{((YES|<1;v@|y3nejiM)z}_8b!*pd?8I%-b{LOm95;@g z+D?;Hr4W@qAdo6R2!VJoJHwBGO|T@laKj;DJ!7A{7zeZ|}R$ zS@(MG^&R_s!71_R&fL52IctA=@Aa*{_TJyU{?$8QUB!PdZYi(s`o`@q@Z#0quNMcZr>muEt-4&DtIk#z^z2f#U8NVR$MkbiCEKh0)r#IV&J=c}z(t1U080^kfxuUD(OWUZR3c2w)t&T5;?%vKBfy-mMQ z>+cyoJFT)k)m}YYQ^~rno!1?E^mkRSx$5=b834cQ8+9Y|dPS4UbDESJrh1R-#WYPi zu4Y~o{G)30W7QQkZK*m{-9Knze!ir-ujrws)y?gxme=a{pmjTid9U#8(9f){+9up* z^!jva-L7hv+Othp?9pG!xblo1D{A|^9%uA3udC|j2a;+1!t@*T=do&8Z|2IkytuWk zUvF1x?KJHh9aecFYCQERl8lUuISx!8sf9nz157$ zF6+*VDm$;z)2Zx1U3)1x4DRt>+1aihC=c#BP(7jRujuzBUHe{LwWjA-UQsz$9M|j9 z$>wVHLB0EQbyBrFs%Ka{UG3J-ihO2Ok6r4uy{XUE2EOuf*?4%Wepr$%#&)gxbn1gO zJ*VWrpnK1&*0bsL(;9tfa514?)$@bG0_LX^;#K`U6YMb7v}B{+C_S=Mt(Z$!-E5Cs z5UTU~`M7=t4!ABjr)7S(I%q{d+tgJ%)m7O6=aP%zfU7ForFU^SI(CmpHm9FeJ#fj_ ze`m|>FF>L@o{ygPNtI0FX}Lddwuc98+N%zR8iWUiV4D!GYS6*Ipl2&WPn*_NvYOho zOD)=|tGR1U<+**CR9mlZQ6om9#t*A3$BVjoReBER+NReHFYD%x^!ta>Z*B>_Q#Wr+ z#cxW#q3`W4U`dU5v;II|JQ<&UTETHmk@1c@o)7O5OfSh3GsWxX^}4GCpzGe)E6d*_ zR>%5YVg1XP^*iM>Or|UHbiyILCP?Nut0ochzM<-7vXTI<+#^P|b{w_YWH%se;BwYR8rm}@_#lGfGhhL?5omfHH3t|o+&d({%6ZvAC^P>0V7|X1 z8#!+gG*Wre0pdL?T{bsHGV*Kl{ZTUH-GRKa*qVqjqu-$)cv&}hrQcKMIpkDZuVPy` z@6?~NVi;a?=JoR^q}QanFj1B{v@}2(UBi_9l-KF9Eic}E_t&>x#fGZJ$c_#P_~Wj@ zyx!uHepSHA)=vixxHXlmK z3vSRN+&*Gs62ff1wa8AH7m*q{gLwGU3RvM_38bZm30 zmIa(PE+zk1N+WVKVLP$$2;4IQ24}{^efnW|?~{ooHL%<+I%WQxQZcPQCEstGHVO*g zX5?_Ljtp@Wi(CAHaI|Jv8AabeB_qoM^PpNa&aZ|HjJ66FrHjYA<KlIp@p)4>%x(6D66WQ>R5Y({qi8TajRx}) zbB?Gn`_uym)h{_<SteyH)Z%1g|=<^ z!c;BqOcEoG3TNB1jMUt4_?&K}a%<@_Cgs*%3CcMn2ilB|5kdX9!VO&>C-RN#*3Iw4 zMvq3Xai$KpOdc^XI?0T>RtNyFok^y&r~GY4;HO4LfLM(0;tRBkL?!e$1f5lrXOzm$ zC~e(Y{j4UiIsH}HbFEd<;(W+-ShFL?FZXG_Jg3z2sAkN^^^=caa=%YZtVqsygv5$L zKb|a1aX(2bk8nTPI=k&V1e=}p9m-)ip38YS+4|nJ&rY_^+A{Amat5)c9}a6yt0l9t zK<)z?#SAtPPpB7gDFVR-{az9;pVB3R7(%^d`|AoU9F(od606*G*(&>+yJeYrS9Ha? zp6w7C))2EQ%X^*ks&!XaFC)^`dn~0T8`jLp2?um@`pvltJFA>G(7J_$f!)@;K4J9@ zrrkoy%7pa^ClWjLtZi|g=b7b?s=X&fq@k9w62dX)V~&Y9K*V8keeB?JWJ>>Y4QN>V z=bhj6gf)-ChV>mw{XA43$Evv}=~sG@vlC8NXdmOoDN8oy}?@ZUSZseG{Ps->}eX}aq zt-DwCGp9Cyo^vX8UGPSZb=ir@-b5=wfM2nKrFGd~+qR9?$J`t^0ZuptpYSm}1q_TK zo(T)74`1b7j@Wmnw&k)lmEvt}eO+IkQlJ>Ai}g7O@d$i_gKqYhu**?4&tN@=v)fXQ z@C457)*YwymlKH%>N=3(^-#O8fhTb6Pa9|rn0ZG0phxk@IoV}Lfc~D+ z9;%a3W2``(PifZ^_T1Xts-HH}s~mXe{kyzVLL8$fY5#;ahQ$@}69&L?#saL2)v6xE z7e+Ck8R045!IQwlYj}s>;47hxY!i&e&!HCL&v+NniQdE}`#i)*#uHZNo+g^`jHp9o zpf}+ub?)s(@wKXv)%lWc409$^&)=yg1kmgB+n2A1-9$#5rqVodqU znDR5Bd@()Goq9HucT26h`D7?RAFjVJru~xeM9!A;jbDg2vYg3hdZ@T&G=fj)_Q!NfQFIlj{k8VC;qmy5 zP`;R+=W4zf%6~JI|8^+vmS%PHyJO0Ke@yuwgz_(^=egcL4CQ~M=Y{Ka^B?Uy6UqGs zUB;u3Tipwx2-AevU1|1U^4qU2X9-V$V)`W-yJ z=v3Xxym>)wd`#AJ3seY3wl?>t(0U}M>8y-U=3NoybIC2yQpEe~=3`rE;-CU-A<$zk zIj?6Wl1FD#l|=R2LR!0~imwcGP(!HLB|WT(o;?e87(yi*_tSRSZ7(Am$kIdvRwa_I zN4T^P97tP;0A%^7?atsHN4SXPpHw%iYY7h3xj(rdxlvvWtOssnkCD+}bT>60K+5NhEcULP&M{6A( zKednPjy<8U_{7C7YeX(2yu|%0)gwYq%)i{WpmgbwcfvWezXTt4DB1?%8cV8(O>cJN zkF=Z?23k*!cR+0-KZ0gCOC)QAnrBp&GY0aPC6%#>vQIrm?!a#9uGAZ}o)%3E4k-6{ zT!)&axs#~5n9MNBb@Nd2twH)gdvV|s>aPQ8ZCU-+%_rK+>gJ2BJJ!ugD34~(g!0aP z>*kSA-g$oAJQ~X5^%vT5^*H`nHs|W*QhW=CXJaQjZ{2)4zR5@-w`E^rck)-pXI@QZ z#l=XG&F0l6o=RqaD80uW`}96JG2>SJv~E5VD}X8NcyeZww}gj#?{n?NF}T&uGok$R zdfv?#UuoajtW(@0EI4vjKVQ;F4#%A?Y8Ngn>W>*h-V zPdE5=^W{)}&A6itT#{ojCBoEgdbVGq3s)IeqW-otw)2Uhtk@6fu|Hk4B)`E&mf8*) z8h7}@b};8p$HbeH?PZ%C@j*PcH4u}v%Xh1Ukg6jxy*2R^pS{vTvQnN2&bm_ zG;xZ=aGRd!ZpBjuMYEzW6d3xm| z1q2<2Xj#8k^$s76Kz?{_3f2vPn#J<7Gx}I^x@`7t1srX4e@hui5?RUqRaTQN2yw&!c27b@ScC5L|GWT`z1{E+<*grJa+kZr~Wh9(PT8OI^q1 zj%2)p6nEHWTm_I+7uof)>dG=I5`P@BOr0HV1(2PX4j?z@C2?NSl0~1DNfJ!*X*5}U z4(DPDgSj7u%#j{-=GIj+$v*r2>=b59>-x)@1zbc^%m@jIQaHsPw)CVdT#=1OQqD`P zr*#`ys+)JqQrlT`Lx8TmiB`ed-0E{8S6oaj>h3Y7M#qxf;m1=u^Q=Ki&w^*eUjLaP zRLFE#4})bRBW)LvFyikmB-XiIcVAZb;6O}!lPqcfEq2jxPv;zbS&&%MEo+n*Y)mqv zvPU_faduR(`mWtep#_p#}K9%{$X z#5Q7uH*u7Wb~_eR<%7V;?p&#QUJV|9Tjvt0YbM;56>ihqIO3cHvD}*C1<^Gxj&aRJ z-Bqste$4>u=I`3saiTMgCT_8Z>*gNmf}UziC+iRA@xZi#{95 zH=AMCO}2^$PV8S6emt%rD_fb@A?urUU*5Y~R?Jw?#zB`_sxR1Q%v++Y-1*#Y-j*zM zkK2;N!x~#2hc%YJ3s zx{paDF6PGFSvTKmVGnL2Jtfa!`WS!PqADoP6}cYEpJ^jz-F#lpyFIq=rn_&_DgHY^ zh@^|<*LRA#`RBF=qA#d7a%}9jJ>zZ#Uwl#8-+6&3*d5y#*S{@zsQSOn#BQW-U!M4&?WKX`loI_5c(}%e{@Xw_%?Qq zHnEM<4&5-c#ndY~h)oZz%nE}MT}p#qzB}lYdXOX6$^JS-7j_U&$b;AdW%FXww|2Uq z$+z-h>f30of=u{aYl&4|pqtp$@RCPyr@A>Af>s>uQ=xn@-I2Tcp-}#@^gNfxIWd;U zIWgKFcR&~y{5O;ONA|~{JI=e~eD|f$t~lSt@^SOsG_Dx!bklj=JRa(e^EewK#c}JV zo317fHDB+-qZ{g9Pv&zV7&oNx%r_2cERRDP?MySIc}~qP6H||P);KO@m}W>Lo?Br^ z<50&TB_Z38h07~{|#xEBu*UC(cQq|mFT~u z;k`D%@(pq&^2ebY7ht0o#sGUWi|}dK81cM(*x2Rq6Nm2FxpZ?!UBg5DVi?lMQqFi@ z8S3#_b#(1+E*-4)IR4$PqfQPmODD!C%mTTK!reM;;<&#r$o-yS=AIhVh_l5FUZ_Fj*NmTUz zSpG_=%TvKKVbhpYkbot?2vfF}~=<=*`cyunm5{7g&RJ9h$<4`{m%A;#M zI;K3jMm!0Mt`Wg(VX{R1i;?{=L_9?@ zwb_a}WW8S%KdJ4^{Ebjk?DunK!lN{x2+Y{x*en40@Q9j{6;=^~x`iD|4p~Yk)C~fl zX%Ol%y17I6HciQ;Uw=Z+i%HcYk||&9)oM|8ZMsM5<~l$*?d{QOIb`b9WEK+jXmT(} zQ+T}j_A)<4a1*Y;1eY}Z3IJ}&QYF+M`#vFo84Urf>~-XfyukrHLu-J{7tpg~^R zYwKIP9+vTCOCG!)mhqJ|4!j79J$5}TLj&x=+w+7r@LxU_=fUgY8y`&d;Pvp0k5xMG zB3kp<_3(|4Tzc?&_{K+GJ$OBQ<0EPwygl=+s5JVY;`wZ^qYL=lu*a^4YJ4M?2d{@} ze1nt+uZL=UZpnkUXQ~aR`0iUN`(0pm-`dubUH!*?twgdXlY=gp@}p&&+Hzve%9q|b zT!F8d>(y@ElJD{WFD6BJry3jo&=Kr#l?Y_ZwU3+^~`E~m!Jo4&r}=u zFW-jZ!Rz50A|DT458wFy1rJ^i-}qK92VTDL&STfZH@<(>gV)11zDvl1*TXlyoz;Wa z!#BQ7*MXPs828xq@Qv@t_2BjJjqiH);Pvp0F9-GD_3(}F6!PHhpKq)x^0!#@jks9u zpL4}>|Ew#P`{!M;+&}Y*<^H)>Eceg8V!40*70dlIuvm6+(4i~Im>tTJV%fz(zFpT7 zk@y~4mt_|R`4&VMW)}zf{yZ0E7Y7}Jlgt!{Pdawe+18IFu{7HyqlPV%fz(hkm7C zc5%?5VJVnh9CYYd3T77v9a@%x*_Dg(Kk|7pbBC-Y_l85*QY^bTn7CW!F6DP{tI@u6fX* zjwzU3^Poc^Q!u;cL5E7FVD5PytegCQEMvak1qug_9`a$?GXY}RLq05fCO|BE$cJUm z1c+r1`LOJn0I}RN`38r^9H4tPWAc9}>e%MNvWtT*fee^k9L)cFu_KDQ1Tyr7i-RtK z447RUgx?;ffZAo*#X*-qW=>JV#X*-q2F$K`&?S%ovuhrlvvJTRkinZ>9OT=mJ?wS~ zWateS2VDXgFuOSD63Bqr#X*-qhUn~?2l-F$Jxp;4Watgode9}10kdm8=n}|)*_DgB z1TtWD<)SWu3>lJ(gD!y#m|Yxn31q=>}yEy0)$V~lTif`(BU)XuT zvMU$u{kvb-aF;C?bqQqX4OcGe63DPNcIBeGZS$Z@AVY7s=0TT02FxxF zx&$&{cFlt>fee^k^Po#0!~T>j7u{o<2VDXgdc(CR;}Xb#*|jI*63BqrH4nN3GVHgy z=E1!-4!Q&~^oDC5bO~g@?3xE%0vRy7=0TT02F#v$kdp}y2eIs#2eIr~4`SKFK`eXb zK`eVXh-J?_h-D84vFw=#vFzfYODDtWpo@bpoeY>=9CYbq!0h6nOD6+n7YAKB88Evz z=+en>j_%?hY6!>4BbZ$rf} zx^yyNc5%?9lL51fgD#y6p5fx4OD6+n7YAKB88Evz=+eo6*~LMZP6o^_4!U$Q#84Lp zT{;;syEy35$$;6#L6=Sj%q|YPbTVLeanPlcA=_|q(Dg+!V0LlPrIP`(i-Rtm447RU zbm?Tk?BbwHCqrKD;-E_>17;ToT{;;syEy35$$;6#L6=Sj%q|YPbTX`9T^w}jWWem= zpi3tMW)}xtIvFs#IOx*JfZ4@CmrjPg9v25)IvFs#IOx*JfZ4@Cmre%EE)KeMGGKOb zuy>tI-Mq%|-{kVK1~ytfhZ0!!kPpkAgF!5N$cJUm!624B2VDXgFuOSD63B3P=i;DCAOmI>2VDXgFuOSD z63Bqr#X*-q2FxxFx&$&DbGta``XU)HyEw?Pu|qBdW)}z9;&)+oaggm87iJd+$#XrJ znY~?>UF$)YKn8h?i-RtK42WGEbO~g@?BbwHAj9~%IOr0{fZ4@Cmp}&0E)Kc`GGKOb z&?S%ovx|c+feew(#X*-q2FxxFx&$&{c5%=pkO8xcgD!y#m|Yxn31r9#TpV->WWem= zpi3YFW)}xt0vRy7IOr0{fZ4@Cmq3Q>*~LMZKnBb%4!Q&~V0LlPC6EELi-RtK447RU zbO~fwXSz7(63Bqr#X*-q2FxxFx&$&{c5%=pkO8xcgS`u6248r|j*N$cSoZ9TV%ft% zEPKu-u14p{;-E_>!!EyzgD#y6m|Yxn>14p{;-E_>17;ToT{;;syEy35$#5R#;-E_>17;To zT{;;syEy35$$;6#K|bK;&~Jd*#X&xd;lb?E$#5p^S`VV#bM=O6J?PTO(9f>*Ag67v zes*z?olzHN7YA8udN7llyDYmnNE~%xcFlt>oeXwe9CYbq!0h6nOD97wyEy35$$;6# zL6=Sj%q|YPbTVLeanPlc0keyPE}abV$i+dIP6o^_4!U$QV0LlPrIP`(i-Rtm447RU zbm?T6xm_G|>14p{;-E_>17;ToT{;;syEy35$$;6#L6=U39M{D`mre%EE)KeMGGKOb z(4~_Bvx|c+oeY>=9CYbqSPgnOh-J^743<3{#Ik2k2Fo4}V%f7Vie(Q6vFzCw#j=Nk zSoZ9TvTx$zpi3tMW)}xtIvFs#IOx*JfZ4@Cmre%EE)KeMGVCzAIOx*JfZ4@Cmre%E zE)KeMGGKOb(4~_Bvx|c+oeX>NE)KeMGGKOb(4~_Bvx|c+oeY>=9CYbq!0h6nODDsr zm5YNeoeY>=9CUr3447RUbm?Tk?BXDwwRLDA!0h56pNsNfcIjj|Id<*Ipv-mkhHFp8 z^?fq*vujU=6K_{PyEw?{gbTBagY5TsFta{(S$1)dT*igjH4nOUGN@!+9CYbqK14p{;-E_>17;ToT{;;syEy35$$;6#L6=U3Ow_|c zEPK|2SoUxb%bxWhmOUKAvS&{Q%N`D5*|R5uWe*3j?AeoH&F12uOD6+n7YAKB88Evz z=+eo6*~LMZP6o^_4!U$Q>~6R?=+eo6*~LMZP6o^_4!U$QV0LlPrIP`(i-Rtm4EumC z4!U$QV0LlPrIP`(i-Rtm447RUbm?Tk?BbwHC&P}pi-Rtm447RUbm?Tk?BbwHCj({| z2VFWDFuOSD(#deP-9Cj({|2VLJM17;ToT{;;syEw?_-5pv8FuOR&XA?b`T{;=g zcU}9UsN-F|;o28P$>_rD+81@{Wbh3a2RR{h^|OnE?74a{vq$B!?BXEn2^VG;2Z{YI z%&vLRrIW#~i-Rtm42WGEbm?Tk?BbwHCj({|2VFWDFuOSD(#e3?#X*-&25!4J=+eo6 z*~LMZP6o^_4!U$QV0LlPrIP`(i-Rtm46~qzgIM=9CYbq!0h6nOD6+n7YAKB8TKGt9CYbq!0h6nOD6+n7YAKB88Evz=+eo6 z*~LMZPKMn&7YAKB88Evz=+eo6*~LMZP6o^_4!U$QV0LlPrITS_+r>ebP6o^_4!U$Q zV0LlPrIP`(i-Rtm447RUbm?R`0djHBrIP`(i-WH3lL51fgRbwB0keyPE}aaRT^!`| z!4541XQeI14p{;vi>_9u9Ku;j-*HA7uB-h1qpJ z$V$b9*>yh1tm4A#Iv;fDWU%Yvpi3tMViyNpIvFs#IOx*JfZ4@Cmre%EE)KeMGGO*_ z5C(eYK`eVXh-J?_h-D84vFw=#vFza>mOb+zmOUKAvL_eCvWtT*oeZ;}i-Rtm447RU zbm?Tk?BbwHCj({|2VFWDFuOSD(#eo7x;W_4$$;6#L6=Sj%q|YPbTVLeanPlc0keyP zE}aZ3D;Eb{IvFs#IOx*JfZ4@Cmre%EE)KeMGGKOb(4~`M55mPkmre%EE)KeMGGKOb z(4~_Bvx|c+oeY>=9CYbq*sXJM(4~_Bvx|c+oeY>=9CYbq!0h6nOD6+n7YAKB8TPeZ z9CYbq!0h6nOD6+n7YAKB88Evz=+eo6*~LMZPKFa87YAKB88Evz=+eo6*~LMZP6o^_ z4!U$QV0LlPrIX>T)Wt!UP6o^_4!U$QV0LlPrIP`(i-Rtm447RU3jTUBBSI+^}i*xBx%hn?;Inb_IxpNpOC{@K{s z?w^mH?fx0r+3uf{o$dZv+1d8-(xILyxE=bL&bE)24h>C*+s8|Xj;6!y>Gy5=jqO*ZzxO=5_3CX@vqcZ;;nCgrB^BR5o+!+3m7U|&#p+UZrJAV@ zrDu;-D|(gf=@RhFRre~XD{ZZt!@_a{71qsNcb6N3<=w&Zl^biy7|XkYOPv<<9T^ayr}BGNeC;ofQ>4 z4vS@v!(!RvuvqpuES5bEi)D|)Vi|`m0sRK!@&>^S%LL%g_TNuOg==ZnAE^03b30If zeQSVXbURRgb!&ivCLO51y){6=unyGMZVgZb!4A|v-Ws5YpB<>L-Ws5WL&87Z8ladR zOHV1wd#6x6prm+Tby+{>svSFIoOS>;98CXhI#93EWjwmk?Wd{VtJUp-=2=XuC$<=B{M8?$NvR?t z`gA$xLmTE$WqpR+LXMZKK;>{bZFzUoiQJ)vxkG*ZF`MGcPo$6jj}moGhqI!sI}XDc zvq@U8YL_${)4p2bcMcz)ZuXy48trQ8mlxL-OK*6a>||N5>rU+2hxK{Vpj)E{JO+2+d*;Q*oJD(yna4U2 z#*m5YocCa3R8GP~3&xOZS<$-|vqkDbzG<$>gi_C) zuWt=hNgJP4|%!K!VdZ|8_5D0a18HaYR< zoxKN~jC%3f@Sl zb4al++KV}A$}M!4qn+DG>WL_z5^qbFT7Zt(9tqzXb9b9-c;AQ-QFbY^#7uJ5zY?Xd zCsY(qxVjB#8!g7?>IZvjWttufgAeOxsco-YfSPb~_D|ntYnz-JkAe7k^A@fLgQMTC zjdOdUqF_GOy6K~XwM@%QSsM_82&1T+U(*J`jF+-pze&TmYtO>w@|yeFVCnt#vS!n5 z30A1f6^l@j821>NF*98kUF~=jc?d^7Cfb(4|)zT(` zlU2` z628lFtH1Yq%|rXfnR344^3IZ9$(mxmbM^Y|k{M&!boinYBGe|k{`KmMTqtxdsaVI} zGfRe$*}6tpir0O9=KScXhIOt=P3L6%95$DToI2t}=c##%eC2$8FZzX6@GF#<2R@`3 zO!rN_bVOz+G!NbcP^QrpHRtqmzem)4O7s)OosN$^_|e*w(wyLXt4qfeY2;8pEn?yQ z7IsO-NGOL&SW%8`rR_RiN*3#LAJj(c4x%sX2<|2~S?et>=oVYi>xN@y$mQj=6-a5! zqfe^vAR}Ag3d+|&i%4n~%fS6xpeQ{@eyqVI&gxCEJgyRZT%`z)WuOX%Cm@o29Y zUhkXB`SsC-C@R~evH^6uA&?}fafh}9>WKHuu^z_yW!%N4>Vt!7 zMXw)9TiC+=0@B8bOR7v-hlTE;QuplpZp@Jazc+HoJj@oXSnE4duQ%sk+X!j7o^2!0 zOpRF&-VhkbRJhlwFLIjb=)|gf?WnobjFcpOS@?y}AGm-WfvWE_TAO5HM?afkN+Dkg zdPs*mne+)zI+%5nzh`S&WIg69(zcTpLvXtf7TA90sY{Gv!!Ukhxmhhy6xLX}f8|@TRH}Vb;?e%du+J@j_?b6xNH&NJ z_|l^9o;FAJcIzgn9>-57Ma-vdBifk@B*`?-+CjN{|BC5)k2e-dJJinFg$UIPreadj zMBM*$HuHmiQKTrAibYMFFj5k{Dj9PES0SKDED?=u{(2D##lzF#EnW%UlVzgX^bWM` z4sgusp(lP49$!;3cg}Lzj|A7WT}YXhYm+9$)KjCJt4Q{`4oGCqyTFyV?blz=Y@5SI z!EtFr#a&K(Rc)i$i!q68^?}CSRsdS+;E7*TPPVWs?T+6wRhAxBu|F=sFk(+06#hE= z)0C**vUqUc7)-bqKHftJ=_^hO%Wm}wmsd!f(t*9hqMA+u$LW*W22A;L7(dF#sF7+3 zc`5MTcA~Ju?I30-g%-TJH67enAgErZZYdWhlA++)&J;g{+>Z>p5xqx}1#Un$)6G1KZtRsr&m82PM zt5-_L+N`r>-|M&7i_ZQ0HFm{)y%UCoiiMnT&b#TxHabZWYV+7Pc4HU!?eu|h-o4^< zibVF(75-3sN7Rj;!W*R&8fFx>BsU>JsnF!YnG!8GMY0Ktw=^L^?xlvu*G4Q&@UJHx z4G#4!&-#qM*EKJMSsA22n|UNfi(u`T4yHZDkNxO5LbB}_b6Pn~E^&Lx*O-vx~e4q=Od2I?PTcN$vz3VQS)rI?f_OE1_XmwQAb-?ajK6K=Bdn35H`aL z1XJw=!;@p6k;NE{m_{b_zm{6*SOGDPhNv~Y>O6Hp?s~+FM(hBn_n-rloCw=YEDV*T z$msN49zlzOwN{beZr+6^-jIs!^mF>9cq!FEh zMuQ}A5oW-;@2c^aVQl$+dB)Yp8pJoj2=+NWbk3@EdLH(fRPv{36*{*Li9hv`0Ts-l zjwedxvBg?$YGRqu1Td%I8cNy2g@l zrP7L_mTAUud?nWzm{BEamlEe6!<&DdAo0$16i za&Kj^>!R7LNdX0tb?C?wv_@5RV^{St2DQMxo$E%k6kn&M92~BIf(|IpAfp&KLC-y! z_NfBTY)hqvJh{5^62`#`T-*>L&5`j9)bNiS*D#OeYa$dsFwiKJl==n2*P%y_rwPc5 zs!1pFE0T38&5wOyQaWNjSZ1-Ejl4gCMF)|+7sN&qSlx7smeU<1TF)*S-b%7S3;>o! zdN9_C&vHx@Sm#)h1b$||!e6j^ySxy(O3oZjg518{$O`>+J#P8cWx2WIl=;2O65HSxECB(uUn>+w@h@jgU}aK&{AIUnV|nV zBUsMn*b|%WbANS`BQfeVs}=;z)fA+RreqDi)FIoKmLrpxQo#V~I#wZyV8qGqe*PZn zTq0z&TiXP9$0EC!%+#zgflvx|U5kz`f~~YLxM%ZRsZ60ie-#>VRgEBfSVghN_GT~( z|8|mrj#$*OEIWD#Q2CjlEVnt~)hneau2%8kNu9ix6zWYz4q47ojiwye3~nbgIKd!8 zj}|#|tAc)=7jzvQo5=dL6ku7#I|N3fmG#%*4XhYva>>8gyILNw<^u z@jc)AG_t7FwRe?qDAM2BM0x2vG}NCCO$#}i$R)QEbZg*fd$Nta9bCrq?ErM^5P1=K zL-nMf^eDekJ9EEZ<^iPD9vMokz1Xd}NXy(UwPU*uYC|8K@z2qJ82GEZ0dyvq2Q+u#;wNK^#3gsPo5>t9qX5rGV7@C16yAx2t zSOwz#K-&t!e51Qs12{Xp4VN&skc?!VLIbZWu6SvE?SWn_s`D;wDqkgPkB;BHcz_s4 z-KbT3x~aWjt)&_A{+|0Q^NQ-JQ1#aO%ec@}rtx-r`8e7k=$qxcpx3#co#BUrw7%*; zJO#7?(@FD#Om0j+CB?TSHUKgSqS6a_V#vi78gqcwWcYyNtdn$jzwQ_KI_&jBm zZ#vETFtet!n|Ehs9Jsr07ktQ3g>tx#N8H6m8{1K$YY(nMQ=l>Ka%bO!WWTzY8h1UTGI$HOosS5^pf24@h;X*TQ<8hfKy&f1!u0JtFXQW)0I}n8HB>bnT(cMx2Iyyo>xKehOyELM>TF+ z0*x5W-ud9P5B)pZ6>6xUl%xEj&z#qlIvznX3P^&ZMv7?s);Z_GG!lmlYLSr~x$GN6 zFIg_p&+$I*H+|r+0^M$x{j%P(#{)cqz{76wKlsmmN%e25 z`j37L9^2O}qP=l#r*Nbi^2T+ks-{1EYWcMT_LlWx+kEfnCHttPv^sgZ1v4fFz(MCf zNCHZhI(O1M3?b^#T^*nJ$QT_3UZo%_kBV7OL@$U{n>`?F!NJx(I!H8fDrz>Y5?U|C zYVx1rED!Dm^lSd;CS^3CekV+K(e)ydmn78(7xx;P-mrj&o}JxHS|)+TEaLc4Uw-9v zucazj!jND`MXyLLgH^<`X4{iWFJhE}57*2Gh8sHdULq!IYD(dac7R?eFc@1r8}fP)7V+S_JC4l!s5Kq$m_GQr#K^Nz z=*mNei;SBL{TH1EYN0X|ChfkLisckq1Ad6|mjSwQBSn!*OdU zH{vB=>Gc!@&2h*UnhaC?$AwzR`l1Vbm2}ewS}4uxwB0bt7(8KVVuD;ir}AU=Ngf3X0f8?!xg_ZI;Pyxy(r`=(9BfQEW^}5jNn7S#g(pl z>le!g^KGG^hdsA9lb0+8N8y*LUCq3pJs^ocYd(qPxGY*73--f(&wVf^p7ikDaM~Am z_*qQmAJD?o>b({6cVSc-XyLoQu7B4>s<9$l!n52<0F9*`vY{wSM zH#Nt&rz_Ml1|w@D9M{Q0C1$`&D;Kx7_q{dcf`-}1e-|w#_#tf1y%+s**ODqDiGAnV|{fuqP%_BG-JLj4U-`Ym2F&Po#!3dEMe+56~gAwt&9KTc3_gkSvgBeg)+);c?^=h^jhZOny@VTd_6XSc+0OEQvU! z8a5)?>3`z1w39Z^!P=^g%skCb3HC&p86imUI9M>h-e9r9-Uj=8cI3AD<{w%!;u9Cj z&N!}#uRSSd`H?p(_<2IotIJybUWLrGd+S= zGJIp1Y_xqbIy{$9*@Od5LD=v$_%VwdwlCDxJrD8?5~iv4YBPh>nEMefWLQv1f-TkP z6^{EEXYx|Au@a|ZNef=HBaxp7F!|8YSk{g-+EnzOeOdk&en8~v*X;o6emAYhZ3!+g z&XVQ~qbKanqnNETO8&s`oKi^6FX?#b3*zED-3GuH-b<5SB4Z8;`Uy$I>@wxTB|AeA zEl)zK@V;?U=2nRVXMuPVn^kkrZ7bpS7L8y#?`Zh9HuqY&4komp$3)SJ+hD zu$;8YCM}2C+jAe<3sZ~=uhym_D(66>BojG{2CYX}-io!1V9LVUT;bbZ!fn%|%DL3O zGPhd-T)uB^6#6R4&Hk{om4>v$d2xm4a|d@J1f$#WNHGo@XbUI>3cIgbcS|{CwABn+ z&O++ya_h`A*WRT{U~YFO3sF{!pfK5+O7&8WS%kVWl%~l}U%<6lb@eUqM9MU4nm{>w zvK0d@ZrH_&?eEw_TSZ!OczOmK+xn>9VXQX>2?@^qz-CX*SEY+mBkqYxOZ%yHK|Pc? zw3VREH<#Pu{4O>JY`K}GsccNo!R%LyrL48c%k_?nH^ciTjC>%blmexf(>?YEPvb=1 zI1O?29c}WvMz-zO;L7;X?_YHjp#v?n%^kkQWSiHhH=}7>gd8ZujVqp&n4es#x-uNR zQN7JSTL?2eq1xWQO-eGCXXK;GJX;Go%*^HE=xeRxCOU0Psi!46y|~cYTp=z=nilPL zm3Z^bv=okCw2!MQo^D>GwVFpLggGK}scPUW)~I5iR8 zs_8Q(>P9h)-Yy_&rZ_vU=9d{3Fi2+faW!A9Ax`9fGl6IiTjz<7YVIFR@eG|k%;gV? z9xdhHz-70fi`hfnXS!#dN7i1n9J=AoYrL_Cawm&Dnv_H%O+@5LS)O^9eJdEXyRvmS;-SM?f7J>GdPhsllAoA zS$lCwdBs9=#rjJis=}4})`3s^recQ&d(TT)xWv+K0aj`OqKKp9SdtzQ1Y%JhfMH4H569vp&@4vDPZk8@#b6mf){oJjW+nBYe*EAii2yVE zAVwBZC+No45?7;U8$?qeWK$J>dV5$=MAeTu8gAz)m`Nr&LmD-xO^~bd!U} z(i|{ORoeO~4-Y#zKx_&!!aT0U_&-Zt3y$F<=$OZSa*WQPcT2O@RZA$xL!4iCQ)28)KT|o z6l`6?V-5XSpf*uUHDKm}b>dJ+B}Gk(XyyAG{ny?PIv7__LbXF$pkTjB^>@h^yXG#3A(JSTSTPN~8hAv_4zo z_$4i=)^0C7kj7?YS!N$AzuW_H?Vx7Ux~NP^bH|T)toUqWuK)8EH3Q)0hqQ3E95jhS zdpH}kApHZ>L0UZSx>1*xEiTtxS;AexB)w$Yl4#bnA*XR!3kugcUEPr7e`sE`;D-STpA#tGr6qie8Xcw^*9$F*>-L?nK{K*mXNam0q~MqUKbpr(ng zne&(+lZ5nbhq~pJ2xhc;$VNKE57f?mFd2tGKSSVQR+hjc{6_oR)P?eIQvG`wGuI7t-)a;zb-B$UbY+M(X4L*pHrMNN zJ>V1^*V@M)8J0Lu3-Pc<3u1ONOL#|oYr!1qlNabGhe}%|XXI;--&k_8?2;@~iN60I#d#xyPE$9YO0g$--9@_EK zf@eN^4$rd(iHrvj&A_cc=lD-6P|uKvMdAL8{&C24^W}^wuGM2QJM%%Vx9zuCY?${e z9-zJA*f6ux-SQT;dg};V`M~QG_;f-Gzqw6^Y;heDFN#mOO z%ngGu@CNSi1_&~&<;pw({!fd3M$d8lzwJNA8{$b-HU!asP5Ni&`X46GATw6-;I=&H z90B>?-TS-d&+UJ-VpR2yDtf&CQ>8O|cMx;C8J{qAxB=!>`M<=sRf1U4c{I5^Xh3`M| zB>(b1JO56$b&P*y=N}CIPv6geGwPY$|2g*Ox<*)jr}TeS`6L}>O5`Fc*!N7};7|y( ze<5-$`%6P22;9b&uZDmSxW^ZvtHmKK*&h5mFaBiE?}cU{%6k2y%AxLmp@7BN<-nQ` z1m+st?m%M*D`)dscl@?aTt&N|@qX`@26-%Na2cF!tyJ#1sN4s= zkedITW14?;A?lB8{5#$MboO`kl7?}AE=D|%g7=@<^=CrpZvFSMKXZ9~^SN~V&pb>* zy3;`&0s?TU?*H1_%Kq{E@Ae1fe?bUR1O9}YH0&Q$^v?fLMX9{yUsZ|guf7{pmJ2gL zKPQ5xERe??QmX8Z-o}!!%!%V^0dOlX4Weq5B?8`BGjc*yX5fFPNK1d9@lOBqHWI|R z`DZLXB|N9dg~9rBinuZlbp3ArPMJTW@JE64n|aYWqi|&jdZ0;0!ZwiR1p( zCL#Xu{O{JD{jXg4KS?tATpIs3>1{v$qY9e+KdP9E|2tmkf88l+)>g={UqSF0SQRA7 zc-~spz#*YA1g8{8m5o%%&-+C$2+}f*%8XNvmknz=jTNk$0#v#`mS*ZB}hpAshV|a|KrJosrKTZ(h$|}A2SuT{CT7MpUI3m_m7pe z>eWBj=ko9Wld1!Yxxzqn)z?}$(_HsnBbIJTnK?Mrxx}8=obb7 zkzIoBKR-y+1xEHj1eIr@4uTr#M&<-Md)vQjA+k=l z)WjLd^-g8jWot6S47qUb;ur|4Sgmk2u}1MG@^EzkvzIIsU}MjN&ig7$T%f#iT)YBJ z2&^?N%IhlXgwz7N3NOn!Jz3nEwqP9x1rK#O9jnVhw`KE-AHRUU-F$Y@7jo4Wx_$G` zTnuF>7I*t}6|3}wR+D^$)=^wJVlAcgz ztXYtAf8`Wes2PK#TYlb_zf)K@`zW_c=2}*T>Yj=&&Jz=B2#D~;5c3-5*bZAC#t_ja z=ix#}X3u{C1~!yI;@FCV0{}i{hn2sw(mS|;v>oICFcG9BrUQTjQ~jvJbRhj4u=4Zc zJ>Q8ekP8kdH~6fO3vdW>^R~8Ig*A973SCMZRiDNi#`Z4d%&Xa^oDvvs&dALLS7#{g zqzDfL4sRXNsfPIQBv|>fhFvIjtzEpPv{Z*ZPzf_Kp|_W9aWcLH+O4L8ZVUb3r|joH z7dHVOu5~0@RTIy}TDkE>H>_$#OHUAx3cWFoHJk$q<71I30y#E)?8Yw7j6`L|z@9EF z+*J1;Zm8&IxtapHQ=>j|I&&oku-E$8ols>G(QG#zo~O@YcR_Prv!-zK)ce|1D0Tb2 zinFIeL}`;5+u+8x$J#z(srB21{7%@SgC5Wc+a`1UnK90D{mnL)M>_|ON9(dLs~Dd8 z&xq3pA=!{pCD}I6>5{gm{7(;cm;QcQG2hJG(mUej*+;cFK6GF^OUqNyse4o)x8#m^o3RqsYOHLMux%!l|dirQ2+s5e8tb96CZWk39T9`arKU>zaxJ6u9 z=Tvf~ci8f%9XH%k%U0faWT^RxQ#mvKy$9&@7gr(NN&Rf$%o>qN`gbor9&jQVx;Ew&?S;d*!tnosH8;S={6{!bbAfu527U;`G zp<)C2B5ZqP$aMM!TSdFU_f24UQA26D{f~D;;!PpNU5cLCXxO`5Z~wSG_yD;*$Ts5z z7=g=jBU$#Y0GCw|ST&=-QnRajDL786ZCcjWJ*b+l!unRMG!pXR?>>_SqmY*FYZiNRX#+{&X7A>ZcnG!meQdlS&`iismnbD>Q2f!RN(|uCksfX9G@=JU^eybUX2aX z&)00Pol40V0zxlV*M0Eeni6RFLekRCbB?YyM;Cr(F)Up#Mi9((BY|!=KgVzqNrpdW zpQWzcG{~H)Uu6Kg=d46DQgL;do5WrVBtL#hi%p)jw^L(qB!1(wqm>*5jtOa!hKVp= zw2^H;#!~6pGiojy& zOPWoeOu%4ZdniARJH<-tU7fo3J9L4~=n1>M3)&{1Z<`KGnaX*pAY4!H*r}k3M4k35 zzDyI(Dlj$mY6$CYp(EM!PR1#l0upnc`J+1gFn1J5+KtgXrV6PJDu7NH&`_#ftVE7!!`N~FuSD46- zcr>j-PkkqC^+uu9H4-zwc-C4G>Ydrcw+u_dhL;2P#Dla@Pky5HFVB2az7RVdo+kOf zYLTqxEQCTEd96$TMf>whns)X4<(Ev9w7RJ7(P_b_S&Q=s0wjU?!oyRY!%e84WB|G5 zrz=t8leBQ%%K+>SDNnK>e!KN#ro<173|KwGVsM66$kC{T)pJZ;WS8ie*BI(P9TPwY zGf~GX`qIq)^7Zra?5?{w^@%z$ItTeYL>BG87@wh z_60C~x)P)svp2S$KemXRK7J;3Z{yAEgR<+uD}a8jBV2@pN_waBj&HI{T3nm4gmHvN zYs$FG9$Nt&7JjA2jxQ^e!%?jx=a(+Ob_M36)U?q`ZcWbjQ#bDpx*j)6EaVZbP9xrv zkd@d^4W*-Q)s)g~$>uC3$yd{{{4UnDna-WA|xZQ58= zq_cVFh3bgB9c$$T#r8_%hh5iKwqb4GI|g>yY&=AKL=opyiMxBu#v8DbJZs3_lo7ZxiP*I(ska=MN{~-@D*ooVv(uv2o{=P0oXI@ryOtPrp{q6lk=Sk92Q;Q z&prPFL<>wquCICUFw^0!DH2Br)8Q1J>TuIYkLaKD#Duqkaz*+b8DrlPj-VF41G}J| ztvwzrfit?7f7Jug8HX|_=*?(;=uCahQvCKd-z}=lR?(x6aD;)xq-FU5{lc71%U)?R zT093(h|>}4#;0A_JJJ6|!zdmtj6p1?)!CD_G>l5WjWSM?d8)uxHB1Wu{e6J5s_DV5 zfKLpZo|9|ZEIXrdeQ@xOofiNg^0OIT04FG$cN63qlalg1ST313^a5a-H~(b#)^RQ^ zl{1b&VY;G(Ao-O?&rdR)$Q=4ZzC!tMb0cm_P-;{ay$|R6XnYx5v@fq8fCZ=5`17Kk zKYFZo1_Wty1bhkE(tB~IUm9?|hY^9T5zLfG%_(Wg5+8W2Ho{t>?s94#6lJpj@z9)8WSPO}*(FHuNk zbopGu?uY$k@oC3GymAxC-@CRMej zO3u>3KU-9EOCkSewhx?<8~cQ1nAMfsRdiPuST@-zWYWkwK~~mP14hmjJ{Np+Z>~!;mHE6kLpm ztynjKOu3y%@r?@6g>h(nhWN%*6MM!@EAzEzYiR|_p|rK5XCByJ5Kwr6gLXIG0DO0~ zO(J@ql0*qqfWp+xDEuDtzW((fA)o1DbJ2y$eGO3jE4X5jXgbrYK2^-7jsNK`^dcXT z?jxHLtQ#$2Wtl92XB9E0782eIk%*FoK=2QH!5dq)w2^%Am%s)zb3w|jvYsvu)Ubxk zw-kbP^i@&0x3?WxlGFWH_OcfYt|JGq!--_vu}o{O(Ik-l^k64HYuos0bjV^cVkcAf z%aL8KfQ*%5%2WnQJXlt(LFViS<_iaTkBMj^+4oZQgv#Ho7(q7TSQJAhN(@>Pn-#q0 z40^SmCwgfg7Tko6<>|Rg8Rsozg7YRV{XWki0?QXpG3MAqCM9C^dr_(b;gH|4DnL#8 z_fr^Dr3d7N(uC8GP{?sNVm*vt&cAj@3Kqt1*N{|2rHg5?&laty@xfYv z&9kvp68lVS*-l)xOOg$Td1P$hV6=mHv)L2(O@x}+^csRg)5z#Y(;Ie*b}un>;MXuBq=FddsK>IrwH^h(B0*c&V6Bbm^>h#4O{hnca!2z{5XKW4}tdViyc=Xx9X zyPPBncsE_j@qPH1xL>gR@E}aHFLsg5K%Tp8Q1#*?z-d+97wD%ZphgtM6iALJ;~VmiLZ*g#K9!-g#GCG^$)LDZQx` ztBLRb5`pH42X9^%2}fo?3A?xMiU?Foi;=1RWZTl9k1yo^Def|C=xcq$;69-FDp1#7 z1A9uhg~~NDf=MtW#u@n`YVRx(+dx^$JgUwEJZ&fDe8`PdPvEh1G6`Z|dc_h};%|q# z5^?Be{v}+WrK{mKVpQk5_5?+EI$Nfq>`i^NZso%JhNhh&Z}prgoD5Vx@}R0wzb&*3 zOn)%hyYzV13}zm}f)5r{HAsd>usYl^mI%o*)XB$h%nk^$JMXU;rr;vk+;$=;Gp)o= zoQH&*vEl58!Gz{D^|?E~l;ICI8kD^{M$+pBmw8|OF5FNXLomd1lYTnPz2!R-#I3LH zx)x&>z?JJjSfX9PfKr-IGhU({)Jj&y<(`?>8Z@HGs0_)~H~pvs66{~1>XZ+S{gK;r z1ggJkq`Ml_d7n(&H7I*4lo{_oU)TJgxB{GNTOk$_A*K`{n59Q;${RkNQRKoS+&>@` zaCkBO3LbD3lCFPR+B@TjYm_;RBe>HWNbwQvRJTS;Jg=!8@~6ddm@eh?d0kG@`x(|) z1%)^wgwr+V+N(M6w$Y6PbF6UB6$pL|(M#f*#H5BC5Tg9*6X=^{h2NGxyr#@xuZdk2-xUDsj}A_sxPyV|ER|=ElDUmrdd$I>`j6){kvrs%gifV80gp%q5t@ z{yx>)+81}9wC1L$ETiP-lX!a#!sv7wNygZ_bn7P>s+$ zBrn%qj4EljonmfVn{mCQK>7T|=wc$%`fywMD%H}$bpNhF)OrWEZ&hd5<}0KUudGliB*il~eM`)s+3+L^qhlzkP;zOp}M?ZQ`_uM^o_OewBp;ah;br^;Aq=BA0 zCH!0cyI9G61q=J=f*tnvD5pr|7R27>>su#H`WuO5t0qI){DQS0Dr%S>pNGD-pPy0i%Wy*5X5@+j;%^Y49AM%I$5>`##8U0!Lq zJHSSkZ8O2Lm*?pl%#+3ARWQ~Ec;^^*ACgJ&2;HO@EjGU`ssH3yDQW_6>r+TEaof9V^q|V*7o@AW7Gj4okR^DK!VzLyyqVoA`b!P9DZmDQ-;8lv!9l)=&sh& zdOnKYz@TM~#K$V8oeX_j1#(ea1>w{624u1Kh;iEYMwtAdsu5*_sVAc}cz1#GV*bg1 z|K;6a#A0^weqDS{*$rZ+PYcQWfY~Tjw#^n7u2L=2o54JlmGT6%dK_%Ow2FYdeRy+9 zBw{8ZDqLtZiX3COu+OZhb5C?7_cK0szh37~&%t<#Vb^i~k`dv5apsRZ5Tc41BlqbC z=2^FzI#2nNKb?wkUbL}*75bonV1wDL;&<=tLY)WF$dwP)91a?KuSsLkkE}d%pypwO zN1)3|OZ50D_2Tj0z$h@8n7qvvIz;sg43aAKB#PPoR&=ee9YD%~q^c`}3xBGDHN%Q# zW|p#3@1EDn7Na2`OJX2m5%WmK64Oy=cpc@JQ@;KPQU>wnVZQh&AAcYt;vLVFoPPZ06pI8M!=**qGtFm(!5&2*Ph5m9&g@T(I- z!R{!Xk1flxUL#9_ic)Q6!gm0jTkR`c{i%g7rzYAa_s$zk$S>ow&Mm$V-54uZl!nXl zC_paIn{22rIxg;;Cknh zV8H-QHO_(7KoHR)TSRV7zlQ-!i>!!brX#Be-n~{FA7xwq8@|$<6SDeDf{0ugbHMbi z$`#4xKK!}Av4BJ7`c)1PfLwFFfXEz>T*PN={iAw40}W;^-@MPT%u*Xz=pf|%T3B;5 z+)M<$7eo(ld!QCrU9(DjltCxnA~DFA^BvP7yXKB6GJU{F&JlrpMLWtMF%E1^y|TBx=pc#ODsbv84_>yA+-KMGCboHrKGn@mE)oZ0onFyD zQ{Szk%B<%ZEsM`F+u}4UZn4`!a2x_Pk^*N=5_VL;np^5{7;!kjNi|kRHv##grphBv z9-eT*(UBsloc1N;J69c-K$EaUe;(?Q7Yz?dh?)xQY_zZN)yDwv_9UrfuRI*$#lG%y ziI?eIzD~%bU87|VRG5o6L_E)ac~pN}q}6Y*kfD(Mu+*^0MP{@9!{Bi9)iyQt*yODI z9mi$(uzZh&VCcTdrM9e=Tyg7Zw5;iv@*+>2$v?0Te6snC9CIUmD|GObR zjzy`HM6=B=SL2B8yA{HR{!gHG&a#AH0cI<+Zz+!${MCp(xurqftc_GebBuTB4j}H` zZpZPx)^fZLT199xB{3zZUh)+>r>Fjc3U14(lRjAs?+gldIu_V~rS+-B6;wv@# zlquJ|_%MEE$SKgm(nx>6-5Q$S2_+sWL_MplcU9W1t`S7EaO1$-E%9n2f z@*{yyIn05#D5x?KYaZ2BjXf@#^zZ=$SUm9*pf8(?g*7t2Cgu&$`@pm;#k5k{9`L9+ zg~lzCh9N;;$6H~vMC>~ON=a@*BE`mJ)J?@xFLpUF&*+H}LMET9T+5t(UA$JD_t`7N z;dSfOFXgx=&Z`}wz?<)<`%O7vd;K-+-~OSNW{Au#1H z3{O|J@iR@(dUv_j6_ae0ojA$&fgj^TGNN#T3d61R&dy?|G;|G|!luRY6$@?sLrU)uVv zNYGMmPyuR-ZcHWO%7nkKODW*s=_M+#+;KbF%4)&USU1uPg)VSRF0BWW4`i*cFqFIKPs9_5owZm9>h{daRv;5mCnddQ?nK5CXRZ zYK8ciM25XJx1agvmidC=3!4g@}^h;0WE$CX!otTON zH7s-TEj!RxVBzd}P@k3@z9uN>;b@Bynk|?xfniK|(cArWTw0bKi%EUd8}emXRn&DG zKliuIyY!sq@Zbg8`aQ8)bWap}5!$C%?{c(MZ|{rBO=_|`Qp-x!8SXFH%O!1j=2j8l z5BNrzD|hvle5$h5-FNT^sJtn2+I{jz?`LP08DzTVN4T95G!)VEBvTj|fRpCWC*y-PfQ%R;Sg6so_Q>C7-*%Ax;fnH>7h(Eo`Groz^~-_Q^lAzf4d9AML0x&{Kw52FQmn)D94%!^>m#20(T;^1rM2i|30 z%-JbCuC!lQTuy8L(tewLeSLbSe=aZk=Q>jaT#Nk;} z_<96*-Bk3KD`!IH+rv{NWoApWyWXDtcYZgAxVyXzAMLJ!T}TDB8C z8EN|C<}X^#z0a*b(q&ZgrXToJ7|TYGEE9sJvpqz@vaMtj9$qIK7ko-a^0vP>?o*$- zEj{vq=@|d6gv!7`*^&92){WleJlY;il;zSsdzp!fgCHz4z(9!oSd>^q|4I{#E-!BKN z*$`k*W|&AAgwx&B`IV#w6UN%Gqlq2Wr65vxGiLYfe6|Q9F^1vG#C`D|i46I=$rqhH zX~9fnnWXcl(b9xXxO3?@XMZ8}#i>N!hz8{iAOA8Z)+}2j=)L&@=Y|@aNzFApO_0Mw z-4l_*qBZ|kW@L2tPsN+uhrQf~VaHTB8`(v7?_+6Q-+=4WM�^lbO^(aNT#k$bzns zLE0tPL>jY2DJ%m#wey$|tBAuGN?$-3&Ms`S)~`(sMjyC0JM+R566e#JTdPQ!kCvI}W=$j>iWD4S`1a*YY70 zndl9h)`E#MGXsl{y%lYz0NmAynU=4KD0r9-!c8r$4j;$ua(X0Gw2K(=QMnK&t=Z8YZ%K9?ZM8%&UON#| zbC|G12EeNZv==jSz`nLcdpfVmbW?&&n$L^E#TsWeaAq;$J7yf7IOh`^u+r?fcXSTOI4rcnb62JC1C z!N69?EmWx@Icf~jU>ZqEvQs1Tj!P0L^Z5xW7d(%VjmFel1G4imw9`o;A=yyiWBy5_ z>nelrmBVInxo?83P!plNM1VQ^bpi!|Jg`Jnj|f9tf~|sSC;2cM(9Kb>NY2q@7Q&LF zR52_Tl*-!_*zb!@s5|#y`kGD)k(5=l%FtJ8Wum;jK>a3^zJ@kveLg3SfXtc1(V@_>-fm?=wPVUGLJG1xy*m~#SUV?7l zJK5Q>ZQHhO+dH;x+qP|E$F}Y47{A!g&2!Fs>(+Vi{bPE%Rt;*pdOovyy4QC>NV}rl zqx->7^W90^ZSJnzZ1R`=fbPuPlG`ehdVXsG0S6YErwH!U?m`1eCxRl~K@96lwM}G9vKV4!66B@J(IG``;5+JKxfYs}jS3#j0`WG0 z_}Zc~(7W+6W4t^gYy-!I{8qwv+Ld3JRm3@>v>I^yEdc_n3xb5vmT_Msl&%0RidZ!$ z6>pE-#=JQMUo~iN1R}p7`R6|So6}sF4X0}MCLCiZfn*bNAHxy55nq>8GbVU8N8tiY z9>A*8&F-`2a-B|2EKv=n>qBEhL~KZs5hJ>dh4H(b^&6b4eBFTOTQmc8t-T zk(If{L?BAKEBX`0sl6;X9}y%q-i0Dt0nI#pK-6lD&1fj+&t85bK;G&lcRAlzt8^&0 zdBlSI_FhjmF&5y8^46c$p!^-gcQhIMNbWQIS(3QcI?^+af zH@if<-0d!ZwnGj&TZDAz|I2#L5VM@WhOaBSHj`{I9b8|n>LHB-x6VHN9r>*OR9|;R#`zc&aa(4o{7nEpK@v zBqGc8Z+LjeBqA^+_%lnMwjd#@VuRpW8^`RqUv7QAQv8g$^5;pM!8f6h{>Up3H(roB zxC>@ieT0XEYv9D3V7@}WA0jy{u3~9xNVPe?g)me0#I9FZ`;Ov&t_WB$ zU$SggxB0NciFxTFO?9nI>}LaajP$~$?%bNhR1NC@RUSustp4J3N_~8jeoBh zl*Vt=B_ghe+sD@x%Aq&Qc~8^zHWeb{p_}P9yb~%De{!j_(xMOJS~f294Zdd$yk`x* z>w4CCI9Hn#`}iC?vV)6l2G>A~HsaQKNb1|h+N~Pb0_NQFGMCl-K0tKr?}2EF@=(|b zEH)o75XQ|KAlMb9h}chACPtY^^Y-T?nnW`zlyvST;kYSbw__!_>9RI;c}VmgxX3Oo z6pe@k5cU^;!HyQWlp%!~;01MPpAs4ivdPPBW_wC6XanLKO5+cLgP(Y5V0Giw^ps?I z+yPP)0jtgng}f!kME*u)za#%1@!e66UDOkU;HUV~pD|-f7<=1;Y9i18*K3%<2OI6q z-gm^B<;81?T@5w8?Oy2{PE{u@rdbrxw6Wa0g&9}_(3kZ`sPUK$>=&o~%~;!8JV zBjiC0=>|h(S)URNsBe+Fh&Mr>?q%I!NZm(C2l%l3-PB#o9?`YUP!F7(k#<)RFPiCslO)?Yrzu2u^ zTGomz^|Z$w4roL(>giT8vN52a_6`1ZYof)}@c#nS_WBLcUN8kv9x?D@Vy-D|bfLsu za?=6xr}dPdsLVz;pjq3%PP>?5xW#g6g18%M`tC@G)|~4g4fWs{%E+XT!WXkg8@5NO z{90-Ge!uMQD79TJb9pvt__LlBy+-LS@?L9kkv=zeJI=SrNOOIh9m!jzSg=~&Mhec- z$~m+82pmFEyrv5d2OX=BDk)8#P90%@fK65z47R2seS^izo`#9DlwW3s;$#@ zFOshCY)_YBgGGj1%{pvRXCkTcWv1A}ud~2o7YCKK82K3xUDCL1XZzuq_7<*nkwV_o zg8};dkf9V^LJ8_*(kKjZx0W2}4-|Fq8&X5atW~CcSqP#UHL0Gh*2?}G68@GQEFc{{ zu2ySM{6TYY<@1fX2=+3Vlye}gd5+Qq85hl9MJzqCX^V%hHWP^_-wOae4S!Zy&HS?A zw$;^7r#lNW{ykeTBi(~9CwgV3*mi8iX19%{sW+Qoa{oe`6_&(0v))rc*i50R6&Fx2 zuL|ZrbI`}X#z-Tx6b9P!)@Z>=o0>1}e;!b0^&8r{1n3G9G&t;1s&OxAii{~vAz~UQ zQ^RkwE&3~U-i|J{q1~GP;ZqEKVoZLMye)-Rnnok(tB;Fp9D?Sm$-mV@=JI%tL?6u4 zC&<--FkCR-1Mcg)kr2JBH>$)cMruFW{JqP2cpk-L%FVhrI(U-2pHBd1hFrUwV^oFVP?%n~jBl(R>N+`R zi@6bV;&1b}6?-yma$p=E#sMUXOM3;=wpMyYX%o+NW@Tq4w0i zfcZg>XJ2q#E=Vlst+;wj!Y(4WEE>KI3>RAa?AvkZ@5Wq?uZV)$Ccoa%+xNIv?WeEz z;hj3f*vsz94H!rx3NGOR{3`~0{90{}o*f|gpdqi`R>Hw~d;&Y`viAS9)!=iK!@*A51AuUk2eO4|G#<2gJGs~XzHOQ9?Gr#~ja;;v2JI|W zOp)fdO4-qCrge&2xc4OS(_=Vu&bNem4*7Ij?VPK*4b1*}TWM?kAl;4&$DHV5(}X9r zuZpNdJ<(w3{QUChgI=UgLszN5?X_mkEkM`dbSF4lT$_KE256y#xbOWc{VBHgm@xIwNA!ZQZbWv+49 zYlvUN&n`jMwlfL$I8DcK98MW(Sc3Kur1#L2`VnNb;qbZG_g`AazaC`sXGX!#-{8>O zpriEvd;5rzl?@C!x(Yl%zlwS$>A#hx3nt<4-9x>W3@l=?lSjhooy!d_xCVvt`7KUd zQ_xT-66>2!B$s4e*f-H`IO@{fGO(DAzZzN+wUIM8Qu1M7D5I6eJev&F*2`7&oIW$p zH~BA$>ijAL?wugwtJ;-!_aFZ_@X`w^+rh%5VMB}tXw%NcdShkMg z=(PMPJ$Q4&gKQz>kp*+2gb-IQt-V+oaP{eliDUx!iz|2Bv0oBGXH?~`UP}LLktThL zC)a9CBS!sSA$i_7!vx!|6=bYkdfpPBSfM{#m*(-9x~$Ypg>}<^r>ZCJ(zO-860T;C znY=GaGIejhUAzBX*@;z^g(I_5WwGfwLTt`=g_5t6Gf^;X{^|XFyyXiW zOoC=b9f^6coE=b??&&#vp?;4_V6J-0nsLYr%yLVc=&>{V47hSY8S|5|B1ZbOod#vi zHvUXARK_6vgxwsbQOs+cNX@*t4v{Qv3M|xx*{^1!utKp1l@}b6Gm|ZhvBZ#N4>3+C zHCS@SRbzstY9s`cz+pHLL!4@2a0}TWV-0mU+hY-BYOH1;Oy-Wo>MkFICoGOMB;t6E z8U$g&cuE;L@FUaNzr|4(slvAU`^dT7EpGH0e)V_A?2>rg{hHZT)JHq2+WYL>`<#8; zv4uUc$5lG|;H6L+%S7I|VDXYWE@ahVE?G^-I7p_$I5Ia}JJ4A&bgE1bp!T^c3K&W0H=i1lXQvxmUT<0wh& zUUVfp?diic>vZZP*VVv1ZYRGPlFYO&IqsSW^2}4(wjb3zV#z8ZfBwD0IW}KedW*v| z-jZTC|9ef;_7rDf??sv$A_-ai(prK;3!GEH8H*e5O1(coVKQl*u_JqsbNXwjh~1*6I(T--){7gig=6 z;bC!?S$R+?Z9U78XjKNmaDK!ykI_qJs<$ZHDTeLoseC)U;K*M$98}vLL@%y&eFF6xI9;}6MSg@4h6Oq=m0zZ)SyOv9V+xmsq3ooe91Cy7 zP?}cbhh|}RcniAOmr%Y9q|M2mL>&pJ^jVFyLNIY%1qmNh})3T)#EOT^@(yM-HMrv7`!83S<6!}4bj z#(d`Ux;iq0Ysdrm9}y@Rx<_6M#NI&uGWj}+u>9yT_G)^SmqGi&?EYJ#fpZ02SB(2C z^E+ic40SEw{L?Q7UY5tTu^52wi7PpMPWNUcLr)VIbAhvM_X+Y;W1B5*&8C)3JovY; zbhWt*gkQ(Z7IHNR%Q+>}9A17#uF}-#3R}GR zKRqsCTR+D*(TYirU~L4^f;Sv%I=XV$@~3X|Oosj(5`-E9N75SGBY@Nl z({1WpfLALRXpTu6hWr3yQ}(O^|K4?eU}^K4DJm|6kseu_lR9%nIQzv2D{NW>dfvQC ze=eeB@Tw5KG6I2#SU1ffcBhzMF#D{9aLC>g9MNd`)bD%{aYWC^$sC;xid(0u1f*mQ z*f@G*j_3ih4(yeXm75mB4xCzgw;%b15!=kET1=aZ0cv1+r^sL_5PXOyQR~G-Gp~=l zYx5@(rDKlCIVl4)v8ts6q~L-73yQYk6)`jDumW^rNu1UdhU=3*D8{XuHYn8piI z*0Gw7*5s##LxWn*OU#OOVE|0wsb33|Ti{d)*z$E*i{v!U*+E6H=CDQhO!;L4#oar( z+mD`e4Dq3$d%hf|hmBtiW#@|UHA8gypc)#MrN65QNE0WbQTV(W7HC*ujj-im3Mie0v8M(F!EbE$z_)IU zV`4qAK7;B)TZVfMCKwty#(cyf7$y`SRp2%9fbVN*|gZoELsWh~Bf zT>*i*O7N{gZlta*oMQ6`Vh5aQUJ#oPM6u`S&0UxJnM3EfYr)VmLWhJ1!2M|Ld4kC@ zUMHjm36lIGwcG>p+Xx#C#t$d`M}K{amkZINejuByQKWBeE3@m*Z2T)y#;pPrK0@nX zh8p8;0q+>WfZLM9-d$P+dOMCUo4eGQ;{x@QKV}_sE!|t7A?#}{Ll<_ zXh7s$?lW?;SJsX!iDz^!-;dtSc_U9eTVea8DzBuS$P^=1424$z{#nZTh}&As+_m2U zB%`O;MX9!5^JD7qB0^Eu-R>Q2VgZ-RHBY;ka_WiqRWGaHpKzG^9qnfo8z1xT zHl$ecqRvt9lqNrr&0d(;t3_lrKtCgsM9S&lDf%F;hj^5ArVA^ zv+XjHSVqhRVV;E;U-VU;42;Dm6}afq*xV&uaTs5DBw)FN6H&)AA!M6Dap~g+b@#;r zALk~YW?wT>w2JYf-5T@8zLHZ!=!2(~tllEibu~c;V>pR~hXo|FAu9c#uf5rSCl;@= z7Pzw|>uN-Ls|&AQr3U5NE~ZV@hu;=S6pu+dNl6Xy%OM>}o|w@25ZweD(mV5YrrI;6 znaU32CerIP@tGSS?`V3@;=r!C;O+pDcvrmh-UH8tysWhOY=V{E(UPS#WNX-U z!d5b=p};XxW?zI>8W@8Lcf6LP(;I4xLp*D(DRD;W;eKV)KVl-C7M^^Cjh=T~ZNG15 zJYws74mFZ@;m|)c-N{FDi)*++mcs_Tc=Dw*wTYIz;WlRq0^2t^CAIUfyn9Y+V9()y z%Swq?spenRM#Gy~a&){Q`T%%dPm1=ic(PsuX09a)m@#fz?ho@=En91bKWE*(?bv_& zAAh~klKL4@z8*`jfDukNSzm20=;l~l-U1zKZwj#ISuL;1B${I-or@3nM<^{>thin;34RSt7w>W0k30)|Rk8^~`d zWibKBCTz@TN5q*Vt8`>Uh$$U>(0gEezhM$_)jFZkb20BtWNF>)KN3@E^{q!MX_~$> zTvdW_lhm_PTz724;_Oe=PcHp5+nP`^KzHK(@Qia&!EY_*WFh%0tcq^U#TCD5BR>){ znOzhHNX7<{%P$#ey4S9;bUmtAig=+(v`n-W$w@s;y;Fw;fZ{IkqB0?4LooA>I2ia3 zJzYr=bP1UgWC=aGDk&R{GRAfcRli5LL|b?2b^QzkZFGNivO`8gl$GcqCKKUigTrnl z@;k`=@`8wlWKyAvV)j*igoTJ)_|DW%y2Q1@&xsZfaq^jh5wNz zLZq_pff*VIXps&G2kE6H2eb`j4ZAAuN;l-UXeBZ!a-9?T z$KlH6pBl&9L}O9wr#pVU5?t+OxC#mNa4TaCGkL!@TE2|*N zZ=q5TYpH^OL?^wj6O)ca84bokwCU{CrP1%o!&M}aN7j8C%sM(y?YC*EWsiytHjw~2 zg;oOOoVYZ z3*BSY+cV2kuv(?0cGd`WMi&K`n>5*oN|jS-N}ZDGssbd-E?G3zsBDvdF}ZXz`x)IWK+nl zfGmJc_3D;E1DdPzC?fJjHH*{kChz-6n)@%m?NpXf0-Fh&Iw06`UEtPay$*; z;gb*mpH+sC#J$1_w$^rdwEUWYZK;Hu`%N3T#_=npdlt6dO&XRB1=>saL-f`RCO1Sl zp3lb%=e2LGtnc^h18bnSUeU|Ft2{A3Br>x68S&U|;(;yXCU3=hBFd0;9;@AX&RRFK zz{ju~$-^kPvA51@nJ(w#)YRt7!oB10^EIf9yYquNohL`rDeH9MRLSD6i-20$sD2Ti zbGgiuq`y1F51%)qw1eBB_Q&(N=|A^Az9cLRspZe59N`l}2keEliD8e-r;1KzmOf+} z8jY8JWY%DKUtS=llxwODk;JahxVE}nSF~1<1I}(ar2Iby{!!t?f=iLz%nMNBe7?$X zbWjm$AsK2mI12mTL8!xHs}HUr4C~KRSQ+at1mOxztHq%PjI8EZZ&tGDHm%T9HRnfU z51&_W)C<*|Qvt9yTO)f^nHjL+n|nq<8*fzk$h7|HO?7;r5r z!+)=AJ*24w*Y0U@)mRqksl2pbY#ox_MEE9|MvQtisY!gZsDR(SLYqFOi9SC^j-_om ztx`!ejuuvQ>5-@m*N+Jp^o!%9vtn99He=f};=vw?b08%^AnQPrn~SMQwCh{PX^;)` z(o~;*smHGvgEFViy?|01Hd4&F40kr4-aQ@s+`q53xReA^fW+&^(`F+v{SLaI9an2n z+7t_5G#C_L$Tzwqad45@m)@(q5S|E(d5_JdA&%R1Gak))d-7*70%)a_U>nY0G-Xjs znc_o_WW!QFk=f2ZpeFAnSN2&iZW?Kco`_jo~CJtKe{o zQpCY|h5(TZwL}#KM4`^k^p(d$4w_!+2SP$n6%DM|sIEI#d**8D8S?~~%d5|t%gL(3 zhku&(Z{sA0rh8Hax1N{%vj;MH*-=4oU1TvG!Iv?jQQ}>cnCaZbnQEcCp zkJ%*%=fsHhTAeTu=tNcKBE(iNXY6pXD2!xtYuwKMV1&~L&Q%n*`lte(tCad)OQbmA z*bD^Ccl^(N$N1^?tO8u>1&I+3J}Zq*1E%Yb6++uDtS9 zwM^vp5%_w}J4P~G-604L4=!+;^(w~7NAo7pbel=h#~2> z4P>{mAElcq;Q|q30dYp$AE?PR3|b^^da2u~B8mI`r%V$s#7}=>M)!j1Y%t;LProFc z4Tr+{Xp{Ug0$o+zU$ElRCp-32#n~LTJ|; z=QA~c<)aWsagtapl@sU(6EMW6V(@b%qe;;aPEI5E_8{OW7_q(3)_s0y2a=wRRq{mm z+*nvz^yKL(GRY%Shwlz;)OzaFBYnZ{;{*|;){%e+GBi<}8|qpBj%j*D*IkH{da`)- zam<|6v4-;$rpFfYRw=-oa}guU2vRo12TB8F@TsOB^gQjYwRH~nh;2zvqMkgRGE=dJ$TPlOvXYgxFdVb-2^;t zb11$NyAfqSlMkoA@0Anpq5HmjoKO&WePi!dnL$TUr$0^(lCM7YuZxXu30N$qK%B@{ zUns{96T)a6Cw#N3Sr7SVf)nq(q#S(}nVEV&w-6NS-%le3*FMlRgNz|v=XbmzO{@BD zQ+CCx1B@=r)V?aNh^;-k!Cif%=x~1GW?8jTqlGA$ZN$qQWJx=Gs25?{n&Yf2_0?4J zmx?FNLUqM%>0|aSI=y`Owwr{xBA9B>XEl?`4)L#_nq@ZjzaioH3WbqxIyouJJX(SmU(KbobjS)S?HKGeeY)EwR1fYupZ9}&%cir4g;Bm&u3S35^`4D2({K$d z`riOM;{e2>Bi87B>5)r#&aGI&$F3H8PF$r~%#ziU4DLw%u{odZ(=NU|TT06lYfMjTvHnx(PAzzk zo%i^8U;t*vHRgXzp9I3sq5m=IG7CQo|L5Ee*U(y%|6FYqdttmRw#d#bJyvP}7qQez zmrK$&%?`FRdcPNQ*yX<(E`~5igz)-ez1#Q~fiC(me`9Tzg|E7HkuKtT`sFJ=N30s> z)rP|9C1qZ!^A)1=BA45~^~qw}H|+m$t=)ezusLs>1Q&w>0o@Y<0m1#a)`BwP`bzSu zDx&(5a$@qA8Jlw2;wYh`HrZ+=Dwb7vsH!4(=2b&zY-@d@M5J>Rs>>)Lp;=I<_=uH^ zW9d{|D&40+M~IG_*2!JMD31dv3cY?Ko7UJyfs)WM23Bz=%_sGypWj+mF9#E($e){W zAthDv2ePPipEWEyD&4R<5cj=td~v+R40f*5fs|P`O|~mCF*@7DQ-zvLSGvAl4ZtrV4gcZq%(>t@8f#(yB_#%QGZyc z=Xuht^B|#?yqc@C zwq-C|AMcpiQe__@Its*YGVdvJS+#jZcVvA-M_7jOX`0Frb+#Dd3;`mY)4ZdOzV*|6 zod{q{Su+ofIbO5G^BKP@u1I1tahEo-X4>uyV&ngvmr@&16Cz4BO9_+fqd8y<&p;heDzj}2M_kPZMl2H|-$qL5w z8z3aO3AKS;9;CDy2%;CW_p!gRBws;`RZ%Z`ViFUm*P|KJU6%RFc z&k8y1&beqPtcP8RV$oI5-%9(mKzW+&#N(yZJeuJ37C>yWwF2u&9*E}QBh*WfI)!hT zJ#GEkaNrHI+8E_Uui&Kz<}^INQo7xEyp4SvImf2P%*S7<9ch@eWQC4)Oj&UwO(;2kFmS%NOfZH?KKSFZ{6?Dm9ggrQWd$B^87ZBxUXeBPV8t;*&nE{-53DI_?r16(%i zh3@yV{0|uXcM~fH;U=Le)z^1w36~(FSfnECOm~4M#d?L;xTCGo(F$ft*ybMrd~$94 zau;Xy9dUBx7eTz(=JY%7)}7Fw*^1cS{ovLV&XTCC6u$A$DyE%9Gq7;lqB$-^`8=g0 zvpl$R^hG>);eD}@<+TM3^RIM7)eLk?buw!fx-$nxgRa3TM}0?EM*)dK;=>A16sT6R z!fndQhd_~HqOF0Znc@s8TeWylLc)k74W)~qK<0r&XbVZIT^lZ^%d+#sc z?bX5Zxya`IeJEzzyA_zjG|ig3=5k7}^PKt74WvJL*J=a4S+e;0eQtY?Zs&O@TEHjW z3$diiGx7mj-<;ME$9}8qwHfX^@zJq4>g&}DbVv96%ghJg?~A5e;EJ}J?(O9r{QvMg z{)gxGbPAV}KdCfpVS#`ke|Row>uloa=wk1DnKo;?D1b0BssOEkDu9Ck0asy3MPk0x z(XdJ6S-|Vhp^y>@9aEmfp(>K8CMj?@56;<_wOC={3`7&*blLA5b6%m-M zp*K4dZ8qAu>)Yhvz#HJnZW88tjDW%mL@wD$uqQ(;!?;f zz7TGbaS}=L=R!azBSkbLiD(5=Q-BW9h~pl-YrTK+yX>BB{IG|EH&s=zFL38XF^MYg z;(nYEA9tJuANOY7q?qW9=*fbwGmuW?C(D~hX<_KH__a~R#0&Z8&xh(SF}us+qHC}; zPwP=ehEC3L?FCbU9DN$M;m1_v9}D2*aLMR;xx})xcDA7~{>TpP{EL@A#3)~v+sYI1 z==CeC^=JXS+d7f7+m4a_h3n3!#Y_^5v=!~qf*UlSP!ie9cpb9chcFViiA`YiwovtS z&j!zle_&m`c>HtIqOsW#M(F)G9R86~c|bB0wKVRpNuvzvIp(_hi*G(ALXSK=wrhgT z$VNlGmF`iPOk#Oxs5Q#`mDXRc5dFZ}VdqP1hAXbCXo#(tmP^mvSUl^H7UG}ns`?Zl zZsm=jQY@4*uNebbrF&*$XmwF^*{w9vOa-=YOF4A2(p=211?B`X0CoSex3mK?^?yV= zg3wq8KJ=qJpvg|X8k;kLP!p1HK#NqVfU2Vin0c;HIa>&$OA!zj&NSJ;ut_O{XNN^D z|0Rm4a6SHM5UP^d0xmomPjdg2rqC>ggeb`qNP%sgfT){uSg?Wv_Y;aPUE46?6Mr_( z9^CPZK*gZD^lZ4V)Lfu+d0kwq*jM|X>J4-~*eSaE$b*?XT#&T_ZBwH<0KFTowpA>t zUleIRvz%PylX}h=rR=2RjDczvQ$@>q;|VGgc-bSuwfnHrA`H+9>iIp^;XeshHiR0k zSmmsGHI~_hBn_w4^r$a$pwSCDdFAN5{ZAJ6o;zU0k0Ji(oc@2lZ>A9+2137pfWRPt z{yT%Mtl(veyw#EbN}qmnflve}d@hgmna1zSh0?5=z-|rr$h5%y5*oH~)5(QTWmk1V zc6eVp{y;Zei{8O~%7OiB*~}7D`a%u(>G<)i=bbJO=GW<|Fm7nauM7`WtD_ ztA8nkR)-kfo)`0SI#Viof2NO6=VRkp42d?AHHb!Hiz&12BwC9DT!it6+QM(0j%Uv} z`>8+b?rq1<)o)Ed)I$96-=gKkH)J@CCuGTRC%KYh)13pC1a|v+W}20qf8w$4cTFj| zG=v`rQ(I|#?mvVaAWMDs&{roBZEw#evD?}^rvBnI#qZESlt$}oqNXC|578J#yQJ{M zJWe9yeNnkQ7hHV&QAha#Y%f-hMZK)zYD5{xv!^wdXv<+*x67sxk;a#5EB&1!ut{=- ziqM`BZmVdBiZ{G~9gWflTDfGt*g>owwVgda#q2!XB8VT!JMog>V^^?!@*4TX*G1^G zdWPG#ZM-D>K9pK;$?Sx_fwq*p*y9khKxg3=-(*{ICf}s>1abiHe$DsD&Mq!oR&hD5EsO;puKs~PhIV!V|{4QFFa$wtf*6+T$J zD)daZfNwC4miace<9Z2htG`=>wC<1D=$1N*+-qbF>$BAR=rwxK8sZ0UeKZDTM$_9j zFtB^nw09g_F3rH%Lew7tDHo>(icEDA6y*GKX7|+B~e?uMAyxV+E)J%uUKQNEajh74!NlsCP3L6 z(d3r%>{iM4Y16y)k{dkk4@=`Hjq%=(Lc#AEt4O)GeX>6Almo~O`?YI^o)#3w`cRaKq zag3z`3B017QRY0@$9{kQh0R>kc?s8KvKp=Ge9C}@nF4t9jPjJ$b@YTiuw(kXQz|A8 zXObP0Rr@$vyF5lntpZ7(R8XTg0d_Rz9{F5ao-5vau<4T^h~7aK(~T*TudCHp7t+)^y;Pg5L8T7;-Q^ zVC@oqBJEDbqd5{DpCq3hcQfvE!2dZCN0^#JtIN?PH`fJq15)3!wz-@$(HXe~DF6NY zK;VTw!FjR-+xYz9b6d~SDtVHbQgplbMVEsDFZ8S`?)S>=Pey;=OxI7{(MEb|dB#^- zykag&xyM`IA{?wKh~{gVmqZ|Ib<>?sEuy|F9dLY##Clkz8ejXn7A}l;=hZ|yBXvRk z3@}ls8R-?jEjA50-*Hqo$!up+RRYQ zBi$^e9VKY8x?|`VjFG|~fycla7sX@Vd5R{*g;x+-by3*JCEZN7t2(5Lx#JRmh+G+- zV!llSXtta?kEZFsSl)mDMa`WW2!jeQfNA#?Z`-T3J?GOT}oBx-s10ikEKx zSdPYQxFV>Ht!k81q+eK3cTja4>md@l%OAJQx!wH8w3QnN*~lf;Vzlni2oW(v`O*42 z#}HI1^PSd)RVSu*(Ur*F841T!vvNr})#9pYd7`g4okQw6^n`l=!!alsmk_Mo6BAKr z(PI{+#$ha^x^hlP)lpqS)i=-pPT(RG`F2oLC@uu_FDA~yNJL5MrXvn?z^n>cSQz)F zN<~m;qhgTCk5Lr#Na~-)T0k=XU7j5E`~UH^EOMWX{RgaHNI*a^|C_HOb~XkUwoaGn z(stUSD5G)G#CW6-8udWIN{(3s0mScm?_7G$d*q@7iYb*@m3@y@k(p$wNb!wE{o=;+ zj>3b1@cu+$ioPZbUk113H`K|gm)e`5tyb7ibFJo=Ygk2_PwCfe#8xZKFmH3C!`%ixn+KGZ zVBbAHRt?)H@2Ho$=EBFd^P52(z^nh^EXJnEF`H3V^pp2Ru2r(DWM@P+E48d&=5pip zzukksAlI_(|KY5W4o=(Ie{nXf!_Mvhss^a#_=*L;z{uy{{W-Bz z!=^YhNQd+Xt^;voxv$3q`8^!B7uG0cXsq3s@X}F@Iw6N1{g+z+5lm1_l~{ zTHKr8P*9--hjI1p^Uw2Mrje%`E-5#VQ{|*J2b46?4}|wy$u)Efmj_ppZ;6r#aVUG# z@+~k?j-gtUkmx~!c8?#|dK~&7xZaJ}&Hm;pqlD;No?a}2*!G24|S5s52$gDK$8(t=E`y{A*#g?2 zQuV;X6u%;a95WRZzbfH-k7upqXu1Za!#{H~vPjH&WS5b(j98lVZ|5`LlOz`z?mw;Weg6s5lQ3y6#O z4RcM$Qz?2Kb`rQmREw9mwm4QYwu9u3QCu+S2aAB5)8_}`?`Y zHrf$od#Bctg0Z~*8x*ytfjX12<6;Ub;OgQBu;!Y0B7iq4XX$7yQuDn)4Y$2Jb!O9{ zj-Ym~+6gkzZV7F>VbwFj_ecmx!KCF4kd6PFuLQcXL8l#6%6{Ab%~xItpRO20=IWJm zHN=XNMJ=oA#K_nvJVYQ--N`k7qH2~sltV0Ak%96Rt5WljqR8?{Zrx&1bySyObqqCu zVmXNUZ%U|06=z;|t(6R53&sj)MnMg9SK8!MvaKCJZe*isfa2YdJ>;Y8n~+;dDgtt~ z9@hu<|8!t!O${LXf5t~!LjOHRQn0YS%#yal5k(l?B%Gv9F9Raf!~Po~5HWq_iQg&` zoD2lDFMxuO2GP$)41H3tM({ckP#2UO7f+1u4`NXLGC&^g$dowzy?S)}P<`2Q5rYc; zvjn=etjevUqw-Ckb(y!f+i77qFDByQ{NZzf3!VJ?NJpz|hn#%jt}Y3l>}$!7z613+ z1JFs@_OJFYHr2K(b7l8gEx=2emH8^rDryJ*v02Yvx2>9asdb~S9bb1^cljARB~1hX z=wtrn(8~vKxYTmeZND>SL;70{EwozCCN%TYYcS!ds{2 zlk(R|`?0yb8X(thw(3KA9{H~3_AW_!wdO$UvsqivgI#-8X}dGs(NT`dUUv7~F}f@S z2c9};GVUt4v9=D@lMI(idcBd*=HGS6hv{w9X|nzp84GB1&MI5FWT{*DyFBO3;1kW= zW*hwXFU2OORJKe_M+9sD?i@vWXK`KtK$3?Qwu_RmWxF{)pOE6TTt(eS_rW4SP~Al~ z#k0Ui8i*00rSvV6g4gYQPs9QjA;&vk&s(XHj*dr_;H*EPSs;G&v4QBQ;yGr zEIV(TZSqP6$2b*r<&mSt3fXT{NFlH;GnSL^%$ed5B{-m$cE0cMuYXe$)qE;LO_7s+ z3-9Mj@Nk1e^2#fe2k@)igl}e(jO6aYH znD`xnd8RpYngRpKRP7G31_$lxuId&1kD3Bzo-eQjGXUvpC9~Pp-f8V=g3-5R);xs@ ziDj(tfMqi*4<;nMl8b1e>9^z@#&=w-e3z&3f>;^e1n)nd2`jTJ8&0VHOMGxo3Jl(5 zsCL?at^S*XI`f=Tnl(irZG4!q&G@=qaIQVg9x^p>;D;iEtuN-lfBhfpK!5?Lq7yc} z<=!>no{EyWzs#dT*5=t1fNX%9d2~F2I$CE>)bPLv+ zYu_d;SA_>cM5JX)JW32{=yy~*L(5^8XV2Q|0Fl1%oF)m!cwku>VJ0r-XJ|1&FrX2T zNN3hZ?Sy)?c8D<^)kCxO0C&Q6I41&EUu{-JI~}}IGv;2_mX5!3{$%rG0vqL0Q8pIQ z%h3VONu@JdiBOr=Rl@=$mCd2K)Rj#v8`X!a&aVxrE1LDO+r>w7g$=Q!ntACo%k!v_L6B7k4Hy!`K`)Hmk@2 z;ps;I_5XW*v`3a!eGew-mfu-gUs!a5|BX{bKK9M6&*XU_`r@fGuv(M^^Ej@ZIjdat zZ`_`*S##>z=PHfv*lq7)`ZaE<=kn@9vS!bdGgR5C#6??wHoGVAnI4WsJZcFGzNPEE zUR|rr?Ek);-&oiag@E@v8B(x`yc#yo#z^cz{`E~pk6yzIw@;}D0%YTFi zO=sb1)=J6Qq*RiH4>D&;9u2HomQpZfNSgL~Rp%OVx-6tIzo;5$!UFSBQvG zGl^%!L_DT>8t@Q3_Gdnu5D_7horX+Bh6GQ-Yr@9}CanG*8tO(YS1ttOlEPHoNi1^F z09(J`xl@K55@8qF=;KL__4NENzTPq{b7g54#@z;Y*TLO+aCc|0!QI{6-DPlx8Qfh5 zcNpB=9Uh#+T5EsbdG~(zb$+B%soZsUS0(8r-JNvD@`BPyZ2|Ayp9mA!9jD_zF z(S^;*C{cTg7STgu7fr&5NrM}Jmr6l>KY)C`8bI{RPOrH2`mrxD^l=AKSf)0~o~m<0 z6`(5x(pBSgHyo>eFxlX{-A*5yzeEesVak-+F};tX-yv+;WW<5_>nVx;3yj7IRj_cH^Xe`KudY*Qp$`vNte=kA zz{!3QPeCtLa5(=5y&v<>X`DI>2wPb_pL+#Z>s_C93tjd=Q7s0GkOVfDb%HoX6l2M_ zXuLZJ?im0CX3p-a1$raDmWB=T9cu?9&V08$N0`I4SFLEU|b+UDyKx|i; z+k#q26%_%Kl|=|vBY3o_mQP%UKfYY*u_jCGHjSEIaKpH#bs^1rcn<=jAf)twp(fNy z^@u=;iyV0%L1Q4K0qgjDLaLBoNp*6ybyKg#uQb`j`9aT-I1}iGULY%>H%}d;!cv|8 zAsD0w?8;uOU2!lnWu#D(D0y^9c`_UvCS6#E$$p`6^aYNYM`gzY(Z4_8Sa4H5oPGAX zsG@*?K!3hMD%v?ZD=H|Tr|Bx-X`qA-!OE|I9S@127b_W`AgW3m;RM;VY?Y{Dl#voE z-xp99Sb6E@V+YmXjdY%f`H>sbyoSIz>{C)tdBt{Oi_&J6rNl4&$%)J0CSrY=%;N3_ zVAu5?lK;7kAt-7;L3k@>S;ycHx4T>Ijv*}8>(6M8^)X6lwC?w9qO2qs6I!p6+n}fB zepwNIOemD`?5|iTLFW|hG6HDzF1Y8;*!D(Y|EL#xwD}PTOfku8z-|ul4ywhFcPvjn>$`zkjWgKp%af{Cu`C71Ef+d_b0QVO8quz3}_k0OB{t%dI`b#r|vw_qK ztI{}$V=T60`@kmj!RbKr1&{Vu-V|mBzLo59^I4tT%P*VMbgv(rb(y;^@jd|uW5Z%+ z6$WwnbgLEg%o>Q_#?ISD1)4J&FHBPiv6c($;z)ViX}hZVGbeKp?|f~SePpsh;Dlhf z=oJ4f8-^5*rhnb@37m<4WYzEib_h61s|fwN^`#d^HQI+BXu%S#Qh^)e*jG%>nsiTzY9IrY) z5T{x}T$d)pevWwv-$zN?h~H#geNI$bQJ>33;VD0{p3a5ckZ3wSwO%rKP>A=vi!@lN z4UK38{TXr?6L%>!qrW+FsP2iTo9nD`U8L=F=nVxty0Y2f5GZ{5m|7=J?KT1K^JMg2 zcMix29^|5Yyjj@CjQ`9Ex?W9j3+Yilwg|8r+$Xi9(N!H_1R8elcm*s~h3h*i={?Cp zG>YpIv+42l!1?h&-kAWq9}9_QF$O=j^IEP4&%9q3k9X`a1>Or2J5{1}#ZpkzQX?J^ z-E3dBdZ`&7iy&Mn7XKi!6gIwi@${hHKbMY*kxvSd#eZ9VM<|q zLU{^uO9hafw{Z$;hNa(j^_yu4-fdz(oZH|fZ~{@mi}%}NIJBr-SeR>1DY=l(6O5Gs zE-0H}EO{AiLa(i~QwQHV5l3?CUZkV$wzT-lz0ji`lB2eHID^DyA6&Ha^qPsh`fv#b z;;7%g%dsv2Jr-#6HClwaF0_N*v=5*4YUi$rs9wjnwg0_Bn04*UK>n-yO{y>*15}PQ&a^J2nfcfnVO22sJ^n8ih`Q5 zh?u^Ln24&Bg8X^Lx;&o5XPeZj=9}Q1wwiWvC7PX5F(w33lT@(|ggN@Wx|q~3qHrZ3 z0>g-!L&+yCNv4iOtn!k?H6` zrJ&dEKR?|jq!&olK0m;-d0A#@8vPJ>qO`#J4UmC?<3G; zJG(J!Vo9#upuRzV75|BSP?NxrAQh)R6rF0y2X=%0(U{?Fyd8M9AFu6|Y6w{6!#lIj z%dOy*$`#HYEr9OzeO1Y}qo<-D$1_!Owrj(lpg#MHov^^7?{cO@00--&X;_sF}>??GnY5em)w^ zixy?{YP@zRi9rJ@x(LT(rHI~19^5uwMZZ@qp+VRt!wri5`gL&{a>_58ZFz=>4l4=h zZnLC-^cEasvl__cz$M^|Vug`u7qkb-R3T`^Q>6!dS8Kk)uqB?f-lN=MxY_sD<{nP? zfz5Xp-X1}=NDN-h!#ZVzIhXFfEEG8IyO7}-q4Nbsag7wD31fWu;8%!G&A-oN2LK|80AXl2vQ#r3+XFUC8;F}2$awaz;#|oqx$c+-NQ2pU zI6(hWV10lMdaTYKf4>Qf?bIdWm&xM_lTace{^QOKCZWTGtyCHqw3-=Sk>w+P9q)jp zBMIREp3?OCd)K{q38bfw%XqS>!zYbeR%@9wxwf%!hi}7)v<9q6$zOF)$J@|CU*hAqB;cphr%lEvbnDnC3J)Pajy z*lb_xZyi3xSZ@uvc+d%LRne?#el0bA91PWrWT)lP&7CAn0 zZK0#gw;cxhyAcVZjHcTDc=ymQ1?CdTU#iiXn?b_2=TsAOw~W4D%A3gd@bnT-Eb~p` z(tCvCmY5pj+(~a#qjeG@VBAm|a#gA4#42!xq*93!YvKJe5zw}zYjjpt8EOIc12&iy z&R~oY%YXR0+S;^dplNNQn5x`jcm7bBGtO89J~%8$l5RNg-BMlgCK!KNZ$0M$7|P+j z76bCZm`t0)zr}()H(0LPuNsCLxJ^+1Mmx{scq4&`y3))$Mv{4_#UI@}+(z^FmXtS> z85k+0HkZexu60mfl` z@dN+&disA>@1Nf#frwg|DViHNnTT3@{6Fh=AFf;zd}J^XX%N(ZMhggwo^@*1Q!F}A zHVGvq(?zg}q+-+s=KBXDRY*W>b>-TIQU`%h*GiE4lL(%Gef4~X^FPCT0YilYJPILu zrxR`GZt1g3WrFbCo|uK?>@oE}skyqG>(a8)I;r^_3VtCiY)78dqg_mEy=hZdKlgil z#&Uc4c=-dr>-VqDyBJf5bFCh=`;{5Cgy=n8E|vEL?|P0l9P!}=c;TsM&xqQ@AcCdo_Q~7Plp>9nRFkwW(XZuKhuoQ zRdRi$pgX8OtcMOy+uQ5rC)O2!9&OYxQzIXYNLlzJn`V&Q?mT3Gp!PBFZnOPT8J4Hm zE4ZquQ3RONEPI!eIeoM|zdJu#qc#n{*ElphP7agZiS^U=4-;Ul^`^`6>uNht^b6r= z_Y}v;8OUu`4}cMz0p@HK?0&7r1S`h|hT|mqwUs(ICvA!WmX|X$mti=1tbg#UivHt= zYdhezn#@RX_}c7A$v5=dXrJ8(VATel%exAyRN#%s8PR;3eumWlw}4Qt9?ru-XpKKX zklsdeZq@MSA@l*$K`0DJrkBt6o7C5XqvcqiWBt4Uu#eLlWc2H{qjxkMUj>L~V}$|6 z*OR!9M^bl!pl-&aDD?;M=E>=f<-K~pyE$oM+fta&FrZc! zHPmiYfphOrLzH;QN^MVO%D0VVM=8cXAtz;XBUR~8l+6q(V8fF#l|LYwFR;1&26}S4 zN!GmewYNm4i$d^nM0)CxmkdA%yP@5;PSK0-E}j(91=fvwLjQR{gE_AtfX-rrLO2Wv zEXvuK1>IEUwcE|VFZmL5y-7LPs;GFkfP&){5 za9bo|+OBX9b5KABDou76$zg~ir=#`r`7mzkR zjQ0wAhidT3oZZ}vtnM|qim~jKCvr5{Wdz(dbOAELV=ehyL7q=5^nQ8Ab7CCboDMnc zc$=*E@uCLBJ|4urHT!0J@nl}j(`;)|IJNKuzcJq6j?KU~NVc5T4zJ#3x**l zXvEJeH9Wa}{#FC1d5kK{ z@jZE;=g34twTA@nS49w`+XS;IRdqq{>hTjQ@KZauGpd_I=z5HtYsf`M6%GUggc(rn z=sSdrDM*Jl&M+GZ;_iFvD7&$#Ha87pe2({y*OxUI39MID4$P#Q3#09`a{FSujhlyO zzeAf{gU0K&E6|E1$$82$E^#VX-6Oxcm$<&cmzxi_eKSN5pz&Qh%Sl3X7o+X={(6+5 zX`BgVk&lM2m(k;TT<`_iQ)kxTlDF3vF`G$oYD6@QTe7PIC3wCG!r! z(9>2saHmYE*X(Kc4;(XF+<%LD*O0051{)BxAKGP&Z}!sQh&wd$o8$UGiy zK)0I@GfW?eX!$o3K1?0B1KP)J4T%*S{S_Ghk_jOYhgiO63^IXf58`ka+M4A6`LVVJ( znfyX=5nc^Q{9ZJAUF`QX+ud=8BYYe6aUS=f^uFAAW%6`t7xvNpxOu>=gWoATaHW!a zG9}rObE@$Jn;-eUn_@Sfa2+cSZrBF>NgKz23Or0nRG}>XPSi}@i06Hw{ys-*F+sMS z!BHp?yuEK5T3H!A#C{)_dmE-9T8Jn3I!(-x>yn%7BMif`!~2*O#(;qwKav3McxsQ+ zOSsQ3=lRYL?_IpJOxxjP4l67dITrf!gbM3t{4jrf(Q@D>jPjwIzKDcwr4Mt^e;NgJ z-r=a{6!uDGT=a#*q64SLvCNwgNKmyz+D$$b(paAYvNk$^(FfRf?sxzmck1;rrmJ+S z?Y#tI{Lm3U`tk`Us$eH_{O}Dz1n~T$rdO;JE<^<`znKc;6z~cGReyUOx4rJ|czaWO z^<)c2;T``w;-})NyvoYe02$myjrB=={1c}4+xb$iW0vFCfU6K@eLreG;>#e;XUTV; zZH?}yA0KoKhIG{l#R7>4YEG9}zV|V9uUG?z5<5>UnV#ueLYoqtcXrS3)nq9jytVUF zGLBq>xSG8ecpv+%rd*75y4=a!~{x%MVO;4Nc2 zrVgo~(9yiy^N%ed{X(2bV+p<3NSZrO7pYk{TT?Q*6DqJ1Y7h0@AH=)4r@Q3}*Pn03 zzoojRI!Ea24+HySnCr3odSr492;@xVeLU5CF~!ol_caEgxvB-Jf$usEr6e02bRV1+ zU0;d1NI(1>%HAC6g3PZ91|oTHIOW0PF?ZT_YhO9VGmVMMSRW)b3CoMPkzHevv*Qw^ zPKSDli^5-m@W1I>g>r*`;X*!!jOso@*r59E=*z?GKyHZo2+*%Fh)w$CHzmzs_@?I< zHuY<2XmjEtTLtXiVf@`}_j1q=2w45V$(1l#nR5AkeCcEP=}1o9n_L5=a|2GOz!q`}z6L*JUr1 zDOkCPQRK$*!QTs|UUD-a&;g+tMExA!wc>pq?2=Hd$rK6*EKmu0dGW&Rp`>3vIFE_5 z&XIBbIG{x^m_e}CTg3Zm5^{QW_z<%hpk&3Uxls3ki#xW3| zF~qZH{J_~bMMv`QJk_JMb5HwE1i`ZegQEDOJ;mN3!S;N6s(`{+{A}N`$|-(bq-tV^ z7LIl^q4a>I?WxfDi?H#>4mxBIrWic^=%=GIcJ!CyV=M=Hyck)N%ELVD8_a?#>O}kN zXC+%zFH>xza~gs~VnXc)`M=IQ@qI>N!_^aZn*uik0n+e_^Y}9~E@~h(5 z4@5fS{fJTLq>DKf$H&>(7}o(27}k2h>zB||Z~yR0Vp*I1O+rKX!4cNEh5)|rApKG= zwda^HETEM{%z?S_68aj$0_96U8DgYe#_EiahQFkVzuvc%w-tZuk-+5&Rw~!>f`ru* z!>~dt2vvGqA+UeZ}zOL5V;j8bwb$J$0 zjSH@y1nbA};pgM#880!+fp>+`j z1R;G^+Yq56B-G?&o0!;_BRm=*KZuZeWIf(O5qxxO-a`<)$0S}ur@gFTuix{02A*F~ znDMXiW<73Fi95f3_oW7WD9T&QjmJ;owRb1*##pgn69lxxbkX?boV`oGcTs%!a(P?I zhedXfWQHNNt$tBZOnB-M=@KU;u{%-##W@!dT~us2;auOoZ3M!G!l< zyy1~5oeyP0>7(_F+&z_d(j4txmvF1>mGx%?ZtckO?8^t{bknZBhc?K46eHfqI^Hyz zz3!$Cq0e%sp=8nuV5@#fs)i-C0dtdjQf~Pg-Ct>G%2!}k9 z`5*v&;m<&5_nCq^R~Q`ZB&BL{$NF2tKgGpchhQx@_2NVD4y0i#ceB4#ZC% zvHrTl{M*S$VdvG{zNl3YrNIGkF&Jfpb>F_#fG=|Rr=zLa^5g}=4nEbp=jLP;9EWtjLA z{2ag>jOWBG&E)J)?Bd?V;<)YlDD1!zhhV3ZNJ78M^dcwk=_<*QPi&!d?PJ|At}yqO z_LF68ir!qBFcDTb{C>q^d8B^|8r?aK%LdB2PHwA#zW!h#<0R_CUq_sH(yad{O-IGQy*c2SO=OL-&m;|{LAK? z)Y!%fi^NL19Y$*v7bUalKI^=+WSuK*X@NNZ9#*S;Mr?Q`hCvt$VfE<$gMtgsHSthw z&DmxtSpS4`?S-9!Engsbp7cjKfklL;C)sC{8#S|K6;7>y9DfR@Q#&O!F8_u_BJ&4K zIH`iWb!*@yB)FoSPgd;*o3T6$C%4TBO8gD8jku(kYgxT+I340Ksj-FRr-8_)K`0lN z(wwc1ta*4{Z61k}a8oEmk+*DWsPmUPwxX!`ViMh8=h^&8*UwGz&(CJVotD|p2aAJ4 zk4{QLAOF+A6){OFf)feB&sdc1b&$&x7wO zCr@fWo=#YWHopBeg2;rN8IdO$!k0)IjEb*i)^kWa8a}mo&wZbMIessNRWywYd0=FI zyT%UbaE3FCmDO2lE#;&8t3-5(HTu$fd121#+XTp;EXRB;2ftCy{fa#_?yb3@Nf#SF zrS}27k2qn&?ez^ELHUoNZKj6Abe)MXZf>?%N-Bp!n-PA!`cR%IZINsv5UVnkZ{I}V zt+}}i#QsQO{>qmJ$wclgwcYBe-huENet{=b_-Rq|#9jgYZ&J~pP1RfrAX z+1ZxRJTLP|&aUMIM`udB)64m;dG&yEK{Gd$3`)D5EnfV+@4U|X7w3~C^w94l^uV3N z_|*Pw5_;$P%ncwDg29p@U_7g?kkM)M@FY3gha19vx&HL2CV6^B#~RL3eu85?(BS%u4SbrJ8*BU6SD$uZc0YuLdmEC}D@T$^s=A<@yCXF5SkW+q`p zK!EN0kDaB`Pz~&&tK%LB0fM6~t2B8oo#J%UK?lq8+1REAaDo^MXr6C#NX~4B@H>6k zvD|zZ`JCHk#{CS#L~IenTD{ux38(=4_XSSm*f^YxM1{+dOFsjvzRhZKPj|qn{#_U2 zK7wHMVt4PKKTYw!RwKWd;?90_l_#IEj-f%D5b!1o*|d8skW9gf)Wt^0V<);?Irqg2 zgtZwHgr*d;J$-jypYX9KnlT~b>OdqPYtG`L9$JtisH)m(kDOuZD(9pT$E%)*`W;`8{PUvSzZHTqH_6LMwKpx~g zUe{r5HYgoe)N}uei!v_%$f5bJc!YN>v6g^)aEAKj2(;DV-k?BB+)*7ep@+hMC4y_U z<1|rRwf&0-GjTsiK~p*vS-?OtS40<=qE5P#ipYCl_;07a`(Ri6Zj-B;y*SKoc7inqSLMV+usHAY-(DCD25) z{%DJ!W8J9~OwaYj4|BnQu>{Qrv%)BDJ`u%9VZR0N?>g4qir9W>P)s~Y0wAgMM63`p zX=5njehXwFa>g}K{`*t0YGA1VUUd+vD)3YQt<3j3GnvXPSie-V+OsL~b@}!rr8qB; zXs}hFnF&ZC;1ryR!7UJlPM6StV2mR=sxq+`m%rw98RkTj{QFbA5v2VN&*!=hLfo%u z{w(dvj2m_A-CDJ>4)-3U=2Z))=VSUhF|Q^AMjKIb?cK(g+5XW^i0YO6T6oMu))AKPv@F_jvy%=iD{t#W4Re)s@T@ z;)|~%43LAH)~=cLioqE5;+Ol{CwTL|`LAq(@BWpIm}dBgg(kL6XmL_xO_l0*1AClQdARS}R+~sc zz3fjpjf1&!Y9 z$+y_XN2u!{aEvAKZnyPPeRE)jp;x#FK7}g&3*7KG_}5?Hg}=clQJ-Mbu_W-8M~18s zxo&=MvlyIdq_5{!hL&6%XF%(o?~eBb zepRWpX_lQ!{Xhn_?cLTrqSk4}yfCgka_O-i7ga^Zaj)rM-uf_ZbsM$;>s-4~`xj0< z7v>w&61N~%GKY>6L(xguOQ>`4BlkbQC9^X&5LaT>t}CLx@UeE{HQ>$cs$r3NnR;@X zbIuO^WDtKHzXM^j9p6Y1DYbG8I_FZWOdTWo3&OUz+{aMgH|tx}dJ6ez#_R zk`PIL)Kq{benQ~6IZV~+ODB>{!6s3bxF6Ri6V~IB7I~4Mg$!Y~#>s-SqW>#!BA>+8 zPTJq|rrwW&U$LZvYAS>TbZ5j#4qT+;AsD1`^zr0^(bX>E5RR8-BSB8{tSB9dz*Tt* zXg~#Ne3Rom@g~^S@ni`BRY_uA>m>ozKI@}E_p)*EaG@0Z_$Od?yT@l9Edk;tB6?Mu zL*H&gx2c=Z61KEkmV&+V&^3Kx@dq&dygH19xHV_h>@R@DCxFG>j9>E(IqZi|_?wB+ zm><wTW{$r#_J6XJc{dmj+&69I{Wf!=%w+VH!ls#;QH8+x7s%1dh8edAz#@kV=tEA0e4lYWf1!q09-#fe7Ny-mxGM3pQD_Z`gMdf2-hShe9G1JY@8I9>xHTy7+Lh&3A^k;Mer642MNSw z4Q&3c_7_K+?yrSW+9Zx55*RZHVdl#V;$fIaiXq`Y0T`rJ7pmbco+>0eC$gUk#!p3+ zx!@hyV&!`O_7c=#;fd;H_*{>I!f%(gd$z-Nc*0>aFa2;UI3EQ}-@2Cp?XAXnFi+yv zxR)k;!jNEgZ5>oe8F$ex;`CyB&|YG!+?!yl8+~Aybf0rLj?fZB>DdB#)|Ua)ZQ^ks z*4hB48$U)v!Er~!e&x^^OeM-)EX?>uV=#_1?`vHHGrfR}G6wnrOIXO3n>}U7HDzHJ zg}U0IzLUpFPems)tTZ~~3Xtk3EKioQ0rqRrGF`b5`tw84tCGBD#U`?FQ~rUqvZkUM zv^u6Ax+`NoEKIpxecx+9Wk`C-nzs}h27v^pEX#t~x2uls*5AL5Ct7936QaUj?KhkI z-h3yW=Mf+q<8B2hBy|20p@%l+OAQr{r!fSPW;jAVBhv9wR6TOtE+SwjvFngP^QQwf z#u&_k!DArIFubgjP^Qd}`onKe#x9~$$+QrifQG)-j6k6kn&z`iZ>J;&rca-Ob$3|$ zk9#1BQ~d{_p)E#D(J!u*RywLRyU2o_td|G-X>;6}#M=f*deK-O9*wz^qpSU|g{?mj zG-lS<QtLf{Bd zmSN(w15~g4CH>8$a;4KY`3H3JKchmiAo8o-wBC3@w_aApYUm&Roz)I7c2*1n#`!de0}}pQlg)G94*c*YRk(C zM*b^6pxECp7pBBcn)5eR;O&8;Pha?>@Rtj>)B7BZtIr218%Gk3X%D(-M#FhOYTex4p8~DaZawI* zOwAMj>?Z!0dcD)R)upIJaY(5b9#9*F`=WtEE2=~B>r+wvsc4HBmXm^OsD25hUKMGb zZR3P6Sbw-eRZsFgijWXpeL(urKS8SO6!W#5=!J7@MY%QM=hKdP7d$iWORH%9tmF!R10^zoU90VSKS#wUAZy ztk)+)-x^Jlx!65#$y;qoKdMq7!)z2dj;;NRL{N5XIzLDMaJ)4WCoCsy|CupXnw_L=tqdC>C+sD zf~l2lIrN_?3)II!i*oesil2R+C9qd?HeD_V&5GmCFQ#9dLNIzCq4dl-j%PF=exJ%_5tj(fRVmpVImS9B9{^%C|To-YdU zExSnlV)y3oZsts$o}ym~0R)J<)d+=*!=-6^_1X_$TafTu0n$E7;UiH<{hdl&2z0v^ zQ>CcFGdMw2?n#7tcJ^;th!#kS(nbkS0n@DpD$kXN$%HWchc498i2E>Y{EcC2IuFgz zmOP4;xSi$)(+LL>H{<)7NI$?+7PXZ{*BfYjJT&K1F!UN7bR(-v9S2UzA`E9p^4HY9 zd2YN2`v36vbJMiP4Hh?H`>|OcmYazvfyb$QNmW3L;sSGoS3lzJEEtD6eHhmtV&4{t zlon4()qNY?CJ@gg!~#ub)xzL@7!(!H$KoEjP1c$pMou&)>}E81wFY#dX_qT|-X?ny zY5XH$$Yfa=``&lT#Q|CEB$CyK@r1Y2O|x-;(K^dTMgZRoRqZ4p(9ZIR>yGE`DKy=O zrHk?rw7H(Txe*Vb^wr%eXep2CXX2uxv)6h*H7AC0gRbKYCLZiL*2Nt(%HX|u(FWU+ z6c2&VN~6Jo7}FAZh>UL~{V0ZC!$f^N9`(N~d4&+z(y|*9mS6$*Mav=9*(yQlVry^| z#K+pBs)@^;9G<_uLF2jI?$S^A=%NAiW)O`WHba46?caV6I1Su=AWml$h9YNx1>N5o ztzqM8Vap4xu^l##?jY~T!ow8im8$`{65%=wh6o(W2FcM^M66JN6EJw=gYeA>Y96|AeWT-c)N^tH#B4wH)!21XW zlvxe1z$v_jhRAwMZ9R=+Mdq|5&^a{4z&;T`#vpM)MnG;9o*dOuVoT)I>f=eH6KhH$ z7tjILxk9vK&&hIml>C)otvkpNcS}*w(Me?uKe6d_s_)w6iBUpIZw=2^22*U6kdzAn z0~Tqgg=V4pQf|Orb;d1hRd6D5TxwWD-2Pz9aQ_5nq81lMVn<{+rFhvYs09l@&t)Q6a|Kt0uE~se7OE zgZ3!aF@-F&+!jf8k<=w;6637QwqsG{L!_LG$nSTQuyzNPtQA>$%x3AyR}25!_aX=F zna&uUgJ=yX%d0u~U-cWr?4Sg}cQXN%Gd6xp?69}y4^HE1m5NkbwbJfrr64M|pG~5a z{p&35MC>{FF0)y02Gn!es9!{3uBJ65I)wWrm+&)=9+ymM1Z`y8;d zU9_%F5%5yxBXA2dq0JD;2nlv@$ICc(YqU{i2kWNyoaQea0#9GXA@QK0Z1sYYFi!v& zpPi*7zU_x7h3a2@k`Ims;Y!P7FA#en?mG%EEw{N++ycWcka)0Uzm&gk@A@A)e9?eZ z^?mLc6}Bw)VnO;N1A(?oGBJ&1wdQoEz@0@{#*C%%GaHJYDSu?}{mMTf)pdA09GFv7 z$_37HT|q+}^hapX6+m`mwJk!tLtUqHZ890l6pcG zS#LWtgRmpP#iFfCZx*t;q^4#2Ga3C%oJLI%JB_U>*yo>4 zl4sClc`&{$7OfS+^~r>(ur0-A)0P;I-$?uO(;UQe`6v#Fz@kBuYb8Un4Fvn z<8BuqIyig$RIC;fiP=@q=*8IK$|_NAuDlHpa-GDuw(e-+T^4d!SW3Ecq4#m&utU?Z z;Dc~etg-bhf6j6?Iny#2Cebd!7|ywwlduTEYiIdD1=%%~i|)?nr+h_f;fLB4Sv-!` z1y{@lduhZVgPb%v+h0_7$5c+oFZ=bMP58{Q|E2qcU@C*Gvi(ooUuHD%IfIZLMp#|= z6s5;Le|}sdI|UW9&tDHOC{meQYD!>BJ)o>5+wTy@`+5xf5_v7RrV=R_)8G#tMJ3;> zPM0&VJ(w?BjVk;;G!{%E0M+*GYz#X zevmi}ImU9C5B5twYp^_KxoDg!=fPZ6NM-?ec6GwK>8}z~`z_r!OV23M4ydEv6&ou* z60_L$?PuR{V!0h018*aCpZvMc8~Qhs{petZ$v{lzg8CrARK_=;k;3d%)-rM!`o!qs zYdPWGU1!wa=aU0A}SW=uE8N*YY7zibA*qb`TNPtu(PxA6A|J(+ zXG%0y_Gvx1K_M(YOHRuGJs2Uv=Ttu>1BQNFIT^ZFizl@;lP)x1Rmg|f@GGp@hSbpE z1D;hZe>~$vK|#6JqRYX2Nj<%WLU}MCsE3r0TGCjP6b69^KEz=mO9Y}pki-k3@tSN! z<^F?LdHy*MpA#|cDy0jo!BQWH`wH`%YZfIw6sp_%`rj9W(8)#A2Z>{8PsEy z8E8tLw}W%sZSQ`V?SHr<2oimt4uiPj1M_WT7HlI2tS4@6Ei>@>1(0R6k^;Npy!~g|v@F|2zR#O$n44t&9G?b~KlbZYe}Ju&8L}&J z*-ie*#uU2Tz6yLg*3q_l1!NvJa#(qD3z_ooLXoun&HA8b|G1t)ZmiJKw5xflFGD2P~vaOXotkNQQ$U*pu)ug z`)qaZbak8rO+<+kHp&zI-_Jg~FUz>@dbFVP>9^z}>;S7i8js!V4U&*)^_NAZB6*T) zS1?r5vI?pd=GS;xhurTkRKX$g(Hf!?M%ymm%7#yod49(@1?^036w|o`zRC-8PB_Z= zmKMjx=+kkx&>dx8{ucqhDB;|@xJ)*2chWTH#%AiKMY+)~aQ6Ct+sDP) z`4tw+$8Sm<;a%E|T{g_uUPonZWKvhB{+B1K{q-Suh3{`MsXRiyRQYxt z-Spja%0q*8Uhd+no95-D-JKrdv^S8pUPg8e(-GG^f z$A5!1{8wn(e?rCd7*jGCXE5htbZ{&XbHtlUns+$;h6i0Y`xy8bma#R2x#Vci<iILJ+qcv|F+iucIaf!upF`R zx{4X;`as1Vg{k;iy0U|FYPrJ59w^Iz2hLi>w$i3mev{|G<4|Vl+WpRl0)8&=Tv1f{?y_@ z?94bQCK(SQ>a1@fyvw z>m7xjj?;}!j*fsEy0%y*V)=-|xbGH;Ho%Y2yNI}!k~{9tfz;>5#&@lk6usrH-7=8o z1ktBDNf_Rb4Y}1JjhS{Dzcyt|{M_bC>UU*wM=4x3%cZ#q8fSOH6!ul#`~D232fu{( zB`O_GV9+hP1t(}{h3McRTT3K+k`*IHSuRo>nlW4)Xo`>P9WwOZbB%aaf{qNm(|I}h zW8{nb9p1tqP+-@qLs(8&*j?g8fL#6A)zV~Y8HlyMeT7vsiPzMZ*Hg2o^!v3Z$Fvt- zv<-mI2s7BeaGm)8!5P*Mzcx-KoQAp;;lJ8GY^(*-&W zz070-Lul8;VuGAhzA`QNzd3N1?z-#P1{6AQBfzJrI0@k+!Re*MC1K>vVe2;>cPaVc zZe11aWjDZhj1Vk$Y-#VQQAX+4MoB&fxYNr$v%$6WVso$);U{0`@%v_c*^9B$>xC;3 z2W9L!21NrIoS{40-mY1+_AHGKSaiKaLV8~BRDnc8h>bb;shOmdMKdB=s1H!0ACWEc zTZnPCeqbHxYKpYBPwt4dCk}TB4sx3OOp>NQllobg;6^A4^Nm?ZQfn zfTRL~A|Tye5|Ud=T3Sk^J5&$}0V(ND=|(z~76}2R1u1Ee6hzW9*9H|I^?85q^_}xx z=X`&-*BWcCHP;+-jB$^9j){BYqAhWfxfhM~;-D>w$HJTgMZ(^A&eE=l%g^VrAU~Jz z@4k_Q^@OD@xmzOY@{*Vc+kDA}EFUFN7{+d%%lNr7tZm6K4S_Ff7M4zT-=#_s20BqT zQhK&9l0z-s&oOr6wamhx$LuyR^_f0$;>4zz&!w&ea=x1q=t%A^!Ps@_b19O;r}PxO z%*m1`D&zNdP94QHkst-M#irq1xP{{CZuIuY0f%^8dP(9bC%8(G!d!$wtUD}?i%fex ztbB#MBbm7vWB2GlJdPr(Q0~v*S=-7s|NW4MCfn}5#@UE(RMX5hFAW<1a=6>0*ND@R z{1m(#+(8j3av5miYxGu_FoR3JuaQ=uL&YWboGcf|9W_W zQC)YyPO+I?ut`1nM{=xl3qLPBAQZ{u1K^k7E)vQeS9z4 zd#q@v>-XRm>wD;}LqMz_Dw1SG#F2e~!A1o?_`*fme-3rH`%(1q@ciCViUbdLTYnY#K2Ui7$Gyrvg}+aNznS9q0b!iHllD5Q;h?<| z)YXvupSn64AjvHqw>|Y_(}PjEG<-ApE9B7~^t7j^2$ z950a2ls25Pv0gM2ds4-qf*DX7;b?}BP6W90N!8&m%@#*AJf0#-Pl*o16ufi5M1k~V zxWGhHd4XPF%3G(ko6X2MVDE#KDxC+XW2|GLhyq=?GyFQ^kb)AuXMp|ILXVZrghAGZ z&+%zi5EREVsVQkHB>IW$mwAp=4ThWS8JRfb0*t8Sf15(Mt>C?$@c@3N@x<^g+!ucB z?ot@kU4ZIm=%rDAy5-oZ@KX?r&9G8|Kk!_n{H2c{UI%rL#ZU-E#P&Rhhy-g-h8ZRd zFIpW0FIp!(GMRN;GLm_*I)BuEGX=NaznNk|;=)f;{AMT+5zy=|rkzs%WmF)nR_xC( zK7;~?Bry!!Mx0*d?=0Ic+j9p04AU6r7HKgVu3o5!=u?=lNt`6y>bq-FKf-MLVXJo&WE?Ry8y#tki7mlMLUnuQ~6?Xep1nNK%{ zr`lm>IG@4JAW87FPBuSyj_5^8Z2`BAOxu(Q&l;{7My%l_Fi?{C-lG{sQ3(DeF5xdt zJ4+UP^;omtTaPhn;Uj_JAE)Gm3sG-LBUqSbzwc0IJVRw zYghY)S(nPqfnYRXM$1Gf_`q0$PvTK3Ec*93wEYY@DAljFaE3tkr=_qk?#uEKiq&u$ zBKFSLQDNCWd6=gcuPMsK;>T&|ndX4`zMgC#7!!AZ(~!0WyQQ4-E}~DZH^VFYrmO+0 zYJ40ooP6D4JpoP8Nd0O(22D?JNaH!rrBH~20JEaaxL7W~9mj-hHpDktr>8fyNnDKI ziy4OMn=ZmL2D8rnG{FbA?KL z9zLA5WN?+BnevQJr?r_JDs+oTFvii?*p68{klIc`s-ISTBW!(S?aT`DrHI6bcq$~Q8waW!ykID#<=y$BGHmljk1a{T z6{? zJhzv|e>XV2G|3&xhSp@04_!~ek@v>X5dxn$PS#83vEB{62U6{t$92dmaAf->IN;GY4WFUsI$hN?7 zP4DwhXa(#=F7fwh%{d}EKM(M1$R@>h_eD=aXva_7J()3=jnj-xg(HX#33L}71H8xr3fEqbC{_uR3hFb;tLOZ%4t={FM>MCHuh z5fYy`k~lx%M3H4X2RbMyH#s7Q{~RnF0~P&IT0@T+-S^Zrh3;$3hz3$ZO9&xE?nByY zdQ&>h|iT!~s*Zuxv~w{X4^Y2YwgfL^3i7J*FlQD?FYK@w+_(A;aC+ z{J+j#1_7LafN?_HQli5hOn3bs-f+@<9%N-nDXQcrQUja=L+{LZeZ)Qc_W_9inR|ZE zweycY#Wq3Ys0-y^G7nz9uq`uLNp~n5K;Nwt$q}V{&-b5 z+O`MfC~*p0@O-jWQP1@6)$ONemD(;}jjjM=Kn8JnZjE z2l8uQ_rDA=6rVXtU^WSp6>^<0+c0|saSwkdSyvwhUojANZw+wuhi5~eyz4C}@H0&F z0i{mq4f&;06Acl#t$aP;^qeCKqMJV#3-GLX{QBc{0Gcc=5+F0#1b-Sj$F-vznlA>- z_0RRhFGK)N-{ZA`cUXrD|L$Dh*B3|hy~yT3axM*#H8>sck|X*)PjVC;l5=DvG@5Ro z{z~6b=l+#5BB1@(oUz&&`8Uo8UmAT6BmgN=nCE^A5{WRB6p*R`Lm6Q?S`KuIzsT^W zvLMZQcwsfxjv$;9S_pW@QG>(>Ew+B4Lw9i@4*QQa*#`mmi+{C6A~5<1OCfsdgkhn) zvjl{MW4G{$r`EH}W`uKqngr-$z9%!$28_iQFcvQdOm#n2ujdw+!}TeJSEN}-S#q}G zn8cCv#FnDW3A+b^0rMd27ytx>i8ko5Y@eBzaF3?ng7K)vY0(mvD-c zvA}s3a2PHbz&&6~jdy?un-zvdBR)GIM~h1v3{Izfqvr`2Nw^oUd614L#9IR20p9Y= zatQw=ip6as-O**o%PW@cNlP_*HDQ7cqYwp!>ndI>EO%a%D{?dP4ByYIiYtR zRdXSc8oXwPXSX#u8{Nrtq*t73jIhOmOCeTL3s_0B5nv_X@+n9tnU~N|1+7UZ$=uZ? zdDcAbwl!F>L+`y65CUqROoJr6MxXBbn~ugnCHj+68P)~*T&1RBIa zC}cJ)j_J!kZaN|r2{ho*wa{Z`GR*-eAZchvWJ&z)iy_L<2~CItrRCEDW`m>vI>!0I zOfLRHl;C6pnHAQk$NnLFGgE4z)V6lC4qzK zrGDT8n1dBJ1K0(T{X#-2K@Got`Ukz<53y$SiV`?npcMP0$l-|9EZZHiniM#z(YrXx z*UW)uG}4w6KVxGQesSGlaAovnds#5o|FdTVtzZ@a(iQ|do$&2J*h(&^^@b&m5IN-2Pv8CnCKzm)Z#cMVVDw!SiH#X;%top@5EIhxLenj1k~@L}Msr!j7PQ=mfNWVgF+V#q_8Y z^Wy-|hQS!27{rD4nbRBa(fufkz#|yG0$GS54!+udGNgYbD~Kv(M}d5a4N*x&h(BNn z0dfh5m7g3SXk_R=@&3n(W`RiY$7<{!6yTT)r5C;^XnsnzR=AJ>fP1ni>3CFp_)r;! zb;0m4oUh1)K?nDlt%@Y%oPqKD>(#{w>L;1v?WNsotY}J&g77?f@`$RV|Gli0Yw@of z^4V#?A-~tSj+S4Q2;^F45x}(DP)b|}>vY2nc-=7^i#*eMy`YVKk^=_VvF!alqSo(q zlM|I1j)arR$Ts%pL_^E&V?2cyx0(SNJwXruxr(4}$x9 z>v4)slSBVyeGSpFDY>Y(A5kGSwJC2~aUnQ}I6y{d=8}9_O#z^O)cG zy*>S&(^w5S4awC%R64%bTaVbgxz@LLybHn@hO~f}K!7E~%XeJSddG`~+CFfZ|F4{} zf%jiI<0*vynlm!#i2Rc?{u)R?3&keH--6^_n#kQ~xZ$`0pqdGfnH^4Hb_z_>CujUs z&8!x(5hk=@FgXi5T45mmLWg3#z&h@q{GD6=*SaNS3s35QxNq`cnx{x9R6+?nH2X*v zHUFBpr3%znQg4ZJNofoQV`4yzMNb=)OfdA!H9fhk=gnFbWw--O0RzDiA$+%c^T_a^S_qq}uzjZ_PuuQPT-WKg+94=XMeR9^sZF@ShW);%$lKlOGv=pbg=kOB$+D?$T7O|`Wbz_q z73zpdM&AaVY-wy#bg#2nSPKr#LfYWZ^wO`NCAp{M5xA9sRG&wBy--x$l8^S3abX`0 z)4QRYj+%U6xR0+D1C5HwSh6t+MeE)bzdc<@p(1)t^z{wvU3W4D(p7B40_pY%d&N^- zJ*Zlj!t-I&1QBT4XGrNCvT_TQ&x=V4yxtP7l#dj&pC=t-Rg6rKqg%o4>gHEn9MXut z7pc|*6Hl~PRJd&2N@SUDB+HlmLQ!%nfScVbaXz=CH|ujvl;9rc&Zu;ftHPn+)`H5; z9{sn?#=%&sM2MhlH99)xO6K;>W&7Pqn>CGb!A53VTVb1eV(5$NnzB9Byvqk- zhzBv3H4?{PQW*y@#xeHv;B|8rKjvB^o)pF|bg&{q!ilcuYiuBB03Qcq;DZx7BOM1H zD$v6h0Psa~q!pmPy6n#+f z3N(W$NEpaGyggI=rm{k7A9-(RbhqV%Z%w8cWj zk5KEcZ{`7O1ijJWLIZ@C!{7s~+F9Fq=b!<01ff?!`&2OO$*?rDaweBZds5IbRuZ+g z574K{_{QKBs#i~Y)IKX86}h@23#!V=BhV&4r<>W6yEKB^L0L;arU0sPt-*Q$KSUuF zw5Y~n?G%>60ZCB;dr~R$h&@uvgU-@FO!j61s;RiLcBT(r=K6}i$ahRpM6!iGg{>ru zMD{#9&WB!mTc>|iRp5IMC#ozb*6-WSZ~v{jok$Pysn%%grPB~ubmf#k#76@OU3=Fw z5nkV_jm?D9$HLicu*2pFV3!aTc!6%G(*K@1m&@lXSEIm|-SM{Di4CGC@aj_$xh-rH7Zdj;Ayr z5)sro{v*bcFZOSYC3oi!+4dh?6fhQ_cfjf5G5QU$lIr${f)?jF+uw_KW3N0LGkzP9j9uq^Fq*V zSltlc2<-VF{(@?TY5~a)2~B)M0jqN9}a;Xx-hOILO;DucB1%;)%9=1 z-yUS_--^E{);VyC1lX^m7yq2b6MqNrJ&-^-DunpbZ$T1Bg?YV+1gc6_=s{J<7WFu= zeTpeN%%^++wom8iXw0O*>I{=x9$LDAN&SU>zJrkJpB2ZddvL7z)fNH(XW;mC6dkB2 zFR4f|o{bV0yPIt4;-OZF2@SAxn#q^H{3{5G~(E6SNAu#skfW-tOa!$fuA zdvD51+}eIun@+Pd3Vf(>!5iyr{_L zKB$!~c*{bpQHI+*8Y*B7JnjS=Z=rA8Ls?M8_QbKdV1Qx5qMJ~lQWzkSBu6CjB19s^ zMvG|ggF0J#ZSBai;D^z0p+WG)nuF6rldilBymkDfqmf_35YDbsBd2sE;oq$gqDA9B zOcQ3$hw*grlWM=(4f7LNxB=0i5Nd%4l>xK_Lu{&%Y*-RKl*a>ePNqh-RAoXGpkBTIuUhs8}iI97K9t?E9)zB;2VY7LIgmQLK1MVqCU8tEMTmWgXMsb5j!z%81h zI?LUUpqs`9g1H+;LPyc8%v$3npt~zLr)Ag54Y?afh&QO9o+qxoUO&h6K5(tv`-Mhr z{lDKlX@PkU?*-#~%(cY1AMaw+{d3RXZ-#e$1`M8jY@7%S{UMXF2Y33s8|57O;I!dn zt!vJj3ekQD3GQh4xADjnr)9l5Zj74e59p}zZ!GVwv{>ugbR<4X#Un9~rlzbr{M7Um zNt@>sIR~oCy<}^rZL;03iQCUT>?og2Sf|||pc2I7F+NuhdnehH!Vna8$=5J}B7K|_ z1?2(fJ6B5Edkk)gL#L8YpL%4FV^)0T>GbOBvD+lBdTXNZwR{p+4tbF7KUOL}wSXpU zqQ5S0_&APhScvBo3ubM36Us;PH_E5`KkOm;pPJ6C$S06z|n6Ad)HVMLQnV|OA zNvZg1b*(ADpx(?j(pZuI+C{J4>fB<1fQ=eNv-^bej+=GrWRF}Q(&5%n7p;H3Hn|Zy zG_N!{EVg}_oBUyb)+o-Zo#(6mD>iIuYc4_$y4v=hiA=8B%i_#7cegaV?NyO~IIsLv z%;3`fpxbO22Pr|jZi8tL?p%1{$QGRYtm*8mUaHND^1xYhoWP8*jBB#`UNxB7gY)Zx z=eIZRTHnsLwpol3J}_~Y{xYD2c9ogSOpx%S7m7Psxhn-L>!YQ@np3w7YQipLwFm?s z?A+R4)y6q+ID;nlNa6Ci^PbI8VeY6OqgRG3RYltopJ$_Xe;H=IFgn`gZc1kbe#)8+ z`NO0OCH<#DeObW(+#CmyfUgav#pls_U38W-OqE+6`D}BM#m|0Jy#L%J6XDah+Bhv@ zo7|)zM2jsu`^40TC|%F{V}v z{{F?eD&q#%KKW~!tS{wrlfF#%@2X#YK$H2%Q&osv+F`*s!8+DoRp{j_zAbiXrohVn z?$3_oZx`owVjeE4YbXjWx8-h?-1u@+?lfxt!#lsfWqnEZLp#&@q2lTganIR6zkC(# zR*nA0F)9mBta@@jwdLmL-1uVcgove)+s@E$q~g$M!laSAaek>ZhoOq&qpA?|ovqIw zWrVi8gtGsW(MhWxyx{2Ver$XfOP&;T|F44slO|dIaFO-nVZ*>X8KG_o#=Ygk`@Q~r zyQ!o5_4#sNZ)#k*TUjY&(3Y(G*ydBSS9QMoo z^h~4y4?UKe0=;>aFLGG7nLOP8c)R#M>V1gU=YvWbI3G?A!s8V0IvXO69^S0lMnGl0gf!s6JlaiN?ssQ?j{f#| zH}%>UIr8S-yP+hFu*0vI)T1QM`W$!-qVN~0&ftA*3}3mnmZ8dfD?)xEPQ9A<`#JsX zpXW7qex6HP{5&7A`+075|L6JMqaWwaOrrtDdplm-&;1^X3%XlzFNW6&m3h1Ed!%={ zAn2y{bwbl1!kaYIE&1|Mb(YXrGu`g9!%qg=*B8hgt@JS;@FJ`lY6)maDDc;r3VNeGsi;9VtStQzjZC()2gid?J0bUCrc4O1ey!>Db|H_tP-&>{ydmi`jvw^e*zQ6S z6VYV~E_LU7w{*rYdM_7`vwnFw`O?7n1-Jhzv6i6|h1;kmv6SBwZk-c>l^ zy>}z%r6bRELX}co#q!M?BgIyK$xl{%-|364SMN*yJ*!N0al@^ZQ*Dc*r$hQ{5W%g+ z-#95pJx1NQTYr5Jwh|=KvgR5yf2xvRUYzeGlJB9@)vq6U9hw`>HrNY3b+Y&b2Q_S8 z#nydr-lWsrS$xTb?2?Kr*^)rGJovxFh--=7OSqApr-{ohx28V3e1>tF#^9+Bua^1) z8mR_io$xhfn(-nNH-}sMDQI+WknD$Ei+;ow2=e1tDA<%E%WPb_QqblvBvgFC(x)SmLT7hH{IB&l0wZz+HilC z6(LCcg`W^zy7A_%91~*ajQb=LwcJzI!Jm2PF!Y~!QAIa-B>DmynSZAnDD-6-|!Q8XOW=VbrIAB&n@@C zq%87eUb=@oG=16=y$F3Dc(ycplNYY?&#swFN;VBV_FPj;cr5#XOqr$iJ;xUDQ`FOO z(z1y0+k9lCRot7aRf&?~k7}=v#y@DPTN$xY?$4Z*y7ZyJZrE9b=fk2;Ih80Zq>W4< z34Duo%eBk1w^k;L>=N0)*HU6(M6&AjO3S=1(-0+dBJ+>2T4 z#h#wG>C0oAv68YT5v(ZiJ(GKUB;iF2F4|7*#rDY*!>&es?m^bu>yt~*I|GL^y{%qK zPxiW>ap8O0Fvyfp?Ns_*u5u&h1_vS%-79^#e^ZX_=2`NChx&-G&rd1H zZ(EcNVPVvxOzB`)HQHfqg;z*^{Lpljpmxt0AKialzx(;>!IdB*dh?f;;=8)vycE64 z%fy3;sS{oy|3I(CrZ}zi^>(rT<2CVud0ETM`E1>fId+07fvtuloPCpPYXp8_@e(@c zqpcQv_@3Tg7v4N8^NbCXMc%U|?2d8eaO0u%?L#tY{^ne+LM!hV6g9iTa+tDjPOaa3 zcG3$q9$FpcI;D8;ytfN);IsGJHH?&Hl^; zZ}IeY68B*Vyie&T_qMD9*X@IERpJI9(@);N{lZqN0L39u9&?cRRRCh_WA=HQd4tIb z1%_N4Z>)a22<(YV%d$u0bbRpaqlTLQZVeaQ}rE^Z%mY8`I zcISmKWg6<)=8mq2km2n%mS)d%)(_G9@(bS=E$sBc5fI4#G;f@!_6XYwd) zP#!VfR2mACInTZ)W3!o_ z_?l3~nB#1j8cD|{D51lXLGAuHdsnaVWAcOR?5*k%J&ni-Iq_i|x&4n0)}JAb_2D=9 zdq%&$a7KR5R<;;Tt82155>IbkDC~)|$ybYRY)-*dg#5~~~f67tRQ4klG>FvG4SzLp%LH{YbO$J{D1(Rs&qlAG41Vt4B) zY%VyD93nm~F~N={Nzfj??8WvvJOrz;t?i>NVQFPNmTi>h;xj+C=eP05N|RczS8i4^ zBaXhoi_7&ayT|Uk+)fc%olK8-<`nqRDlb;1Y|&EM#+~ziJ8vg8dBL5%$TL1VO5~AT~AEtT5&%&pc)iUSP5mx&l?KJ;$o`hM4yBlWEj*Gy6TfB1-6WMQs#G zcBVw(f;^|*1t}QjNtu4Yv!ulGX$nD&5xAnwM?$Q8SxRP$gi65Oo#vfyWw7i*@NHgS ze9y*LH@)?O?Pdjggo$R+MRpJZ*PWZf3Fwry>27k6KEnHyY+_D4ZT_`1Tq%WigeRFJ zg>K}lbBF~gzPYD<1B=hH$sscCcuINt6JV`>5pXI!iFUB_~1rbXi20qoAn&%pyKV<~Wfb zPbQxlG7+j9`NawI$;0}ml$#T#O=2!QYlqfrr95jQVm3)vu8G{FV9gGy!E|?Jcr&rj zPP2(~C#89%s=2fh3w^XgqWsB6kLo}=j|WAk8nei|)_qZEz6Ok3%A~``*s1cJ3E>gi zM#2ywb+kZNJ1tsz{{TQ+Hjy4-rERg#6IJI`F07`(XDFFodrcl;$OKnwW8O?#?GJ@) zwhk&yc3gwKI?aH@gXNKzL7}A86eFGce&W+*3)BgY@QY0K*H!u$FJJaWGs@JwB!RuP zPH~Y6u^N%kSF}g7QJBa4bS)ic*TC9=E7jGAAs$L!i)stPuVO{KE{VkD7R1w}v@tg$ zsm*BndDYKmb+u`5Imiv@XWJD=N|A=y+)24=J~wS?VlV^#*;bUYR%s4Le_*tT=eL)> z7*>-W*f!eJ0<|Bv@$k<_a#1<`qm68t7Cp<(X*FWRT@L)JjJ@KvT$>FI1u?`?sX7cr7N8y-9Ih)B!6{U&y}uXQ*vS!L}P zxjN5-S@IAcg@!Nw!Gr?(RPq}5^mH_cOM5fGZTsvo>6P$#3)vS{acH`Uhz;|{qxC8A?@so5hGT{3p|R9?{Or-r@{ zY@P0Nq19qMrJ7ui@9WrGy?{p6;HtE?Z@#UKGkNIb{@h#_E?#%YhatOMkQx8aMA_@-2OVpja-CrO^x$(kT zm*(bl%Z1!3W(#j`H40}_jY$cc!i~;d0z~@lJO1$~!d!1Tgs#9=LI1_vGw~T^Zn}9? z%=rg@RANkq5Wo-HXnJiZb{xk^PM%N zM#{^A?gE!*0*Ysvvfy`}}Ar9a96L)yWI1j9Rlp z`x7b?JS(DMQFi$I=L%S`sI5FNX9iNFHQ`ArwN{$y&c5M&Ii{A;L^?e8#&vL#Im|5S z{kjZO;)n=CGF^REE6gH0+iE$7= zbQ#5+9=hxSE^}k^xRV&m3Ec=PkrqSEj95lL_wmKsE3e<GxLV}=>{WJcVq|KaiTp!$)LpSVKH+&QU%e+98oJZ_jacJ5XYa+@V&}PU9+Vnu1l*VT*z9(jh9XB?Hn~Z|% z580N#f4(pUxZ)~+G ze|$NbK7g}z%DAr74xQg>a2V*UMYu3Eb(-($s0lT_StdWihONB+DJ8K~+Hkt@ z^Jx?r0#Rk{+=|B1IMsCHkuri3; z8c+R@gc`a`j({36Q+R8qtFuCNM^*c_W2sDxtt$1S}@SddJh7d?A~+PL5{6S+0E`k^0~9v8C+tA1ohITVV=~J&Pv{_v=^RN9>zb{~yIShN-#?oB5SRH{2n+ zzolJ};|KHc^45+9QZ)Hu4p1v7`US=adHqar>V|~~A1!1k+>1o{=_}e1RvqbKt&kqY zKo3JMt0*}>XbRpARP_Du_EANN1qe99c3I4DItA4~SJQCmf!$g|@Mu z{}QQcc`kD!y16d7OhrG`$@m6l{e=0xJM$qQovtg+-m%vnyhe#&p2*7rZVsX|0s*pq zvQkfiBi*>;{pTBHXe{D=Dm&$5q^$Rd%Ecdb7)j2Ic-Sirfgq*iV$+Zwt$_#WiLe}F zO0b8#IVInYD6JF%ElA4Gx65XKvpe=bY0HNWgU4DfoBbcOC5GZK0AoeSvI7HE7`U3u zpDj5fm-yPDshr{}-nU7R09Z2D{UaiEW;lTKSNy4{gYYLgi2Lbb@je0lIImlo6TjMt zXe7GKM>oz}uRk*)XS^|}u(KF-t+2qwm5tz`$~Ueom(#}Lpk>_eY%cyh2zm#UIf=D`>AkUhr+}C}Kp=V&aF;D6A0*z2_nCG#1Bzv3~_0$Az>K5T|D>K%Ay` z+AUC)0m%fCo^P?Osv1-kq-p_l>d%vL%-BU#)p<~zu zo_bPCok(IF=H!RP4_NlS6c5H$7FI5Nx$xrt_#8Z3_ew-&&hpR$x7}6Mx|J>rq4#{a zeP#kcHJv;cMvz$@RB9QeBxQ8GEpR4m0i>6coy1)*Ei*kZ!_Qg+itqWNMA+gg{+?g{ z&jxGKic@xR(oaxYjYt{OK0;o)Lrgl|dUY`N-inWnbjZ*Q31_D9FGDb;KVyb-+b=5@ z!Xf<)Hgo?|Q5`{$vD1ox$zbM&rIFQ6U=E_>$RTHK2hJM${)P76lgrCDHT|~=mT1&K zb_g1QcmXlMhj^jadJw+)*Q+~6^a4o*NPK?vvW7c$@c?}b{Lx6nBJ1>L_{R@5W;7k# ze`b^ek$S8qwsO7mLHpy-Eaz`mc<)`@SKYm=T*KQH zPu^u$;)8mchEkSsy-|ukfjYLRz4M41z9$W2Qd@AwEIH!fwMtHNCN`deE3b)qS;2Q72C=`U zo#rv9_Nu=!&13gLB&bBAQ^U^j2SF?|Eo)JqK(dYJjW7jm2^v!jS&DY?yqrK9{#?n~ z<+020Iz}mBmZwHW2HmqA5~6@`eVQQ)rpwt;WTzM(o}*ZEj+Z=4o=N!CphAgvv69x~ zuq@eS+*h%+1Q%3sFZX2v9*g_QzGz|Zqm7yJo?sdQHh^UOf$V!sq^vVld6cQo`855k zRTI%3osP~)oa=$z_^hRh+k1)0bD9IB(PR+Wl-bt+Co%=;MjbFD*sTYZ7@-3IvOYrm z@dd;mi|Sf6K3&;VDQb4jK2bU?qWo@wuGH{vG zGP3SQgr<|bNJ899ceZ`WzKZE6pPNV-Bsl?zZVX7BkaQnNi$EfcU{0*nK0sQja`%$W zz+L5BXa3N3zXpY7FI{_KL!pW{9#X!c7oOyg$&(Y(^i1MU`t(IYfe`SWpgbE@U*D7R>IK{dJl!vgS)jnV9{2U7>7U+pTh=scPABlw` zVrX{m&dZZYw@GjY*zZIX1L-@p_-8sA(amsW7<34yAFpz<`v6g<-nWeGq^WA^Z+=oJ zz@7dc#%inoVHkr{lsKLNasRI>-|GH?$9Z}Gui-J^zCXy5NBg!>CK}xq-^gm>b%&=l z%U|gI>0XfAUNF_!d-7>V#8c_*dwIi(u!;t&^lu;trrywg6ySc~jQ!|(kATqjub8Eq zpZi`-+ia;RaTfR#hePeZ!qiXnI`rV+yg*dB(vYub7t&yZ$=ekjbjA8CZx=~s@Kl~^ z)qs}H1U3Ms6|&dr`FHYd&R2p3Z4gDPjcTr{Sv;~F8GxefS)SbfY=Ba9oIpwi(zU0W z0K((}g!P*M2n&NC>^o}ZUh~wP%F_yaW?0?6fQIc(b7f6JFY1!02T(+OZ3L%itElQQD;&?0aX&P7y5ZBMc!a2oBa{vvKVa z{`dZSi~00e`%4Y%an|7stVGb5W0n#D66`LJh&ATqjiZeeB88|4K<#!4H+IEL;oHWuYG0qgmWw$svFjpn3Z4pBz(7z7o_b@01iZkK%o>5W z>jvk7OB1A~XZTMw<^Haw<*}MRx3t3$Za9Hi8AGXysEh&+^JLB!+qF2_W>b}Ad=~3f z2rEBDx<17FoD-zYDk_W9d`}>i7Kvgq(uYYoO*)do9^To>rA&4>^uXsZbCbi3ohc0U z20xLF3+yLH2T1_~JH14AIxXhf^B=N}iaw`G@U+ts4PwtQpcza9Isq3BSinW_xjo4J zUu$^6kUK55vV5p&Ik1s7fv$GW1rn`nDhuk*JoJNUQRP`MSMqyu{XwdOX^(oefvtfR zude(TR#Yp1X+Dzs7y|}cw;Ut2^2-n+)bz>L+%_-3iAT`rlkOV#W;ZW zevtO5K(g=aiqJeTto8vmM4hYj1_hn!MKWq)lgtH3lCAEULvFd5Ry}9 zz__Er5T%GP?b-2GGwsRo>|LJ?(F`h4l;xYt+Ym5`DEX;mp)Tle;s&P)f6Qz3DynP4 zPZO!KN(X#NkG@obL*znbM%*uo`0Fx~M}#9;iSqE%E0c0UShNHyrucC(e9Kz(EE z?Hi5}|idiOl-Fx>bM^hzp)txSn> zhE5@Fm^PFXDi2cXxCY$|4W8+yZIDB)DPz85ZC>y#2^|!ch*g@9V&-~qloC7Iql5#Z zEgZ`6uk6qE0Gg)=k@jeav}Xctiv$&YVNlUm8Po;aOhM7N5i0tY&Q{su4hlp?Oqcm| zkhvci4U5~7rfIg_G4MUENKCK+@{R?SMzxW;AWlyQI6bNO1Qoa}2T^@-BrI@QX$l~k za+Sjc!oDgnnK!sQbZ16k0N_lyLF4M3othU0Ek4P!U=gPLQvI;tCRC;YdknUyk;UGJjHR%>@ODh=V>ex*BB`|4UYb|EvrDs5})HJ62PWF>jZGg$_qGo6;Fj z4b^YAQ_ppw0Mn2HmbVp+Fg>tN4;=#QbmF*i>Hkkv;W$K||8g31pKm0@S>kzZVhz6RxkAU2FAA8Bs6E_P@ELfiKvwBNreN&9v8<^(Ue+AC{iVG2mle%_t5o{W_00dK^4fn^-oH?u4X>;93t8UW zLFn=W#UyBLO8XWnCPP~onV?X9fnFEdzyS5$vpqOrQF@w4m0F;}%qVrdcR`U_*7p*) zEeOf?pl+&M-ena0=J4C6muFrWsi{mHcp%}Rv3YTjnKsk}qrvi6vsqw@1Z2TC{FJYf zpY|sX6Hd>`%8?nuT1I2cAM!2TxI+FG3oYLxYt&IXH)~mDgw8t2V>D^Fbl6nTf|JK( zscvv|JH95fWU$KV^^j2RL#w*Iu4`sVWdk}7WFIWMF3uUXB;;rI%)TAYR`#9N*SZ!# zlv$p5BTmySvv^`?R$%p({zwj%-SkEBXoZVqn`J7*6y)QM;>4o{#G&KHN)A&76 z3ItFSJ~F3*w5|lKuV+wI93Nkd(Y2+Oo8+P9w3&)KcnVv@VEUqGMPJg-^E4|l(DU5@ z7lXCP%|Krlr#z~SPA7vDwXN6aCfI3FzC-fdo!PSTd6JR%IZ|nJ z&3?Tg7f~d3)6~e?@*4)={lJ=pkTowL8-@7CU*BcRc?O#No+VS6E_Bd5(byf%@%8Y? zO7AAz@ouv6cgd%_xhkJ=Xu7~IQG3O;yd)tXvZN?dAh6U}k6^%$^UzwHkky&oCoZ2y zZ_TUhXo^gq<&y?Fe9g&{dW--$MT3l#lL8g6Ie0e5Gm?QAC?s`fDExB~uy?vG7#u~6 zjUF%=@AGt694;siTFDr-gh2k=KAg?KQ?m7$ZK?~>@Gj6$Yxq7LyPO43zXDSKdFQ>c z=gs9Rq_eHuVl1g#rVD1d91>z+%tViY+iE%}+&o{^N}k4?N(VL3pqm1{141D`_oJcl z)z}RPvEtb;Zyn4*05gBZ1Nb(IRkl#X<&0KAvA2XfEfb6j7a=z#rWhxDan%(llyIzU z|GN7BmCDloca{CAI4misg0}b^4u?c7tF!bjm`AnmvAeGO|2t*JeSyy$+gRzIoWz$4 z#vWw7Oyj*Ratx*VRhX=+l>RrwoA=5h>T?@}W)3er8|7XkV~kT%2jTl~)`w z`CWS@fQ=ixjk1_H9M##-T%%i>CK%n#y;RI=$kVg!i}Ew^0hm1*`uEgF(EaIsVogDrYBZZ^@TCZdh2OkPuEx8Y@x&J_5}io-0np4fLNV#Pl&`&8P$~ol$NsJ z6lEvg@MqUJKNn7B9-=f6eF~;f2!JUZgslx&7pq&#ftz@;wv;vE`&a=^^@AwxGQAdY z-p2tW0y(oRu{~f)Lq7f0JyKDl26tEOvLK6GvJ$`40dK=T2}W0o2ni9Rz7rq@r6d(7 zB`M(dfs{ma6$*9&g`3!An@0M;91IEt+Q{cWLWT*kWOR+ZH7KWhWjH^b8Esw9q#2Wk&gzx8q1xsx)s(LNSw7P%HR7jRj~xEmX1#G zbT{ysG-kXW?eO(>{WT0v+gjrjn5HbB&bYz&#O?;Rgm^7$#4(IRd8uVU+WZ$xQ@-;7 zf^@8W0O``2@mvy7vm`2mESa7n8mSy`1l%kTa5JH|L%^K_ z0rxYSERe9+Za^7~?pZP!JV~WEkNh`uwm8-~=bIX&GcL$#ka<(;AxL03Hm|k8blhU{ zRe#8qp+sCW0-uyoEwaH(g7l>|1dJzVZqk#{?5aF{5ol)!k&a@ZU6=X6i#L@eTgt;E z8vGH+QRTq5l^_C>U#6sPZElz7#PHlg#Rpgy=S@~1$h>rcV3MVDCG;RmYvYHDGufgq zbY%HKO@1D+S?SEFl+YZIqoY5I6a;h&tB!G_ETM%@_pC)mc^h9d zKYY7hswt^X<=c_{{*~A%cSAO}#D9QYw`#xn#a(?_yB}!xcW{%a%)a6QFcHNHrrRK! zUxH!LkJ!tYov_3pD!~b%8!LVvgl_2&y4jXxzwo#Yp&J%Jw^w-p-EsiB1(0W@zB!){ z;Ecu{z;K8usZlvkzl8dg8~LdWc&P&lcSfF?rRHHL4JbrDkz1lES#E}qPzFN6bO;G2 zhH2Per+K|uux2yC?`1Wq4ZHvPSvcv9XQ7v}S&)OzyzHSMKrBT07SWt`#Wp6Hp0u~Q zo~R|wpd24ye1I>^0Er!7eD_EDNHK2CO$z;<=-PlOb?Ky6Tcy2f)`Dg;>{AZ`w%7GWPdG*<3eUD5*?w+(i$%3ouHpG*Zx?-88dsB4|L}yL|Cw;wR{50NADsD^KOmN?;)&AFuNNB z6P=b}HNp50#AYW$Om6H4GnjA;k1^<3&h>?TPUut0skT~hP`sI)g&}9wOz6z{H4_2v zGoOjC7S;TXy#UrCS}Ixh3WHAHXbuUMro>YDcm!as6s$_eeYPNDM%VL$B^;be&$RPS z6{Iu&P?bRIEr>-qpx=4!KDngplugfoTmZ?&eR8@Dq`=yd(S1RQtW=m~YRA@&Hs}d1 z4p7WW%}c*QL*oT27Gz9=o7*fK`Js<0?dX8nayLM zt1bXf1DG7M447ODB*e;RWt-~p3jD6D+mn;g7il_ZJV2(;areLl-i;sTjrdQyT5|lN9cBpot29BXK+Xz7JnV6m(gS!lxWprB(Al)AgFVI&emwjfQ@`Bu16r@U$cfZC=gR&Q<5RZs=P{7M#u9~ zD8@Dyu6elnmoN?5pSH~0>il7Dg*!noW?gZa*wwT|#fb9%`;;|HG7PM zAdor{tJgp?@X;jTqa-)^U6C)8IvyIugMekQ%u1;BpwN)kZ6U}9HV=Soo@%1Y8`&MX z*gyzS#YkBc01kmCFBK+j2hC&1NxeL-OTFg40w z21l$&m3cjcZQ*kSSfoa?(VKoM&1pMwi?k|lqW%X%71@bnnf~?0d&O&nP@DvqLO7() z0^$swfz+iVZr(&&_)7I3K+?odNLrBiXKO=OX@+U3Sy?s!v&SbZn-S$Cy z)CU6vQA`CyE7J?e3}4P%V!pKUu{imx>g>7J-YWz&R}*)cDt?arS!UmcFvcx0Bg#~gyH z#dwZXxve{IzPxvPkOz;8WvPJ8@!s{KJPtBd^M(7TMn-p4;`K0TD ze`{5&Z~M_JPcD`SY_ z&ki4BI`qA#NL!e0lO6gt7@Kg&i82=>Ha{!?&t!>m>iU;!=yzlYpK4RwFC2~z0(54q zvP<>Gofh3oKI$!Imj=~aoS+X+=;P^14IwQrd$kCd%hutc6}BF(@|u7bV74i2pi3XX zB}Hy<>BHk2Yyo>Jb(mir%jMYE?krJKsDqOYLvSJvPKph{32V8WDmirw&A2y=s%2FX zd2!kccZqZ6(Ho7LHmUrwxPTAPNdY+d1f3LulYQu<2%KPOf|GTn*^r^FP%gH72m6v% zPbPx;9k~GBOs1QJi)8F}i~Ox`Vwj?3^=?~tERz~Z6+4x(^{}Bg1Sv>d9pZ1NL4F`f zRCarnCY7+=<5tMqyj8OQ#ojvxM%FF=!V}w>*qqq5?POxxww+9zOw5@$nb@{%+qQK( z^Zaqnx%boi`TekW*Fx2*s$bPw-K%@|B4)e8HQLQ@4~)#1b&ZXSd4^|nP}mR<#XIIw z(|~6z_=Oi3sj!HJ87xcT*-*e}%U4hu=@flLNnB{yJS={iQqEY-1*k%e0aQ(VR=Fgj zN7CdcmjbyiO^b*|Q)?UT4gd(7PofV%$bJ$%(|yppM7cNAYDTqL-{BNJW+V#d&y@e< z@A*^BBPv3#)XIK8&oMY7SP-~t7HAI~W(l+rF^_vmJOSf)$6kQ`X4C9*My4*+p#j|~ zy(OQF7{P^!gyC$#c3xi7uTqM!7H`@_B~+vsp&h)iT=p}$@@D_n^x2`qHcKY_Vg~K3 ztn>FWB8%o?c{Jns^jT4B)vwS-jinYUiZd$tibn=DtAi>f$y-&Wip-hufC)f+Hlo}U zKRIohm}L(!GyW_v8)*~7GBp2-i``PY)KV|*YP-wy*9&-;>D1-M1g3z2($K3zr+k-R zrKw+(c)j@Pr;ewIyGfOti_2Of1KH79B5T+I5wr7oL*W42gg$SMpSRp48N;urV@m@L zs@90d%|nB!U(+MK)LVuI69FN>{Yk`q28CLSdfci}04f!0Du2GCjZH#HOpO7L?8pZf ziQO-v4KtZWg>L|@_OcEU95D+Vy3#N9ekq5CcNn^oOfpx#bta}-3?cMaqW^S zXWBc3xtp6mB8gC5N{fqSUc<*WyQTd*A6(*mb$10PG?KFH=J{ol_@~-|?E}~KyGtL= zH&=PWyUZRuLwTBmo=jzNm*NBqby`#*68*uao~T86^mvBfRk3I60lO{O3rmtiqmLsy^doL#JDekr-djZREz zD#~VN<%+3}y`n9;-0zYc*HE=)OkxA5O8u-l{;X<|mk6=%IBoz6ou8Kw?YGJ_A{hY? zSpXt$5J0$m*7VO0N$!%M?5)rb^*(Bs@MmVo8?+VR#Hw4eVHVf9B*bX~h@nqH8$cX= z61o5asvbb-0|<(9qN;>=R%q?rpK_^$rRhr4h#6WfGq_Loqt5DWUelO~jR{Co`VF#Q zT}>lFn=v|8Rel(zCWu>9m5LiXFBKrW=n$(Eta5f#7tC0&Bp?6bG@g_2ZcqP_(>Cg% z^rNJ{^v4&U#?oW@_JY!3z4jSIjwIEP+a5#JuZw)WiM&}qsa3AMvc<+bcnMgDUiS2I zcCFJ_tqVnXaM6rKB#@w-m^aU8Io#dO=9xFa9QmDa!ru%Xgr|qT1 zg3G@S?QIZ!9Px#J5SzUHwonh3S<0HyMW;0y_)&1c%^6l@VyHRIw9uJhKpDV zfjlUEXa(;W&pD>@$?O^Yxk-q9B8_VRW+Sy7?#H>ECHT;Q*ZQla>`L<#uJ%<%U4&8J zp25>l*0UNgRR)PB;MNPEa2!92grC%8GRO*Jbb?>hCW#&~JJceiEHG=pXL0vC5H{ie ztDY4IyGx=|)DF24DFf6Bl>BcIIz!ny0^g0_=RCI7O);~2ICpt|!$h%^WiuG42#5|= z7|u29qTq<-TyO^0lcIL?yk5^(Hj2yF@t%sh};_YCePZ?uRaE7nF0K; znt?wVKyiQ{{$P}s3=@r)*Fx+~z^tR@lS{EA!yHg!%ZQ(h+Bd zAucZ|zt(s~`K<5(4FiKc_YBvS-jP0wyrOvZ2tz`{fYTO5`65%$xcVWdq5(P>Mk1bJ z5DWiLQ9Mjh$vX(I*=qEgHfwJSssqS99T=nF3fyA54_;v`i?8&=jY-^2j?v_WM4{Jn zLspz~aNrXgzf;Xsr6#_fE+!Yk4_n*AXFg&nGAp&g@>*`!Xy=c4E%0NL28JlGqyzCn zYJ@f-z@w23SXAYJM@|S;*US2or~p54A5U~GtvZx!0sJ-P)$wqPH35{~3P18;y+6sM zHHbuxW-dw5bCHfXX^l*@<^wm0<7$auQ&~}i^3oM}I>>JnxxtSFS+1}*S ztW(*-%`Xz`-8(Isi`Yr6X9`o+6H*(46fhBQJaY8wRN9Qud5DcwUofFqYP=e%UZ&vu`;aAb zSN+heHIa^yDt4vct7U>sy0kWOhfJk4qq77a+h-#w&>H9pnUZTd2~EvnbVIYuuHUIU z+QQZM{m4*XQd$ z7ppxttu3JMzg-Ch&8=gzZU1puZ?9fhF*&=|r#5q~NUvpD7o3l?D!H1b7q`@m&8{t( z9H0MJbMP0B)(SU~^kUEd>oPy)rO?>wP!9=MBMPP#z$-!&&+}iz)$>8--zTri?|dck zi(#(%_i0|u+%5gVJnP_Xu<%D;nd+_Y~i3Jr5Zw*)ABWi z!fcP`5q;E}X&Oa$3T;q|S-$Sf)^sXI8Xnanp`=M`D=|a|L&+4B7h?)~W?yBYjgA5O= zbi4V_;p+Z(I66zi&mqysn2{_0QAS@7rF10-ATGlLEd{{5_Lmt4re5aHznk<|_HmVU zK%{)W2WtP~gBlU|FH=fvFc~l>7N~=2 zsxv@eZ}rp~;@q25)EcVl>TLqC#TKl0{gO*oiY{jLPN_4oj#&Y^b=MQ*|BA2C(?lFH zZe|&^g{cRhu{xUHRMBi^NimUX0GFGaw(j~7;*5Q_#u}%gv=##Js!}pYa_NE*z%QxI z1C;gF6Bb#g>i^LJ;NDLi0Dc76I8YB|D&vferuDzv z|0Num4fnUfzv_@)*{R&1FaZo0B>(?b5gTB^f9W8~Q!iu30#MEFGtT~}`df{oB}*tN$VV6BCS2O!R~P!Q|h@#{OT;h5usm{}c*{roUW641ng(sR1T4 z1YmySr)F!Pk=rl=sAhDQu}!Yh(@bdwpmN{b9d`Dgki^wGS$vBG1RSJh;EF}pJiR{v zlGX204Z%>TC5nI)X_%WX>$+EOW@!vavVk$7q>e1Qs1Kk09H;SfoPS5*KS7e|9r+hi zp8i<*de{%t5 zwSS`Sb5@(<{Tc+@%S;5s$Oqb~c0{~d${r}+rM9OD6|9^(VTn$4Zru1(%17;LXhQCwCKd<`7 zyiV5EXXAC6^Rj<%C6a}?``7H!y}a$tDqs1UU9{dSY`qG!bT`~8YZH!Grn6ixI1p0T zJc!=zh*uXHD%QvlS`5#mp9^l*WXSVUwl9~}-6w&X?gp2C-H!cum1x?tdJYL~TE7jU zInqR>@*UZ~mHCml?xbh!2fCNi@$?gsf&Z+S(;_j&z;`%yhEaTAI_Qu};WlJjjk3>U zr;O1EV*Y2&Zl~_%qL*m@ZO-bW1v#;6v%Tzkc~FKn7fnNk6SOoK)X^1 zC|tkRdQLbZEnnMRo``qx-VyLPx$#UkxeOR0-xFvtLUn~9 zGc_vLw?^EM+M{%EXz970t}7Kbm>6W!>M%V6fAZ|Wj?cBM&{}DBsd=q9GHw`L%Rg5y zBKVo1)1>$;m}++6xmS_!+nZKrg+%otZpdBvG-o}gZR=SEUWz^>Vgd<=)|oGTkBwrf z?7Uq2_j=5TRZGv-GmaQG_)%@T?`@50I~U2r=>Mcs1^P$OCaA|STGjZ%EBqm)p*IDdBPFdP(Afg@xA`}Ef~CEhB| zI+b!=A~Tz$(t*_mvDG$+yraYbOUY5!xG!LJN5B2Y{yw9u}OZdCv@`4^)R>%k=fR!^=_p1zJDM zk$EP`r^aNViUc{S6W(IQX`!#)RYf_`=h zKt29J+3(+%&qp|e@~}>PN#LBV?0DhuAX}h1bm91ZUM%OA>bjjZWXc6=viIQFCCb?i?c z>5E#XRPGKG>INzbWn0;uacOE_((Vz)P2_rV3Ef$AX?jW7fp6vE-idOO1TEE4;B-W7 zuZ0SJuEn$tUBxH`ackQ241bL>jqq&LK3v}Z7I17R_(3Yj1ZK+>j5R#=3p%aArFM%! z5c^k;mzP5LHNOL`m#fnm%Wp;p<%;jO73VybqD(u%s6 zp!)ZvgfFpuf7r=X+y0cMY09@<=cz(hm7>Zy$Q6>n6X~qdV8qU)Ab|!*3xONf5Qph6 zld{1=FfrQOi6;JaHbZ+$(M(0OE+~2)_CB?%o(~Z%^dQsvE)-Nznvxal`Y6V z(13eT7?*ppt|-}yse|F8Y#2qIF1vnUByP84Eh!q-B(a%mhaXAV*#E7yy@nDGN5GqH zZx%5roybn->$1gED6j!$Y>FRS@fb@HI;+yv#ds`y3nAY1Z?ty-rG%6XrOHPSXx=Ge8S$ouMx z4v{dl9HtfXtbpk+o3BKVL5?*O`LyGZ{OnVFB+F$ci|9L3Yu#ALFKLQf6c3|2)4mzS zG3@4!ZS*fHj9(_7_~n+h_VZdDZzftEit*9?52f$yhm_8c4F=`=1nsmsX9VeeL{A-L zXY}3ARc_?)0+(Jl$Z$+-k>N3hill_UPbH<$WFDD`YbBJ~#Gj%9m&eLUrv+Z)oBiq0 zy5Tcs1)5~ZgmKAVbqn=-Djc}YHWT!P3dMvF=Tp=z6vagcD+>hyhfpisnIRlNX$-&= zGsQ!})8~+fV0R3}Y1C%7d*GvoK(kM=|AOlyZ`Mu0LFQxtAtA9nYK=~*4jc&b2zm+8 z>^ivix=!|R;e@j~Z|_$;=_(hF7hR@(^qad*X5ohuP7-bF^YBQY>IQ;?z%Ae#n|_LZ z90>SxE0m!DyzZ|P6n}^^1btGZR0r46_b$d9@NXdE=@MLMskk6GL<+>tSW|sa^|MrU zJv*)`W0oQ{A0tL{d#=+tKupyh<|n_n3#Fy-4I*c!$UW*^{`|N+y^hwL7;!iWvV-!S zA5p?0p_Wru57V%y3po+Xx$hu1 zf86AnJ3714D@LNINBHa}u>Y>#m^@(D&*Q|XIN$-=g~{~QJ6|JnGSX6_ehdledEc5y=9sWz#DtD>87T4G_^h-zeCBn*3nyf_CetL%|*bu6I)_HVpIg3Rn; zAVucKmqV&~F7QVF)h>_Csep{y1HsS!@)Ors=@E;>Kj2Jte*M}4wp6wAPX&po{4gsy zbBN0ELxpoR9}kw1P~UtahsH76*}CJ&5P>zBO|a_h5s1hM|MhcA5tCqjAesa-_udcDC=QQ_vCYOp+I2EfB7e9Ug|C1YcA4rNYKC zsLUYPg<#gq5(1;qHEKJhyE^*lOz`5Yg+>f`28s1$Je!Hh>3$>@NRC5C7s7a{x3%_q z4ec=Pz&4|Lhm-p|6AVdZO}*3~>*h#A4@C;-e7hxx3F)62rC3c{`I*rgTXmD=n@aZ( z+PYhrY7dtERwO?t-Q6w7=JHb+>slxz)p8&R!3@8#TM-Bbza%jn#l=ah=^Il-XW)}# zHGAOrIs((T;GszDG-{F-$@-vR+)TJbwOR-UX6{AW>=d!%nr@`Lh$av#x*GX-q=~3D z%LVlP@i$Up3afq2U`+qAF7hszF1|U)SV&PrvsT*EvT>??ICb*I0?gF%{=UT%$;@XssUms5&qisVA{G$(4acGGYJSPwk-Cf4HAQkTb)CSCVde<1poFRhbO?H}9SD0A#D;QG$DN&Z zPZCni_!R6`rB0x>+V-c2!)Xh=;Y#fvBHU6W^(CYJ=4(uMLs;sP%oU1u)>`_RLD908 zBoxfy(E5a<-m53dg9QvHApyiHyl^zDOHQPu8Gx$Cu?gvJ$(SPSq()d*?U*X=dDLPY zPIeJk7o+kjc}hW;*z6uN5()E&^r#@b)hsR24z zYAnj1z6_Wnmb6k4x^=RK{rsFaESa#LND!m^%6BvNJWWGoXmMqfl6-=|9tpZ;pDxqi zPLCn1{F>t&u~}dX;-R51w}6ukBQQn>vJc~tgimtc!ntxFkDJCH4KbOs2UuQ#U&UX) z@y0c!C}r12FRu!PHc9Kfgr`>mThN7NUJ?ySZ3zhQ zG$%A>FcMgSrBb;CfZ9K3kvYt6P&DkF?Y#+j;|F5ixp~WvajTAr|KV>!o)zjihHwkw zjy3i}n7uTAkLH-0K`^f{k8yHe+|#?pF}q;tQyXpcI|#I%O!V*~rT((4(3De;+>R(l z3>V460Wp`bYmDZQe01nhvC4|0U9s-COzW^Pu@}M);DQKi$XSM`C3sN-ZR~vY!-vf) zkKCfsqq$^Cg=z-oNm=+#D=*ds&vWc^%~<6zhH*m#$avyWcarQBtfx-h?-Po9f{u#f z!5%Z0F_1!*f>R}4$L=#nOF&{S5>p%Jz~tX6@C9Y54d{2i#FsV0!^K>L`9kq+e(}K1 z6o2P0RZC$}li`N1g=7luI9O&llcR(f|D#PD!`~_C-gwVgC1ucxk$@=%KNN9MUFQ`gLNt^xDJ=kvOxAj-0wE#ePZ_N^x*eooXlo)%#536Sp3^I$wB^pJ9Ch~gZx+

6BI$N9%i3cadZtfV)FLrlM;Z~aT<)4J&wqTV zNq6O(e{$nF+90aL2J7b{;6coqYn3lq-gUDuPGJVEegnOtTvxNbW9QRk56t=5V{_rV zwtKJQR5ck1F$!lzgO_Mh9@gny28E@xATX8MOEFoRjvPz;3R^~>HIZy;asczfx%k1) zf;DCS(F?-Ic=bo!+uQmZA&c8sV=!*Pd02^4U9Fmt7u=n6?Y;s{O^mMk4u6M%YbBqX zwWG}*sYy1hMDcJ=n{9nqS0o5l%ueFbcgfRold8AZ&UwTxeSbBEUfP}1Eh9oxaT(k3 zU6wFj-wA#d4^O!GZo_`K-RwG?MBqE+DU##h*pHdRz7sVsHV5=HQj;=295cFTx*-r0 zCO)}y1ouNj`eX>o$XhqSA1}g_Ha}ExD?l>_h)$`6EOjn(I7<$KFBh^APdD*W5BnVL z{=}JZ^7Mx(jX4mqMlxjB0X^{$E?!i5wQZ#u+hSxIG%FS>akn!8Z~o#LKrYog0IM%o zob@%n7+YS}&P19b#M)Nw9%kDc$3#nBbC_BTf&fACs{)j|?V$)?rOS^Gu)@K8#0~xM z!OKb%hw3#;I5AzHJ2Z>0VWDxk~a)CPe7WSL+ z*7$dfX<)3QKEYE{F$pvIjAFeL4KN%CbAEI*u;VWue7sC#E6Co#s$*R{bDpGrq>T_K z2*|?lbhV1{5uqH)*An}H+e14V$hvd3G?`@^Oz7WXG5qP#{9KnTvCLRr%>ln+Eg*Ir zfz_*hvnUcJwHvGe`3-W}j(+`S9@QwPHXxy-G2r1l9*_bh$mo+$?#(!F zCsgVj2lgfhkE$st8!`9Atw)Y-Tilf6LE?P(xw9vV^!J<9;SNJGgbU2kICWWASE0!n zHawzr5nj$R_InX8ARi#)cVy8jlZyw(LX?P*+&LQgOoTosIW<7Oh(j?5z<9h2J{q!I zAz(P~gBixK_?fhVYJZf&V2kbR(0zk7`NH0sN2Cp@P5YNQUrXUB{XuiM@4aO z1bjl1)+V|lLhmpFmOndkMH*)AS1?NSQ5v4|U|9NA0x>ZB@XGyFj4TGvK9^ln=@;mh z_sF9i#vMpJ7DI&K-tTJiW(O#W{O8bo$VUPdv3*m=zD~3!;C2-UGTIrVli$!D1~s;{ zuQA~7$8LJlhH&ox%rM4xoAiIR0%^%mL+|&TRu3c!p-THDAUjz-idDURh#ZnUW??+M}=tkqKUjaYYOb$V8xbqDQP_^zOWV-gy9A8-)xXaK$> z3w(13^mhZqT@fCqk~9x{^X2u^WE6e)A7eW|7h6Yb8`vng0jV$}r2}2E2~-1`rji|- zzJuj%cT0nn^au(kn3XUH-?E}9B`tRNB4S|UTAgsvb6mr+wHjWPVh>arU@HI*o>s|Z zh7u*^g#Ob)W$i*>9{MD=3g;d?yUf&d+EjA&n|6HNjs5bR4H{BI;_bS>9+4Ol51 zb*+JF@MwN{V#K<&(i1t~u`#Fl>-N5_=6j$W9W5bL(Al7iX2w;Z?j>D?I+y*A_rPbumBRe8PVVTC%lWrEmYSdJOP0{h*jUG>RmS4i&u#~AW_L9 zg#8v6h+9D=^Ganpm-P9r6{DvR=R1>46`r_G;3;GiVIt9;U@Xr3$Rycu$1ms0?Je>w zsC8Z+TRNuA+;--MEILL}8v=A7%^D$>@y|6L7*!yAzI7`f8O~BzsQjliB4Z%D$nQaL zi&vPlKfNa&Z(1g;s%Q@W=`j**qUL9VX}GmFIUlc>5X`iMGZN)6@R#Z*@0)(ZAtn>E z&#@{6oUzgrI+*Wj6WBLX+JivZ`9xx>>mr7AOfI~q35kg!)F!J0@iT8up}~^c6w_Yx zHz3-;q0F|z8G#R?Hn{l04_KAc%Ms?oH3lDikADDbG%rT9I3~t=Qa7)0}~BKTxQh~#Y&k-C&r|dEA2;$S*3#xyNWL|=_@errA)O7_CQx_~xmL#;LoX(V zs6dvEkpPY-;aIeYCq?UNRn(C#KSL`C)eWyXT-ByfA_(rYVz=K=pqHjrj7@VQ{VqUJ z6AskZOENbW6*T|NQt(TMx4%1Uc9;(a{KW9}EHec>!=5f>h7_0eh*J4P##A^InSNMl zNw}L#Q?Au7O(~*Z-(f25Y^0gUG>iN7CXT!m9Y*g`$&G6bH4s<|6A#7@`G=TBj$$$0;7-NHf9NZSbpf0j{Y1j-nMo{oh$8NtTm@=cp>hs&D zE5mL1Snvm|l#S48Oow>w#2QLtdQW2yLJGWP?AKDCq@FU=(HN^|bL66=iMMS^7boyn zODVBvcm*@|I}@GoONybe`z(R|KtGfoVLXqBwwAV(Qa{h)N;U=t>V}GMl@GEMPm6Dg zFqz(kL~zLN5Q;uM$NU0t z{l>8d%NNyQ>i|;lKKxd1jV$MwRkUX;biOa%Q6frLo!4w+GZ&3eF667ATaQw~J7ur~ zUTIrfo5jCLkXXHBuXVz36{xb{5BjUm&(ADu)X`dk}-tTv5H_u?wc*eaQq<(y@Gw zc@FkYID%M(eMVX+VQXvmN9}Kx(@n_HkOMn;1D>1lepOG2zp7~^gVXhUc)5Q4&eZU+ z&0?~|cbZPn*5=>P=33GBhlp~C=S6+vP-n_MT&v|WxBGsHit~C8d&F~O!Y;7elSrPe z)heMoJJ1Gx6qTqXnwefFk?x@fT>#Dksg}%HK0e5&i2qN84Mo=l(b!Rh4!Z8b)`r0| z2H}!av?MX(YC?~E9{G&z>f4kX8VfS!C45qpPnIIG+{AUKqMC#!oE z#k}SuIL{U9FnFT)N`HYL)`vCSwbn!MxhxE3K}duEJmfWb@VeG?uui_vdN3G*f!7l^ zZ@Nm@$9<~d@AjBIVl9^un590G!KhC(r-P+5X4{(Mnj2DkyU=0I_-1mDJX&c2KLRqI z-IeJu^rPmSg>(RP8t`=Y_HyqT z_QrKK{Y0uJuakYiugc#BTJ9v|OcK#F9it9~lQqSQ=zBK7R%V&YReYoi*!qK{3p!2@ z-x4|IPO#}MX2@w@zad`;KXMjVwb`6m{Cb1fWBrg*PVjwLiTM$MT#fy7+<_u*=0Wh> z6cOpG_teC*c7I~yRc&L-9jT*KUsn~e>PfJ?C{K-l(2I+U$z zm;)XSyo39KF73>2Pd~R>(%@QlD!A~TRC@m-euTkYK$hcMI#{}YLkLVM1|2eI6jxL8Sh7;^(gy=IA+33J5?cyVoOM$_y_ zEL*92wAA_Ox0>T8!B$Qll!wxt@|*8K z3CNEEYgg`#Nii$KG?Wa!6>&@<^N9+5bkRN;vG^$9BS=Afhpr*7pV`r*zC9IuDSpbsPs_C$-8O@+m2W8DLz!;7wwDWa`G9zq|$U=hEyL( zAGv}L&1F02S0;$H2bc{S*Smr*fcW^BuPcF>@<}-ASe4|uDWS7VelFh*yj9#dO4Ifz z?)d}J*bao8^JIBWu|5`n^K>=({IG4}95U$Kj|&URcY=-7a_+qLMrZznegk>$iI?iH zdvT}=PVR4Na6|9TY@o!YHq=fw!#AXO)yG3gHq+t2{DHr)ez4q;$cp2<|7yT|+2){X zJNx9w_{O_%TvU_Cmby+t728$R@zQ!YT`|lIf9`Qse>kn$myB1>(`e}pqHMUtulZoK z!!}*rMY2Usi2Yj|w6E2wbwnW%bDeN=!oesK^j&fwDXz0)o1G8&QIxGwWV01xeMZQW z(L2cSB2fE|wXw3O`=o=b z--^B&p7$uott8&ngCh?#*YSMhGrkKuq&_+h9x)k>2}eh`w~aXmT~v6WrjjiJZl$BG zL0JQm*9j>javB&xY7-s(`tflmgqz!1c)+yddjP^od9$^MT6Un2173S~IovXPds${W z1m(&xgXEScpn(n=0f6Sl917luaNdg=cm~4kP^W9*ox45}Z_m^YxdAl@kE+x&8pH|b zfsr;HylVH<^!oMcTzI2M78HfBm+>~md%UKOjwPpxURJFm zoqC7B$CLqMlffFjU880pyEs#GjW{qb7wM|m5iH!7-J;q1IC?5K>Zb%{Vs4|Rlxg%1 zw#1*g72ndOp$_vcSFxf5-2%_Mxsz?%lziNP#x7~IImrUZ%{^VJvhUy)(MO=s+Du>Y z)8^65oP3aP3A{OX`8J+9&=o2V*1Lw3|6wPHts2C*VYc>Vo!BPd|#m5v` za}V0(rG-JT zt)%e+Q|JHb3V$(Z@a{E?=So_owFrV97BO?U8b*HO4gEgQ{D{d*=PzjJD0+K?I220*AzqXHMUwEo9@Nze{J@b;@4U0ib$VYh+)T zOOH^>1}0(LSN+;M)bGO!b5klm$&7h#MO}HV15m>o%=+$O<1TY=-Z30}YchJ_h(W-_tI=-FoB4 zB)#4H5dLa)P~z*AzFyYobI*gtl;j%!WR_ACAh zxZk2kEqof+-+WErQ#37|X7=^MNFl$mrPW8~u!wb8zm(rIoy!gJcV`s1d>wLJYIkD) zwlieD8OXCabUQ=4|E9UnK3DhARd?ZZCf9P0p#3sX{^q$*{mYs$vw6bS@yyrhtVR1p zf8jmcQukt+&+)9o@vNfxzCrs%XW_lt@*~l5V|&@w^(?0Go=xk;XW_lsa$|QH-}P)j z>m|4Rjb@>JsqVt=%-7}Yq3OP(@t#imWug2HWWi^+?!x|zuKAt`$N8Z79(yg(*8YsG z<=(gP9;N(^aKWdy4zL-$;e58D{gP1rhPUvZVfn$g@E%;dRf3cs(UnFbVm(rUb>#DI zws!bSFq0%j=i7u{4@_aJe9^Evnukwt#nEmp==WIm6&1Ou0~`WLH4gGjN0g#NqnW^p zqo1@#&sWzGpnNM5+K4tL5;)wqS7C7H5SLQv~vH`t8 zdF)B=AphZozs$=}gaz8}fo6U+CVv|wh9IqDakDBm<9Vud(Kqd$RcE*Ky) zl2l{tjbg8O~{lYoAw-(rJG4m)BdHt9jIg5 z-)O6lEtXD_d>>k>2YnQRv22=G!$E;lPe2F}e#WrR8L&wBe79xvj_mSOu?qHxi0IBTWy6fi@sW=-X#J+<{VOmG4`Xt{XNZgwUS z@%j|=0Zna z)w%itoDakKD&mD%SU42TGy#3mwCdf{hISl~iPi*z#dyZz`hiJZm$)y)-@^1`a5Y61 zl{*>uDARRl6`T^xC28NP=P>=dS!u*`^>&467}UI$+1l~+gRMvjk|^Y&gOHIZjAK!ZBYX^E-jpnx=fn%M{W zM4!&iFe7=8CLhBD(k(sbAhSZe4Gu^bkl5%4ZCv2l84&H254%IRebGWMS}g;03oZAg z(;+to+9|8O$*X&_sIvEaSFMc_^r>SouVSylJV${XIpn_>gf6#=8HCTOr&7Q9Woo*` zj6!RHy?VnRUEOSpMCbhcaYw044w6DsB;Pgg5^vRV-BQ!-8LLaCRozas#VX#=80wdi zNYOf(Dc<1qbpk0B<&ER|@)n2URL!jk`{EUc!s3i@^Wr%8cnSv-xV`Zw4>s_79<02J z3njfH$r%&RZ#FSTvjg(QrS;PcY2Bkm&r-{nru#5Ugr<9y>5v&KB4RA*nv>n>Yn@S> zD_va_xylu=&?V5^SaiAI9S{~_!was^lS9xMrix1ow@hRuI9qJTo~lvMjh_!LA>`kV z^U=;&A`EB{?nAbOuQcze!7ER&D=D*(rPs5T9!W7rg%`E$jAUD?hUCH#2E)IrFJS@u zT-613x-UJatz%6Xc@*~C)RnhsF3ZPfVM$F>?M+|D_eKMb=+63SP{wn8REzqCgfAjH zmJIWqX^E&yFpd@?2Dyq*;aPA-WG^c6$lc;~-Y~+Pqr|$Dg-qncOx})OK?Pabeq}okBd6HB zP5cse)G(V^`wR- zcSo)8ED&1^d(KH>t0;u@&Fcz>Y%LRJ38vhW^Y={sNdF;vI5w+u)va;=w( zd^nW{5yq#qm#r0pxwn&m5ozaKFAmjc$H}{3(&ud`8EgCDda_z}`_#KK>*?Re&OAUY zF#!)1@-sI!lxG!kT7cV_hf{_p1ZOiRSf=J_Z9t4!u{XE~7-ClU)9?Z@2 zqr}GK1kFDR3`fNCc|f(4mxVe-{)2$#Ry23*B>w3F!7XTxF!euuys~bpm?S+R8;h<& z{m@z72iBOMH_G3jGILi^&-BYv$;Iba__akJ6soIcLw-eD!jluTZdqO7O2%iW^E>x zMN63zj(fCDh`kgy@s-=eB}l0)9Mp{hF?1$=k&tszg^P9G5(;Xs3MWT!4~dVuAR*^~ zrDc&I`~Jxy`997>;cR&3RdEeTf_cER${4JVbd!T2ndb;bvIW9hkv>ZK`D=M)W8ofH zr)hohf>AD4=txK=uh0GDJ5LjLyV&J8YQPCL><%{sd@Z@vtM?QzFP@OZfl8$GzB&f=&PyjV2-gD8(2R{zRFw-49!x89R#(}khMP2afAys zP^&5CCR@Y?6V{m+M~Lyb9ro|177t7}_v}pU#k2CZ#k%AsmqJUn7MD`XY+CCgp{%XO zWdiS3ITLn>M6*PvJh3d&#?f0yUh+QUG~xN=zT;(r_irk-B=N;<`I}jCkJ-hM}y zo$U%dA&1q5F|$!Yb5WVO%`u0reN~DEWUc{6GEwCZm?ZDUP`MPHQvlLf9e6H}O2eFpz41wz> z%8U;nRfr>2|A16;jvEm;2*4NfmI1?W-bmDfxO@rRT zPWR&X_Vp6;-53O(CU(qFzn8?&gd>`0p@ydWB1?pp`<&V>&_>}D9QIwh1S!DZ6p;7w zAC?lvZ~JOpriSxvOBKU9ueM@uBOlo96cN8$flR*1qfQ@UpB3qoZV54FpM9CNcZI?m zj4${8k=KDwqr)e&_VrI@x$PwJP>e20VP(R;FPW<6^ebVNb2u<3Yu%Ty%PbQr2X;+uq^ ze-K$e8fUUs_V_|=Z=%H47@0~hh$ zQ#EQ6TSRITjnFtV>Nn{Y**mT&4NTrQ; z(c}ukhaue<8nT4v79#dq87s5pVo!L?pRsG0y%Vq_;_e**QxxAgbfyT1=x#JM07mLQBU(NG35*0#>3*b!;Ufxnw6RjB9!gKh``gOD;%^=gN*@i zzUbyvGK!WG%Eb!WnBqHLNluqso5}a`PZ}QFJd@aO0kb_u9)(?|lgP34;ZUfDdGgS$ zCvM!v*|INSahJe`E;{UBEjcStC%{#oe1Jq_+7ceD4R}{Gy#ZJowh&ZYq>Af ze!&NPhBg;6CV5)0WBo%mzCtrSynnnRlEh>losI5gVgc0wl`W<1X21!LI~fFF4)4uF zH$rXYGlg=x1YFZoOnOg$9k4+=ZfHXCqhZ%vXVBX@G0Vta!%mC72r66_weSA1p--rrXI-cG zB)dvm|A(t@ zjIN~V!i^`kolGXy#5%E^iET}6+fF97ZB1<3=ESyn&ztYAb=SJ**Y49#Jyq4+t81%v zgT~pQFO34Uxr8qz_Q0{+4a^-&C^{%+=3bzLP^Pf{65bz-E#7%jSuVb7vp+mP|6(B$ z>PAbJ1(v-5I~4io)!*h>RfPu!x^2#keX%IkE;%27{)o@+#%%j@qSl8P;Ak^kr~f6i z3DDDB#+QnZxb==2z}oi|)17jj}A)$sJcYtW9{6UM`O>;l*xf*B!GD=gRhtQD-! zyqJ~ z|3I>Vep*?A&&$l-dVjx*ld6!L1pjn5zc+w(Rp8_i*BTqSvsu65o%hmlI0xztE*CFC z>xbW@@TkMb3`A;i*V;9U?sAvevMD&m6Clc8D8B))1JqKFQYUn9S@R*}QO{l$wBJ1= z0>TZ)PUASei|Qvdh|pI|+*Y3j3#@wv>`V?iL{0SC+2T4G)G}i~+F`qMieJ>n&-&DlK_OTktJ4VzoZpYQGwp3k}${3@f7* ze~6AiQ=kvcL58I6Oy4r8m+t8yk*XpZP0JOmg~r+KD-h6b<+D)gnf?&C1z4)?lu&NS zy&yzvLgMaBkB=aNsR@9fojQ&9A17b7GyC*I9{t|c{T_3?@4ru>G=N zJIN}8^%9t9>PomX$m-~ax;PlUgVM26QTu?w2K4>3N;#lsp2<~iWQGXL+hW8A2Y5vm z{mF5_K5RV7d~u>Vn+|FAA0;i*7qT|uQMRz3^rP2OeXGD_V{YC4^R`7~DDjLnGT5H8 zR(13?sV$3A%$(Y4WPHs@zSZ9E+)T=Hov!0@eEbV?-C&KP6Su zKV0*Ooq|}TWDe4TTx1kuvxuYf1OpoB>sB&p8g#Fct2&5!bBVqgPX@R4ymD7qWunvl zp|#hVwlGrQz}@>RXhYm@@nGNaTx@?fYjp$5yosVh4ZGb2?yvvm%!mS6ED<9i_ibMh zdA8Dqv7wfJN2x1|el>1UWEl$Rzp!TKQXg#-zNEo9CfW9o!~yCN*~l7ox4--paw{PE zZ*SgZv5b|DrsI9u3Q&T5`8Xn-v(~1L27bjKgR--UX?rX;R@P z(VBs_SnO+Tlc)ZRBX3sDVwr<5l7Nm(IiBY;j+ZVXbG(BZe@)-CUYIh$80kmtFE8$?hMOMIah6c z&=tq~U0@n+28FVy)6&qcYu^aRhPt4k%b+%Xhb-cwWRz>#0G(D6;YsHnIty7jW5B9# zD%uo&k^3TAZhzO1EsK<^R%me(7vODYUN! zgcj+6;MVIY)8+f5I2+d6uKXCEm>k#bjNDbq7HsaUvbO=P^mpf_&WXmY z-PNYHhm9();)Yya&!l0?9HcM@m13m$&Xq?va^}ooQDzbdID8BEr^Y z!gW%X)ICJ`NmdF+9CAD>_m*d2e&K9szPt)sD}_hgWGuLOK=sq31ls9!qB;g;3`O*v`{pb`52 z3s1$8|L=b(zgy~40yyf^p$u`a?nb_|)z7_Eq}GS6wg*$KSD-(dYROxCRo>V<$~_>* zKDn8?)qyQt=Y_M!w5a(n$AE}^*baTAE6GX$_chXpQxysqsT3GUSt)X1&La}N$@=?6Z>IN9kNIly{vA$15xtR4C3-rWkt&R=O;SSvzka)wDgN0{ z%M6<*n@lrXJtn;3CP|N$F}m%(c#r;~nV4`m9MK9q(%w9p770(!O_6v?L_LY&l5rj>1(;sf2T(!YzHI9IKXG!dyI%L+j;vf+_7i-b&+hB>WHPGkDZ`?b3dgSo$cnxO6)ANoH#ho^n#6SIYys z8>y8hsZuEvByT^V5gktRDOz&4Svi7YKrfw@yBlKmc#>&aUq_a+f-U{#!_oA;UpPPMr4_FCo;xA!<64Z^{L2YE&^ zZk_n^s4`N}_SL621-(^5`qLUY1s(Wv^$Ps~GfuxETV(GP?~KH_z#3lIwEd7><% zxrMewv`UFg#MNiU;N){5qZ|LEJ+d6vjc6Y@*PP<7XmKM#Tnzp6545c<&Heh^d9$Gk zo`8|S%CcD|7}kAS667q@BI~5M)9~-E4uU%w@s7L~p1GMQr(y+E4AXdP`AmNk@Cvqw zw}-NYGH;C0iy_kbh+Z}i{p*SU>}4O0;h|1RWwa9edS~v)HbIS$o4~f9ZNoiMGp%^U zzbc@lL&`MDJ&0@)S3IVj9NP=YHMkVBCN@{MuoGll3UgPNhMRK2gYxx;Ntm45LN}+V z;DdG68(D_y7$UE6oG>4K0S0$dmmFwprJcG*EPh{|@JF$gA(-zns> z+PuQFbAcu}06xQX*~KAL({{MZ^3-)OZe7pmR*sG(8=W^}Vj1 zOXkyH`(Zuz5>*{P1ID@uW@w)Wbn?hbZGG}6vAwf~z_62`#a~&o)#Px}P5{{w%#iwu z!TabE!cUdiM!BV|&{%awaG=ddhB!o@R1TD|j~CFc@-E5#QMOj_ zK=V_jtzefJ-=kDZ!@J^v3;YEvOeR!LZa`oq*V=LUQdDg)7a2k;u)Bbp1yn8*(g_1% z+CAlm0KS+`o%^ttl3{G-eg1bG_UE^BdZOw2H-M1%PNG0Qa=ex4%s=w@W1FDu_+zm? zso8wFxgGkNvsgZ=`nKKQEiMB51w=#vc1sAo0n9gOZfK`WY;eBE4OMas7AC||i7_It zj|Ep6?F1c zMj3b^a?({pStMcP_Jjsyk&a4KDE{5uV$Pdpl zW5vfiH5t>xQd@I5xX9$x0D56K$w^KSUdimTv>~9s1tE*W`{4v7B!hbA>0Rjh#FjEs z?mLbl*$utGP5SFdVahuzJgZmyyqb2sc{x5)@T@Ppy>$%|vKS~KM)g>G2EG5)Zn+HO zcDQ)SwgEV2INtf$Wv__DlH&9rW9)Wv=;#m!jg1{kX!HSowY&1Xy8Mx(dU%SeK%)gV z?9#sCq^158Z<-Kk)TCwAp?EVkt6LcTjg0*2RXX6NFHFGA&k-L!oFjl{divEU4?p3? zpJt!GH{jxae}?}}oE^C)Zi6smuYfDXi&zQgByi=790eov$R^33qbyO#ngc4No-Gv9 z4KC{f69sh^9JJ$_U%bQ9hgipkFqDWDq2EUw9%8X{gg>W`#kW<#yIzhCH}rEJ0iskp zlHg2?B5nsjNvnEHF(LIdfw5o$ELlwIW&vsnYCtQ2fP@HfHwaGhA>l*JtEZw!l!hd{ zdV4mn#Mhl7?L+>PY^h(Uj;lUT$Uc*q+RKU%VRFhPARv#FJq;voJ~S zA~u)yAUTDcy1$CB6C|`?HvIe;{ut&wM#4})AC57=>0aod=Na;`puMX$1>!leKel~X zzg_RRlFcZlMn9YJ`j>)CagajOC)@>PoH>0X ztJofb>OZlOf-4v5mczQM`+0zTg+&McMvfEZM{!7SWYq^W(sdi*ZTS#*Q$LW{*?=Ag zo3{T_6aY&fRTiK8tJ8%(weU`Qh-5U4KYT6KHW?K36Jbgvt+HZjH*HV|#8iS~Ex#b$8m`raaYygG)F80L-$MlY@5 z_=^75p`Ad2T)5%)TEkK_v|bO&UL@0)p^s3{p|@5k+C_EK>ppHTCv;76PKDD3o@eD7 zp-d&$>dS?#^h1dyntiB!o%hhEvS8+&xQy7t5V=RMO_T2VE$+zU^BZ9nafJ7eP0#8q z#bP4}&c?p%7!(@}nGH8Vd&4HvV^w&h=AQtPU!K2sgW7MHe&r3mO1n|FkDs_L4I4-< zVqQu{Z@xfLlP`8B)BWD|iC^rl3C#}tbodyX(Q-q0o03XxCfQaT1IcEtE|F68E%kKiSNei%@3 z;mO>VZ-nccOubK6v^IXDHFlA`CGl8pNr&LZca;{6t+%;a zUiKD?Fm2Ipy+Ey-ZDeoS3q-40eu1h8l_3+mzV}a{D3U2y@6o10dlnpeZ4Ya&P(`4% z36YMRahkP5COk`&O1dIu6xE<;zpDD(iL&cxncwL2<2nO%j`g8---O zJ^iCNoVn;4wr2tozcMX(ms;pvtO8B-!r5SrD|eh?z2Ng?sj(@>zoXA*)&;lGus|DC ztX&K>lO<+q^`w>dHtG(MQ(NYx=Fga@!5x_eY2aG>_s*`ix0UFeH1(te4`A3EQ0#NR z_aUrt0r#Ayxel_Ytgql0;u{e!>SqCYRM2<3P}QNmDz7!&>DOE#N>ZOO&RZd_BnyY> za4SyL&DIjXZxBf;;d#Rn3agI8TbnUe?)Ka-K|@Pl-y}FSAk^RP)-D_xS4Bk9H4K@R znOl>CzyC@x+1aIteuX2j?W?Hez6)wwV&4{}<(o<<2RygX(D4O%<+-$?jEqek z{6SRMZiilP3=p_ihWK0uoHWjC%N1QZAgN!aFrtl}hL2;WRv!gt5rn;MQ5y#C4?NB! zPcYr7=>=!ar(bCFgE_!r>%?^iq5G6^@7yI@+Y4QWB>5xD=5QQ^V{ss|G>-T%p+Wet z4_4Xaw6;l>JaIkQ6WeCm8(x$`a}`LOjGW zbWEr-=byr`>BLV7bqGybE8@U}0UDZh@p|rT_2MXls4J)WEv`r4X}a)87WV!F5% zelqG>(jvPKX#h%jn(4?wR+*cO#sZJZ#SX>4y!UcYWyJ!*VIk z;b{Hi+?SE8Sm~lmC-=h;V08b#6o}4^Mqu_G(4CT5^^UUC+1ChE@-($x0aae*7F|<~ zmoDePs3KjJSA9dSg+~D1JmjTlush`D1YD*c;IDK3n{0d9&JYAvEk=Tg!oYx?ct1-< z3BPfH^?uLT%Kl{Wx8ymj0t*8>TE0a0j^?gMnGDq{ z#vTK;UYc0z_HPrx%(P}t*f!suvsO=Of@W1kT-?wuE_-PrWsP-R(+H!8cqj4+t7Y7I zF43jNUlzcOW(P^pYoomWYMrkvYtFH$94KEf24y?{N?C&P(7s@am%|48m^AkFvB&1H zIqvkPajy+^CVV<(;>!19z=4`{XggAcw|iyQl2xF=SHKBUAxu|Kj=Avn3M zC@J9HBMVSVcHi$6jaMDZ$4VIUU)_1F47$88c|q18FPhsyhg)xj&WyHDYhJ`H_SQn% zr-f*wFFM{TZRHZ~voIH&L8B*L$f0eo_HcL6Z56wIFmXlYK;4E)Q)fK8$VH+S4`@xj zM=+vSS;(kZQd|g`ElaE2r9eS^&qcE@vTgnQESBjq_4Wvtp-ZbuI;GgA&s)^yranJG zbF;CBF{=IJej|>wARHoy@Uw|C=#PT2Q1_)HtTEY@DfbC;ndQ;Qf7kQ0XNVTO-3Ob4 zPl@5&d^@sv&(DSsYI1HJDGr#gZwvN;Q_W90>2$8!M&on5I_0R!d(QjWVFBDYaM*nM z*gEAyYGnrczzw5$Rn*epS!J7ilmXmeSaXB(BZ7!rZQ>k6LJwA8MZ4z%Mn%RAkJ%X{ zDuzH03AK3Y_yYFV(AwUMN=v|bC_0$C?h9&`voAS+(`Q0j_V zAj6SpN(W;FqwxK)joBkJBYcDEHLn-uu#_u=TjW-0hC?-g{Q}g(k`HlwX zTqx<9m|_|i*HDUHpwcIVKef@P2KM_mk)0neFwj(6=c?xqUU_uo2O3_v;{iY?mvHTq z-Gjc|+hox|%npP%D*3fTrZlmDx#ojnm0qC@Dj)F15U|fr$Z52pSQ-}ymOp6!=qupZ zGo3w5Y<~qt5RO5|{EVY5(3W^tzPuFTc$0McRvb+aqlNp8hcbE0GXXR0tx7d1=96@J z;45K+EhF>HSN_tI+w)!xdn8w7Gl{N~w7usEmu{)#4wAa*D}3|nAwTTesg(wIo_ShU z(WaJ)1{8tjb%Lw4v*kq0@lI{Y8rm6_DZLS2nD72dmu`xqBqpMD%(v|uYY_4MqgPRV zHUZcm$`Wd6tTAm$ZdkcH9-s;0PaGVGFi{ZAsJH2e(ks59ZI(6Ll0gBJ+bTWpv+_(R zK&HUOlG=G!c(*Y|{R43cv*iYd7&A?cxkU$EPiy}?yTdiPnlu!vvmJM@-%3R#I?RBe zOyU5M`V_o?=$t2&kifqo=19$B7cvBn_@-m&%mrEkUEdg4ZHqt4K%nba)dR%4+`e7d zZWOB;`@>ha#t>%vv(*ckqgsWx z&J<>Q%RaT9wCPsvW8xQM&iW)c--P-~oZN@MvF~fhigeMGOKI;m_pdzm=YspnspKmiGf4!*P+6n}RZqFI zcIU^Mx5?6!Lhm<#9UreH7hP#RGE(;P?0fCMCPMJ{ByCwY_*M@C_|{@%?qIp=Bw;vO zN2FgT634GQ#cu8^U3FI#_XxsFY)~1#OUzI{8EjBSB+nAq0jh1BjI-F3wmK4b#lQE4 zkO0plKPtKI_D-wl%oa$tiD*lUNgBG`u(5*+RX26p;U|)Tq12)0cPFz5$)p&Z72R?(L%j<@zJNZAWBHkLeW3chD`9Zh&fqu!m&;pp0hNtzqU-izl)VcXz z=mVJXKrkCjF0pR=&l5bpfB`;+w2d8jwc;K7Q?Ys>5N$}%2I0Cn83Y^*uxXg|H8{m8 z>8jBvp#N>lNuwz{YJ=|A6HvuT{3&+x(NzsppVq6?6F?i)2BzXw$;TS$S0-no_IRD* z+0rhiwC(+tGyJG-7^I!w>zqMQ(8-Fps0YgjndOYlPJcD>R^P_jlPus4X>D<*&*)6Y zYNgpavHfIQ@@})>3}4;qTk+3b?ii0sRH-@=tsrthW}<9G3gV+sOqwQF{0rQzmn`1a zc}+Zc)9!H(+#fV>K{GRIf%NIX$-ML)Bz4~qLtvv$=Y#-dEBnF2p%K(He^vAJ!)E&Fp1sbY8Aik7UPi=(z~(qA~OrV zM%zGk%jrK-cwt)qw#X`YvHGL-)GkhOF*mvjFf>pvT%Qm{=<_|Lc8%|l(MGEMs7@0aIZ2N(e# zABqNS?}u|8A4~2WSDmCjQ+*zfdP#3iZ$_WbZXHuw^QWIQ9@#$akI#@DyxA)r7h@hv zUG*-XW57R4AD`_2t;@- zL$4mLw@IJlE43fYT*E#G+LRx+RXW*NceW{ayq8B_ALX0x8LP*yD>J8`r5zs21_zh= zE$coel~X=Nq(D3b_UCibrV};WyORq0o2hf`(^R_0lFQx8KNemeBr&p=Dg329zU-~j zLy3-BkLqbuS?Lz#0!>_VC-+X712r21U?I|%%&LX=s;Q)OkyBmbt{1Aa_en+~ETL11 z63_1AIFCGOu%QIK6kVzVln~>YSlwMM_2>f+z4YsmJMN4vmzFDm7O#u{ zb_j1YrZS-oX>_`GTHOB{>XeISt9i|QoS)qaxc=NbPK@%2Do%!l<$~!xTa+eFucl{? z7Z3DD$dJ{NXY)bHs2i>p8>kyD;r`$_jbQ!hg+NB5M=NvEQnV%vJukxZU&YUcQQ-dR zR#Bz2^*I+X-u;I4$nlW`zBxq^;{9|+#Frec2`Y$7PCiC2k4u@pKgC{W>#r}Hb1jbo zbf4mae^T#1nayZ+#ZT{Oi7XSw2OwTm%1pBf1$d{2I@6`d@v}OIgsu zJOc%bG}%a2Y-bSm_-qbDK4MiYrvqKu6X_2Y-kA`j_hp`l?f>e6mc1n+(wQF&3#c)` z!W?HvKenaw9cvx2kLG8;0IzG;Bf;-Fa0#MU(+zFjrk4r&x>U}81(pL;y%(sf8TPqi ze5$AU-_3qcZ7^Jy^en?_5^mF~_oa;T!RFg#zJIK*Wm+o5qd0cHQts>h}&E2XY zTg)>BA$px)%xx(q2->_R?pHT zM;H?C+Oz6=AJm0?a}fu+!rz#<)$h^ag6>6gFO1V-z& zI!C>}zraInUBiLd%tbQUde6ba%V$CT-nlyjd1$?M05T9S5n@+>jCDDQ%S^ch@inAxqAP?DKi4*0xLuQ}P z)?twb7F+m;vPcYqkT}Hyc~FtqW4%Lssn;5dVp`Es22Vt&&EzFj?a(e13d)X9Uf(c! zA$3{H6ToM4>bweZX(w`!l0uL4R+HXqc?^qm!VDl=S8sw1Z5Th>hrCGj*@mb7rmgif zs@HQhhdo+5EmZ6acV(pdxSh!B!0ib{X0W4Kis&!1f>)5C8tx9gXjfiah@;Vl{ zvvVp1X~anKx8fqHJH6jqY1Sh5`oN`gCF0!_N8Mq?O$N_?5{{d>TvI>qsR%Z8=|1%j z&2mEZ**$f*XmIB2jwB9n_g)eS+~3I4AQ@ME6o@m6?hdq+m?Dquio_v}*J2hxm)}TA z*ARYv0m?~2*Hy{TR*LZxN^js09K821$%>()$+^b0NC`%fNvaI-N$QAIx=B+mezX2% zMm-0@Jh)3;+SQ%=&Y0g~`_73vXT1G`5@n};gZUNdHp!fcwJ>wy- zYzj@u_MA~Tg;a;JSCo-0_8)p_?XRjD1hCtnZ{tOzPx*L%oMG`NW2<|UW#2XFqm5(>}91 zPJPqU%wEJAr%{k0F*=|z-BlF|s5_{`NjoGG4SdcCJiN}|8`;k~9yfb3{0Z7fA08&g zHxI~HHjEr32(NEj4qa}^Jh-_(Gl9PiOH{jKQR}dw^i)QS!{H+{J5YVnC$YCaF}9s5 zp$q`A%ainWKqU3G+)>`^FH=x3uHRA<9VGILBG>bF>8ShK=r~>ueh&$S0m&$Bm2Xen zbRT~h)G&P2MIPuj6E~fR3mP?4>Uvsivw{$;fm-a1S`ii;fh5Lr|b$C25lk2XW zg0WX~qIPC6pg6H-w|OwK*}{@ZW@POyX*CKt1Zf7^1`C~bV6~@HC;VB|?NDO!veaK8 z7A~6KL-&8e?nT^Uc~+tLCe83bDRhvqEHHrO^;+HGbQtY)MXun1VR_pB7!-8)wDZ9G zT?q;~%Btkq@eW@iPSsmMjr$vp$!AODTk}{jDnYd=zkoe#IG*UW->>F(MXZutR@3yq zHRD1PnB9dpW7r!@HwL>!BnxDS|U6+p{INPTiWZ{~iH!IxXur(73;&$yCeTyI7C z)h8|Yvfubh%~e*of1_bB{XP=IrJD)PCjo%|^j#c$6PkX)Z^EiIdpNd~b*HcLhxWLT zbMtzDU)01STTv_A651}@Is1E&@OHO~F8hzo3QuO`eyg!Qdbb>*9dubI&I+*+k30ZdL3%aRZ=-@?o}gn$!ohUJVPe4?_K$uXc9aE( z!OG{n$P9aMFZ|F|2%uTpu|Ko-lBhvDjPOeSP9UElsnm6Vje}n|QfXalLlO#|6a0ON zzW|ndL^orHr@Hb7p^w{b#J}!U(o!3eA?D~C9E0Ex>H$EcfM@RnrF&l6u{V!EFwk!+ zM(?u{;ZS@#gd_4J!-K26MQq3Q#8%g=hPo8fhJton-Y6Y$ff_YIc7?5n%JYPT#_^)z z_mEElbVMH6>c!ey%73O~m;xrtmmXzhCUI*cAAFPJU;aRZ>)ErPT zSwyjP9HQ&2Z-%rDKh5PB+ySgdff>8x_Z#?q+L)_`(v2;PW;k?#?fDBR?E+fzica& z7~%$}yF$vnIm(US8LHX|H)wd3+y$p>iE5NySzWM8vz1Mt9M1l>6P||hr#W#Le#Gv1 z5!MW{r8`KD6jAFpM$#3Dt_r$7*cQ`vb8BUYO!$4uSQ#feu*}ZTjumTcYic@Nc}cRi z&dmTF{!U?7UR-;L(Dr)(TP-?xoD*ost`0&lokI_(&WP>$r@{`a@XUxG{T}3dkmZ6U z%lNm0_FYoP^xJJEvdT_0>I_vp(j82X_k^~bQi@=7j6{+D{ z7f^O!&z13STPy5k$?_YC?E)x3z+4NuQoyf}0!_Lf;r`JS4AexB-kPDOTDv77Cd zrj19RYHIg6f77osghoS~9BFVMi73>gN7>dJUclJiRRkL#gs6Q+#0nZ1V27{l|HWuM zhypj@Du-d++*g_PB*@#&zXa1jW&rsPyCbHT%SMvSM>*vT8TZZFLQ(!h&U-$<*+~|= zYxe~c^5;)o{-FSRStt|bpEw=r_dD#m{6ti51)+}#6-R{E5s1hug+4(Bk{?c*9PxxT zIIV*_6sujM8POgn!h$;rL6)!Cw0C1%q=wrqbcJInuE*^dZINN}_u2*=Pw{S7nwrqG zTs`yH4C|1p^lwviIEX!BK2N7IuhYUM-?OCatbS@E8V%^g%+a=oM)RUFUpf654UI@0K}>gbM~Y89R(*>M!k8Vr zOH~jPz|U8=X`pTDZD&J)*J2$gN~41Nh(v1ZXs+hAUKG@Z(C_WUBdQvbh<<^TtrT&A zV4B3SC0rcRnwS_odgVv-m?i691S<@Ggc(q#4sRYEak*}{jyGJkc@xv*deUrzhT(nB z0Nd{z*tXTt4K9XOk`@`s2sQ915*ZTbz()LYKfa}ZEcE9neX!KP&7Sh^FJ4wTA>^pO z&hY^1Uk zjU^|>*5Pu`5B+8R%>wEa>Q;FhBUXDuq71pAq~d1xF3FYZ5Ec1hd4m-KO$6g#X$mD} zM%M+|WId(vdu~MFRt_-#nvX_-(yn5N>RGNC);dXc?eyjPzr=Ozc*-@>l>)9$U8!}) zf4(m=+!8=@nCu}!(Kg$T)4yTW4lnjnJfaH~e7vs4s_1ql0Q|YB7%Pu-?UJ|^BCAE!Ij=)B8 zPY~%h$w~-x)0G!n`E$7Z6OI*%$fTJO=JrD(1xc=#2LN-+E0I!6rk|A(_`FOuE@aNn z%G1+4-*hKhhbL1glxjy_eVIbhSAwg*He7M}XK3Z;seX1+;B&v3iKD3dNOD6|MSbdX zFWLC_KMl8p6`7iJeMb=&qDOtfkys-LUD9{jd6#I~0`os!sArbKIwkI`^2Wlv#qZqm zj*5Da(B6ZOOoh8hUjQ?gp&!C`ig`q6?|vn2{7>WT#G#tWwp8KHnuZ+F@pR8HseJ#G zSveQX;cqEMl2+f2@j$|Z!WE<(6K4s`8ivV@Nwqj>`ajc-^D9u+o19#4c?5@!G2shP zUCm8G3rEus`myt#sH#ndjpuD_aclTOZ){vSiPGX_bgs~ydr>gvKp;%rduMIc*ypFJ z-c&niyyJqZxx=jf8eEGMjBUVJtdT}W(eG+~e>*f6=vsh-uW{uD!M)nb|M554j~!;G z!4tMpZilATHb}6-P&x<$-mVX1vm}}!r)LfF+Sy5~rC-&Pq^aF0<-Q&2D)8Hol{mVG zPN%OEg7Y?b3Hy#cVBKG$f!DUi;7X~9Tt$gw{kUrQ=ZDdw+J?)hGguv7(WjdB=E{J* zOCehK8pbD%h8iBxb_79;jVOqV{Kt{1`BQ7L%qPIx%PJhy_6|=%C-bs~`Nj0$AwVZn ztg7ed9{->2p;)+g0phHa!PqkF*;U?is|yWo+R)QM3&pn2c!ut40yB#tw5{n*@T%6g z@*efJ6G_7cFIK;eT|MPT^*fCtlvl7GhOMCBV^(hfbcv6_`Z|&7Zs{ba2QLb&FMJI= zL_>3T%mtHfci#SQ5d3gCbJp#@bXTS*W4rYQd&TVwAkLZC4B{@3v#%sFz2gbk0fSwgG=T6b>LlnnL)X7;)q%i8s%&Yv27bEjEReZ%+s;tD;D;0~ zye1^p=yiFED4VZmz_T$Rxjxaj*&_`f4s(pYK8$ocVs6Q?e^l=^S9h8zeV?emN{{0L zS}=lgdr&2>FSP6}dM()-#b6({)PST|@cK!=*%8wo+UQzS+^__(9+}5!om@C5Xgi{$ zThQqHt_i$u#EUna*3TgBkB9CXPx5)I$UhBm$}`F3F{8_>GACHlU@SMdbQkAnnr`Yn zSKOObkq52WL~5PBqt}|`tGM1N#?hBKjnZ0zgVlpfkF!k`F89u;36yKf@B&Zn+lUH z%?e!2td`?32A#lHpLXPmoq|=g2kFcY;TTeP*qOP|EP*@hyde~2)|2LtX@+21!8ckB zrO^J!P8fun&pBrC_=d>xPobh-f_dsIKHA^Cimu>lMiX0m?I zsuIx`Wv^Y0AuT_+P}<>Z}*(H?-A zPn3@EBMf01va-_?tXYP-3VyjHI-`|uh~FF9k)+9|K)U-ol!1$=Vkm4!sF>2qJ?KPe zMeW64nbUXrh1Q?8rr@WtIZ9DZ-)-N1`#%(B{kpemkAz|_UDYIMnq`#~@r9O`sVNj{ z3)Z7|(2MyqUb$vRlv8Gaf&a*x_lVpPQ8HO&ovUlK5AM9*{8W!kBAn|7KYO*8z)BkW z<`X+n7eOFv(%ZI-i>(^rkV$u?o!&sXafb`G{c)i``srkgW zri#)#*E6^eOHs)9y^DF#jf=czu_HjW-xor>`#sjn?zTjpvA*x5RuiJUmhg%vpSXPY zx94J3k5*^+KcPYLRHgl94~rD`hXy7j?C-G46l%V^(W>#}B@$=>5+G|-l3Aj#1IE1V zUc&1w9m85-BbXxp0En0(G6ZJbqV z7Lnp{%-*mF;Idx__rJGY2VW=<@|Pek(^r@mq+C6kL`2f(;ixc=hBszmlkW7D)r{gR z6Qqvd+w`@nN_zq)FS3=w``Bw{=*=k&L?#l*YgWX!?@?e3+y-~EJeYpUCsVK3gEU+> z>{V%y9Cu&l3zC7&OIae*w_XETpd?LRhQ$Le)$V_PaR1%Y%uk)j-C^GhuQI{>(O_k= z$!m$i7VZWnLY=$HCUt>aX~leNBXP3MCy{ANQF=Q}=H#77hmAu!UQr_!KksIadQiS1 zP0e;j7f)%KPd5G@&uEh!_&i4TcY{tsF-po{ZH>J2b_z&90VDv5+du*;2_J7tiA)=b zUQdxfA3&2lh*hF@odU+8$;`xwK%^?bGD&z%Q0rwAzz3J|L5KKDWl2Idm`yV z6osCva^exDa1+I9z|mzwF(-j4#R>Aj^pc$_N~IK)RoEi=YT8lq-D!-l5BX~7EH|2! zc*FOZg*yvHt_#@)n3*c!rRi!;E^7ft?L18s?d&5qVHeU?=9wu!8r^Q3NE>cR8u4z1 z!Ar31C8f6}VMt_>Sa7T|)X}H-9+cl`;iVD=kSw5*ltLxNyzkW1bo0bzl+<*%xt#ke z2_+>ZX2`iWNeT4SbY(R2wCGz|-WVt7u$ZH0C+Ll6tQg|L-U?VG3c%{nN@FhTSS6dJ zOe{LQRiTMp0rtzDaK3-lK!rUeUwf_fUVFJz;<^kEO1?Rcv}0gdE>ZiD z3GW%)#_~O9vp>iAT43PV{FsUjy2F#IiHT~%>lZ4GQB*Oq-L1v1p!JcQi&*;k1wtje z5bIwh>`W!xg>d%-NM+5CoK*AN*z-Eag6}H<)EBP6R2MEN3>W;dn4?Ye`%ul41Z~e> zsE?sUfS^#k&UorQ6DTLWR(Uue6OpH72}JRp>!pc&vQi>lvj6UVUX|?VCRqY$)4a-O zC2q#T(>-*~2SPk638}%7(G@x&!VgMgLIm_h8qIFLc96d8IF2+z8s?Bi-Nd0GkSUc& zQ~C;r9sd*3;V2|#_C{HX%<-27#4y4$%5c zH2hcE?dvoH{um8)Alp)xW9LDy(*zMY;XFd8ZS3e!O~7s>qQwr3d)l+q>ImI&<*Bg_bwriGej`)^>1xnW`TmVAY6MJVs!jJu4;%{84;>~0t^AmhRA$E_OTX1FxhVI$njbt zNa}u(DNJ|d<;Z{C3|s%Yb*UQH778o5F+tx=ScmWWZ?PG>^h}hSZGrkwhnNkCT;O&Q z!p!pttAe}q1e*c%j1yry*SIMjl?VKNIJG37JO4l}x+j0yXenlQ65O|)-`yc-@{u_w zIs45-)0ZXa3FHZAGipP7lF-)b9=KBTBF!WUy5+0eZ5oBV$uGYHU{PvY@R2dfL1m^X zW}h!0Rtgfhnd9re$J@)9{BmccdNd_B{zkr^J6>QpRlt*$nsA_EPsI9|}8_e*C=delUER5Mj#B|$XqTB|*^SR~j=F4X|mX z#4|B76&^Dc5s>>kxv{dzFI*<1+aQ5(Xf+lG`0YZuy-@ggV;kWQU=KC-Tk$vACcpX% zBm<``nBx5-IGBQ;shFvpQWA8Tgaup$RYcjsDgCZAP=7;TsB-76DSLnguYXH z=P@7%xX{a@pKWg3{E*f#lBV^yV3X24lWFJ&WRV7-GC8D1zOKU9!~DbLsLKe$2YoW0 zurSfMmHSn~nTF%-HAaN`;Z4wgI;_XddZe((SL!dy9MX{`Cr~&dW3S2;&MIV;olpXY zw2p1t!hW(Zu=O@EfxM}Ka(f_9@$=+)KEcFLYY;a-S58vN5ahd8B;aijrYg#u_!D~kY#%XXd4}cg z_=dFP)OZ0;cfsAH^k}}cWY>5>`*^__@G(nHNf1btaEp_`0Uk7^B%q{9Jcmo*WTqxC zq$d0X9{5Y(q^BlKr6$+`4CyJP z0wq6#2sjE?g4<0x$?rFg@af^%M}2`Nk(f$iir`qSDmRC6c;o2j8ya7b175UR<>wYp-r-Lojs;~j%aNE%s*C>v z@pk8QCVqkN16oP7OUy0qQK0Sv9CM2*7Y=demA}w2OeMMn|Dij(bG(zk(AWX3nJ zsQaZa^bpQG-)vCYUlm;g`SO3?FT=AN8Fjq;1u9d&8ZZTDa045xoy5cc?)Yk;iakI0 zMKAZWL}u=*rLjD_4A$>e4X-qT4W2K0R;Whhuc0%;pRj$QtC>sAztGvn^1$^5I4Vr+ zdBU$#HjE{JuTxvb@~>Z~I)O`6+E@RC0bDF!jC4>=!e5NAP)^cc!W#U^^4EwG;ZFj; z1Y`J#GlT_><%RwP!9d{U2y7C+mM8m;iT$FOUoT3C0&Fo2f+gi@VB1-Ug`st!iiw zHCs5S`<1Vm5aG-xe}TG; z`8y zzJ`Suz{U6_umx~^Q8p3=w0e9EMH|X#>Wc^p{v`5Cc!58u_!1DX=S9A{MZlQ{|GKky z%q3!9k2{-ze2naW1KEfb0C0X$1Mihat2~-TqKcd(gZUBo;Y}F8YcbJ+<^~4Lyu<#` zmb|b_PIA6)@oLcHZKn^!D$DbctYqz}A8bsFK?U6H=^o%aZC8CwIR3!5k^ij_Q?<8V znvT%lhXwkIPul{Yr^*kY4JSw7LX>AF6ulOFl;yE~?H*YD8%+`yPkI!q#`V5MT@BGp zQSf{dG6qj5@3N9!nFO>;%?Ewj0Fvh?+(*E2aS^F9Q7nU$<^Lh-DxjizqP|E=EgiyA zvb1za$I{(MH%LiKcPt>?4NFN$BOu)%wFuIPlyrkge$W5+o%5ZuXLs(rnK$$9{ATXG zv+v$nMG7LtGj&^GWcpG#MJ`D7$Msg`99AyxjpvbydQfoZ4JtB{Aj78#P#hACnez;u zI#f(3CU8p;p(WK}H^;qJh~_?~Gn>}0DE@2m*EPk~O@KQk2iw0ZgL}k{j=H{{G)zcT z!v>GiKv4Syg2Oi(*5A2xX_iaYq zVgySTjFA12AAul8g*7}od}f9pSAy*Q9JylvU2x*sMNcNwZUgGeDe@jhO=2kW zD!Ecp$NR6K&Kyrm{UIFdI7w-JOOan%TG??3Hq(7_wY!p%RkEp)X|kex$&+|` z<*qkXPwrVip5m$xYE8{ypPmGNkego4iL0>YjF$KxrVvr7Zy~XcJ(Wi8oi446y!DmO4x4wG zu1@9dV`MlAyz&3>piWK46seiTK8?pt>_%`Yec6fsFx}A9%wz}^r|h}?WoL?~&`6DI z`QY*q7~6-_yz0B6z)JXK|CnP)pj}0xRw1=T4>bahUCA)ELFTgG_^mkQ!2#{N>wbl5 z>UdzhzfuQgN{K^*KAIlAa0bJJ?r#_a@ePJ#EXeVcVLCy{G8@=_5ADi{DT|XXR=MLF zM#SAqLe1eq<`EB`n*OnYDlDsx_%Y$41^IN0hwL7hF^Kv1anM0cbQy1PR3o&$37)~3sev86(8ck95yCRR|~zy zRzK5fFMc*vB0v$E-+seV(kbJ7MerWkW%6lZdx8@_N>&= zEQN~x`-P_Ql35!~8yiR|NeZ_x5Ay*J^95i|fKwU(1L1(1ITo-)a8umOY&7k;nWL;A zrD!Q``Zk)CJj`-{x#0lW&Kxgcvkg4F0o2CclHAn)3=J*rlyj^UH($Vf;bykAhA>Py z9 z;YpcEz8YHw?#EyCjS489tIL-zaoIG1ni(%Lr`D;^gTLvve5+0qMx>qQo@vTOMu{J z?glu6oO14Gqq)Sx90c&0Dn-+iCYMNj?-=DhR;ePM7CjHMI2ZE)&?z}csjiJ?pqb`A zz(Jgp8GzA0fz|-7XDcjn0t6THOMn-^7^VQ)bAL(Gi1OIHvZ5H!iH0oiZ{sDr=9(>& z%8HhdQcu8c<6=Gnsv@P#aPctXWTn2&Qh3)>;xVPH7yz-30!G(T(`!sw(HK&yC!|?9 zz4PXjx%7dp^^J-wDUZJ<{QBZKeZWn+=#grQ3hm3lfS0;de_ky((}Q2Q(ov4)*{p%J zhecPvxnzq;TVr~E)h~q%I=QF$-Yj2%>Pef3FYMmm`K#>VM&Mdb3p4mooN&AGS>PQA z10w~Prvg086Pc-LfOP*OKyHS=+_5uUrOGm!pEd*F3DMxKpHlsV3sR&v+s@F zqhQJp$Jj?6i1StOuJ-B6%sAYul^)Oz+K$H1TL~_yqei@jiO9zEZw@s{sK0^)`?0l{ z`vX&HO+U3i!}b2`-|PB5;tqTfarwAHV!HPc%(Oswx|c8}+`u0C|<`X#IjBck4IS#fw1)}s!Nx*-*P8h87uXazwdndL7Oz7};ChId- z@$`A+%O7zii&37%AHIEZ-lbvVBV?FuX&3z7$8lwRLw)?&%DL0SLpz?eJ-Lpv$Xh#f z6O7a?D{NPxJ%HTB^C~;}&6U0w4O{JS#@o_+NCG|K@8%ogtAQI%?Yi;LwT`VDi0I`? zQ+^jr-Ao~?V>k81tguw|0c1!LG5G6^WTZ|wE-sB>0#2?Br`3s#1*6AHPPE>lA-Ys_tCQkGV#SbJIsc zxxZ1i5RS6BA40c>+}1ioBchj33tAmvKP?zBKY+O1>lEfp2!ghfMZqEAL-*Xp;Bey_ zYjSI>Sj(>Hh{5{hfxZngH4AgsAzl7I*v)uZ9Cp<8^R#2h76ScgWQf?vEBCBgZBip& z7bEO6_%QmLPenxc;R?w4sRqdTa12#%8tH zRNC!t{tP#zX`Ob=rK;Kt9nn^@d1PGGvREl$+&awK@3_m~W;>10xTcKPc_ouy(H*g= zrYEUq8hf+91V==8zRpt9tKa3{)Oq2=ou$DDd4yXJ%ow(Eetl&9M)MHCtZp+qKR(&W zV~Y<_w7H0lab{Q?QqP!{P5yhoE&SPdgo9X8v#*jCfK@8OwU;kKu*b#RYl8kNln<*a zbG*Dt--A`5R#sE$u6n#8g`SbitG{j*cNDuSd)$tMyfL&WGSdXrS^*wv9!ck(?O^AS zGlMCQ~&Ht+nV%F9%p)sPC0lSR>?9rI1{l{ zp_mQ9XarNXR<{ljFI{Wam%dnxLr%tH#pli(b1dCBqJW+ca+W8@z$X+wKbW1}U^<~^ zwP~Z*ixG>Je{{fl_6nLXV-ret=vdU`hMOO}{}Q6KgF8lWEK%e6E@|+3EVLsuBwyj# zi<*vcXDnFlygAQ8Uox?6om4h-=QVnoF=Jw6l=rl}blNK(^k1Kj2IsVJK`4aqjPu|H zW4EN$U;`$Uu<>#&*`^PPE8B{_SF?wu>r(b2%;q(a1Ik%43MC-fAENN0J@K$~f!uFV zI$>3orQ$i~XCo1v!ME(zX&8ibjcEp}p9$PTh*>2!7357*eU!NSjFVSqGNJJSywKM1 z9a6B9eKLpFS^Zt0GCe3m?T{@i%ivS8RabIyIFWF{xHj>QnN>?NNO+#^uf><}JT>O8 zH?4%Dr`s-TD0J;?wO^tPQmR?`B^BgzCqa!j3tE_l$OOAbhg!D5;*O~1s@O!se+UXpIuS-);#XA_Or(W!U37OdOer&QUKKGNNR?wU($d8FBg zW}h0Sl9zF0@hN`V^r~y`(BlqO*W+kR8?dqIlS*?{S)k}#Xi6ma)$q639ekEUek-UE z${S6FT%9Rt6KO(3t@o!Wz(|+i$fR0tfPHO=Q|8Py%`(n}&2BbskH~_gJ6h*#=J#>} z_9A;s+U!QF*KVo802zOV%D`YrRETT>YUxG|yByI|sLq*=S!Qo-=dqskY}p?x?PE;x zG(RQT+lMul{pg?02i7G~Ioy4IfwJ3Oj?J<}rrp17t?-0PJ;Av1b27eI-3b$`58lT3x|ks{42%w$K@o;JH&DfFpq=8#-ctKH=JQ zBm~jc?_iA`)i!Xp{w02aISM-e-fXz#R$SD{Af>NHIGCQ#6^u!+D$(&#{T@acw*y}~ z4M@UQ%UGmdIFCS1B&UWxQx>#!S;5(BSx@pZU`Pd{dy9R z#YEVBpTEoEk`~DEH~e$#FL|+|Z>NdW!^UDrJhX*t+9VXkn`>mxnNC*KaA%f|XiJ+T z=$R)-90C%)f;ags9#;B&vHN|$8AN-=MR~H?y;_`B!qJbzJDBEg*gc5%L@KhxD9z_|z71({ zvuDAMQ^6wH1fZ2<^NOTMaN-eJA|r5d2!%DyaTjTCGFT*!0Ays?Fe~qlhY@c&lOU2o z0HQo+3voO~T7)ZNj>Iw}AyKwS82C!?+e(-KjS{`XvNFVz$3ok>N)0ySN>};5hTK4o ze6Fh~VR4i68l0#?p19476#YxC;-9RZKper&MUkgq6 zSLMp+Jus{tdq54Ks+3ov=Yr6wrKn+7dRVo|25sFzsW1{AC&(&9&-$v%`*ri9lR>_fImMD^us z%yu~avy=h-?=R?$;)ux(BFzUPhdE5IgO{S6=QCYZLzF*(m`LKErRku$)N5!E+AOD8UG+Zy_G~m|E?GWQpn$VanvAo|c?83lx=M{)>yZ zX{|hpQ^V;CAzj)o+JAHJrWDE0{Jj??oGaJH5bi26gnOyfV^SOQkaQzi!^M38&qN7dvS2HspvRq%)|`;txAin`DOM>WJrb9n^+9|h zpagEv0}IK(Ef|(T4l`yYfr{b{C}9uK7=m0Av(!gT6aTmhh+@ZHL^1hh)C{uTT&kaFp_Z zqanxwlY`eS(qfOWA)gQE1HM%nVon6e{`;C4!{50z^Y^7W&8-Ctsfr3G@4&< zT**nq;)Ut4aY2ToKJ^k0-XrYVy`^E(-{f7)G&z31 z-7AHM+I1MKnT2=Wf`_oGIhTwtpg-e&j0~c?Z?=8INkF)Eto*i_&$EE@IR~|~Oz*D)JyzU1+b-WYzIJj%b;T2k5BB~U)wENp z%NM@)LsM`X#qWE?j9DOk2e3M?W^7&_bl z=Mtw;3%F>B_y`*m%dhLHForv)-0lBFzTuP3(EQr@JI^|3GjP@Jv#?KE&j-~p#p;Hd z0Z|i%W8A9n$q$mkFh%`elC_pZ!m7ngnFnc z)VSrMTcBX1;RrFwfLiZ#4ujc^m!AH#Ph!7)sHnb8ajFW6(tB9$uS^I&{Z@r1IOy#h(O3~hh}&V4{Vsw>;}21zD(lA@ zk&h4h86vAMihs9sg&mE+AEds}hY{=&ZqN#OyWDaM+75;_qOHSh6kph)oJmx=dv3jv9Dj_dRA;dg@J^Cdayb;ohGnO)Uq*qT9e=1?rFL7u9q^=}ZMkKH+ z^+Ak2G)tTyX;zYfcVO5L>;Viz&_Yu3%bi6q%!wR^XrURnN%v6N{R@y}FfM@nluk6j z0EX3&!|DM_AE43ziVWroP#6H!42J1q51;_+aqnwpSdIvYog6j_T(e6f8qmapQt^8! zH=-i-Xzjv3_|e$&fOwoFOEww7rxLRkG&akj^22H(`yu)TA(^&VbX4MJspuA>Xci%W zXt*D^0K+`VVS_C+GiQXg-ZVeVX>glRli~}!zawj;ga{4*A}4Tz3J;2dw!vu#Iw;#< z)b*yRrrV*$`i1^HX`S-1WJ{i6!_4jYsn(c5^D89rhYVQ zW;Ch*Uw-=0@SD@9R_KGo{b&M#6mT`jo5q(LWUIpltK{LL>3RD;|0>=c;G7T`W}5|> z1WGiEf{rXK0`rvbsr41`yFC8nmgyzLi0@UrX86OcRNoM^qxm$MWddk^jeH*Oj-kQ?`7I*`)*x?RPpdgvMA7VEk;qQzkRlUZ5>Y9#jfsD4n z3ZUi+K+TgcO*<#(=Thjie4_h-K`?9*d*IK1!>;E|Bg6#~U;2j=qs@@r5s7ib1 zMu#IKpMCugCr)74HTHn%e-%Ce_~ruXp!X@95w-{YD+#EG>=6r%0^UFZHk92Ew09HzTLdJ|3G)08pC;E5)#fx5|5fSu6>^gwVrTWQ-TD>e z`s|Q}>HnYyC`%u(2ZsJ@m)e)6%bJG2{vST|0W~Hv#LgVc!q`mln6c|z!hb?y%Sp02 z;{|LE48!R?lmD_hLxN%^FG(owLzB%3GW<6YEFX_U?8YUUT7Y{DS2u9%6LN_2S_#L!{c03IEG$nBe=vY(6kMQ{SCniBPoI6)`7LuhYBjR65tS$N5$ONkM!McLd{Rw>vnLP15kcR|L z^dnE4BgWfO#~YEx9>D`r@^~XU*dtRwN)T_v6MN)6F`iX4I`Ep>)>I6-TRZxeD_Y4I zjUaR2P4iHboN2CnA3AlnTRgf|fP7N%N$4sQGCnSf=~J0;<`7PvoR z=&HWBJKL(GR-1o<6+YD7X0B+dVdo{ z`)}$7YMESzbt2Wp)8grRq(ltB2a!&2_K~UF`u#d3j#&KNOTT1zl%b8^%ZBI$0bWB- zZ-QK=qu9EcA0du#UMK$H!3>dT`=?UYVOOj~HtyDTY=Ub}yeR?mMOk0(rbWs>-TAE- z9@~P)s3wsYSLBn|+_|OSXppAf(-7Zi>9<5AsxYbNOt|X#Y>45omLogsL?vwMeqa0w zog;6+bS+wJm*h9!DOzlaa_n1|Zal(Rtdz4{CU1yV1sNDnk3ia`?OGlaRmQXbHD#q% zujCQqxx&+lZM)0kzh!-+USM9DVIJ{1%rO-?7K zRFqA4FI>=>gQI`a1Z{ZLLOkvy4K77ZU`lF0+3i1v{v(P8_2cX*LHs{8C-INRg4Q~D zI@~q`Y=6`$FflCq2HCEQGhxY*MBV85VIyAI|80v)Ui9N8{4}WhNtthY53a$-Epaqs z-Ov%lS`Yp`K{~McX;VR}AjC8o1ulk1$iQ;|eRGUbRax|>mjfgG$d2ah4`(#@7ZVE( z%{{!r3A?nhWRbRm&|9kcLhUSJ?>6V|pF{`vq! z^))UbE6+jBhr%@4&0CDX(ZWxxecq%HZSK@?IFE}_lj*RGgPW0~;joN~@arGTt~mxF zNyN#`;yl=}@oIF7`8aEG&Gn#f_)Pmo`8`Tw_)N2g`8`#@?^2ye$b1GpNM?}&=cRSs z@CpS)Z3ky*T*s^gF{$Sk*1Yb0Eg_=tb7D{^u5KTLL4lKB==kN?t3mQ&CDCWEBum#Y zfv3%w)gwSPH$SWl>6kM=FQM=_hv#60k={#jJ`ep7H8LQmK2{wliJ*HWL4;H~kC#Gw zacjLWq^WuJ3bXS2E9KPjYC`LmzvNq)KwD1uKnLbVV|C$BrDO^1Wljz5MpbQc2ux@B zjdFg4J+;L9_|Mr*te+?{<(@btxg9DjT*DkOpj02MGO?SvEe>1Ug9vC_QZ^^?2wyd( zvsjWolNX~*p!&mPh~I~`*rwYJBix9F8DcqJX>CT=Ie3ys6q)}*H{3epluSl43>Q8+ zt($Nj8O9pEDaHM0H~oE$EK=4D1(8}Nr68^F)^q^H+m6hb0!@J%g*Jk9WRk{e@j3Q$ zw-vt`^v3F3BySxu++)u0xq)a4MPr?aHhMW>5 zF$A{?(CwUrI~hj`weZzC1ABrOJ$anu+#L3b*sI%Da!n0ReJG>8cc_DV2~6++5&U{| z7tZR>XV8Hb<4-at-gJjTaHH)0wpYz}3!Kc{z+ngr!9=SP-l8boGHvRenC79@*G#>q z7Q|J(a!YBu4d45q->Ml9%c-;$ULyEjag0UL25fc2v?MWO6@wvX&{VD1en%PDud-YN zNbB)bAr&BXY&u5<63NCye=4K+Ytd&lB`^?|~q-jwav zVvYj)Uys3T@n0R`)|bt=HA3&rnyVQH&EB^_aqhy+mKAvSzik$MCX~Rj#3Sa^xfe9yi#a|to%FKM^0fs_Z!uO-rw zGgba~Px~_qmK{a-Jbq`yZXWc6@s)q;XXBKtXW5psdf4MDU)d z*1(!eEQ7S>zRyQF{Lzr2ceyO-b5?hOwX+J|oX75_Eo&e>z}8eQt>Lc`YSDpem!$BQ z8sEJbVn_?9zTl{@jFi+{@0ryT+aD%m9UxDT4rzJ0?5%&D_TwvDef6bpLh_V;f6TQu zbS?Wjk2~%1wvO6-E6laVGk7j6} zW4=1`O7;XJ)M%-!uNu-k1DdLT%KwOdb%2|EZVDGCw)V7bfCSrwLu?VEDq<>NteQf^ zD=$ifk6C*QsQC{PqUN2zlu(^$ziUEKDi!9apT|o4?h80;v=_~-7$PSa@O*vRop#T; zK;;!ggzkpbp`K}!-VBP0XQp#tMA2nG@Ye+mi#iA>lQIjagZX~Ha|(M?%fBU}HTt)P z_V`!d%eFQuTH9qKzmr&_0Jm7$C%Qr`nv?iM2Np_>(8lP*_&1ULe_ye< zyE%jIebt?LQ~9yt>jo6soKFR~=25LajCxLZjyR#ig!*fb+*f}sxXOGgWVu3eKozOp z8tAHYn3+?Yd>5H3u0HwDTQ`9b)G~6BBa<)Aey;s4O1|$*`^!k9*!C}3oJZBFD$*tN zI0KPWWv7aiBD}xCF$z!R8k466x$lgZI!Q`_C>BfoKi*I`PW~-H?X#^`GVD%i3ZdxU z09)ln%%7bL9v5$=&s|r(Pb!a=$&X_@=lwFm6MVj~uw};Z`}yg(kf^e`n;$uwf4gRO z1;^nxMV`M^sCm{0nW94$W0qRqk<)U^#5`fi?jc28QOs{t)=uOZ$}7e#tKGrx^DgLs z^f8|1q)SkPZN{#bkFET4SQgr{DhT%`V6_>|=}$@S^Osggti(k-D1szNnyNT_4#{sU zJp+m$B{L9RY?JP+Sd7Wcs7rdmA-@H7#m`j6=LOp5S=#4+B9r+fSD_e6e3DeEl>-A~ zuEOllrUC*gyR zsvVLof7t=`a4d=DIi4RTkCQ+P0obk4x=q^ANLT=?3gsIa*eJ~HnNGK&d5~M zns>CJ*oS{!>_KC7GJX_w;4h%yEgWJXosOy6KbhrwnezC}u#qcGcP%@vg4c6mC>8Lf zQGWBkc?OMtpTHR(c@|3AZ7xo`A+NdK$|M6-{unO*a8&mE?Z9uwZJ=fXR8@deWaPe2 z{I;14PGxLufJZB(A8Zoni$yt5(!JhOs+XdMcSc|T^L@$x=K@2I07E~}+zKOp!X6cY z#YCL&A$k|^qM>Pz-2DSpE4w9YQ!A0V4NmUXmGmS{{AwRwwywI)t*Y6oj>)h z$-R}-ry(^+oBh294Cz-lW8m#bVaThq6oselLAA1(vDgCT(u%VbW}r@%TRN$Dmg3!? z0kuYKjU)}l)l`{-BmLrZ*d&zfj* zSB=pR6Au6Udp;jvm+G5iAbO$b_*C*9&k)AZ$5I}vGOfDxxQJ}11E@x|i2_jxtpWw) zeD?2D1YDEV2m&&9JE89xeF+i$%wun0yHap>m@1=C(yj^g1HJF-qT4XLAb1eLL+mam zysiR`Q#@Bv#3Q+=dDeT^Ra%t~>^qgHWvuob)z0$%e1n!yiVw^^sHY;scll82#8N<1XIT=|w{(Wocl(Yu_L0WfXo{ zbhqag8~}r^0R~xee0MzjN$3|~ZKuL_-O!zGI}_wnpHa~S6w!KTe`q~c0aVW29yBSN zc@(YBcrch{YkjHwrMA$GT`|sRPE__k{+N`3do6)eu?>`%IIg+l`0Nioqagc; z#_xwmKMBR-_?iIB>wGrWK{kH#8JG@-H61sj+DpKkX)yhoeO4P?pscIW=9M=1;80dC z8&}}WbE5_pe_LzZ99f=e_j&uwueVHVU^<2_lHINxfo4V#@5fX6n>m1jO4y@=0YRQR zlN|qZl4eqHtq_%Ol5>^((-8T550Qj`fad1MQMA47L2^jAeXe3`Qd__xQahh=@M#o=d)59 z_^94XdytemDh;35fSgvG$drJg)23F09smya-l= zEQ4*R;aE>D^YV{|?@Pr3m0_p7Rw_hW>P&vT_&Ckvh=tCk*8$^7riiC?Zf~a=@YSsG z_flM|w!&!clhK^|pH%J7_ExS}1GDHnCUaz9D%|A2)3_lDH3^(|~Od1@bNwEo>45b=}1QdP}_{Y7cl|cxr6B(yjoH#LG2gb~N)wq2Q zxL6J^;~PVnm%pD0JS8sNS%(ES#&@b*d>+eF>T}Kb6A5^&f91Z`{rxemUZ5cQaJhnr zFOx2GOu!!&h!U31UwLcW`KzDzhgHXrfPm2CLIGW5n#WHaQWzQK7vw>54s~C=m{%m< z&*D8g2ocCkrHoWhiITZVgZZUZ*j)ZUTqED1Yg^}PkOL?SN*0I)CWzZqa^06^^SIh` z6^k?n|EF0#u?gRyUWDtABoS9NT+k9dhNoR4_l%F zQj${HQYzy2e<8NkpM#*V%d`+ z2zjBTl@!d;RS$n!#7~6{J?KyRTW*9Ltc8_sbeV2ZJ^>{^BeS1lKgcin4n6IO4?zqy zrM+cRufBt{5ab*%NOinj0esW^k_NOs9sij=lY!n5Qb~J|si!W_>mJ+qw)rzocWIwj zy!vAz)RnpW8v0an8z}9;fY6H;ll5TPUL<0IFO8DSk@#vGy&TpU4N6LVAKj&P$p=p$ z6m4bt(pqjy@78_obt;6KD~1xj3c!qq?l8G)2Xr&; zQR4@s>}o;vrfYMMWkik~&zj~oLx#tEEFWCOHzM7`ahz22aBz#?+*XiKupP1gnDepENJf)U31y<16Y`oZ-YUMN zX@E6W>PQ}l(83zsCDU|aP+LsjEHqY;WF6EQugHGb`X$M0 zlHpdokX?5~(CxWA{-cuCdGc=Z2Rf&GIR=4H%Wuh3@vnVYS^jowpATI#n1YPm^jQA- zv$Qn_jDC>K=wMCrM@kb}=-Rp~R$7Zv>`GJwTbrI2BN(QNk~!eXjEfof79OMDKW)WU zY&~EzP*(v*{7xTVUs8n+k-E|#7?VBdDf_MTb^)9z8eLp9pqLq+ume8l*{B((cYwUp=&?0 zf2J()cWL}ttjGt0EuTKRsc&Oso|#B|jYT)pJdW@=Rjb><#ep$#6fwXUBRBW+++Om}35ptT4d_5 z(7K%b!*q=*L64C5QL1{IKg#qX;Q2`{QG4JzQ7h+7mM%3;rfC^p|+Dky=J_kvi zg|%mMyc4dB;;3-t)aweSsXMMsOpOiMKz{%SrzWth6k+}I7O!NB8DLo#!Vcux?`a}o zt!&aqdP3SgkfVK|j0rmh#dihMn^n*$8T}yF>JHV`E*@TC>tTDr%I)WpJ}vl}Gj^O| z)OY8b&^v}@F6x0-!pC2=N4KHI@b`qqibofZP$CaZDsevI1oR%)X^eQ(-avXmP-@@D zXKJ+53@jfD(d+FD?u`tP&YExX?PH6f&4Wp?%{P3~P3$HVcxkeR3Od6i!wK^nCdGLZ z+QXSLJ4`!i)4zCk`m(yY6vYx0BJ<@1_3$51?CK=r=`TC<9#KS7`7rYPCZEAe(JC6- z6}8rLQ(hM2BpW_^^z?T&ceZi%b#`{PaOUT%vkB?gmEb&quV{~mqPtD%oENJ7@&UF& zqytSA{yy*1F(e#*{v-Qqu%OD*Tnoe{^kXf(fxBI2B3iVCSgX>j#x}l#5A0lFVUS!0 z*rWXACZ>H3Sj}_8>9)OF1qdzq)6B_$SpOW=O1l{=j9~sas?aIUi7M84!Qud-MzBem65Ry*uvo(v7e^wBdQI*_vMT>yWl}#!}%&h3uw_wRpoTJ3s-J z>U~rUJe(KI7dmEC-t0kQUJWbO?FzQ8NUO%G7$2Aqla1CJrFgdNikQBXo_$fUtPkKn z1>>wjJL)A(q|1uwL)w7W@I#yq0iBlo8?J@qc)#TACa8+gTNQ&!$m(ZEX9Xk=4#}&8 zhELXF*q_LqDdt<;j2Bh1QCY-;>@TgX@gRt~Sn5Zp zymK?QTq8^CH~4#JZD$O-1~E@S+_=NpVa_rhFX8ObKwt|kxC*QV($0k_gTBOf!r&F$ zd0q5lJO7rm?lu3;M#UyrRr_PxO(oMX>hsh==lHLS`HGrYeDB=(6nJQtr6$t!QgY2S zyMl?O7#EWRlT`@}VuVMtgH3oK8!l0%1u5a~pX~f*3fq?XvE6kv#(y?TjqfCG znsoMqx;h8gD)DnUaSub2iQm(=I}u%gM0xZweY7$2oMaWm(BY^!j>4l?3d``x!F+Uj zBj-OW&vpYk-2w`?j%SyI36B(;1Q2;JXSF7D#H2)6z`hmJgsV>h#jg`B05kMyV$GJ* zx#oWovr8~xzLjlWx+%UD3X14%D{H+*f1m4O+-aNMDqJ(4e(g|mdVxiHcB>sUQ@98< zh`6d?+PS(MhZ{n<66T{syvFf%vt$Zlk|~9VUf47ewnH3m5-f19%rxo>%8RDw%Q>aU z)B4wVQLWL(>{KJRosPl_wkDy03T$1{DX62ti+W;$3X<_&Kl$vEwgIgJC4 z2xB<~{)OgU4UKXCw~f2?FGH?xw|08Dr~UP14W9j!acOymZBD`Lf`(v*GUDewyb9o-|t77k6?#MD{n=OL(i&COiqh+`#Q z_K4}JhAk4$J52I^%y*F~qXGi7f@_K%+FXszgM!EY1l{VzliwwRgVw(e*Qx>$BK)$1 zTt`WCAGTuFKCU3uT?>#?xJ$Y?O}pY@*)O=JodIEtK$SBHlgt@BH0l}+U%rtD)3_PD z5`!lj?OWt6zqXm6l8B7p85ypf7GXrJqt$W*QJCH7W>)b0`brk5A2wP`XJI$nBozjB zl$IwhgD=sv*_2>j%r@6}-eVasUprZ+Qw@Gs@Ddn>YU>H8)3q$W6AM}*(|r5&i0bQV zd@)PG7eJDqR&i?b`Ih%?`9>1IS=q|+E`OD-vyWuf0)j1>F=rlStGum- zC5u#G^<57t6lNPyx4xQTWn6xstGsK2a?sqG7WRUDA$$z)ALx6j>RK_>fPbRk{>>c* zrxrjAF6)Z8)}n6wFSMKX*wI}MdDG(yvqA+vW*4py?i%BBx z#AEERY|I;3F7b+j%3w3KhPJDGg}!@#f*rh0$%erg`0D!7Tk2hDX{4Kpk_N-**9^Y& z2;pEfKg6Q8&RT5OwIN}C-ymo(n^%t|UrR-(j^by3L5cze5HrHl5A7UN(^r z2dJqS6hUgRA=_9OI(#j0v}xqaqtko5v^-uS_qS3uzuNO@S2N?-XFX-Ogn5OzczuX2 zynwaU(jhIpO^(1Ot`xqH-lN6klDCaI^T!ZsN_R_v(1Ud$@!A#te*Pth&G_((hDgLw z#klO?{!?}#elM77uJ)mDN3&&B@bi$|<0tOpQj#aFe~JUKCOf%+8kf@X8wrQerMV#U z(UU~9Z%tcFJLOAJ-d#*P(+}cFui#e8E5EEbq473zeUsn!ND04>$u2{lD;Dr8#PPi& zKd4f`nJtO5PcF-GD%`Or`sZCPWnW-_e4-_OAf1#bcE$d#Zd{Cb(4ROKl=ew5=5My0 zO{2BxPp$#M2rHfo6@^T>StS}D4+5M1)>(mh@%fj^0XWT<1yJ{4ni1-~8(X*z^R}MQ zBb8m9_=Db_TGY)j6ENwe7s)(Du4;ZrYI&{j+iM!~Rpe#QnyBm-LOiuogenyB!T=rN zhsKdb&dt|_<+`mR%${js47?&TUlq+7pEMUrzIJnbh8Ul`_D|R9HjPC;K_qCHqc%HO z{gE|HI|6pUMnD3xT&Bc7#FfSuDA%xumvbAljp=!KiGPqAmyLCZz5A-X;7P`l!*PN( z|GO^e2P02~N)t08F8Z??5Wh(ldO!fkv<0Op###TC#{BLKK%#w~^4$yY5J~mzS2ug# zM#Rc#j?GQ*Qwo-g*F~u8vo?AY!0)b+tsV_11L|<^50cXThcl0 z4>AI2Z_iuI8^f4J6Pn|A2^zQJ&8yTkk~!4+{B?L6eFXWS@59VhifV%@x|`}SiikWf zy`aLNBWM#Af(qs==V1u1fqBul^C1GEA6_k`*m1xEV3BFml>V=2=H0nv0urX5HBiPc zrUFq4{!qa!#sJZfk8^7`9Ps)-;ZRw%f~}cV)ggFoeIKH&=Kl4N#AZZe-2LrGlzvDw zh2kaWM5it(%L_^zd#e`%S_>DWXtwJ;Sbu0DWqExDBjeuveNIxv;_NPO9V3h?B{@Nc z{Dw2b`6Ic?6{qk)3R5dlQpM)6WLrVxS5T;pJ3i5g>BDXRdwyW`4dQNm6BVz9v4pYG zS_pZ!b0JXKrmC5e{z-wcN&nYT{ z_pB-!?;8Gt^W{U<^v;lmP|V+fSL2L~=3=igFWx9*swwr|do4)3EIs;=%=xD*-YRnn zsvwqgJsHKFBez!-2{p*f`s+3{{#pM|nCKm{S#!T@d2)c-E1~>dwtpM>5TU$X3piHv zd)Jee^5yWj={0l8f4m%K~k0c@Bfz%DzEvOc`!px|l_CpAn z^!It`>k2C96&LIGIvLUdDPxqzMGZTzsrBZ>-nL(}xKFf;Q4StHn8Z`ImC_GDwBli^ zArDMR+LDDM#u~yE<6o_wb0zWi$u(9FW*`+;}G$)J6r62?3I7l98UYJbTe^Y)A1w`D5k%>M!SKnK6g zLB`KC!7(g<31+kLwju8q%53g7hTTiz0)JGU4cA=lnD^KFU9kf=O0r=Guw6Uf9JXFq zl3bmPCiqB&&BMl;DCT<6%-GHt?x?GF&xZ|>LbBONJ_(ybM=|ruT*_i&6!ZRUnUk#- zj)MI)BcYb(P^UbD=*2`YCAx&@x4Bat~6i3vea<4C8RR{bT7(KD6KlP0cTZJpS?iIe*RZ6!s0-)EvuFYu*Y{S@?Cke^0YR%O-U?EgiXYJre$^ls zel^B*UxbQZ3iB>e%x{Ewmni1{vSl)#gBVU5Q63`Tj}U!K)5upqef{P=$lu6#>bQeh zBliOqXt;*(4;upS5WqVH@aZ6dCx5V9(?WAegPC32bMI7 zwT4b8yTKxpU(FY=a8(W4iVDhkXV(GRZV;k|k0R922}+dRplA|JK&IFNgdSx&Od(4{ z!77bfu2$6YihqE{Q^$Rk4bV6t2emYUf9Sz*7(xGpzHD}G7G!2a3gkmXm~R1ir|B?Y z8gk|f2t`$5Ye;3b^sat<51G7wO-eM+LRy6N=qTnK3+Ib1faZe1!F;$VJr&wuAq}&u z3B?*s3;YlA`)}QQ$ln5Z>bMWH761-N8LLiSu`E@E*kDYB$LUoVVuwEyc=HtUaPn(% zo%(l8C9fgG{KCfl{z~Gh<36BN@+!5GSe9BzT-sojJUVtI|B9&Oa=MBkD_QMW)v;cy zRB|486Bg#nI;@$(c|Zt0bN}?!H7LDv4#Om23~GtR?$)iCf7!u`1a>Q_1Ua zds^)3HutIvB>|S;T^D{A)Iw9q8;Ork!pHgz7f<1lp3HDZ6U*yC7v#A0)TB4mq&L;1 zx74J!)ud&?q z6*fN*u+70`r)bG$=H5w|`C6B6HYy%J(S{nU6VX;gwV01XEGH$mI`L*HZk zmXD{7dn~hj+|mZI8xE>HAezCrt}iV149@KYN8{nIH$*s-;aEnQGa<^E4T}oF=ntKF zsf6KBLi{o~ihh7*SZoyj683-yIc_~L43+ih4^eeoeq7s;{JX;P<6tObRBlgtu*l%t zpxEW1LoJopAGA_VDO@iDpoC}4ig5T08m0>M8F79$tMDf*hnlk?4@5>XaKqJ zs~PZiB`<0A-uw?I^A@>_UjD^SgBt)OloBco8Le zLf8)65_5Gh1TS9n_OPFmMBAHy*_GYS-@|mgZ05OL5-=YNeZoRA?3UFi?2;i?%zAB) z9c;x6ZK9F9Z!~NSVD*yEWbF+5LSXZpxfe20gbfM7W|m`MRyP`IbDBmGOBF?&D(#SCeX~Nww9aI%-l~ zHL0GOR9{VMpe8j`lQsw@&NynF)w~ z6vaGW&kRVwjG~yUG{9VnWIN_{^vt4rM@b$X7Mn&fUl2BdiDG_}b$`Y22xhbI*Z~?p zLSbg+osux07hPwwpC@`X(JP7GM)Y=~&k}u< z=;K7MA$lFr2Z=sR^hu&m6TO${{Y391dK1xGh~7=~2B5(x;12Syr6>Sm@d=RB2X+hM zlfUFEs_=<0ZDF_2DA*&|hmJbIV(UzZRNKNi?i-u~bS|6?q0I~Pfp-f1b`WrNhJ{S| zlwvG(8lV2W3aCKpt{`=Ct^+*7X$ssGJ`r+t%C&)KK~90AZgA8Yj=DgOHo5KKmkyNH zG1nFTIzawz@P8WcPJ&~+r(DdVaLyR4@STt*r(>^>TAD&s!=__+>bM&b6~&Au7Uc=q z02(?71?^KvQJVK}K^~kfiXAKqVJ&uEa7v?nRP4y$nC`fv)FkCDg?s^Pr;hwPKq?#g z-+@H|%?ukWi(lW!59gqbw@6Xukw5hQgD7U*{RdD9F4^qV8`IRpe+xl?*}PxjS90p1 z%x1|=qa~Y}&#$eznE-6Fw)yH~(aqqXH4J(gJhh3M>Jy?Xi57^yNAv@t?*I+8r5GMBWdEV+nFLb#AkH3ITUcutEu0wGOnp>Chg}Xps zs-NfqsmxFOtoIX*As2o%e&S0qFSF%_`iUrJouBaTQ;K3X+${vv0K17|UcUk6^7{#p z3~`19v*A`FF4^oSYKH~+0=AiXtFS3miCG`;ZfVCXsu2u$Uk$sgQ<4l$5ENKs_7+79 zZ}PEI*k@reYe}*mHs3*^M9YHNY}>nc!O9rspe1IENz^(wkJh$X@&-wmH%h|XBnflB zu*qCWVfvSb1YR22-}4siB>^5brw5Pb2sT?oED zvE{WUoOp3>9)uXPV)JiPF))yCv ztcQ4MN?dNC{rDS@gw);XMb1;o#4Mlm9}9E7fTpc>#+@LQb;d9Coe>;&4!^$62DWmn30+IGWiU4IKxH&;)TDwwZYwaPeg`w~1ypyS~uCHL9tqfhW_z z7EU*cIW%w;%w~nX7#0~sNxp~_CPFFZ?&Z!$u`kYXJCUJwA=-^-AENz;?m%=$qPq~? zj%Y8UJ%I*?ihIfY`4qU5f2hDyc<$=(7IFOOBO93CtGE7tJ`=Dh10NKM|Ydm$_9a*jW5lho?nC_c%Z=@z|tR^*6 zlbQ#U@&&}DYH(*rWg7fV@7-!ZF8pe|+bGf?_BZ{V50BB(GkQ09g;)FLsi`Y{SUtRb3Ku!8kP5MYp(k$eBtmgVeP5M+#TBRm^rY3zJOv)Ef6RKtR zf>dVNEA^JGj@zDZm`bWQk0f`~T7ut}0?cMx8k>Z9v}P50&6-#|>JHblCQ(`!xPmnq{-)72 zulDeN8vKG!2oaNV+|dau3&D{RroDUO4{RU~D(XSvyp}xr5u{GX?|Sjnakpfy7niB> z1!Pn`Rc}aTp6V05r@|6MDRL>zGyh`p zZIwEqDUe#$|zfS+O)v0&6;J*<%J)jGM_K%eT zX0uM)tD%$F27u2;#C58RNctrjZ9bxCv%O!NcnZLnHhU0@a&_A7;!wVT5>*9bgwMM8 zYQ2JSixhq}VfGbNMYE1VuLMOghh7O1%x33u9UI|TV2e*o#G3;}3tdm}uO<3FO*=gb zwU(2n8|b!xHvV?PQ^#$~+6g!yMe`ICEK6;H{*cOA;2V7lKn~2MYk`{yyjc&LXL2=x zlDHo5md# zPH(UUu7UeB8hD&(KwLHN>em3CI&L?nfq}pR5rW)0vHk0T2aj;-{1p%#l5!~#F&#Q~I67IOI2$sKN;C5%c$5~v~m`KIq=Cnl+V$X;t z06@0(N?S~~rNjaV{4kXSGy0y66 zqJ4LR_Qeg0W$Gbcz+R-f&jFCi+~@AFwy0S)hBX8Aar4+G9QS?dALeK*bg4gzc_m$y zF>HK@Vy;aq9c40KOirv!=3OYnFO&H-&C-xJ-iSJzBd^|ru}wlHo0)qiVeXNHxg{-W zmkE38B+Qyn6>JVdv_25DkAX1FaX%#s|Cs0xL_Z_?8_}PL{zCM7qF)gGhUiyBzXKW^ z)Sjf?BPO>Z%fH17cAIB)Dyor1;0E){wae;GYSLO7q}0{MbJzWkO{|B<-^V+I7h))9)H9VS`)mp%C5EKk6&wv9TySfwzwGo@!5d* zpEtfA~mr_SKoD{JUZn%Dg!#zLv^-U1O2v_TP?1*KKaDv(F zbGiSKiAg!l%&pWqM6O@e7hZN zKwW|j_JpfguwoX?Y?eGU3GDXK%;w4O=1G{lGG;ar#Ak-#M6e$9s|G|jBDy)z4T)|> zbOWNTh;Bl3OQKs7ZALUtv@y`&82c2do{zEH_{Ug0b=+;)7%P@Ml`$5kHewnfCNtuW zr1Bl?blQc66Si1o3bE7Gr}N;x>8IJk zrsAba%sXqD`!O?M%)+?}X0z$@=>xmuG6a^kM&-K0A|k#*4_3jb0-cC<#%Hj~bbRQnJjSO#%42-+r98fd<-zCp z%k*nmnfM4_nf}XBrDJ@NnoP%+(8=Sy8SiX%{QksJo*FFulJTYVGg@))0vB#-g&De@Sfb|8<@ zWaKeEUPK<_up^KEkG=1J)2pcZem31uq>Bg`*ejtWB%4l6*@Q$wh-p%kY_gj|NMjR1 zK;#WYgNO|g)E7l5f?~stpGe1s4MhWYg%@7*RTM;Q7!8Lj+4xbJN$2WebI-|fbI-XibI&P9 zb5EbJx#vVv zOgfJoF!zm4UiUAt>A!I5{VNVnUUbsAi><*k!+{y#q(AB8^@vlR|8ejPa9~C_FrSip z%-2EFHFjW58jZI9R=2(O-?}?eq2;6%<40zL3E^H$=U*TF>C%wP9Qnumwue8@SA7W8 z66iowoRpsYBI79FMhzV}>QiIol?L`z0KbnSCZ1HaTAjRDO#DE(om_QklG{|kUn&aoO2E+?$1Q1wLozOniUbx#`v9lToM|YY?j>bpPw%fj)vztWEhwAnNVj% zWSx8L^7H_0zzc9kZ^0U1Z-JH7UWUqa8((b={N`ywJo&|8?o+} zFiM&!H5Knh0fPUdag76`Rf6LU^anz`4#KWRv%!2n8f(Z1jpC>(X~6>pm_4>da|@pI z-}*xb&9&P4RwAi71Jibs7zKRhTc^q4ozZemnLlmYKa~~tofr)PYLiw^bvHuzI2z4i zJf2b~j2E7<{ZplJDrX7aS&BDW@g~=eDe*kP02Q+@h-1rM1c{>Lt-)tNws2{!cXAJC zz3EJyluAQ0TF?fWw_FP9wvRTuz8gfY2zP>(N_kO>Xerv@SZS55kRaMXT>r_Qh%L1h zGDbV02~QXsFH*|5Mh0lye=Fvc=F^X)@J09Yxd6Z1eI~)Ha2l^RS$epnhc^ zwJtORO~d0%HYH-RlqsN`6pR4H<5Bu?(ze*u(P|>)w1-GJ!?AKlBV#n~X&13|vTf2L z3@P6~pu7#`T84|uQdZ`)u(3V1g;~w8J+`Xl-O#GCI1?373*NoxfGB#Pv6 zK{y){*G8z~Ao;Y!X_<4d#qN#phTOY*Ai3P*KOmQcvSvr&Uu$v<>Qcv#YSFNs7XfAw zh*I52hOocppoG%FcSCJKwlx|k= zQV0NvWSl0LIt}PH(8kSS_h>v}qh5^X6pXO?O*hF-l3a)noVH~S;g@>0cMDd$2ESp|C)hGihZBoe(UMAonIl+dnx{uB!<%uedVWRoS6ApX$F1LT9 zJQ>}0f2#0r>H)+DLcq}ly$#f16b4pv6aLlh!kz8ZXU1_H*+G3r0iek1S3*oN;@{Z8 zq1TYdIPJu#33Vl60M{4ESalAYsIj9J_Xj=P*wKd`CZxzI7rWxOu>)&Z;8*ng*)0s$ zO87wuH%oZBgl`g<;S!FM@LWk>hOn`NSXlxfcos#_&ujlIh2f&12*5KTz|$ds=YK}- zf4+!i=p*>=#eHMPAYjH89S9pc*s7HZws%rt{_J3ssr|S92qExDsBHK!287P|kWqZv zA|Xv}1&*V6Abf%|wgHR!quaasm^SI5i#r^hGX79=q?eVdfenP(pZz2I0?L9a%rSUM zC8|DFK5YXm{j5~0^viOD!DeKd2svZDkaW%sb-a)px|znqpa-IE)_LL#T4FQ;KYyc^ zr{0?YB6N7pE|I!{T7qe84qQjW?vM;3`Rb{pOPb6wW7{U(9YsmQ(eo8(fX*3$AT#;No+ora5|M;!pqIokwtTnlTJ!9 zX2(rr0?&meNv0i!B(dk?sB#>B*&A}G!GQ)zqF;^{7yC~VNMoC%(HdiqO3RF1Dyv7M zB=N%)m!y+3>oRSwwH`^yX`z51HT_yqB#w<}3$uG5*GUjN0FIV)s7!J>IY5USwIOS8 z5!k?#^g80l&MrZ-yNn>NlI8alVYg1P;h2>>HtVRLvuqrVaa)HpW>jon7H%GF6I-D4on^EQ9?CvHMPd`e^iooH3;}NFvEW zwK-{YNlx+3CBH@ji;3enV6k&)oTok; z#H~c#tA<~L(l@;Ln%DdTa6txeOhtv%W#BQ@WrA;Ay2AO zmTnzNrrl3is-3h{MlQHSq8%!Qp0h=0E?j=$kZIN+trO1E_TYe^*#)tfSUC!k!Z0J> zR_ggyf%V?(jOF-{#+4g>gn|mcbe0#`}j=vrGtZ)$SIOE7oqt^B;NW% zW{^+FkS&<(D)R0O;3w`#iH)q&1KEx;vzJ^JGAZ7v{T$h;md7U>qDgK>==3d&dj4l6 z94NpDSWv@jEvQbT*`}v0tFeRl<9R+PXxt$aHJOuVT(Non(V8b)*U^zqr?6@Z*xQ`I zFa>;CjbZK*4DhsWS!MZnl|nO2MmjHDcTL|^xNzegKYc;aZJ!{wDe-R{~U65+_#tvrM z0P^XCnTsYyrLK?%0BRTHHFS&kGTHsihpugwQU5|vJpwwP9sv3QW`QXFE&1`PNex4{ zu*(wPjh;3=4-g!?>mLWB%u+c%2JdrPokOB2Vmi=6rXvS>LTF;pO*j@gbD%T@JG}HzJ!F@Z?s#!|Oa>;3F8?fspX*49qp)}K^yrYmEEoK@=T*jv!pUL>8)UcFXU_lQ9rwN;cj&Uq0)%b~s z&IJ?6P*dIpa8iVaieUt6{bUv?t~`E;#Hifd5>+0I*7di1UI4xz;XuKL0I#slJbxBK z^|w5WyXsAt9YoUK;*OZz)jU1T$3iYgd@OOMQLG0AS7S%Kk{-g7XeIIeP(ONp9G&M+ z!Yhyt+qiX6i|n{` z)cgu*ZXbzaZbDLZ+34KBZ<0{B#%1TBXz4*qU$S;j){VhKk?{OFH3oPLh5x9mmt*Gz{qzP=R!9Gy!TF z7(E&Bk$7he?x=tv6$6mZ45-|bY7KE#EN&qy{v)io1FSH<(x|_5I1mioCjL@glXDV! za-KxYWx^aA&|?}%J3TsFdcmRiNc2KAOr*mlGaLFGRN{p&;SS zP#s1GNR2oDUn9+J{+|EEs4`>J^S>k^Y8m%|GBYDMuB;dVOJyny&E)VCq!{%yvL28z zxVg}#4lV=6jR4|Y3kMtg9;dN`l)0@Mkw~ka2#E{~A~J>s(FwRt!bT75CTrJSxzDP} zkg2^xd1fjaK~Otf8Nsz9v~i}0=r(}|qj0fySOc^lXzxst+L;WYr8UI3+8dmFAE`)d zGbRQ%R;bzLJ-->*qk_UhoDu@!C=H}iA86BO*Z|l!5>^utAG(F?Xkb600=E!(o{1$9 z=Q+OfTNiA*M!>dPrxEBE6;%72iKH<}e=a9n$z~ewx}utkb$G`$u@rjxe92%sMY%TA zsQk1>utgX~Z=e|`emU9*81?*Hokl=&-Sdx?%Gn+F_zHt8hHMxSHA6@C%IcKifS=as z4u5OxV3zfy^8~>>8R2~VO?mWU>SKzciQ;|gq4+|G&C(2(^_01Tm;A)p*jG?N>YPF=mW5RHO{1-mt;MJI*~kKm0c z=f581Jfoif4TtlA0yn0mIu_MB8@aQR2tIpE|H(hMLGJdC`TFf z{M#IkQX2%dr!3>102?6+hMzFRrPQYRGmm;4S{UIFfXNQq{MSvQ{4&ew__6E!rb3En z3r~jZ(O{zdnRR{~VNXITjV($l4Jj@Kn~FEsf0>?$i1ja^NY@mak*w!0maRuMJi z?1NKZRtFt^(LdA~eGp~^4gm#$U11@!yV_6juSX`v;YCF@2$)>s82YC0ZdTZuFB!dfYjIV?HwBCVK2; zf>uO(nxjfJILLj@d~*R5Tam7c<=B#|7-)uYu8^KWdKzg1b9&i+P7}Ab^}Ds-2p?TT z69dKL1AOkJkr{h)`kCKA zx5CA#6VXuy8l?s_rU|-err{<1Y;q2m#CZ`;3$~+XoEN!Mr!$?=_(YPA7*JU z;^b%-WVZo)Stb`7J1Bwml-POMN~~7<*=`q0*KC>$T`rSFfzfr!Cd1}Hi1|h{LG0%Uel&YeR8oGt;K|BSsAuj8qc}a{b z9;8RD)tf|)#d427$phHui{)vF0 zBW#_i4E2d|_`@L)*{uhh^Nx!n=t*E@=zxf6^c8S!gvUZKD;^LLT_s}G`2#VsH*^Ep zGti``pzL&oaB7FGl#@IBT73!nbtM?WF&&w6sf&*6I0&b|h=l03E0jHUkaU=Ev`7|k z0WXy|FFvL0a?I$C3oW@1#>m~+4diCkGACGOpp7I5<}xzc3!K=Y4~VORxL}EONoShY zFEt#OaF9fXNe%G4bS*K{~ti%Q+HF-^>Uhm4e8LG@4JWKi=`%07-95 z`lT4rtGj{dsQQ@=Wi%%wGSsqM-pP4nx~RE|mF5rJ9#rp?(}e z|7@0)-32bFp-wA&In@r^D7%?Ny>d#IHA7uWab=y72^3s@F+e6z%*RR^KM`dr6%T8b z>o55vyE>AHl9id_4rFo!*(Iat_qI8$=M zx!ppdM0+V-w+tNb(7K_4&pt93fQmv(V|R*gpbUgwfHG=;V2U zB3qF3f*1~P3^^l|BgaE(Xo>=`#C3pdt`3k9a$-73x?Sa`17hkf4id{Q36`3naS9U~ z*SW5O$e2|GxCz!Yx1cJj4|*m6GgsA`FLmgk(eK4(JGlm$i8dm=2wa}SU2`~u;im@1 zaMDjjw0ouAqWaL!z4<1W%+uO8nh8ib?M{JPD~dmjx@y1AV0-=SMt@T(7cBKSnD z6sLDtD^`&@n5bn#{mk|RxFPsaX;SNH5_I?(5W#iuozW4+(^t$AJ%F1Pw~BT>M)U@p zt&!^7tOj=!s#O9}3oR_m>$NOK?NG_Daq_2(Cq64wAm+L>wTbuKM!@qGX`8d;uii%B zav^90G{|U*aUeDxA?;Lt2gK$;B}(Is!!iz@X~lBoBGn_!dkR0-ix4hs1V&odL5S%l zHS4X7tfrbC^eeDMtgD>^N0#o$96Bt`!ws+u)Cv!Un4L8UF%aiKh}!_QJQUu>4jRr} zz2o`ZJ~-HW0L!U1(5Kmohmlr-(>VQjY*!1kTO*zPC{d3RyR`wEos`-QO$9%W_VE>SSa zcD+*pm|Y6M>{S5f^#x$|EdVn^V2a4(%midR=1J0IvdzhNQig;tOJK`t3o9IKT&Izi zWBX`ZJ6SMi3rrD8Hzpvn+SEG|knI^IydVLYrTs2UKxW~*q%h>>!jRV_AhT${T_Ef0 zlT*gz*UKaL79py^vU;vj=v$+ZH)nABIDyo%0R&b;u+zmLM7XGP7CbXd+zoNDF{WdU z8P{0Nf{}6xe2}}F2ZZE@J=5_!2M_k(0}hLcW**)#^E00R7o-l|vZn!{%a~@_JX{L^ z=K1HphXAz88yL*N0|Lx~aZWtV_%&cPd?7*)O9S)c0m1wZhaVZu$32(e1n3kgrbK}{ zNa7AiX@6#6Q@!X#LXXT##;_PA#j_^d1(g*0a(x+ppKzbu?YFM)Pq23k%} z^2@p|B3#`%lnR;4tf zo$xT_lIXIeksr~h8?-datP;+^fPyC;bx{d95~hTX z$612oqGT1#D1$V+oO5b#K}ktGy@@5tnN>MDTo=bE*N=X)UK?!GLRLtoL z`aik=-CSt!Ipw7>OXJK%MNC0;l;&Vog{YIGG`j5ay%n6}R?_@-yafNUl-E2|$0}&W zBA--;4KIt>`LGALB)p_*$VSH_wo9WsvX6X`J?)gI8Eay4EsYnGMqDHRiE6B#qN{^g2g2i8wIGB|b$; zi8dS8L})O@Y89cPK|m)Sr&G)sH_VM}3yWp*pd3cOzu<(&YNST;I6N#=y%(l|5;B8d zuZAsQeB@ON63vxGT#cgZh9y+@?3|p1p+h2QtPRDvIi2*26xd90wE_=Ue6IqSQz(uQ zC>-wN$on=svXfOrJUTmuAYIA2 z(h)T?%jVRr5ovuTP2?OtObqxyU~V?B7(^~Xf59fBt>Jk2<)S<8prgxE&2m+*HdM}8s3g5R5+_(S|pE0;-Nn4F<|C&26Ibk z;il|yaMmO7h817|sgSv>o72KnrW~d@4eQXLt#HFb8W(0o{#*ds<2}vRNKOt12QMyj zni40&b+&~af$p8=&V!)4Nq@~%N2#wFDO@gUhb!0%Cj}f3{9goP;sj27KobA`OWg+86!0ziTEVtuM20h^lX3A>E%T}w z{Wvt4=0osktBrIG^xd%UAXtX#FpZiZnXL=60U;h!8sbw9sRpi74AbV=8qNyJo73%^ zPLaBP8hXuTsIHV2#>dm8CYJ{038xs_q(wO3hDbJ>#aWy%JXN4fUPN)t4tSvJQo@!R z#bqi|wSZHN1{c2%TLmRN8h$$33$%bjTt+0>oR$=C%BFDyJ zRK`qTWlu`dLp#FMv;kJ7ny6``k+sEWe_W{Z84|FT2C@$A)MF8C&a(ie8|i!tckWkb z!-IA~&9n@M-|6E!h zw;te!$6N`cdpSC5qKx2KqEpHB{3qab4wna*hO!;F@hrutsIivT%fOuC z(ldpO4Pg5$x7``M6};s*hvW0CrrQ)W-R?O(lDW2u@wu%_QfK=yw75-uwq1+sZ7bWV zBjGyG?LtC5e>}Pf&z~USI0?CVL;tOE=7KRUOfO@HkJglO>?Q@{cNX->t;9frR!-{B z%h)*j3a4x~ieYYsrxE8dl0L(Z*0o=jcG?e&^zze~CHZ*?gzp^In z6)dQNdgP|A9CN=FofS}@r4l5wWun(7mOEgxb!RhN|cs7q!s#!3->04C!rUIR~M&OQn zh8zh){wSo6!rfTh@fqDBdLkB!-hvATFq-$t!QBx2@`({U{<11NMT~kGvWVe`lvyxa z@|1sVxI}^v#LW~ezu^uv{P35{@F(HB{~1`FJQKQxpN)>IZ3Prj@WS8~VFMj4voUl( z31fx%c$rIOxMT)|jK!n4?2U3-0l$-Ww-axN5K#8cyBsBS$@#N$N=~a$7Ftr63w!WVD2U|(v38^kx>}j#-!ZF?a5)Yr7U*^N-V-lMf{ST;*?g{&U7%7KCQO{?z zj^*t6zt000FIl7+E*^K20(PvcLrC4Lu8G0haP-@2i}%{%92FP`*tQ?Ls@tlutV*lGE5`v`uYNQ)mE zM~c`O#|(C0M;xNVktsb5cH-U{>vMp{hxE~^Wo(brG%MjfpW@no>;EEDtk^ys1gKlc zp(M`IihSjcFMMYr!oV=TIT&&F5=8$%=(vsefjjoIA!UNmM)&G#5H!*Zi$ z2+v_9FZsK3_QWB$VSM7`*VcaaLPNqpe-h7JMdbNkzLaz!ky&W8u7Q3l;648<66(hh zcn>LYtD8Bc>$mFrF>!D^1#-=4VYjzfix$JiupWbw^a;f#^!%Jq?D|;=V^5LZNM8|W z6syr(jU9H&u|x3z*idJ)qxNoQ++i?^=$oY9&@AE%_jTef`rNWePqXB=)7;9C+Z6IU zY3}32JN|NiE^0CE^h2$+6m`IFtNEQZr^;0}sH!Zfqhq9Q>UL5&GswBZ)zF28SguA& zYzaKRznJH~PTb~*Y21iT&)noLZQM?T8(yW8JP^(u zSFBfdJIVUlLTnQ{&iT{bO+lql>yA$5WLoalMj_`u80>iYlt(n_A?Q4Cg7rQQq^1+O zYmCVC-A<$t=2RgjiQK`O1t!_7Gp6Vr_c_pwV|Y%t)^Zcb<34OWzkw~9_DWXk9TFq< zl5Qtf7em?4;EV{T5>F$F$4zh@77Z|pF1H|L{c;B!9?Q!#swdu&3Aza#>s*hrT75gSVr;d)0-6f*dci9JuA=W$vq zjGOV=IW+oK?56-htx@Q7r$p*lDj>E}C63}sfmIF6{Sv8xS#LacP>m4QC%dI|g3pf; zytdm3o{RcigVmpBgbVZnLTLMxo?RwIqe&$-`Q7+{a~StYg+AYo3P?Q**Or zQvaqC`tBH^-CwhYP_vbMJ1UaCw9tT{;L?C#!HxQ%mQ{jTvm{gdR*EurCDt9MM&Mq> znVLDB;4j4p?tYD{1k+^H?^oRKiGn)jR$6+b&M1(;K9o9CPu8Rgq9v>vmm_!zGgs_W z%sINJLeSl&(@B0XM)LVDlQ3&nlS%Yg6kqoa<8GH!StN_AC@I+7Y)MrXYgH|0H7a!% zbi$EIS@ryn7|}0R3ub4-)!Q6ShAo(CiTaj8ty`rTjm*}qo9vNDZj(mMO}$Lxk_4-6 zW;c^wyZfFJ?O;~FOtrfJ-H&cE6_CkpM~yzVL5^#5KVmf?nuIU9OB`#CmXZoN_n&6} zNtML`U^jj*Y+|m?K1b>@F|cFz!dm5c#k5Wu2ozb?DcdZGr68+*CgdWJ%6jH# zh#R_cWxO5H8b4aU7wD7ezxB5W-JNW4Mpo3T688moKGiv@!?^Oe3PtzJa~AuWg-wgm z;ZBtvXBj>?alS90-;2IJ1yJkBd2BwzXobq2i#N{zF)LB?4v1Om6aBi)sz3*HuOKsi zVHNcrgnO600U#jCAYG0+QPr|Qx(%-Q)^+r>t>n0PgOrogiAAqr`ljh2m&IXi_apzZ%cgoi3ZddhXKL_3i1 z`C49UkD)BW(Ef7&mlj2E$q1{Nh_jM{LFV{kJMX9CPFfAZcvuU`y5= z9BwEjA~eg`?bAMG_s&6>TB_{O)jX#Ila>vPePvJ_P1G)K z!9yT8!3i$Go!}NAxVyXS5+EVCy9Wrt-DPnNEWzDjaa&w=zkTnm`|ta4tG4#coIX9% zr_a+5Q{O+LQ- zrWq^m9qHP~&{bQv4%-Tf#KBow{|Z7a76{RLk)d6pf~&GrcJ%k8H~(nC2#Glf_Hwj) za2^04Wj6gUhrM4;cL<+qVgrKoyH+L<0+^Be(WCD#QcuTEcNN!}nFnD%^_hw5aNKA8 zOVASPsXF!%7#lJ9>CCA(3OlgUc)Z?pGD(#%nN6Y1LlRZ&Q<`H^LLZ67l3!yoljow= zSiY&{4uv}N;blu*UA1K*dRhbVKfvj|J_unMrvO&7KPTkOPeECRdn&_~Yt_z&=|w?< zVQ#i$F1y3%YvazuRIHLFWy9zP4`hk+{gZ)X$yzrjf~9 zDAkRe4V<21Vb}tYio=y2C$bOnEX5S?Vf&2L@>#C?xI52RREVGc43`A?acUs&(Y$Nw z3*?j|oy*4r{;nLzO!Z6p(|AhQp}IMqtn2#=jAE_ouN7W+GwgrIw4W9m5|~7I<{AWcG=dq;BVfb{|43!o=bKS(3_HU;Z7A=3T(=nCVf{zfwrCckN&xuZB4?Bj|7aU31EQ& zm8;**7$CID6-e1|$`_B7IEwz}v5)BS@$Jq?X9btm{6vy7Mjz;X|M9E}k{Z98QSu_t z6E-?L6MXx7RJe=$iYBX%=exPgpCcKozQaDrYU!2;6%tF{Ht%jxMU9i z<7{2qGunjefnD4-qaES0u;S#L_MfU9CT0)%Mr@rO3#a*_-9yytn0^)cEWyRm+NCAa z$4_(>eh;ve|tier{x*(`z+YGN%93H=y)hlS^ne-N!!+R+Lq zuBSd9#F*R7@{X#i=XN}mA1Ls6dwj*5)tBWESpTZpK$nTL?^{*W&M=HC%=9+0^5kxbw;*RJJvIW-;mgubOSYa)d5+5cZo!a;Z z#T`5-<}s`or_$bt88ynqibF?l#zoL~PbuuI%HK)nq}b;sfOBydfzuq^VUi60mZYxz zIxxD`+-C}iXUdmFCET8^S}$qkY#MNwkGzA3Dbo>G=Gv`dCj6IR^?inw;mSD7uv|~u z0)@)tliFs~`s#Y;CDKkwYx1FmXqz@dWSNirw+4dFVe5Z|k|N_Mt?-6ZiDuE1%3N&7 zeC_9+hL@kP&DQ@hG>{_dD^sJ%y#re%NvnpiP$s14yJsMMK^|Jf0s4SIT1(@jD z5Sg@o&8K_?+H@jM)np2=v~$9wjY4ep|4hK%g-7EhIPnJz(~~}v`09KqtDg<>EoU}PxT!^n zyemu?t+Zd+*YC0>s>EaF3$Iz=fN#z5k3-$Q=hXJL*MtL5XqokPpQ3?WBu5TKchX}{ z`kp(|Jy!?(ZPMtrXjQpA@)3zakw*+q@=`A|tX6ulrWw7UR}GE-7I zL+%D)X{HaPoofEcQm0F zQ~zP*oCwQf`|+U&Gk5l|(hn0PDPR!F+x>Za!X9e#CY0A%0{q&YyO*KDB}hSvhbWyL zu4<~Kq%c{AJ4~&Mj|)~R(z{^y4SgTj#E|s1I+sYib4dAsPw%J!Ls-zge35isUmjy}m{aDv1zAV3Y*}4I=JhzGf{9;bQR%+{oYd;@NGQLa zftL!`j4Qvb?BXjQS5AS8(sAWUxUIo{sXe?*99CLl@Y|MM{%(Qk?>|y_FL(xcre&!8 zxt{s^lJSR43?}Qvt)c9rQ?FahnfGo_nOo+!@~qfYW8&Nq=uww-97j~G;mGomcp5KO#xU`owVcjY=+lQSlUB>{~vUf~#orCFukax|0FlB@o4 z2?nf$h>F^<_$FQBq7Flk*SrY8+v5ba*!ZOBcXnolB}MN3T$K9$(5}yz3njo~LXWD% zX}0Y?>CwZ_pK4U)Wx(aaU+S@C_6idUm-YLD=|vU#88J86wjD4RmHqM~a_ECvBVcsf zF@#hsL-r|d;SmMNm%JFos{2(pNFGlSBts=V5F^T6eGd1U4kdf^nm}KC2Nr|h-bbA6 zabV%{mK=r`gJ0JRWpwXjtxV{Zj5o{P=nAfXuU(+;((R{Kdf>$bFqlV1C?-5FAL+MS zYimQQzVbeYGS`#O5VVE;KKScYzKlx9XuJd7EB@!vW+zxg#6CIf#aBb7_Ukb!HHspR zRz!d@%Q1>AT{lDdAsACJ8(fR;(`fOF8Kf*9_4N@>&_cFn=_lzO<|;5nd&sj6#sY6iMMbRUn4=l5S1H73|0_`8>TDaD^-B*2Dw?uonCBc>)1Y zh<%9;7k{uX-&u!B7@<9k^Yl`)AO~raZdJndP1u(s;|PE*>`OofV$W~vOD6bP1NLPz z+*lj!Vb(gdmK8ZDm~@ML9AQ%r?Sa=i)b%5BkSFODC>;@MM7m`Nx9`EeB!P>1?8^+e zXve;+whjeqqCHf?MLOanfLBQU`Ats8ZVglwRFca*0q$*ZREYf{Gw^4 zr=c)e>*d(DvDR5r>53Ow_B&W)Q$O*uFZ{M9Xb+v%p%=`^LAIn@op6OQ+5_Cz`*g$} zxRbB&o9LoFJX?nXzMwrs!o^p#hi^Q+5*)}cDR2Srm`1qZM1C{qtKnTxY#mC?iu@u07w}r2Nw;9|YgmzPZNfb^hM&R9 zo_Tr!@R)oh-I9PSwMe(hCJ-dF&>le6p#^Z`44z(48Y0w@bPEUYlsnzY#iZU5#2{VCyUy@6nLze21(Kt4|`Jfd@7?;u$>6?GHY!U{{1)G zk~%YQSgkZlIw;mGjbOk zZ?Etx!I2tg9U7U6C=Q3*H|tRH34}oF&`o$S3VC{ECJ?CM*h}Q;jZ8-rufo3EhMT4# zQo%#}lc#rWqO=b6QvrkdN#C#((KPA$w1D;;32?TXGSc6Enj;up%QxQYpD1AGQ0AfQ9vLM~k zw+>bRh%DNPeF=vwhy_`+75lP_rx!AT@ZacK;OS+`Kol<(=km!M#Nq^*A{mkymTf~n zlO|P3zF$s7vy&b$l<1$C^zhov_4PnpnPwlr7<7+xIS?m2>1)e+c4Neon~r@Q@|Fky zNjQA)?5h0!K?7AHU){*(EmiRQ&AXrRy-O|KX9`N2-c_S5@@so`?9g^dshEg4M~kvi zM9heW8&EKi&9t$EGfXVZ<0fO~^oO;s{850 z26N>-55JK%B18nQ)Y+$geU$vn?ptfW9ba~n8vvbzke*k3WtY{|^%NM_XcVa(__wGZ ze?7;RFJBZG@9|c8-Scbv$XP;r)O(>S+kYMjnlAh0O7Y56f zGLi&70PoR!y>yMvy(XNi7lp`|KW2hkN0nJ#Jkx6DZp(lS#20QUL4 z_HM(;XS{J`siZBXEMIdU2*`yJZ^n1xEUDP?TBb&NM%%{dag8?bLi%WrP zn=fu>G#76pKlvNu3+Pt*Vx`74<%Kij7`7KQne2BMb*w3`W|7=hT_m zz**?cmlKTGPDi(@X=U0AGgftqYWb`^5d-aEEjgP^6Td`f-|J0C=YDa;1f^cmp&AfO zkC|GcGtGo#Uc7At9-iVuaD;X{;#d7ZijMv-8RX#+*tp_FF zWw;zU^NSw1fSNe{exPX6v_67+rsTF&33oaMP3%r-%GmD7@K1ngvxC1oF^?$xSv+pFWTPn$0@t?jm`dmCwaG&zrn{kkDX*%W6D`=zn;d-$DQJ>XwPEm zOyd4<(bP!u?VoXdcwD~uPst3v8`XcURydsSy{c!JXYV@qOWMti>o%R=BzL5Hdk3yC zr2DU3q>3?2`sT-u#|?}Tt*hi3M$X=V%PEWTJ<6QdopR;VE=lj_4}b)s)>MjKfh+AS zV$K}s>M|_L!?p^wbUp>#H8t}5EMn=E$u1;mUJ`;a1KQs{c<^jc&>xQZxf1M&lGgrd ziEc&VaQvxlOP<`(A`BYRt7*=^YMRnvVRfb8!#wk-*3`jQm)>HI5dM*-ELQBhHkxTW zdr2AJ;iplS`4N@-t5)EaJVmr%&Hzv@Kv&d-)wcG z3?5r~pI-`7414dVl=(0+q!(;_yK^R?dw`VX+|6*jJYu=nE~;jApI*@wMHu}lx#PcG z1(~FDbtOTgen$pTJilv{V$AkyC2G>OCxi42O41-bGj!#{_z&V-qPYH+XnXH4M@f7v zpo+QwkE3^zz~7_wew7kap+TZL0>nULE?+7Q?UuwW;6#8(BW9Ak>E)y7d#V7{hoG9F zy@_T0kYMY!^^L$C^WQ$|SOAa$i8QU_|BIYggLCB3`M+@UjIx3pf2d5UNQNLYelbvX z^}NnY{pPHXWT?{vr{_6%(Hlzr@ASMIlf=-!Ga)2!!k(Ln=6erM^HE2_ME#~sj>OyB zF&4|~lYIigyBPaA4W0;3$IEciHE}hXW+w!K6A#O+9tjd)J|I8hBJpzbP|NuFv+--T z=D^QaB*{_)6h%JHS*R%N!mqY|SFww&W@2;aM>v}2zgV+uGM>d&u7sags|y7eDKLUX zTT}YWovq!Qp_fupB{s>+c4EuR5Mtj0=EFxMIa4X9#&q9UcRs9dVvZnMbMDC>8i{Ys z$QI&ucW%XR`u^aKq#R^0|IK$3Ml>=>F6hCsCT4)iHImjy)?j-VAw8W=)(PwXvCRBj*lk) zkW4b{B)cO1b6jOwC9@cEDe;!m-lfg_;KlLuK}=c)jc|-OSJRC}Ch(NC-4Z4WgZQP{ z5C5V>PnxW^0HnAMQPqdFhbZ+E5QZ4^RmyJVz{B=&Rs|- z&t$K)!S5u;xH$^C`_4zX^A!uZ^M)z1cI0BY8nF7_L)ZfOT2GrQw^140qcx9_7=R}R znMokTBlD>{=(ow&*mAH>dO5NQx5}%&xK=IO@Z3H8{I!+(w@=9Xd4w!5m(oa=u;TQ+ zj1zJIu-Fr5>sKJ8=yogDvl9KAh*aBCa3rSqIEi&>swSmQvHygjtAYH7?nR0yy0RX? z&l`Yd<>7a0U8u}!pFB|6$m^yoH*mq$U9KU2GxZZ zn^*F8jrXThm{?0OM(XM(&i~NWUosIJsO05EQytr$4~9pfYg;@0tCx*m8oVP{E~cXHu9L=M+h-Z_n>TAU||lf2mJWnQV``>rt^FNNFBjy~Tm&kMmG@jSs54;T@`) zQUBVkg#N3_>pC(8Mej2Y!j+BSi|xb%OD5-*LDJECcaEyO=t}+Jd|ocJyun-QmkA16 z|1B3h3fouyg2&B|!!MlP>5toqG-goxOYd|pYNiib{EsBNoNv95en(#4X9Yo_b?*Te z72~E@Jhg3Z>gh*x-ndS!FR!-Z0Fmgcdy>3;SIv;JIklyY{fy)ko`oiO2E9^_;mu8- zbYKxR<20Tp4G_}e3+-Vn0P5}j{5YET0cLCaNG^%@JId&1^> zwX8L2oT{WV*<~FFzNia=s&Ni)?g~$A_TU0ox_P;Z=Vwb>PegZxzkGFlC@w-@gTS`d zVDs&;1W$=ethx9OX1+f*?lf2haoeT({}FC5 zZ_9V|t%i_Zt3u#3y}iv8#H^bZh-Cy{uA*yTAez-D*FYW2l#RQppp*@tzi}lq*T+{a z5@~(kN?N-gl3(D^fV9|}R~|Tn`qOV(Y^4~x&*0GLI{ObA8+Y=h#guHxM^glFwQupP z@~mvFsB0h`BEzfs|Bba)u^6Al)eR<@1Z~5=sqnG38lo!KQ`7qT%gFWFvR4zdx-q1D zEyS^()=3YL?imYo;7++`*H$&rVdM0bR2dPL=+0xEx=pF$oDOiWQyF;1y3i@8nAk}PVKXmmP7|@xoNig>teJ9K-sq3w+s_*H=8zB zJ5~mTTFh;3=pP$1h49~6b|yc?J|8!T6@EHZ{tdh9{arVu0K@{BLDb>4gZ{0 z)CzbT72;}Mg9MfJ2|YpAnStr!YmH6^`3!vbY-R1X_P64*Q5^&RDBqr9IrM)Q=a6Ku zB@kpB+0s+6ZmVK+2FSk^6ZELtI})Z%KA(L?WyH+#DuB`;^Bjp!8eD5n24`#cmpt>a zZo>Z@+czplf}gzPoEg{4hJdJ(JAhC3_QWj?=d)~1itr<=nc!?xeR!SkXY;$_>^*vq zDt~5mBcJ({KML=PPtJsh!OLVjPsG2IDW^rit5x+d9*Msiz{C5@2dKZs`&OF&>}ANV z(?bv7di+}7x3{Z}o-%BQWo(xZ17zZsW$@{hcD->aGs`(m*-|rRHXWXl!GhK)Pg-BU z-R!Z#RB5aFwx{hk1fL2vCvh_U^<0FoYa7ZvV*K0_0RcF+TENsn zrFGuVmZ#c}vFq(|^WlRPPy`^?4_x1YmK-6ZA|>xFO&9ft zf5gjys6(>|@?@7?814>&hWX!Fo_@%)b~+rmc`{&j;uxrG+OV?tg;Jxb9tHxAtqbJ~( zC$P8O^l**S_Z)yK^eA3D4961o1a$3|*|^ahQC=7ut&w!~*W0S@P-TMBOIh@< zbtXmf|BEsuXHT1-KhW!Sp|j1euF26?j<0>wH~sF!e&ec&cspRE)5qOi$28?8elxpB z!uY}uy0Zg}ve{OoAC{jg7Q4;Qd2y~B8d5)4Z7*Q60f&@S;35cuL{NLDDgwk<(_)jn?VRpKJcifAF^5m7CW0f-Nat&MMO#l(uSAfrhhw_&SR9!4(=l|(_E&b8+lEgjgUvA4zuC<^`dPxm`snv| zx>SfSWol#rJ9j=eL7zcIn7Z*=RhT-%CiQZDHzGfD1$Hmp_o%0ud-)jlc~C=xD9-ZW%&PorkD6eEwJb@iA5ksuS~Y{pZDa zvQNIh+(k_=1KAzt6tuKKm%Ovvc@*4e;JU+~^_6S})%34_ortH_ChEnRa@Fy%T=$4# zLKL=SPauEZl{`ZTso1wk@O^Ge?gbcH`v`r~)*n#|S#5l6^rO3d$_z6FSJi{`F6fkX|BF%Y&O2} zCspgDQ|RQKTH^Q9?XKVn&c$<4oOFZqb4ogS1_o0VxCT$L z*aS}cd1Ra3WECm zwX~-?`doL6HCSy&C??xa!AB@ys^PAr%b?AzWLR}TSEYcwBhUy|m6-^l0& zu`Mb%zt$Sjd5>eIUpo@=D?fNg7(17QyzNrRk-LaZ3K-b(%3XIZ*~pYqu1%`2(WyWgUVJQ(uD>ZD4Mb4c&H4M{Ayz6%)fnlM1Cb4AP~MbaAdQWlmEB>8biR$hxjcPsa& zCjXE^jCE6?yD2lNLqB#7c3hQ2c3xr``;`N)bQ0&z`h7XMd95{R`SOI!;&wIGg6&?) z%efxa%8mP-ySH)4vokG*d~*_JfUgzzyO!TzfK0zVVaVI%+lV<8{ zJ;aC@G@3=~^f&?TL0|QPz>m7FHS<0JHAOgzS`J`~q`u^pjup~o>*o13$6B1)$>FR9 z$IDpXVu#sFC$aM~8s~S=MFbZ?wS42RhA}XPW`2Jk4l@u@X5&>*urtMZ#+=R$upZfe zrs4LbpfG6EUzpbN68h8N?UvkbO*Ma2OA|jaFveYgOr3apjBAi_%Z2ECc)LecbA(PD zt-iiFN#QnVlv&olj4p?%U4o2byC`BqMVaDBrUW?c0nYxmJIpUWiK%NHU&5MXpN zvb66c5T&+tcc}4u^moZ7GG;yqc4As`OcERhZA6sGdi+@*Ht2h=yQHjD`JXY9<$%otpvvDfM4En!4HDiUwt6Q z41x%`uY-6%Izm4U?=D=Le3&DqYw{%pCLpXENeuS&zlWbBCc5GSlN}-Gf+_JrUMYW* zDhvKSa>Vo@M#~|M58L*2l1(sX>Ye8K1)!w=S2>pd9y-dH*NY!CcjC5r`KSJ8ze3g; zj1voM2M!Rqrk~5Zn(fCFdr{cV%GdneiA6Hb>z9w)t4+k|P+dZCMi$fLOCY@wTTnjZ zb`jw@+xBJzBs?9m&YGl|1i{H1{@Cvi0$g?fRdSSCp2)*Wx-r;>{tA8Wq|wRXj4Xu= zr48jo^ap+p126_Xe!tuaz+6;plQfG@bpv2ND?S8nPk`M_)99A&OW(^wbB74hM(q2O ziVW+RIJP_Sbr{-M$u{XP!>VKA@B(p^ z=GPgt<)biBMj$LaS8{$^t}1v>8(K>;2yGH3-^RN!B#hVH`IR|`IMTZ3uj?S9*zY{i z_@JkS=v>`P!o(4;hk{*Pw1?y*yS{qPLDkv;3U|eH|BTsWS5VgCUl&1sL65Uuf zD5HFbi#R{M9!~X=3Q)DsPD1F0ksY3_cfVOm@)^;6lI+h28%;VqBn~fef1vyk^8+P{ zemkZYgHRl?zP^ndm0uO%NHuh;lh0@+(ERX;X-QN2oit)|&dt!2@^_XU-cA}Js2jmOFqK*UM9V*T;Lkx(b z5+fKg#Lp-%My%c~#HA&DR@usS2b73)UWOBT@m4&mTckA6jJ4IdbxLka!h2s(%j#te z(a-2A5}AyV!GeFHDUiYPNEb0J@V6^pg@-)ZzuRg@qCHI8F29V)>2qnhEE!&`m3!h# z#l~XLNWDYmP}>m{^(e#8%zFNgWxoiOa_xB{l_R@aVf-BnGG#;X{EN$G7%a+*)f?1} z@V6V)ECeftW}G$1HA87#wIN4=peCefu*1( z$9ku}hrUVr2c*PW6?^#3hoS+VixWv2R6t(@$hr|=|M~Ueib<-w<(E1b-ym{q@(^Sz z-P(kf{lj4RXrFk=#D!??(1Q8vo%>t-2I}3*OffR_sg-@sFb`Lm+}HK@l1)e!6d|kA zv+T0j8bkS;=&5`@iJvq1YNeKXxfv%K@fn0M8Tf_^KlP2_W-F6X-M9_JSj})JB!msiQl9OF~j}k-?>M@Ye z+oMNb6oHAtV&4ki@$Zk8wtvX~?mprIcIkRX9V3CHG_)4MZy!=zK##j`w3JV9N5pX- z6zp9;ho#@4Ne#^eK#eu8ab~{Pq`I|46f>+D_LHC@S{r*#ox?fS+Ls)9jT4OMj;BU^0!`&tObatm;(Eq&Z|^$3++VCSsm#s z0qRHSyU`3Dt?%)7OVb)ZaSpBP*)Q^ik0_A~I6qHHTV(iA6(N^*3h8ZgS&N0} z7Db$x<%^_Oc+3CJ?1oe2{3*d^?2|%=0ohRI8zZzIrGn^1dYTm43zlXSJQpJ;a|i_B ztNHD^>yoQ||a6+bQLY?i9v;3HgpWOP?D$&0QUf>HqLPZ*~Yi ze;b`0?kp)g6e%z_BZ^|y^wFai7b$ZE-Mju1QY?Uj9|UOn&Vont*OAD@HLWQ4i1R<(F`M7oYadQ^{KKKE!MUoGdOXJ-ui#$x@KXWGG8}92O3eql(FaeAXm(GN0snaQ!r1o>j zC5X})b|HYX4524m~tUO3156O`05KO z@WH>*H`3=aaqTj!>Gq2gLe=~{gw88HiVOekJ_0Ig#~INm$_vL;Y?D|b?eo5$iN{eu zSyXX-O;s1@_wbQ_xj`Wz+m~M3FSQIoMw%{Zm(rN45v#KdqDKA3^e>T@fJ={s6>Wub zy*EDCHlpsCw}$0m)Q3V=;+N`WDMm&`l%cluYDvYP6as9k9eOs03U*u z1`Q8sGKG|#heI(Q__k{`lT7B zZ|bE`ISf$~rb|=$(G-iEh;t4+aiqptwaP)I0*-Ok&WGU@y#N?qDk4bes+7#ZO*PqT zBVm60_zvqc2QxDE2b#bb1r2Gjwu2epe+Zhf#oTpTXoaP1K1x`6Z+n*tjkrMfpUrw$ zX`9N{?o%#J`wt{y@S*R`sTy0W>yfqIGI+)2iJRLdTb%aZX+c0w>5w3mn)+}YY>jWj zbq?6=dj69V^4${{?g{(l2@3?T+veRs3+nbCZ80nt zNrKo~dbZZhEN_>8c!BP)ukhWa+bB)WnlFh-fs5u#raw36?w3XXYPpS(8L7-_Wd3_7 zQ|`n(FV%3fb$^GLw{Da%Vr%5eg$-)U4vptltMO#?!_Ftjcd281A!pL_itA&C2%UuK zqlhL{aqC?*T=!)GNF%Y{D7YdiR@2yE|NIg*@<#s{W{8EN-qeVEhj8J?0p+t!8U4f1ownoCEZek9@kc)8JPM8h| zHe|!YuGc4sbn(e2F~I@-rR6(bxcOG|Q9v9{B1z}{2x38*DO=FWCHQ$Dt5{^$pVw^! z>|r9E4aQ5kWTHVx^{M%t0&)EK@2vS~%fPTZtHr+Mw|vx3&EEF5R0!WLL4~evH_*tE zN6H~vy?v$x^WEqWo>7}H;-cnUTeGZgu{KlpIdCBA{{&2}xR+jN zUd4Fk;c1~`$?+%upd@gEH0{<`uMkxQ^A>|+TRfFRR{d*A16R~~F&`irAH1-c>+=DG zJ0%z`c;_mvt+h*1@=8z284Nj8U`sFDC5Zh;GfP2cQ+WvY@uPskpF`yD*6E9GxDmml zq+6~zHx$Yrlx;W&1)7|{kbr2*Zp33D6+WboR$GSXM#2Ic*j?4r?sGn0f1XYIuqWot zUQ!z)l(OeGRvV4Z@n%T4+b`IEZ<~%y|71kG!W*=S<6=e3I^mAr= z#k)U?I)63l(Ppi|qCahFPl?jQH??u@_%=uIaww0Ff8VN*XxNKGpR%K#T8VLde+Px7a z0)IKzKDQQ_9ENkTPD}*5o+!e;$jE=}t}c6F;`(<58rjYmP%^xU&QCy~I}umk8VLxf zX-kdo6z3|6EdzVqHnE}Ub%>HYz84Wzo5QQmkSVT}byWe@Ja+sgJ>pypI@;KeoVz)n z&XBplp=?uaTU4)aPY*(w8MbU1zMXs_3Pjl`)KysIoW&a!+1<{<%H_fpd|%>T$IR6x zEw)+J;Jwb6v^*@smqG2K-P z^m%pgPDY>k`T@!!uRmjB%CymP`%r2Ewk>y;U7nfj;niKg@siEjC|H0<_{Ifi{$Ti6 zxcY`9aD?$d3;ZXKh@z>ceYEa(y!6Cu6$4?uJ$r>dK7QHZg-;#fc5FvRwWk z@5NN`$YbNI=l9b#yE1<2w8637&m#wqj@(9yQ=CF)QxFhgqMua%kE6%4asD0LA83dzv8TjKQ$-~+9>Bfgh?5jzI+Dj7$z2^h!ram_OtL%;)gkQqFNUn zZ->0;AR4K9bM^A}N_6?kb12yV!{2N-F*bg;)4Wgy*T5$fwus1G{~F*{2a!wBaJ8UU zHP)_CeP0@xQCZ(bl6T(3d&#"!?S3I=I6W^+-}tE{zdB*b-uKWTPc%7oI0Sw)tt^}3xQ-veN|`)yRTDoX5Bj7CbS9*~*${mTTt0MRbl7sIqdYI3p=wGK z5DQ!UYe6Ai0?fe)B>rNK5nWcv`l*Pv2eRRfC1#%&Mta)f;#ts%3nLR*;`kvtjAu!c z_-*1uu_R6RuzRNMwK_Ws0VlP>@dIM!#%yIhU5(1~NGc28dtZHKPF`&rN9pl z8<#K2B^PUyF)&Tpakj2?WCR>eT#AP-@C8fVv4S*SW|I^8&%&dy^%&IG?-+Ta@I;F$ z-29zW_;@h1EoA|xItanebkh%-vq?3B0vhL@~UeM}PuOG72@CvRpo`OPnWEF#5=h%fPtcY{46aZ-Ff z^w^)r@VV4m&!X)he+DhqE`7B%eZMzh+=1L?tU~6S)NCR?jDE4q@EicJ=tM{&d!&^*-R)4GikOk(9HyDro{T!O~ z_Ztx0`a3M5(q*!v$P>j0%VhbeoejQ>%vhN8#Q}nOAEMXiDIOi1J@;&mDq*C2o=BPZ zSq0)q;(8AjV(+OBuV5?>MPneECMd8%e|ykx*AXs;GNvtgjOkZK6zLK!Ahz>JqVV@= zrl7VYz(jRp!sK?Q(xl`1WFlXnQp%dBU|w&%l7x$eRLrGvBf6;y^Qj8+F(2Bj{I51Y zU^0G+;xEA`kr@hzY+xYY_R4x+T5ec?>fg0qqB+zwvWH54tcpea!%rw3Gx%;*ukIFS zWvXbz&am^KA~5icPDs#r9rN#pn_IJgl)~xnS@$U@DJ!_L#VhsrlzFd4s~nnDmy81> zEtWTMx!?W9eIFUwK2TKrZ50ypVA(=jdp!%$XOz?csMIP>Yl)X8B)}-kOuXFGi&SvW zeN}!QYy2Y~u=8-c*weJy>*-q6>AS_U0O1ej3uu;DjmSqQ=xCT{!{4oajN`J6-hYO?kh=R1qTKz27T-NeSq2S;~g zZ`&lEGae>o+_%N+?8V+zOL_%^#ae-Qt3cwIcP48|P zQUmmz@btrmVnnT8C#1Gb0#XNoR0n{n!2%3O4*gZR`>*vl(6+dJ@ujf zLpPJ+OR#xR{2<`%frRc3-2fOu56z#&kx)x%+rM9>50TcRa>9(eUL&{$)DJp`R1?Bv zF%rmoK^PFj5AKGrN(QLn7Wwsi9|LDeuatOGHxDKYmF7Gf>S!YKs_{98pz6Zh4{^z(;a)RA=>VsCzYJfoah)US_?Sy$=< z@*ZLi)%X-)=bS_v)0w2aDVxwF3LJ`^EYba|!plZulY{khIRu~AL=-pLVnMxR-huPX zs=$GJ*+&O^wmD}q!TKs_^=Ws7qh?*Wa&p|EwqfOCZAvcZjX0%4XB&UCZ?wT#i+GjK zzb8Ywhr1ZNoS5rooXYPR1mPPFzu&K@LHx`s-%a?H&AqL7oO*Gf!shYIa#&b!R-InN z$eLF@T%34b_&mShbXAFItLpNTWywjEFU{nD`YtU8D^a#|Xd>~}K*~|Ismr49LY^Cg z+QzVxwIC<>ii|VG`MVRp_9$abGMoaJr~1ykyj`RqTf8trm-rKloq#vq%8J;!*2=k* zVP|Wg(yEx9jA%3 zyzL|Gd{=bol@SB$wj9w`l7xW*(bxlxIN`;kgskrpj0I9TkO4kdz2R7gwQRBD) zdbZC?Rlv|pBe2W$@knv)iTsDs+QMcLVe5;A*5t7K@RoO>{ShVQfP~!6-Qzz(AZ75+ z@d@sFznH=5AbYUoD~a><+RPg%>DQ&HW9%qHe?i?H-{#C0j-NxEu|t~-?$6Lp2A7FW z{hf5`i?p`tO+3Z5@qu@Qt+#<`gU3S`lvqmmH4V<1o26uIq&D{`cPuM!? z(J@%P)TO^e49{w62v~87GUP6xb6-_CP+YsV{5I&!D=NFwqF)F@0Xj9>rL;LOOfa}S z)=TfC16bh)l`dKnpyul}{zlPHeo?)KA>{^5`w5jp%BUOHtTn`(8Q>P^_1s<>lIv;F!1yDB@0KS#;7m6V*!M-|^ z!}E5LTL}*`OeU$datQ;DU9n_mbaqaSr%dh>cT*LUq9@f=Wa|Pt;@XwZLvzQo<}bbP zgY)6d)@*hddNT8O8TzPRjZ5NJxu89{a_tqD-Ml}k)ySmm&-PlTv=;pek73UG5n-!C ztL%=BQ-)G*vDsNmhkKvAA-JA(%klZ~wFcV0Sk(u|~eq=T{lG9gr;C{vWE| zF))&#Ya0$W-ehBLl8tR`Y#S3}W81cE+uYc;ZQHi<&OY~hKkxVb=+oU*)u+z6>Z&s{ z-92>-mu>i{(+5>G8^RkB0d31J+BHqkJiTjrGLv+qiNEO-$6Z*TVAWwmg*gk|r&*nU ztd_o*tSH_vO36uE2pbp-f3w6YOTD<0uQ_^=vcOa*zX<$lr3uBQq*J6`7damdQq6cc zUd{CEYc6f`5`;Ct!GM_nEDo}03`#eGha2D+>%n_xeLd1^2#+=MbC$rW({!*bG~7qv)N`Wpr)#r03FWOU zHyi2iNx<)suHpW>BF;!33Ox;e5Avc)c)CbYe@HPcGSZxtwQ8Q;s&=E&t#OfO*1}Tr zUq?x{@fUc)wbI6UERrlZgYc^zWjU2QQx6lSrlsJ(v+x}0zc_1el=0`wa+212X*4_1 zjn?FGmK^b?4e6f1+TuZF(-%r_{aA~=`T6Ukm&Ja0QUFE=j&J8!I# z;j9*Gv^8VK;hdbdz+{_eM(0nLiG1ia0onz0K%uXBO{zyhLw7Alw%nk23^CvE-*w)`?cd~Ugdusniz{vxxei+nIe@IeY-MO797kc%+wPutb=%KnB&XR@J zfvwboMdg+9|BeMbN_2Tv<%KM>2Cy0ko#DCxXNy~-GMq^x(EQbAqcgKmiiNrc1pEIP zJ76O$ws}^Ssm0e7mj8E&yGN`=Xpl<%hFVvWQC~B^y8sX+`28yMe3V3N;58PSrMf`H zbwI^^=Kodv2to#!T5M(dZ`Y0+SoS~%*E0X>pjBIj2C+uoj-e1_({yQ<&!F=g=b-ck z4W@!Fah1u%dr4A~t^eaZp~)P{j9YtxIBS(u?SDpoE$lyR`PfQ}okcWzbwKP^+1|$Zl8SBIN zTwPcWkcy6z|41QKZ)-@nSG3W9F~TY%4V(nlqPJm-j*}I1K+CYtGUUyGaMb|kisPmQ zHIR`k4zu=BF=RW40uBbbQ z{1?osw*6hg6=e9oB{CI92rc!{s}8X>mxzV`W+_mort^p)P#-wK|6<@f>t1eb9%=gD z0xkVFLE%~wZ0(uW@+D61=6}?xQx^hS`ae1brNNt9-5MM9XZ}Aka0m!%dfk6bu(JOW z`VUVoPua<6diE2#s*ysa? zGND+oTqU))8EHEm2m-u+V7sg3_ii{!K_g zqC{jQ6$_Bmt!4L;z{pTsl%=yvwJ@`2ZnZ`Gj}uM>RZ?zc1M`85BZGJ$fZc5I-QCQdMo0KDoPXc=kl(I-OW>zvj>bPfT+gbzg=A%t80RrW{C_| zE^qn33V2uilBh;JYi~_lOYX7VBVdm>wV$;dt8V(Dz_xB>W%)qqUq4iyUr7cd3v|;u z=HU%^VWd-5SyW>d;9&@O;#lKd8P&L1y_5aV;`Xn9H9j3mxRxEti$^}AOpp)2XQ7Vu z^>SJ`(YAA(-z$Li!mav_^$+__*{3FZgQ$B!FmK903{~BLrEdde5w?n}OA#g1e;$(X zE|k7mwi1jj7+wnbM3xJi?wMuLTa~0La<4rD1Ka-u21k|rjs_r~1sj8Solzi#&(aRa zRQT6^nOrOjOI}Tu(pLB>OKMp643`m_v~`aDi=`P)qr_`riQ-mNpAx`fD{zautvofc zOe`yC2E6V8n`J$HREu=(`Z?CU9D=VVP~IaBE0P zyP929W?34Sc(#f)-W*n3Oe&;TaQ-dzDJaTsm?u|PLEd7n0b4z9rQcX407h8&O0Wys z6V7@L^)oGndMh?ZRmb|p0J-|h(}TY9{03~*nO4sS+kXu!Otj#+8lYFJmb#gMd?gWv zm>o>yz)@*&COMe^QXuYs!vK{jnbOpvMB0A|T}30WY&4mA-J!U^Z1I%`_}EfG?QQQUb3VAmK}FDHvCjkjl3j^yziv z@%D=MARi1do!qI7-@(TC7Wa@0?+K*71UFQalpLC2&^XV3XwO3x-k#x`8HGrwnOhyFm z!kh-K0n-}|PI&WL97DoYSo>^=RwPGtI4vGY_)3~ML#h?hf7pFH`@Z% zCf@7{*2W&M58-6LI0SP}dV2(Soi?}@F?$>QaRgQ-#_G(#3OWnfS!trgss$=J6eC)KkS>B1mIig;Db)@$I}}b#^ac z*EE*9gSvz8L7X)2mmEDA=ctm(5~aHgy3&5;xyi{#;SzM=&c`|0$RDk11}UkI^tBr4@QVNi z^Cg(VGNoCcmeu)HM~g+^rU-s`3>e!!2JU+kr9UrWU_Ak2{H)gu7PEQ*kjGUgHQ$^8 zf0@zaqH{^x;(pH6$DJlmoLD*5EBy3Sr!YRhIX~?MvlzCD(9$xl8yK0qAEq5y9Ml3V z)4-GzmXVIhJOYxmSW2lm-4ZQznqDZ@ybkMVTFYCh6`fu%Pkb?wqDD*@5-g)ESdJ%u z8RIxK{bW{|)hAoU+U{#O3yOOXBTR*ujtXKxZav#y7T#5Q# zX+DI`vQ(uiQS=3n4FJzCPtyqdi7yD*jwr99ZfPj65|XEAj$2C~J{n9C6TuN*2=<)$ zDM!#Kdey5VEH1+cym1#Apj;#Kt>EhH4PGfz8%&9^d=Ozv)bCn>D)vulyNGJF@;Ym? zz0QN2$hU(!&d?I_Za(Zf9mIFI0UEm}11QS#i7t0LX6w z+q~!(Uf#1qd;B!fagd+KY7oVJ)U9B1qdMiQNB91aXS=&a)BKQx-ZAa34VnYQB-H{s93>t%v` zITwWqvM-z$Gh-`|9D5KUS?H>6vn3bbB2f>wg#F80$RL$I9O#0RHVn{#mLl$96M>XA zD}5)Z$+zg8a&1)V6wILlrEw?iz3_cnGW;37&a%Sn^Oh^8PoLPn&)I(3ZVG}^OkjcC zt?}o21^!0bc5fp=(m%DG!dZUKE%%aix@O&fRlm3vccaFmZSK4iaEidzW?c{3H)DC+ z7?n@-n{uQ3!UxLWni12I|2X&9OiVRt!ld9*Bl_O+6idB#T?_zI3d#nnL#~7) zR<2ts$OJ8*)3iJ|JCcWW53|EGVUt#5Bz^5PwnpoA^-?`3g`-i!;fJUT{y>V=WqDz( z_g^;cGbxP$)B62^EwWI@x%wrTNb_yC02C>mcP+S`3{{IvJrPY7Ui5HKaY7lHlx2lf z!|RX|)dGc7isVt1kTb~EWTL%8sl?Qm^i<`-8%UCb1;eTS_}K(gN?d$eJexc>T)fE+ zatBxs?9uAFYa4O3zN)}zDoj|Kpy)G=6QtSd?cEDFk9TExc~(Z=MKx1ob{;JBJEuW-a=Fh@xNl9^yfl0tivLa28$#fmgVK z-Z(M(#{eIXphKe+gJYJEW2X6a2=z*_$JuFAJ;q?|{cWa+^`+D>Xs(x3N~$oEzo!*x zc`Y7w*m)f?b=bR^hkoN`A&;YDW+52qlGKVJNB-;{5jneSARg9w-ySTUGi+=w=mRF} zQod{G5=0Rz*^ujL+#KU^2}oYcDFV=BU~?XPnq$gzwXSU^q;lb}Z;o;{(0l!o3(9o^ zfT^`G%m8SqoVhFf%fg`jB#hDrLeFBE0@P20a2-E*ib(^mZv-R63)$IyT4{vFoJ2t6 zu~>qYpCv%+R|Pb-ISmL-dvVdtg0IFw^src@gKCUWTah{sK>Jsnbfr{sd7fq9a`I{B z;kHWA^C}`#Ll$2g;4J;8)T|cSUCY?7KQ=+^Aj4P~f9dKW(y^)_6$K zU14iVXwTjFqD*s}6^_-!fVn@j%?ZM8eL?K}q;H&c130KjAx;$F~I{Qyw37AZ^T4Gfh%tT{KfPj1vvKfNujw2X0EFA@DC3f`m3@9qBHF;I;GELo$ z0Kt056Af#`Gz)s3PgOnQS{Py;G=*W^w!Ogc6A^2~PrVOH(m>NDK0>MBi3NQMT@F|= z52+;|usaQ~E|BEI)sv_*HnY4#!oNy+75F@)@<|KgY2-cuW{ih+@fnB@`hkb+8A@DwDSLVEAq2Y= z!blhx!dxBXyKx@<#435u#e_D>Uj2wS=N|nA2nab zhUJA^2qUQ+5Rt+i{i*$1z8PYEM6>F>=V}KRTjNfkwF~diB!>YXIF1Q(N?6=G9B-Q`9rGM&WkBCUeP(|MJ-H5!3T!chHsh&H5xS9UgCu&8l3wX2#HuUi7 zkE!RS3VROB3(*-DtQY3l(L}W^Bj3FfM$#bW2-Da;G` z(n7sS1{MN)bd&F2F~-PnOH)Lh!L>?DqXn)9S60qhRS%oT>GMx0{1e3g#A6*$3^N6) zuC%t~|1KACfT_7~3^pwy4DxUq(pTnpfYJsEQPmCEtAuBeVj7L!Fs^7U|-86A}fsnn^S852uTd#a*PnpUw+7Gq^L#!pG~m z<^aSE1~Z`OF`*DrX{JUwkpV4d&tpF-mlIORedv{#{d)l?oN*z{oPB~3mGb%10SOw0 z7pmicN5San23g9Rp=;aFV=z=>j<=_~tZKKBZj-yGt9I0OKlCl zPT0>dC;bH*h{m!zxB_hpvXuTl*}gu@BF|f`5p9e6Wg?v<=G)?%mxOfbl1PaU_!wV7 zLTXK0y2Q0@qY&}uNX7v=nuIF}7{f7tUpZvz1f0;4M~Yjw5~Yh>)fdJ6qTvoKWASwm zfOW!@$-?(W8F{Dp>~OCJTK}bl>ZZ?nWNa3z4Mx!(18kQ0Dht7#ulr>8;_Zg5-rcc| zp|iN))lOz}^&GY#FjuWY`$B+(msa8oq*-|ZzPzQ+G_}i$hma#lj?fh4OX{q37?&yX z7|D=*?_ex2)dwII_(AR#GlCLhPsgD^bS$tDG-XA`52d|V`BJ!cG9Uo!UU1l4SW|r` zM&ESTEDM&NIYgMviNUEtan5ylEd$1QvH$&?>s1fg36H|dG?$BoB;g|03vv8{>$Qc2 zGkPKVHf@B^IAOPvH(=BOkD_g2Qr|^&T`H-1-Do)#Yu+Vra?cb-fAO5F2mvKym5QHj@(vD3+)Q6+IU~k78Q$q% zuorV3Y$BzKk&{xXjdWMgi?h&153Md{);BzJyaeg1fcwlDW7s!}H5=N#i4>Cqbyiv0 z3^hf&gs^JiLZ3p7`8ox?CI$<67^8-g@fI*vm}qi7olwzxpgP;rN7^c{Y{q>hAo~y32h=>GTV~maY^&k)7{6< zo2}?R5Qzg`)Gw8RkHBW$geTr)hbUkRagONlFVQxvv%B5K(KpU-Uop>PyV_*don#KQ zFPJyM10SBYyo8rJ;qILYPjmxc?6yrIZ_>8jloa=&-kpDV=stPTpFC`{V}4$tKPlv1 zo85RzuPcl1X!I5AP*BXM1T^Y|d;11hC9w^Kd<7$)sfi!yKpbJZ&JkFDDy>WVxt2F) zqd)oYZqi(W?|LCy*9`F`2-?B#oYo1g`M%!=*cSZoxrQ&0atBo;QubuJNx7 zGk@pZ()6p8^#5HM1VxVDYkQHuyvZD*Z{^92C19_s2Ty>W4v!EL@9g@sMFV;K8;dLX z(PS*i^%#Xx_)V8%nbIdts{0G4Z5P4i-YyTtC2#nfr)_b+r}jF2_*-0a7vfWv`^e?h zC(OoG=vT?xNjBLfm~D1Pu(i_{=*Gb9;dk5Qur|&0ulNnquAnszmLJSXqv?UO`a5P6 zQU1Y&^t=PAui%O+-k)9>5O>Uklc11?+M?IiuaxdMQ5=kKE2P!Y7YgrMzNf~HM0L`` z5l>p*^+)Yl5bRI7b#M>{F@3vGIv1cdpqk;S8gXM%@t%W_T5!DkFVRaRl^rHXxvOql zcRv7mF5sdCF8VP~Tc9+_xh7oe?6m4Xpz#LTnZe0>DJfRfn~7Zd^5B0tG@wSR3|DVE z1k2kD5=gc}G~p!XOAhPK;7Oud9*3Y?Qjv3n453?n3y9nHB5=gqkH7ZPu%=eRfn_It z7<0qnvu#E6w+-@H3XG}B=;cl)UzZjwuxaP>(`&(fU`<1n(mtAliCc+2NaVG=e%jmk z<$=2B_$$j%gusy7X;=COEIV{N|9cHWo4j1tV;tn0MM+VYeUTDvZq8-}VvoYhPg?*q zLSKMjXVUh9YCRn4MW0}NE7SmHpf}=66wz!xU&b9(4xY9AkS}uzz5^mZ)*4exzo{)U z__WU9fMz@EOwyL&tP@c1CBi(6p2l;cD|FF9X$-b*30U^ ziXcEG->mRj_Se=H`pk&b8#0 zl-QDZr59q8J?TYoZkFH%^1X%+sTeKDvMLdeWxmOw^>dV#nGnuY6vS$#F~%kAf$3Sk z{#N;wEj6~tF;E}*O+N40hT;PJhX^La$=8YtSzqyDY5q1BsR5@C zWC;p9iu;^7f%LhGV{YhakTuJn&jxX#LCA;;CQh<)-#M644Y<%#P>~;DXB_w=X{OQ( z-yyoovHS`dA-aj}3Ey*K`~=&II1=fVpr@{i7si@lOrWK)tYes71}m@y7*xcK?a=&I zI_uDI`#EdFybZ8zm$S{m?UyUnNXAb=`b4(RKq?G{@&^5E=LK;F%Xvj&-CHwwA)x9Y z3T6$NT;s!--uhxJc;+>>2(Bg|D4_~kODL^Bp%pPemqHky@ncjyefKq^r-?>_Rd-h; z4V^upA$@}Ukf*4qf%DK?;`dgie>Qjx9s}ynUp&I(%7 zEf~VEjR>`_3d}MbQJL9z=4~e~v93dCvCthpYJ$u^FE$o8%K4uw9>*Y2$g{p|3D~SO zxGt+8@ZF-N$3D?^y@N%(Nihm9plbdzpT`!BC0VII1=d(VH8%tMcREgvK?@;L>X)Dp z$JvQ4QXX^J#^FF7P+IB%nL-sO3whwbEXjq3Xk#uEk?*4BP;h&}Wz_HeIXOh;Dc}*( zU#$3~kXo8y>DPW~Q*!$7_I^w=tYu~BwRGRs88*Xzkuhl`m`C%iq*C2wFlUAnOb?k` zbIQv!AePH%t0N>O(hy!iS&pf1mpZEXKMCFr!O1|87>U44ASMa)taLeCG_sYT$%ic{ zUnEA|jaQSl6>I6uW`wZ#BTv$vK!4i9{)P8a$G9-HwV6UM5#6kHUyVjQMdYk57fSlA z_IsN-AEJJa$0os`=*PUsbG{sBJ#(0l146BppwMayHG{@Vj$TrMrxEl@Itl%%Q zX5RH@Fcp>5;4*iZ2-G{C8=g7dWFmIczB}%9p|LPoWpt1K7$X1KXMj^Il9-l0eOaTj zf9zc>A)SU&GjH?a&u#j6zbtFvFR55~2AkoOtG4?ce8_lJz|P6f9KoZ{$(}}&ip7ZX zh4ngf!`RzxSccPCkj5s2YqkDfCJA{ns2mZ0=sp=YDQWau9jlxxc{XB#d;bDVK3psj z>9j$IBH8K0f^AO)bMtMNV?%w1Tx`>qDb^fkgz3C^?0qRVnlRORmhg=<+ygsBt`|9~ znV;HP9p#~>!Pepg=BAN~Mj?C01wGu)o9pi6LoU2zP{-aj2I0l6HpY<49T2nV#pFud zqw>vnPpSmxjlBWKaJWAnifjJAKhRfSWCJPr5#Jj60YqLfalV0vz1YD3C|e@_7Zo@Jx<66aOQ&)#Q+2jxj6^QAn; zu=WG(5=~_SO)FPUw6D1%zl`)U?AlfVyH;GBibFq|;wLN7N#)tY9TGN98{0JvwL|l{ z2ZrTJ#qo3kv5400Nx!NpyE-8_H}UqXG<0YDUu=WA&_`B}yIp1sN0cn=w3&o0j?d1a zh`qiuS!u|E^S^7P(=f%E--wjgy8Ez)S?+Lud2-)2gY}aFB2IG)HrOS_G){$=L6M(E zJAHM9wk}UnwYh{KJEHI<=68kn;O~Fd$BBCp)2fPVZB52TUkNj1aCWQFq8RM*!|f-ip3GG$M0KR zq(G$QU5XJ3C!YB+>r#d5oFXT*p2nhZ-;xaL~~sUA&yH2-Hep#-3*Lkx7_2WcSmJ^6NaJW(QAi=By6Klp84s2 zdfDd{_JAlak!;27MHY=obyIjdEjR(QyJg0}sz;+JnE;`{x?RBIAR+d<{;0DlO+sg7RswlQX-* zCW}Ec^0PE8!jphCD2u4!mbYPi9oE4L2pV86%@P*r4-t&GGoGJywVgwS;C)EuPD4ed zTj!Tr0kjgF=t|>#m!pueUI_GOb6Kg5hkT1QB9)4>K7vz}mG`e*U2&h*uh8|X;u9?(YNFW#8e}>Fcx0lRRKo5J zXYXnI*JIUd($#*J)AH_T2}O6+{f0alW+}l#0bF{5*NmMz+It>-K{m+t@fb()IK3;Q zecHqNtN|!-&UxQ~t6?<}WH|0;q{6uN22E$#8jS~VnF{`C@{94VC!mhbUTw03R=g9; zswIfnJG#@tiHo@VSdTHbfJTN>pjtybobww>ID%sRMn`mLD3ApwfH@Vwm5p<&hqzn( znExwa&WNDPCG6xlKRuOD)f;NMU|KtP~DVhzXy>kZq( z*g-)+^1whq5P+rpR=O6}#tIG=GP;(A_7gERGGOwE!dKWOA(0J%A_50i%6|-LO!|BK z2Zi(nBWS4*^TcYYAuFZympSwF>j%TK3E^YXEWj8e4JZ^7_wC+vDc~;RRlQfOc|FYk?tR@W3@=Lt@Q(B zwrTd_REHL%9Qh}emKRiLLK;TLL*~kthe(XrMHo?1Mkz7%h$SrjGocyHYtOf< z)Enf-J7{~4@Vs#udCg+ z_bMkDk7;8sl>=>$iY+hs^~nwad&O2px>$?hTCPOaO2<^8R?>2hr5LGEc$gVck*Z@u zQ?cM;Gr?=E7cGyMNbAT)$kvdW_3<(S3D^UzdzV-@qIY9AY^*yUjT}+3@J&7 zi-hr6?S2ur12)XApn(wEi?91t3_}Y=JNE$PP(C^9J2UZk%QuU*QAmN*KYO4!f9J}6 z{mse#a|Uk!P?z@4?!l$0=dr_=R)pnjd9P9^YSvmFxx$k*`aNV0NQ&@ZSkQ6}%C~bL z*k!$YYLG~A97aYiL%hH|yWjQD+nrD`+ytaJ+0+t|;tf)$pV!g-+N60#@8#t-7^UNO z8|@2Y_kdS-TrLt-Y{BYjWc+}%$Y6!$uG02EZgjb!=u7QI*ne#l?dYO!>@AIkyuaJ^ z^X*~eDXa{?>QL3t{=VQsYI#tLK^5Kc%sd537GH&t%|PkC`3Y;6EKhl}Myg`EXi*bI z%t?ZEhvhk;aJEe6*Lfc$d?v&pl{i+y`Wt?4&w4>?No|^UsvC}5P*m-ynsSg3P4G+v zX4L7WQsAIKq>Q?}=t0&1W< zP&Dt5u8A5iHKM2eMMcW3heQzWBG#+9lk&5WX5u5*b%rH*U?2H#fEV!yZ|0sulOzyg zx`cn6^jKJ~STCd~akZF5lty-V2?QetK4$7R>R9$h2E|g2{f(r0fyxIrN4&!b!dNY2 zQM7~MG#kp&XygJ7mebV8OFt#`n#t8G*dXS%UA0a7y~DN0ygBK!W?~afdC6YZ%9fjE z%rMF8z(*#MdU)zq?9!&~IWce}4oa}(F2}533A=>E>n=NxT!YDQD%~85sKLnXs5QknN!`e{cwv6DBsv6frAF3xrbO0sBuCbKBt_P612@k^;CVvi%g?Xniit-3 zyT_sLyxw6gRuvb{Y>SUwIOan=kqvu0gR8c-`WMg5HBK4z-xr3zNg6!tSa`{4;Osib zjX0ijCGOdZ0K|zrf+OGNWQ1LSVGX`cGIF;#cBV6cZL!(h-*tk-f;&u)rH~97>K#kwhyLUu|xx z-$=pH=#(pRi6tMXA&HA4(Wq5bh`S(<5{ONpPDcjB)fRHK2&)ZW<3-{%IUgE>$=eWlmcadK`2;`0WgqlNT0Z8g;~8Bem?Po^>% z)4r|zu$JuY!r}hBB-%RD+A~^9*K}VJo4Rd#zfy5(->l0?zu9+Vj2$N%8yVOaumiq4 z7^fwnB}IGUEl<%FCtgTHN=H1DxTjE?mk??ovc*LC&@M54_O#a;x3n$2^apPt{?2c@ zDeZn85jm&gH2T<_Hg)04rd9>(5%y2lT+!?tx}zAYl;hMxXWZM5;k{j%FhDh%cAvdd(lJ-;!A zQulG;Zjtv< z;}e;G@IUzzt9Hn1-y_6I&>+ zI>}_Kara<<2Qc2-=lN(~1R5d zMwqpC(dVtN&&AIMtB)w$deFHJto+`hjMVN~ydohpM_uQ!Ye?-d$RrE=4lm&slZo`P z_S<^+!P8~v-upfv;^UD6Zm0xm2mLCUy0FeEL)!IN4EWsU{G?mKGPuYUU!M$>h%K*JN~AtD_0yP|_bHcq#FzF%Li*?Zv2B0m>Yw(qrl zdt|%6?+_Nx(o%p>>y)La${9MW4LVHzDHe2v(`}>%u`_Fddl?)~$w0{D)*knB-dG|P z@dYjSn&AMrpcf(S9*gVwtFr09p|>bPNX661O2GKs-fITxyM7g@I5){1Ly-uU%4$5x zH#FB`tEe&>+2)FCl6nW-$SdAI2k&gR%tZxRdAq<{aUEge)dJH|aOtKy4q~Wp)M4U3 zXY->@hwXMV9*=wk<_kjDfqzI=x*I8g`K^=p%9?;=zN#;9;m(h*Za^R&m>X!_a_2pI z>cvWUW=}kV@p<>R^PTtW{$Pmmc=}yx2D0@0Jg1jS?C;mu?cTcu)O_tFryQtfQyiC^ zJ8S^DPZVA?^%VM+uDx15KFZ$b6V=xP-O!XYtbI4LZmzZE7q{AWeubH9~{zA=qu%S%`|R7Nw;nRj^$w1DN0StjiPzXV)q^%a%sS<_UberNiHz&j9( z(IGCUY;A39NL9tkqBJFW?Pg`M_GrY9w3zCn?s-W7a*~N>mXSCaM9N&P$V;Q?8<=k^ z9HK`rpF4HzC54)~V6RfjHZSyAT?)r&!S9GS>B?Qbg81WLgyUy@7%ao%Qi0a@&Z?3T zcdPrys?g@wyE#H+M%nVJ+9{JH!zw^hcwT!M$(XHie%NrWj4&XnBrg+!-N7y;Biybp z1b)pWPW5hYmolCffd7lq^NhMJ7;TKmXhVaq37WZMCgqeMBP@6!qR+D&y3{PEgEY}l zzFqnN3vX_tnOh(`D|x>n%R48pZC@KetPtawJ+x4U9pmQ+POo}9~pz$ zG1|~nqa`ZVqFUGF%=e9KR2!Unr}XUwEs}4{)9O}hZY{ifj5&Qpi<|bB&J=A9EPo#z zKUS&#HsT4bhvCt9RJqnOtX1p*Xg>yu5>E!*h>)hfV~4IaM&94i3Z7Oi$Pth2iL~ba zOkWV|Cr%TkYSQ!Xxl{Kapf2b+zIbLv;J@ZLl@@u)8PT&*^BP2R?bnk0v5{^QF(G`y zt)h9*&GUwEM50E-AYSz zo9>pS=>;IxJKBgSn@J;b5AQ6TrijulnG#9uO|M(-t1ZmmR2@D)X zN{JCzfzFeZWO|>tob7O7L`hHD6h3V!7MtXj#fChSh@>`V6{7S|DHk2#yXGz)0T;PI z3V>J!+O}UH6a!hq006y@;%PjASRUE#jDmgK2_Wv;)G~I>XMJ}gA5xpNO!D5;O1GgN z>)OWq2x@C&GDu(1YBD-kX8uFHUxrnk5{OCniq2C5I(AD?xCoDVAUQWzAzVe_FEk!~ zM4iKS#$j@pcv3+JSM;#2h8GqY_5wPFHiFTI2WG#BBHN||(p<44#6-2c(SKiA?I(qd z?Wi&H+91#0Fb!w6HNmJJ=|K^J7VpEvDC3^FqKAZPbdpgwf=PhRUTt8cGzh~v;gSl0 zq-u`dz#u=--+)C0DlH5l{|#T8o4i!dV6xhU9a+J!xQ~RBVIxq%?M+#HNguL**qCKC zgZi>J^pg3SDAbSsrz{#8$+|8ht(=gKU~s*ako!{OnX492S4jdNQH$lApW3H8ZQoU! zIVVwT>KsiZd(${4RX-su{C3*-+tVkMwW%`Iu8m*W2`)2x{5kr zXpYqO(owzSAj4F3QdjqDgLIVu-_)uTB1D7r$}!I`QwmZ0{V2?xX^Wl0(Lc?X4k}T& zA91d*fr89bA}Rc-^t7aT8Yh8cOE7MOEwVf(^$^-=6O(-4U2#MrEo6oL_By{H_?Ot_ zdwYlm(hnX2;jH-wia?2Mh3@N$DyT%Kkcd)7nX?;tlHgYvDg?^NhD^f&9lO-jyHvCj zA@I;5fCX{jz!Dmzsh!Bv(ofF6t;)sW0f~S&rq>R<1hvI`QhJ!wm&0Df8ARsq9n3p* z!V8HU)Ft*9^N4`b;h<#-q)Fm&U3r&ru}+OtJb8y{&2g-Sp4R1$k$kjVW~g-+{>14# z$1{!U2}-@wV;Deh|BNYsp2P{yab1~JGr0$ox_1$DIp3@Z{SS;Z3-fqD${zv!JHJI{ zI!+ii_F&|4%x}OH2w(IKJdgaK*(#Ldsfsd2hp`TNtKo6*kSNSV8&NOZ%p8~nl)6N$ zlFsmV{JIwJX~@wjQ~oNknqR(*j)D-csbyF(yAr?B6uZI5*FbbR;Lsv&w&B-7?CYRd zQAXFT2sD5Di1gL(cE!I2qbu`d&`|Q0hy3P1pAi;9YZX}YCGl5LEWGg1xPA${6?c%k zbt9nKg(HX4C05==`1Vy;#giMnGY@T$E8SUh3JF|vg)Zb>X+CFbv3;|>=vRQuvju=O zTNn#dC^s4N6FG7VkoPilsL$6B?T(K&Kw87&B>b>&U(W_cfR$UUkl0IHaEe>S=~8-+ zm+qmqzv>`R7Q_TIq$F2-U8sgF|2tO?PfnBEY)NHPWVML7A&rB|Np-Z3P9utrqxxau zBqwGHbLX=~BZRY#VEB{3*#6pHcmUk8w3-m$8l58ds=;{Ng+?T!kk2}9XbX3pOoRur>>G67GaEIUuq;0Sq^?Q=O4cMYb&TFy6Ferq#45J37xHK zdb>la#w4wgdl!sm=nt8d4AfyDT$o!5DJY$xDV6J?m{|`yQ~SYSzLu7oZO9TU?=1cgGyn}-bx5A2B164Kadcwg$IzpC_oWoRQrR&%9+M)>j7rTI5JVb- z)4+w6-|-GP0lF}axyTC@k0s^#nw28-8GiOw5ki_vPg$K}1iJwnPGzSFTPV*a@1eKph;yXNg!W034a<3Pp4SSVo5{u z8oSGuSSj$UOXht5W>prmJp^9eRAxTO{ipkv8IP!69=_G00Wb`8!czueJ}YZv*74&qCk+@SbUM<&Q%=jaMV> z^W^Iu*u!K_Z~&x2vT>Da+SJhM(`Ys-ORlS9;^WRHV({(}D@UGC$-9^YTJ&86Bd@!! zv^IMEy{$Nv0`X_BFRgZj2@yKPK+oKINz3LmOwx1tqNCAl{J!HA8*(QZw!2syv+H8(01MZWO?E^oZf4`CXo)vK6r=3Jxpor({Fi`LYXtNxJ0txS*tIg3p^2kVM9+ z;-oIG)KWX2CTpi~XVX$LMCJ5(PJ&x<3yT+vklF_DKEZY?Mr*Y9CQ5=1Zm1JyND z?~g3Kf(;f}I)T|0fHKOW_+rEUC&@gS=I$+&Q)&b=ZR@ViP>#ULd_tGp=(ZcKI!0qn zsFSzOqd2@r-_#0wZB&aQVFAWGv!RBsSQmX&8dKc{H7~7N{GOl#{IEa9FT#eJP2}qX zKSHMUr1o2So=zb9N&E91bNkHK#FY0~cF~bX2B#f$b$gG`iKKQ+A;P8Q$9uCi@$pE{ ziwRvEiN|*nBurkX!V)*EOd}1gEDO?@QBRFE#3{X)%j?ADnc-#Wh`VY^h+*+o7z5B5 zu^!8R-PfH7Ox$kW3Sgi^lKgB_CWpb=ABHqSZ)rUy9fx*XMJ2tPRk1Ogz80rgOAy*A z&}i>9a5I2K1YHZA3G>uJ&GJJ}?+opY61qn8t%nCy+l16U5lV^DFWWv8Z4Fd;D2`$p#&To5m!yUV|C zEC)#7s(4%WDXy7@CwM~fLaxwxHzd5MT)*Wz5>Dx5 z()qk-nTW>R=Z^y%HGK8oe!O^L4YSN?oPTho8#*MZQm$3}*HB+sx$B5ydaLP1et{(&#;!q#!o2B*0qg4>IT1&P80(df5 zI#DnwM6^4TiQNK9M)NAn+eT>@HLG{>s5s}f_9K-w>Q{V0C$pKq^@B?wP20rddJYBAFfsQG2s<6FY)vD%<2UxOTF_KtqT*RRan7i2`H^S-(nYU^KP*|7C4_EEA?3u} zj(5bxF{a`>=`8B&(yejbBQ89kG7OGgwYgU63rf6&tg}cM|T?gsi zc=gbl$~`s<@o|ug_w1K4teUg`Do{CiNXQ;pYcOV@oNvhQtP?yvR3Qk$-RF@;#7eC( zyanf>@9ME!YJseNYen<|kEM-);l4h?;%QUU!k&~oVB*Hs=vD^eK9aM={|;n<2U6hc zqW+)t;5T>cQAf`j`@hO{8arZEvr+T zt#n&=$tTP+xQuChef_Q2Kd$(zrCIb6ur(1>R&vXtf+$>)_F}u(l|~PzRspNZ&6n4S zTtgyrqFtPnBCqq5v#E#5-n5*?ZX@JP!zfVZ;k}9$lh;)d@i*m{i!1GTUSq)86YhF$xpMgwi7D=|qi?ek}HBwn->((bsMT zy6=b@*4~41;i~)GNB@F{*3x^6>w?VAWpm{1n!f%^bFcr{fyxcs`H);njNf;i(f&eg z+-%$I6KVXGSQcH*qJ5*w1QmCA0Aa!=J&`Kf1nGSqY13^s#RuzZ0Ab-2M;Lb}WMVjhjiYzpBEOA%<-NC~GIO^aLdHx_i0{$OX;SxBi)vvX%t z0n>swuXLoWd8SZydaec9KDfETN*$7-tJ2?@y@SUQ%Fy|N6Ur;FWd4A(+|y*Tq0FVu zqT51*;EGU#st)VZ$@dzZZ!!93-8WY-BH%a;n3u=s zI<@Dy%c_POM;&A1uOHF7`A>B4zvp}|ij8w*u24Oi@`Ilm)GHKKDPSzhqKP&p^yTGH zOrD+e@@0OLe-|ML;2$q`u`$Y;O>r8KCAe!iNt`!{U|JJ=Ydbxo?(EcjrFsGy`cy}F zuw#lD#yj;I?M6%f>XW?G4cnXQf?u>4#UPFDxm+b0s!GJANQ&{%^3)8Kt0HX&OBAsj zhJ~cccFTrwrG7t{{ZvRgw!)W>n&hsRja+GUMd1Y}Lvb-%Y%bph_Jrb*BHN$W;;r4O zEl*L86uSqQ(s~hd8ZJ+>n{%!dMU8$a31zF37fze9eiJ`2pF$rlJI>XHX?)LAMyVRuku(C>Sd1;>51cQ%qNb}zc1z4AHSc1!~-6;t8}2-D)XT`5+@r*3{HJ| zEnfE^8FeeF9hXw>Gu53+of7m(ye60g{zfPs&TyAp*(_cr9G79c*qbi0hNHpYcaW6etKqGgC^ubmvOp`I&L1G zoH;&bS#!n;kXQ{0%ojm5(u)zbd)6BDWiOr!-~144DN)dKo6}(jA%-&C_Ou)4iB z;GdF)+DzP`m#<7oOPseFf};x51@~w zc?T2~`|#v3hc~JP>iHhL{7av)Q#%~F9MgL}-wiT-rk$)2^DxP${%Gt4D47hjk5|3q zIupbnlTI6+K9dMaAIZI_Nm8$OBVc|etLk8-y*z`r4PoeT4HoAE!3Q>6i5N$CtO}yj zsU$IR>^Uo9av{@)J-$~sMK`U6`+XW429jDZu{>H<3`g2d-*XfXTQ~fkY*aQpM`5y) zhAayjQ+Jv@Hc-7Ec@Eg(7UXBc^p}nzd9?V=RAwZ)xK~#es=ZT6Uo$Tsbw5@<(94aZ ziRB$utJPF!>OMA?-+A&RXW_7byRqInWn_vrRXUM&XFF?nI;WX4S8-tZjz`uI;g#D) zH9K41=kfd~zr*PLSl!F^2Xg01+s9;7GN-Gn;&b^6*r(3yiT6(LFLyU+qtA3$(h~v@ z$9ki=MM|X#7xXA%OCd6BUsj$AQ`ByzCj$Y0+)l^CR^(a zdKlrv2Upo^Zsck`dz&YLi@yXHaunLFK%{I#cBuEC_ZR4__WnUfjJFO8*kcig*y&7VQ`vh;^Fg`aANW1=9c&bTjiT2F`m< z2qmsctj}0V7L1OC-nA@+6r7Twi0w4HzlQBJ;NjDLME8nm)Ay{Iv_x+gp)GYsM4bmd zi5yS(uvc@AzA62(#;X9X<7f1fvaVUGt;Jj!A>77F4$HwF_Ii00P(68(I6i(+*@b)N zp3~=9h@xagOf2;TN?c~3#(Zx#^j`Jd76H{{Gu5=0#7H-^y?UZ@;CK z?>-nmt3j}}nSp6EjAJk0TawpH-;gNZ-$GVk>cGV}K{;t6dOnsehkt*kFwiC=7X|(` z2R%W>#O&(Z3~Czy2;3tFC_t(0_X0CBItjqaYj&hT{cS`H0O)^fEev)8cSYK0q8;2| zYQJ)RU?A_mmm{B00l+r@zb?y9G#mvAi#i%sM*1c<;s2N|zp{xS>n>(M(ga6WeF^~R zeuChUkrx1HqP^`sJ$>B0Pht+SM@Ti$h@_?kPTX6kF95)FRZjwcM5I4f0>{XA8UVoE zFXf^?0I0jV*}9xWO0bKva$wtUYyiOc3jhH3L;jDz+)zj-wAV>e)B#C{2S-L!J2|=! z5&y`ACjln~p}lo*7H+Zt0L^cq`r<&q&C}aJ|F?Agn7X&Vt(nYVV?J>EJzZ0Y0ATFo z>SXJNHnR6U84O7?Px1lptDF}AAio7;c?19^#&DRG5!_hc#7G@(Weiupsj07bvWs6s z|L`S$mc}%2`kfpN?jpVHVXpos!C?7Z`*Y0XfEK`ZB5$95fNHQ`f&bE9e?}55CO;v= xo@7s2oj=*667pXi&tJj+lCyq-l$GSb@2Zx8HWl@c>nvdP1yg803_g~?{{Y=lg`xle literal 0 HcmV?d00001 diff --git a/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyprj b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyprj new file mode 100755 index 0000000..6dc1b1c --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyprj @@ -0,0 +1,1565 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyversion b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyversion new file mode 100644 index 0000000..aa3c2ca --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.cyversion @@ -0,0 +1 @@ + \ No newline at end of file diff --git a/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.svd b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.svd new file mode 100644 index 0000000..28ee2d3 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD.svd @@ -0,0 +1,31 @@ + + + CY8C5268AXI_LP047 + 0.1 + CY8C52LP + 8 + 32 + + + SD_Clk_Ctl + No description available + 0x40006575 + + 0 + 0x1 + registers + + + + SD_Clk_Ctl_CONTROL_REG + No description available + 0x0 + 8 + read-write + 0 + 0 + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD_PSoC5lib.uvopt b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD_PSoC5lib.uvopt new file mode 100644 index 0000000..0972a90 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD_PSoC5lib.uvopt @@ -0,0 +1,280 @@ + + + + 1.0 + +

### uVision Project, (C) Keil Software
+ + + *.c + *.s*; *.src; *.a* + *.obj + *.lib + *.txt; *.h; *.inc + *.plm + *.cpp + + + + 0 + 0 + + + + Debug + 0x0 + MCS-51 + + 20000000 + + 0 + 1 + 1 + 0 + + + 0 + 65535 + 0 + 0 + 0 + + + 120 + 65 + 8 + .\MCS-51\Debug\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 0 + 0 + 1 + + 0 + + S8051.DLL + + DP51.DLL + -p51R + S8051.DLL + + TP51.DLL + -p51R + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 0 + 1 + 0 + 0 + -1 + + + + + + + + + + + + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + + + Release + 0x0 + MCS-51 + + 20000000 + + 0 + 1 + 1 + 0 + + + 0 + 65535 + 0 + 0 + 0 + + + 120 + 65 + 8 + .\MCS-51\Release\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 0 + 0 + 0 + + 0 + + S8051.DLL + + DP51.DLL + -p51R + S8051.DLL + + TP51.DLL + -p51R + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 0 + 1 + 0 + 0 + -1 + + + + + + + + + + + + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + + + diff --git a/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD_PSoC5lib.uvproj b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD_PSoC5lib.uvproj new file mode 100755 index 0000000..499c794 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/SCSI2SD_PSoC5lib.uvproj @@ -0,0 +1,1404 @@ + + 1.1 +
### uVision Project, (C) Keil Software
+ + + Debug + 0x3 + ARM-GNU + + + CY8C5268AXI-LP047 + Cypress + "IRAM(0x1FFF8000-0x20007FFF)IROM(0x0-0x3FFFF)CLOCK(67000000)CPUTYPE( "Cortex-M3") ESEL ELITTLE" + + + "C:\Program Files (x86)\Cypress\PSoC Creator\2.2\PSoC Creator\export\ide\uVision\4.x\driver\cyuvdriver_arm.dll"("Cypress MiniProg3/FX2LP v2.2") + + + + + + + + + + + + + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\ARM-GNU\Debug\ + SCSI2SD_PSoC5lib + 0 + 1 + 0 + 1 + 0 + .\ARM-GNU\Debug\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + + + 0 + 0 + + + 0 + 0 + + + 0 + 0 + + + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + + + SARMCM3.DLL + + DLM.DLL + -pEMBER + SARMCM3.DLL + + TLM.DLL + -pEMBER + + + + 1 + 0 + 0 + 0 + 16 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + + + 0 + 1 + 0 + 1 + 1 + 1 + 0 + 1 + + 0 + -1 + + + + + + + + + + + + + + "C:\Program Files (x86)\Cypress\PSoC Creator\2.2\PSoC Creator\export\ide\uVision\4.x\driver\cyuvdriver_arm.dll"("Cypress MiniProg3/FX2LP v2.2") + + + + + 1 + 0 + 0 + 0 + 1 + 8191 + + "C:\Program Files (x86)\Cypress\PSoC Creator\2.2\PSoC Creator\export\ide\uVision\4.x\driver\cyuvdriver_arm.dll"("Cypress MiniProg3/FX2LP v2.2") + + + + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + "Cortex-M3" + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + + + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 2 + 1 + + -Wa,-alh=.\ARM-GNU\Debug\*.lst -ffunction-sections + DEBUG + + .;.\Generated_Source\PSoC5 + + + + 0 + 0 + + + + + .;.\Generated_Source\PSoC5 + + + + 1 + 0 + 0 + 0 + 0 + + + + + + -Wl,--gc-sections + .\Generated_Source\PSoC5\cm3gcc.ld + + + + + + Source Files + + + cyfitter_cfg.h + 5 + .\Generated_Source\PSoC5\cyfitter_cfg.h + + + cyfitter_cfg.c + 1 + .\Generated_Source\PSoC5\cyfitter_cfg.c + + + cydevice.h + 5 + .\Generated_Source\PSoC5\cydevice.h + + + cydevicegnu.inc + 5 + .\Generated_Source\PSoC5\cydevicegnu.inc + + + cydevicerv.inc + 5 + .\Generated_Source\PSoC5\cydevicerv.inc + + + cydevice_trm.h + 5 + .\Generated_Source\PSoC5\cydevice_trm.h + + + cydevicegnu_trm.inc + 5 + .\Generated_Source\PSoC5\cydevicegnu_trm.inc + + + cydevicerv_trm.inc + 5 + .\Generated_Source\PSoC5\cydevicerv_trm.inc + + + cyfittergnu.inc + 5 + .\Generated_Source\PSoC5\cyfittergnu.inc + + + cyfitterrv.inc + 5 + .\Generated_Source\PSoC5\cyfitterrv.inc + + + cyfitter.h + 5 + .\Generated_Source\PSoC5\cyfitter.h + + + SCSI_In_DBx_aliases.h + 5 + .\Generated_Source\PSoC5\SCSI_In_DBx_aliases.h + + + SCSI_In_DBx.c + 1 + .\Generated_Source\PSoC5\SCSI_In_DBx.c + + + SCSI_In_DBx.h + 5 + .\Generated_Source\PSoC5\SCSI_In_DBx.h + + + SCSI_Out_DBx_aliases.h + 5 + .\Generated_Source\PSoC5\SCSI_Out_DBx_aliases.h + + + SCSI_Out_DBx.c + 1 + .\Generated_Source\PSoC5\SCSI_Out_DBx.c + + + SCSI_Out_DBx.h + 5 + .\Generated_Source\PSoC5\SCSI_Out_DBx.h + + + SD_MISO_aliases.h + 5 + .\Generated_Source\PSoC5\SD_MISO_aliases.h + + + SD_MISO.c + 1 + .\Generated_Source\PSoC5\SD_MISO.c + + + SD_MISO.h + 5 + .\Generated_Source\PSoC5\SD_MISO.h + + + SD_MOSI_aliases.h + 5 + .\Generated_Source\PSoC5\SD_MOSI_aliases.h + + + SD_MOSI.c + 1 + .\Generated_Source\PSoC5\SD_MOSI.c + + + SD_MOSI.h + 5 + .\Generated_Source\PSoC5\SD_MOSI.h + + + SD_SCK_aliases.h + 5 + .\Generated_Source\PSoC5\SD_SCK_aliases.h + + + SD_SCK.c + 1 + .\Generated_Source\PSoC5\SD_SCK.c + + + SD_SCK.h + 5 + .\Generated_Source\PSoC5\SD_SCK.h + + + SD_CS_aliases.h + 5 + .\Generated_Source\PSoC5\SD_CS_aliases.h + + + SD_CS.c + 1 + .\Generated_Source\PSoC5\SD_CS.c + + + SD_CS.h + 5 + .\Generated_Source\PSoC5\SD_CS.h + + + SD_DAT1_aliases.h + 5 + .\Generated_Source\PSoC5\SD_DAT1_aliases.h + + + SD_DAT1.c + 1 + .\Generated_Source\PSoC5\SD_DAT1.c + + + SD_DAT1.h + 5 + .\Generated_Source\PSoC5\SD_DAT1.h + + + SD_DAT2_aliases.h + 5 + .\Generated_Source\PSoC5\SD_DAT2_aliases.h + + + SD_DAT2.c + 1 + .\Generated_Source\PSoC5\SD_DAT2.c + + + SD_DAT2.h + 5 + .\Generated_Source\PSoC5\SD_DAT2.h + + + SD_WP_aliases.h + 5 + .\Generated_Source\PSoC5\SD_WP_aliases.h + + + SD_WP.c + 1 + .\Generated_Source\PSoC5\SD_WP.c + + + SD_WP.h + 5 + .\Generated_Source\PSoC5\SD_WP.h + + + SD_CD_aliases.h + 5 + .\Generated_Source\PSoC5\SD_CD_aliases.h + + + SD_CD.c + 1 + .\Generated_Source\PSoC5\SD_CD.c + + + SD_CD.h + 5 + .\Generated_Source\PSoC5\SD_CD.h + + + SCSI_ID_aliases.h + 5 + .\Generated_Source\PSoC5\SCSI_ID_aliases.h + + + PARITY_EN_aliases.h + 5 + .\Generated_Source\PSoC5\PARITY_EN_aliases.h + + + PARITY_EN.c + 1 + .\Generated_Source\PSoC5\PARITY_EN.c + + + PARITY_EN.h + 5 + .\Generated_Source\PSoC5\PARITY_EN.h + + + LED1_aliases.h + 5 + .\Generated_Source\PSoC5\LED1_aliases.h + + + LED1.c + 1 + .\Generated_Source\PSoC5\LED1.c + + + LED1.h + 5 + .\Generated_Source\PSoC5\LED1.h + + + cm3gcc.ld + 5 + .\Generated_Source\PSoC5\cm3gcc.ld + + + Cm3RealView.scat + 5 + .\Generated_Source\PSoC5\Cm3RealView.scat + + + core_cm3_psoc5.h + 5 + .\Generated_Source\PSoC5\core_cm3_psoc5.h + + + core_cm3.c + 1 + .\Generated_Source\PSoC5\core_cm3.c + + + core_cm3.h + 5 + .\Generated_Source\PSoC5\core_cm3.h + + + CyBootAsmGnu.s + 2 + .\Generated_Source\PSoC5\CyBootAsmGnu.s + + + CyDmac.c + 1 + .\Generated_Source\PSoC5\CyDmac.c + + + CyDmac.h + 5 + .\Generated_Source\PSoC5\CyDmac.h + + + CyFlash.c + 1 + .\Generated_Source\PSoC5\CyFlash.c + + + CyFlash.h + 5 + .\Generated_Source\PSoC5\CyFlash.h + + + CyLib.c + 1 + .\Generated_Source\PSoC5\CyLib.c + + + CyLib.h + 5 + .\Generated_Source\PSoC5\CyLib.h + + + cypins.h + 5 + .\Generated_Source\PSoC5\cypins.h + + + cyPm.c + 1 + .\Generated_Source\PSoC5\cyPm.c + + + cyPm.h + 5 + .\Generated_Source\PSoC5\cyPm.h + + + CySpc.c + 1 + .\Generated_Source\PSoC5\CySpc.c + + + CySpc.h + 5 + .\Generated_Source\PSoC5\CySpc.h + + + cytypes.h + 5 + .\Generated_Source\PSoC5\cytypes.h + + + cyutils.c + 1 + .\Generated_Source\PSoC5\cyutils.c + + + project.h + 5 + .\Generated_Source\PSoC5\project.h + + + SCSI_In_aliases.h + 5 + .\Generated_Source\PSoC5\SCSI_In_aliases.h + + + SCSI_Out_aliases.h + 5 + .\Generated_Source\PSoC5\SCSI_Out_aliases.h + + + SD_Init_Clk.c + 1 + .\Generated_Source\PSoC5\SD_Init_Clk.c + + + SD_Init_Clk.h + 5 + .\Generated_Source\PSoC5\SD_Init_Clk.h + + + SD_Data_Clk.c + 1 + .\Generated_Source\PSoC5\SD_Data_Clk.c + + + SD_Data_Clk.h + 5 + .\Generated_Source\PSoC5\SD_Data_Clk.h + + + SD_Clk_Ctl.c + 1 + .\Generated_Source\PSoC5\SD_Clk_Ctl.c + + + SD_Clk_Ctl.h + 5 + .\Generated_Source\PSoC5\SD_Clk_Ctl.h + + + SDCard.c + 1 + .\Generated_Source\PSoC5\SDCard.c + + + SDCard.h + 5 + .\Generated_Source\PSoC5\SDCard.h + + + SDCard_PM.c + 1 + .\Generated_Source\PSoC5\SDCard_PM.c + + + SDCard_INT.c + 1 + .\Generated_Source\PSoC5\SDCard_INT.c + + + SDCard_PVT.h + 5 + .\Generated_Source\PSoC5\SDCard_PVT.h + + + + + + + Release + 0x3 + ARM-GNU + + + CY8C5268AXI-LP047 + Cypress + "IRAM(0x1FFF8000-0x20007FFF)IROM(0x0-0x3FFFF)CLOCK(67000000)CPUTYPE( "Cortex-M3") ESEL ELITTLE" + + + "C:\Program Files (x86)\Cypress\PSoC Creator\2.2\PSoC Creator\export\ide\uVision\4.x\driver\cyuvdriver_arm.dll"("Cypress MiniProg3/FX2LP v2.2") + + + + + + + + + + + + + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\ARM-GNU\Release\ + SCSI2SD_PSoC5lib + 0 + 1 + 0 + 1 + 0 + .\ARM-GNU\Release\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + + + 0 + 0 + + + 0 + 0 + + + 0 + 0 + + + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + + + SARMCM3.DLL + + DLM.DLL + -pEMBER + SARMCM3.DLL + + TLM.DLL + -pEMBER + + + + 1 + 0 + 0 + 0 + 16 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + + + 0 + 1 + 0 + 1 + 1 + 1 + 0 + 1 + + 0 + -1 + + + + + + + + + + + + + + "C:\Program Files (x86)\Cypress\PSoC Creator\2.2\PSoC Creator\export\ide\uVision\4.x\driver\cyuvdriver_arm.dll"("Cypress MiniProg3/FX2LP v2.2") + + + + + 1 + 0 + 0 + 0 + 1 + 8191 + + "C:\Program Files (x86)\Cypress\PSoC Creator\2.2\PSoC Creator\export\ide\uVision\4.x\driver\cyuvdriver_arm.dll"("Cypress MiniProg3/FX2LP v2.2") + + + + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + "Cortex-M3" + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + + + 0 + 0 + 0 + 0 + 0 + 0 + 4 + 2 + 1 + + -Wa,-alh=.\ARM-GNU\Release\*.lst -ffunction-sections + NDEBUG + + .;.\Generated_Source\PSoC5 + + + + 0 + 0 + + + + + .;.\Generated_Source\PSoC5 + + + + 1 + 0 + 0 + 0 + 0 + + + + + + -Wl,--gc-sections + .\Generated_Source\PSoC5\cm3gcc.ld + + + + + + Source Files + + + cyfitter_cfg.h + 5 + .\Generated_Source\PSoC5\cyfitter_cfg.h + + + cyfitter_cfg.c + 1 + .\Generated_Source\PSoC5\cyfitter_cfg.c + + + cydevice.h + 5 + .\Generated_Source\PSoC5\cydevice.h + + + cydevicegnu.inc + 5 + .\Generated_Source\PSoC5\cydevicegnu.inc + + + cydevicerv.inc + 5 + .\Generated_Source\PSoC5\cydevicerv.inc + + + cydevice_trm.h + 5 + .\Generated_Source\PSoC5\cydevice_trm.h + + + cydevicegnu_trm.inc + 5 + .\Generated_Source\PSoC5\cydevicegnu_trm.inc + + + cydevicerv_trm.inc + 5 + .\Generated_Source\PSoC5\cydevicerv_trm.inc + + + cyfittergnu.inc + 5 + .\Generated_Source\PSoC5\cyfittergnu.inc + + + cyfitterrv.inc + 5 + .\Generated_Source\PSoC5\cyfitterrv.inc + + + cyfitter.h + 5 + .\Generated_Source\PSoC5\cyfitter.h + + + SCSI_In_DBx_aliases.h + 5 + .\Generated_Source\PSoC5\SCSI_In_DBx_aliases.h + + + SCSI_In_DBx.c + 1 + .\Generated_Source\PSoC5\SCSI_In_DBx.c + + + SCSI_In_DBx.h + 5 + .\Generated_Source\PSoC5\SCSI_In_DBx.h + + + SCSI_Out_DBx_aliases.h + 5 + .\Generated_Source\PSoC5\SCSI_Out_DBx_aliases.h + + + SCSI_Out_DBx.c + 1 + .\Generated_Source\PSoC5\SCSI_Out_DBx.c + + + SCSI_Out_DBx.h + 5 + .\Generated_Source\PSoC5\SCSI_Out_DBx.h + + + SD_MISO_aliases.h + 5 + .\Generated_Source\PSoC5\SD_MISO_aliases.h + + + SD_MISO.c + 1 + .\Generated_Source\PSoC5\SD_MISO.c + + + SD_MISO.h + 5 + .\Generated_Source\PSoC5\SD_MISO.h + + + SD_MOSI_aliases.h + 5 + .\Generated_Source\PSoC5\SD_MOSI_aliases.h + + + SD_MOSI.c + 1 + .\Generated_Source\PSoC5\SD_MOSI.c + + + SD_MOSI.h + 5 + .\Generated_Source\PSoC5\SD_MOSI.h + + + SD_SCK_aliases.h + 5 + .\Generated_Source\PSoC5\SD_SCK_aliases.h + + + SD_SCK.c + 1 + .\Generated_Source\PSoC5\SD_SCK.c + + + SD_SCK.h + 5 + .\Generated_Source\PSoC5\SD_SCK.h + + + SD_CS_aliases.h + 5 + .\Generated_Source\PSoC5\SD_CS_aliases.h + + + SD_CS.c + 1 + .\Generated_Source\PSoC5\SD_CS.c + + + SD_CS.h + 5 + .\Generated_Source\PSoC5\SD_CS.h + + + SD_DAT1_aliases.h + 5 + .\Generated_Source\PSoC5\SD_DAT1_aliases.h + + + SD_DAT1.c + 1 + .\Generated_Source\PSoC5\SD_DAT1.c + + + SD_DAT1.h + 5 + .\Generated_Source\PSoC5\SD_DAT1.h + + + SD_DAT2_aliases.h + 5 + .\Generated_Source\PSoC5\SD_DAT2_aliases.h + + + SD_DAT2.c + 1 + .\Generated_Source\PSoC5\SD_DAT2.c + + + SD_DAT2.h + 5 + .\Generated_Source\PSoC5\SD_DAT2.h + + + SD_WP_aliases.h + 5 + .\Generated_Source\PSoC5\SD_WP_aliases.h + + + SD_WP.c + 1 + .\Generated_Source\PSoC5\SD_WP.c + + + SD_WP.h + 5 + .\Generated_Source\PSoC5\SD_WP.h + + + SD_CD_aliases.h + 5 + .\Generated_Source\PSoC5\SD_CD_aliases.h + + + SD_CD.c + 1 + .\Generated_Source\PSoC5\SD_CD.c + + + SD_CD.h + 5 + .\Generated_Source\PSoC5\SD_CD.h + + + SCSI_ID_aliases.h + 5 + .\Generated_Source\PSoC5\SCSI_ID_aliases.h + + + PARITY_EN_aliases.h + 5 + .\Generated_Source\PSoC5\PARITY_EN_aliases.h + + + PARITY_EN.c + 1 + .\Generated_Source\PSoC5\PARITY_EN.c + + + PARITY_EN.h + 5 + .\Generated_Source\PSoC5\PARITY_EN.h + + + LED1_aliases.h + 5 + .\Generated_Source\PSoC5\LED1_aliases.h + + + LED1.c + 1 + .\Generated_Source\PSoC5\LED1.c + + + LED1.h + 5 + .\Generated_Source\PSoC5\LED1.h + + + cm3gcc.ld + 5 + .\Generated_Source\PSoC5\cm3gcc.ld + + + Cm3RealView.scat + 5 + .\Generated_Source\PSoC5\Cm3RealView.scat + + + core_cm3_psoc5.h + 5 + .\Generated_Source\PSoC5\core_cm3_psoc5.h + + + core_cm3.c + 1 + .\Generated_Source\PSoC5\core_cm3.c + + + core_cm3.h + 5 + .\Generated_Source\PSoC5\core_cm3.h + + + CyBootAsmGnu.s + 2 + .\Generated_Source\PSoC5\CyBootAsmGnu.s + + + CyDmac.c + 1 + .\Generated_Source\PSoC5\CyDmac.c + + + CyDmac.h + 5 + .\Generated_Source\PSoC5\CyDmac.h + + + CyFlash.c + 1 + .\Generated_Source\PSoC5\CyFlash.c + + + CyFlash.h + 5 + .\Generated_Source\PSoC5\CyFlash.h + + + CyLib.c + 1 + .\Generated_Source\PSoC5\CyLib.c + + + CyLib.h + 5 + .\Generated_Source\PSoC5\CyLib.h + + + cypins.h + 5 + .\Generated_Source\PSoC5\cypins.h + + + cyPm.c + 1 + .\Generated_Source\PSoC5\cyPm.c + + + cyPm.h + 5 + .\Generated_Source\PSoC5\cyPm.h + + + CySpc.c + 1 + .\Generated_Source\PSoC5\CySpc.c + + + CySpc.h + 5 + .\Generated_Source\PSoC5\CySpc.h + + + cytypes.h + 5 + .\Generated_Source\PSoC5\cytypes.h + + + cyutils.c + 1 + .\Generated_Source\PSoC5\cyutils.c + + + project.h + 5 + .\Generated_Source\PSoC5\project.h + + + SCSI_In_aliases.h + 5 + .\Generated_Source\PSoC5\SCSI_In_aliases.h + + + SCSI_Out_aliases.h + 5 + .\Generated_Source\PSoC5\SCSI_Out_aliases.h + + + SD_Init_Clk.c + 1 + .\Generated_Source\PSoC5\SD_Init_Clk.c + + + SD_Init_Clk.h + 5 + .\Generated_Source\PSoC5\SD_Init_Clk.h + + + SD_Data_Clk.c + 1 + .\Generated_Source\PSoC5\SD_Data_Clk.c + + + SD_Data_Clk.h + 5 + .\Generated_Source\PSoC5\SD_Data_Clk.h + + + SD_Clk_Ctl.c + 1 + .\Generated_Source\PSoC5\SD_Clk_Ctl.c + + + SD_Clk_Ctl.h + 5 + .\Generated_Source\PSoC5\SD_Clk_Ctl.h + + + SDCard.c + 1 + .\Generated_Source\PSoC5\SDCard.c + + + SDCard.h + 5 + .\Generated_Source\PSoC5\SDCard.h + + + SDCard_PM.c + 1 + .\Generated_Source\PSoC5\SDCard_PM.c + + + SDCard_INT.c + 1 + .\Generated_Source\PSoC5\SDCard_INT.c + + + SDCard_PVT.h + 5 + .\Generated_Source\PSoC5\SDCard_PVT.h + + + + + + +
\ No newline at end of file diff --git a/software/SCSI2SD/SCSI2SD.cydsn/TopDesign/TopDesign.cysch b/software/SCSI2SD/SCSI2SD.cydsn/TopDesign/TopDesign.cysch new file mode 100755 index 0000000000000000000000000000000000000000..6eb486930a5e16fd944dfd0d7377d1142defa7a0 GIT binary patch literal 90538 zcmeI537B0+b?09TH5hCeg?QMP#_wV&*izKpT8$0rZdsDSmW5hgunnSH-CAr(7TxVd z9w35o7{Ui(8HNzxOAHKzBoj!8hh)N#vCTH{u?-&+WNr^8`7&&iWWa#{G7pG=o07?yP*PpeuzFL26O|4gz?A5PTwe|Wns?=3_w@z<1>DQ=I z*SWND<>{~ObKfKSJEqieeV_Rw)vNlb_X+xQxVFauFyTO_@vc+d-6sWs{?TPV&;F*B ziDI7oZ;%khkJ7j5x=MfALBbZn2x5-ah6P*rG+Db`ogWjFhicpPJ>q^(fQ%^ac5R($ zZGn#ss%N9}^r>&)VU_wnE=+86_*k#MYaBi{sg}JiZLRWc)bEYL(YQ*0oxQ61F{-Wm zWYUdu^Z-isx{*6t+o3{-mA&iKvSkmbn@>*PPw35*-Y~{D3vE-X>angWWm}nK8`FSJ z){d%2BkJ*XJwX^F>Ci(kQT%x2UiLtJ8ssL!vRkzt%~6!z)q3u)BFvN+RaN@?xGH*~ zinKE(dBVI>of%W}+x3}Lw=NX|=s^WhLXsnn5E-ns4$Yf{+V$?&UZsrd*Czenp#Mg) z>xK4>BGP?&JE~HB`YWPLN8p(Pnh^kTmkJaQ&VYabjAI&$Gtc}{Fc#!A(mAMFLGhR{ z@i^7vvOO`WkV$!)`g4Vvy+Kuv8KryLUi#ppmC@7o8SXdOoBw&6XdKC{emVa8>-&qs2D^-+pCmgo&ImqQ=f)l+|B>BO7--7mVxd&KPt@Kq$+MK zQS@?UG>Z2WJt@T0Qz#)w5$4S42$r^2_`XTh{&My0I{j~_mPS|{ax;f1cR{U3H6PQk z_iGeK-E*FSUM#GvcAqu+yG~f^bGe2zRSyZKn^o6%?P8JFxPDK$nh&`gJM|2*4yuJ0 z>Fu!^Q}IUqI^yzE{!s0u`Y*)5i1um_)@TUU>U+Jq3BAK^VL!&0p?sK5p8#2+)<=lV|>@%ZM+;{8lwEH#ke6U9a{=)jG_u-x1QNe(h5l(2lv~g&wfPFpJkX zg4>`J$Zb^6lYcxRGgaytBAgDKGUB$R!P)+e;1Bx)i)*Jpd5*NjjRy6yKEXYYiK6-( zMGZSTfGQ4aq=(&D9#pRVZZr>zMquR=ZbTbX3RGerXlu4qGAg8P5S;7O_Buxtn}kl7 zA#-|@Xy<}i&IK@%$M(FM6VN}R3CwCl|5rKc=}o8%jz6X}sI1pf*_g|}LCD#sQhiGA z(;K)r9K??^xN%{El)luinwD2y}hk>6|j4f2TQf7ML?o5h))&h*() ztSKVE^ZbwvsyINnq$5nvRgV)YUM~tn;zfQPadZk*B7fMtWQ8&+-r&;Mcpslxv#AT)L_uCW z-X>rUs>Y<#EWm8X5x|(Lwm{o2P_f3Pv6Eb*Tp$813SDL)XOn`Yx+&>&wmn8qVw}7C zBpX-Tt*Fu2%3{MBCD`0y0f3OdH`_8q@kX_jbPN%iQG|PrDi@nz#BBCgbPv@Iouv!L zr{J-45=78pu)a3wSocYEN~-#7{V|2Z5sm3oC0F|DB3BXuXTNVjS^>ukgClJbWz2>n z`Q9e<+ba~Z+9M6HvaS-vqOLV5p;wfN_P{g=P_oGc=nz<2dmU%mpzo9$`Mozg!Tp*z zU=~JGP=NVtnJ@tdf@M`Lz)$Un)aP2+gP3JviHCK*kj#@r6kd$g&x}{i2`4P}Iw2kO z25W>6h=BzOhKEYV7BX}UkQ0^x>;tAd98)SEL^Fgq1k;psyk5`<=CFtd#Y(kE_S|_w z5ye$vwDE(Cg*?Goernv6QyC%6DxODtcjH0H2T zQ}6C|A`pCnEh%r&NV2@3ctdH!b`P_S@rn90inm(VQ#7n zr`yQj2LaRMdG`evEFuWR`+t5oq8PEf`y?i0GYk6RKzkBY#$(g1Srk9ZW$AI>whLg8 z6N*4|#yoJBO}21Qu>GFfSD<;B3m>H%nL&qn=-7H zY}nvC2)NisY^#Qage{$k$E;20;CuBSH4=(ua$r;O!k6g~14=HEsR-1IIkq#uSv3}k zv_8!Y>A62YZ=#s3cz)horIesG9;5hb_l<<@m6}18B0xS%>K<^!$>CcB7WyMsJ|EsyBXvOWjzD|Vh9(5H z; zUEje>=P`;S_idT=69}^@+t`5jjX7Npe>vB`OB2=?8cXNL6mBY8i9%*$z^UqWGA%H@ zvI7{^lt2y66u?`?l%*)a(G0UsK<~@`50exc1J+^m2J7|CtG?^gP<3%yltul$$`wot z9-H<*ipN}*arbRA$7;ROr8E~LzdxrFXHk&EGqZ}M=F3ECDr}Vr>6(Cq>eG-_QOxT; ziN|JM%sZfzfP{H$A?B4^Ml_A$Yuz`ypXYodim!7|nYeb%qPUjxZ$;KEdQ4ZDh8He&>d)T_)mV1wS-I*&ISCMWW; zr^dw1&Sy-2aqMWgzb^7Q5mF69FrWH#Q8>W=I^?W^@|zuz-YzGykI8TQhzu0R-;6fVBvg)#y_D<4ENZfFm=q`^@ ze8hdX4JCWc`gC%t1);&bJy$z$ObK*E6pv}{uz<`16~({eTFdRXAJ^s-t6Eu2c@*xT zyxac5)Th2Q9G}~StArf!WH+Z5+;z=+4a14I!<%ET`MyD-SncubM`O_E*d?qsW0a~{ zRhwo&O?cluC>`_aevuX)>jC9q+dyv)xlgLD36bYfWi|)*%8cPTB7Z0;$jEUIYV@QM zyz=m%-$EIJ=^s8C9Fg(UBvX_6^7UzIRW<|%x$qeZ+pP;k$!}7eZi2_=eEgR3^eQzu#uM=H-*)&r z=Dv-G>t~ih!!@Yq*>_$QZC6DYX4h$f!+RLh21*zfMdL%9i7)4Y3$vKp|J3 zev`{ z;Bdzp%|LUR0Nd!1{iy|;Q1xl+T*4>bpw>K}$e5V1Z-J`$whr&UO?2D`sx#Wxhu~rqIiRP5b_Ee-2kD*!8R+r*>t;3J?1e# ztxqHPU$pk3%kLZf%Ii~>6)Dm4TaLXVba4WDOkMG(GmD%zitkV<$KfgNS>|O)@#5i5 z$2_B$L6}jq@XT-^XV|R6qxJd~_g(+HuRRv@8g}7(?FZGb#o-zgG)WQP)2#^|_#!L~ zck2DMwF_(4OEkcEaD(Us`_!aThBc{%rK{`H_ZB_l{Wqn*P!OjeZWZQOZpu0HC6P3b z3Ghi_=6X?d@z8Nqnw3FpIgp{hN5K02=hu5gjxhn#tIWs}w$=uCps2?~X8dGLA4rR; z6cmzPb=K4EtuD{e=xawyIcRg)1Kw~)n2gOuiCBbRmD84*IV1Bc~ zaahO&q0Wmv4VuYIU}3>4MMcOr+wbVuADYkerS6l+nIT`&L^z{swrfc1 zJ1|lAp_-K8`3S)^6y@T8-knl`m+5VxDdICUykH@{NT2IcvnW)t=G| z4TStTYaj^+Atb=ee0q;#Z^=fUCCqoo*kp($M1d){=r?GW;=W^LBqIn}@e~=wDO6Mo zMDcm5GN2qDtITrH&Kd4qJJz?(6v@9FZd`eV$wFZ+Rdz0D;u=R2#!2eakXPA|21^L} zb`*a_DFM;(*r)*(l~(q$i+;)NWeby}=ATUrLM__FKH8>)k=JR!{81|}d_%;-q^&A- zy9Mh)zAzc1xHiJXLN=v!{N9cRf0twvwl-`EA6ZtZg-Hy-N^`=Eu{I(aX@od$4Pq&? zm1feVdeL~wJ(-AGq!*OuzSP&<@BgLmpfMh+3DL-`K1~(uV)SQ+h-OM!-tX&o&;4x3 zitV9s3+Xe8e@!Vtdpt(*bKQ5Pry-~OuqITU&zV1G`@&!fN9WCP&?h;x8qMfuhIftM z4n5CClgWw3e6Lk0>Pn7u?sG<_G3|J;grbf#oK!5?Po#ERi0v)ey6Y1S1hz^PfeNabVcS7BqIpeGpHb!#R)J@JxJD852{M5BNvI$*J8)TZWV?m)MV8{b+_tlXGHP>c_ZDzbqo4P zSY94mD2LgqBR=I~sG=^0&M<0tdI@L_1`0W0Ksx3!4!EoqUGSk`UA~=U&T?>?W3pHT z@%~`xt*d(N^3*j^0BPe!KS+dE(>K#nl2lW`m zKX>0uT7ib*Ghd76IUM5Ouk5YsDC2gAn~jGB3lVHu*HG@ua4?*(l6GqGEaMi32bPfn z<3Zs}XKzoKMY!%2g&aE;Yue8T3|F%i+R)rVf0^(WjLlLpsf1Ku-1uB#peMu-$Ba1T zXug*gwE?D%?1nT)&|FU7Bgb(TpVRjJs>4Xd)+tr(mvswJ3Ml)MVJaXu0izw3*=Xgy zTtLyzL9OUXb+|jRa1q@Qi0KuwsgF8=Umu2aBv9Tm0a)gULBX*sB2+-z)~ot71Jt$R zguZLZb_k2Cf-CpjU$9SipM*m55-U?7zmx%A_Pi8L)mr%-bO%6phqNQcsVR&8x#f{Qs*5cjMRdsg&$}JuQ)rOrnGQC zg*bK%v+2XICIiCfm_n=ju?{HYoNRs~TbdUsw9KFoIoU^2@xd(-y86{gW-6V+Pr6@e zL`UE(l$S!i9C?N7lDCb~!C(C17eU7njJi+4ufvWp>-HK5&(W(o~i#ZhYS`_AtQ>Prhyke5YL&h_}dEIiB~?$7=co=gc#T@L^u$}M-FDwGXYDei?f3UtTWYnx zP}{TbFI3ZhyUWz>hv%eSNVENRSI=3yQ2xx?y=zYT3-z|&Zm;_LnK@~fwYB|r&sDqM zpObbGp=Z|azsyOy95rvd-Qzv#?=R-0UAXbg+Fh!)DKk5Mg|BDZ?bhxRkNoDG^q0k> z{dP~N-MOJFv>ffX%ewG`IawE2x!Z4-bzyGWC+#9!x7}{-aq92gbJ8x(Kkc{sGqw4h%62pD*2`3*+pH&&?qzyzM^V@4 z=-Y0$EjX-GITlt^@;&Y%XlhZl@gE(sS*85xWx_t8l*b@p!Jg3Pa?Y6mznmFFb!TB7 zZ2N~+%FCWhA6%-Z?vu~bw?AG!N0t;HsJ7CQ@Kv z-zd&BAR8NZJXZJ{A*zra%{1zPbc>HnuIjuhz@IqFs>p$&oU>)6!{U6fS>J-Hgq3nU z7~3m;cUU$Ju@;+_>m0G$nx)9*p2eT#IuTrVaL~abl10mgRJ70aJKp*<`ktQq%?y%L zH2eZZLlpN3yZqyKzkVmm1Z3J>!YQYvu%oYY*?I<|c)c5rJN0dA7n}Lx&yV8wxb)(8 z7kKo%-R|z~KG~zR4taYc(=jU*H>+0i_V)|41mE~^*=>4u8zM)qF@NF##?@GGGw_WK z9VZJg%*gN`o=6nn>^iwL;0EY|&PIi@+tjxr^=#GqcDQ+dBJNV7=9z*u3b%xGLP9Gn zs@TTp4;Oh5V;$~outQ7S=V~`J;P(ctVI8vr=x}=FOLa#Kl33ssVDs4!lM{UJ{DjK8#hr`K?=?Q zUM>N3cJ~P;MXbvh9g$~1XUb(W_F>fJJsG3;(T>`!uS-xF6>0q0N{iyJy6^WH{q1ZM z6OE)XyW=Upga^vF4`)aacsyxyl{I_qp#saoByczJvbp z7{zaK-zGPLceUe3iOEL<1Dk#>T=jVg2)JOPH#cdEW1!XpNEvyIpiB(rbG}iZ29Od9 z4YjjT{4oba@w>)ql64EmA01fLcV373!F;!PjN(5Cx}_3IG0T2&HeIE6bHhj)nBVan zBO)>6z-)qvn6HJA4i;rd#|wxEhe!s5*Mdp1XyMCYbErN||BE<@TnCr9??<`s|C+}M zk5T+r0Zw)+n}(WY8;K4TqJy9OT)7viT** zJD9OODler_LOU~{(R#v=;9|y-$}mj`Bw7*x2xPd6;q+~O&#*}@q{ICN`Zu3wgf!e! zaMQ7y-YjG>-bnaR;j5XhA>QtTwaMHgQX$k7wBS{ZuhbuGHN;n(QZ zlCF?5%uAk7N65jrWiDiV++4uNw`N2iyB*g!>=+olW8q<<$j}jv@(lemd4|qo6n{2g z==i>fSNYulp4xWDtG9)xye(n<-rXSN>DlZ`b7Q(uz21_4paJ!z`PpwNKLQ})fZvOh z`B_>bfyZz7Z4JmqGtFm46;QL{1ZC1glXwcOI02wtNG@yi02Dbd;wa|s;1wsRqzmzP zNRkQ#SudtEiKqgu?>vMNo%g%_6j{_AmI{A9lhuU)LUgeWGx%}T@Shoy+#0*#dUyI= z9Q75dFhA;VRo+0(=5a>qs7kB9y7M)))XqxXXung|)p>87y;@06|2aG`nLCG9)YA!( z>A&JIu-xZ|0c}?>3`ONvx(oB$9sGJGYS*ZiRKCr>dP(}KK238qMoqr__u?XrJqTf5Uw}3OUMf zR;^Dav=GJLac|}jyEx*^6uZ#Ntg&0cRoAwU?Al|5>=GuqgolH4b-%wrUoOJ>6n|B^nysp0HWFY~xB9wW zm0_UaSRZO@JPFX@6F1$=HyESsU==1BQ+9K%4P`i&INE_g4A~{^jD?5gFtFD+EfKFr zDEC?^)W_COuVGGwHRZyIwVj@N!N)=sa4?9C0a_>@=)Rp=8cECU;H@!*&LeCqp)}$zW4}fdZuDhGOHB`mCKLMN z>>-zy5HN-F)||S=yv8wHj4Phf>eEcROjU)elT+I<;nd=_`ZG5J?`?PI*SNtD*Y8Oh zVDj{;z)ywxfWnngQJ>&sRHs zTk|san_*68N)#7IJ&IAw2tq&4u;LJt!Q9gAWTRfgc-iU`$2U8nH>k{JqRuAe5=;&% z;fcxO&_YOgUz;U}3ChPVo|+G9GfqSkBef;QC10hNfr^?$@=gi{HYH ziAjg^>Xy9PBrAQbN^mnlu!qYsd4A)E)Pttn3E5mEaM~f8JlFB{rN(4zq#XXoW^L$>v{hQHNJH43u>LP;>@o>Xm1kDprc zga`n9@e4}_`um?ZAWTGhWQs63%^<%=yY;m?ooYU)(R zTLmL`nVyTiHVGi?^{?l9&0`ghUt(-PRqC}FGI#3t+}x3#Epqcq9R#*e2f5CR8P@zq zlm5&VNV10!xJ!Sf62vA37uV1S2!-F-_gC=P!NJVq%4r}6e;kRm+l;{%{G-*Rd; zlnGI6J5|sEHvK<;mv(&`7U^#Zw!1_91&sA+w73?4bBR;c>XCWEM;yf6h7`rOx+l_n zy!hAZH-1w8PJbB&Rs)bsaC~mj@kTmqoE%QXb?RpQG6B!m`#P2KahG4C-%W1ccTmdi1gO34NoPO#t6xrTLXZ~D6$-n+c7&$h zL!l@hcLWCxqWJe*X1K8Lug`p^(vfy94a13>H_+zdf?L z+Km&SYo%;TEG)mK*#CJ{Ua#epGj2o5HRKQst+Se3FY;NfPqQ3IjiFS>jRm0ue|=l* z(w4SV}XdLw*+DWCa2H_ZIua^^p6nE9jS%y%`+{4u>rHk%%dzigQKudL=bxD1TF zMho%E2Miz6_)I3!cXsoak*uT5#RJmBZa8pDu z<}bz*o4xuW)S6JnV(M6|KRCln{+WE8$pKNk#c|E8?)x|Ot#%@1EXpA)f5k=9ivyeQ zsns^$^WkmJenAw!$W_EJ>lOp6j#QSnlLsK zbg^F@s}Y2_=vB0^`M$}`goMDN zn8&K1>rKfQ3U3vSeYYxZXMpmA%Zznee_t z>D?!d*@kq#R_Osz^C-W0_nisvemmqhu2iF?X}kW|y?fa=@j2~(^Itxf|C>Q7&Mrv% z90&&K%iQlP^$8_&IDoLFLC&hJC12zNwJxPEvQN%Py@Ho7Kk z0a{Xbplk}0R=T8%gCtd)EK^{8b`v<-*WFu)b*YEJ7Olr(T zh2PObFp@k*@jKmjC`My(Gjaf z6c_2R$Vk_@Tvpxt93*!-MwV8<;|yuttRD4g>J}xRtv{JBA19A8>(86?ZUyHFlC|wB zJMY`Pz0$np#}+3>AsF)FprnWA&QU&=Bi3Iu;1#w3)B$9{*1}vjMNn!VSf=zrxGcz+OWkZEOS35(MU(OosmVeoI z$A;(mvO8{~VoByvJ0stm9b1o+>Nusy)WQgBcLQ@wb3{{)X^E+olh%Y4usFCJ_$Bx; z0w+3m4)t+xFBLug1Tf#p?6$+~dIFoJAJhz3ae`UXb*{dymbd3FT^q1Rewi=P_ke82 zyLGM59?hTu9ZI=G)7Uu!MlmI;jYS*W+|p~kxB35JJp}Wg$Eqv;f-E0#S^m_0hw|%{ zu2#?T7xh>-xsw@3Y8N}=;NU9pa&dI&700%d%{U`$k`KlOwd>mASnbg5e3_|D^;l&h z%ImQp)UqCHV~Kn}mM32xTj;UMEt`mecZZqip7V_;zRo@E)VJ9~7xh@jWF)|TX1g8i zHri9dQhyA zzikBytjS~Ldu328sRK|b=s~eczTX;@4JjxOltHni4nU!x2gNG+=hmRCPeFOG42mUn z015>?C|1eOTZ6JL1!bvr$5EV{%$C#vC=~RdSS8&`X+pNB9I_d-tPF}JbpQ$lJt$Vm z*{wi9!RX2MiDghMsRK|b=s~ecp41!^b4c`{JgW?fC3OG_1wANMNl$A~GOVmDf+A_` z$ztu9W|?44*J=7+C<%oF7ahQOegGrCb>VLr#O~625f-eEgQ{ zc60dFOE2c(yQm0X6kAdUh@zk$OsnMLR)A!4?UEuO8p;q+=x(ml?3~3wZV%w&cNA}J z4j(#wkEkn(@X1xdC3S!(3VK9YB|BRIl2Oz(Wk4*c13)O~0kKM6(h87`DMm)hfLKxo zfKbo_VwH@x0wh~UZzuy|NgV(}K@W&ka$^~g(0FA^YELDTWl$`s15haFL9t4v%AlMT z@DhB@Js__v17b-X075|zh*k2cR)A#7`EQm1v7`^x?gOahe zKP-b{NgaSfK@W;m@<**e>63im=gvpVpjc7|pit0*lGNK8lx$V}co`H+>Hri9dQhyA z|JE9mY$AWE2ug*lwN6X)hS@C9pABH-cNBlR4C7fjo)_%BDg zUoOBG#Vg$Y$M)d-E^KBjse|#edQC}Um7FNGO4%)VQt!=C1Tcx%M z)8X5;kR7?UEvbXHtzK)}D*0}yZHp0OIOlf(=a$q#+g7i&ZI%2WZQHJCs!yA%>)VC- zqVA0Ir+J?bQUcwXWoTkpkK&769nW{)Gqw5toiNdkO};&EjK#AHqt5VlOzr?goV3db z_ny{RTNXv2slB&MK-V~8GIxRcG&ESY_gX2@vTW-hRyHkzM zoM;!%Er7H6MVRx?)(b@zZM~oAh)FKe+x(-q7PhYK?;${p`(r#zY zjf>vb-do>p_^_w>#i@dIjpMda+|?66jgJ#NL=Bmbd69W?jAEPasZ7u_c}J78=Iyv+Ru`~X+Q-k8g>t_yXU zO~6o#J$f_;<}4b`WikLGLT!@=(@pZ)OijUcWmXw)F6KNH0aEaD@_Fflw-!}ow?KTm+4ub&a_>6P?4*?vw!i#&M#^iUH zI-Q=C^qx?*1TOJs!IGgco47?DIV^BEGrTwL>Jr0#wM*yjS@zz~!J=TFV4_dZ5YY&8 z240i+y_;(-nBZ0zq9Ab(1}DDokH^`T+=LM0KX+?nA)Yo1Tvh#4{n;y7Wsi=~A9RBB z9>ogk)$=B&gMk2&YX?@Y9_YI2lY?DXz2@H9SzGVPP6)hJc~%4`1n?G|4ITJ%MNs82 zb#p?rnPP#{0o>(CLa4JslCBmIGb%r%hc594x2zAXs3;X^IiY*hF=*Vd2q zt}AEt1z%H^ zX}4;sK3s50t+q4D`R!~~5V`yn0Aa{~TzKc_W#`oaOFFCM5Xd#UdESM3p z=wCO4Lpp@@X-JOyvY`M+2Dq4J;galz=qP@?Be};)R8pPfC9;K$^d1qoUooP1 zhu(Fc9MpH7ke{Xs0z&4o91)35sHEN)qsyFs|5B-q2g`3T=I4OfjIU3Fl=JQr{^(-z z&mtZfe)Wch2bRipRK(2Vx*?{O2OfsF#^=TLYj!*gH=XZ%IEmEaL!&)u& zmECrqp`*16&q5CN9kMnKh@FjKXNr13zbO)5>~I9 z^Am2A^VH$>3RP+f6aI@r!zHd3Q`)a_^{&=;&=`+Z+6V+X2(3O%6(TgmQEm#|Bhe)^ z!G1}E=pvSp57Na~n-6gYld?T}9C8OQFV}I)7fO`frFyQ?K4+I^?4^3%K@%&L?d3Z1 zx6Liumy09bAX#OiuzasnD7}|waD{bWs(RY2n|*7`y6LmQ&Ee6@RW~pTQnV|G+K0r! z$1_+6Cu8}Cb-;9|{!?yP|2cBH)2VB=DP@OH{(LtM34++LS-}!gHtSU$DHHB&Bw7Y; zY4STVVyaF_w9m^r1!O@^`7m_@>cOCs3)QVN^qO0sZVo%uS1N>OSJaOryf{7`{nx-&mX>eh6-Hbr&;(nZlH+s-0zz2}@|= z-2C&9``V2-8SYA8dJ^O{%3c9Zbqfsvvf+W>+$12N7J@K3mDX$3_&ObWZuZ&X=H$3? zJygF^ItNlyx}h4*hC7)N`1T|-0_DRFWI8u(#foGz{W6UjRKh}0n6T*0LMGU-b~s6x zu}$0*>u-o77BjXVHYyr7VME#pT-bu;$=(jACvY=nksH4+DQ{+rFfh#;u}0Ka{ie6! zo4$r``WwDk+wcuDq#AoJR@k3^T|g#rkUf2(p$Xta(5 z6r6E;;g%J6T*3h?b*CtINNjJbK9^{zN^4`pQPWXh)t%V8T`L6D&rvQs(iij0)RwEP zKyLcLBg+Na%)CqJu(0=BFk4`#JweSz6xsZ1P(M@O0}~c$J9|@r5amqN+@=A#$*oPL zDQTI|l;5iHYp_Ct<=q;b31ypfgLFuOML5wl%o&jsrqPuuGp>;zNte6QQU{4tWvf_K zV7~dRhJ?aszzVW#A#w{DA&BaX6^&Qbnr}X_SJI*Cd3c*>ezV?2@p8w4>-wEoj$VIw zp!U@-4%TXKxu^E2*WTmx-P!^vr&n&@<$hnJZHI8qVgLboas_=+(vD|vh4LoKdLUW7p&?37NND4oFjEh_&Lcl4DWJCBU^H3a8onBS51a{*0 z^!yDrM$po@j6GBOo)FVuM^W5h;kDazgQW=j4hK$zfdW{BYlDAH~x6wE-O{Bqm;6QirQ8vW-R&+VMCvO`d0t&gIbKS6txE~px}%imbuQ)K z>)XTpTQ21dLCPETJEbL7S!9LqzpcEUsYdaeT@`N$s(4#g1%(@bJQQztRfMp=qm=T_ zQp&qZDeozz{9Y;LPM2~=Faqx@rTnK-${)Ct5W+t!rTlRzuJ_r{aEqAyTpRm-uso0?!UL1 zg`aW|Y_4YE>>ir2a0&}DSU3@F@nYcSHoL@UOji4i`j3@=Oc3JXz!hnBt^FpYY;tz} z_4?)lHO`ph_0fcdKUNL#30OEQ{b30dSeK&M4q7DwB(EmbEJ}kYwxdtUE5WT-2q1R; zCn&+)8k4FpitUV2@(L-Ps{Dr3&JqMKO&+n$EvI*kyoDW>-w7=;_!yul2{-3aY$t7k z_9ulbM4u?OlP}3DYME+S3#U%bhe+xJHv#dOgMTWO4V48Z&8ZZ>Bj9g}c@VH&z2!QjVYh>z4&P^$hC(n{WD2(^ zw$*4t%kTzT3nsP2KesEVglH4{_0ptnKsi)wBU_aAoe3Hv=EW;K=7Nc+1Rv@e&5 z?trQx5!-BJv~41A_UviBFlZN~Md@pny>ap9hAn5s0k!?&$qH#3jV(gZu(Q38?QdmQ_T^Qj`#xci@a9_lg4Ni_z zBTFFr8E&e=M~_?tddCUUf+^yq!u^lyl)vc`=yx&!V~3#4G{h}etXjFHplA?!=va0X z+kPeEeNkM!UkP<_>aLh=K4mU9B+0bPWLDdgghIFNNx~Fc1$L%X8^!ZtPx5FDb$@NO zKE^|$n4L(%GR7q{V#?dCS+Px1`wD$9ePNU{eHSK#9eTS%?|lWfaXA$9bfIiq?hrD3 zFW9)`NvL{flFu_Aw;mcyCN#_fFInHghjkAUa@Z?GLzfDS%>t@IPb3a|{Aa%}4*8*b z8gtlpI}W?On!~cIZpL9LoN(AtrzzsbWnvb?Vc9ltk1iZ`)cv+QbIAk$#o-t~Kkf{K zJDV5aOgSvm4u5O-D_e|3{+j4PW~1)}>)n3$`H*Wf!7QFFqMP%Yf)x43IC(U>xg%jS$9pa`lM}v;Oe7(3H zvESj1=*ZXW7k7r^Bh4`jc&8muS+Dn~Z8)ScBi^WZ?GAjDD~n8V4w&M%0+zGL6hjGb zZk66)%_ci8GQ~@rgx8_k8vQ70>pfzL!;M)YX2n;%RxGWVS@Ar{Tk`y`W{G$RG-HVr zPQ^v;nBg25*Ir;av}-L)Tx25-OGFFJF%Set^gQI`vJB#qAvPlPHPJ~6N@rdSHsqLv z%VtIDAqv?7;FIA_cy2f6;XZRV!sBx^%3g$7z(*WoX7>h7Q<;aw`?)2xe;3onX)M?!)ay;%NrNj zq7!0Xy|kzfe5l!CID!jzPyM!F`|+^usf%n8&x6fwD3`mTJnRU2Kq=f~`%IViPwxGn zIRhkw8x3a%f)sdv zw2l}c5qlRIV2k~8I}Gqo7a5?N6^jfoG(xuT_I27AV77n0T0UMO^V7ysLz=kdVs3fo zn+9vWPrA3Ux#dTWC0=hV(fb%=Zn+qhnlVL+r#om|Y+;Hh2vHlt?1*n`unYePV)GW7ul1(Px9$ zCr*>F%8{8dMzzhkL34oQdV=hRqf1UduWWsEE3b>LRx7HHoc1%1FphY!?D)T)iS2YN zd?*iAAK6l6Y&NokIlh8MipQ|ZMk3~`<`p+hx|ki&_gzf9C6}oGnG2=4_IxPl!J-Fb z$P*v#!&e$TPJY;t4#>3p%a7;7s-uL>?3B@Fm;51jyKp*$l4&ND)}HaP!LADrG?7X_ z=a}z-M$8u?ZMIdXxX^|7`IuOsMKClSC5#rS)Ssax^e$<5`LKN_7p&SoXf+AXGDGik zZ@{_e^mE#fVmo&_7c#Y37E(gr+jco?o|Vsro&Jx28J&LfwvYnHEFOP-q`F6j>)paN z<%IrB4=bB2%BM#fvq13DB>SR{H(o7qI>hB5AQ za;HhE#N9?dMGD>E-V&*t<2js8<>VlzTM0Whqm8@T&g9cgl25a%RQpap*PI*qG|%`R zes=kIjj!hv%O14oHa;Nty>Xw2av)`xz#Lhy`7D7G!^Su+%p)i%Ld${wNl)qtzG zPqSvX^=hELoaaavvTXJ7_ykQ+%aFq2;B$7Pc8SDbCjQQdYO)J@vT!mFT{IJQ zhiEz$wA?~wjj1F11ju;p74#M+%*is(gq3t|lM;596yz3e_f-gu8CbhTA3~2!C_^@V zm7z}=!a&SK3fiv^frt)ifzJ?a+bs)&TnO5;T9s^dSS0cnRnQ*Yo6ys^a7#6e77>mN zifkg=)1!;vxIWs6hVP_{j39spK@r521u+YYDHEsfvP@YY z3)w)rW%DB%#Ti+t9`y&4 z3-7Cz2bJJ`d^}T%;vcEoQEa!_!|bB?UtG$vR{Tu&e!GkrZ9Q}>D~bt^C`6$;#6`{T zz#nDFbO~wQI?(OLBx5wXZq22Hlkl-FC1V3od}b+SS*esw-5#a;ww9OjJfW0wj!Oyg z^hB4EO)_2AQ%ZTNOUWim6hFO`@=TXvH7A4)m+^kUO6ZyY<)K0U90_|L3FY0uI=NED8H58A;lBQ=UDPa(J%jTvL?a<7lv|l8H$Fs z&91xG{aWL4Ggp`kuox`AEjCY34j)hXY8!76i~o37^%Pe8@MUjqhNvVw))xNVYTe?L z=K^OEO2d#$SZ+cf{#=O4X~8skYJ5CE59RDJy4@y}8{Mi4=c;L2+>jM*VfCG{wbJeu z$YKGj5Yl5Y6U=u^cs!s^+MH`hi9^bED5JzkwQw!4T8TOaI|?xBid}``bBZ|cDvexE-{crKl=@$19Mm1XH`ou$8Z zmbI<3tZSWRed{b6T4%XR(g(62G@Y%V3F1rw1AUWDWaQH1P(FR=n4AEBJ6)osZc4EE zsP}LaSTK8&aQ!!qxIY{;2HrSgxY-~QDr`+*_luXHZB>E%&8Y)Q2`)k7v3#d(kYUhe z7;@jzk}I>m^j*B{_G?OQ=Q74dx0w@*6<)=4HSm-pGS|OUW{w_F_%Y!JHRPy!zDl_W zy=qsQUMw!Q+I`mOFY)NONu@`%5ZQOiiTLBXS&gu{Y=ha#9&$N$>Y1yoxU&33dV9=m zcW>0MBQC#>3{0=<(_oV6s;&#w`Ys}-OR4@}aTvDgMSd7AQA$u5k4=Zc2GZ(jHVl8S zie@(q#oOGAi|PCt7BG?4GXJhepoJ5N-!)uHXW81x(qLJ-_3^Q^U5)sVL^g{ z+s`lLgTEZ|i|7FPk4`@2J3S4%H|V`?XP4j`#ZS@i0R22hF+9^>K(95kbUU;)YSsLi zxTUV;$X8<-!a<^%`ZVdqBkh0gDtNa57q>QZdUmY}XOEh1mMLCc@n5)#|FKcUtz4-n zUS09WUBy3bRB +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . +#include "bits.h" + +const uint8 Lookup_OddParity[] = +{ +1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, +0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, +0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, +1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, +0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, +1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, +1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, +0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, +0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, +1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, +1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, +0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, +1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1, +0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, +0,1,1,0,1,0,0,1,1,0,0,1,0,1,1,0, +1,0,0,1,0,1,1,0,0,1,1,0,1,0,0,1 +}; + +uint8 countBits(uint8 value) +{ + int i; + for (i = 0; value; value >>= 1) + { + i += value & 1; + } + return i; +} diff --git a/software/SCSI2SD/SCSI2SD.cydsn/bits.h b/software/SCSI2SD/SCSI2SD.cydsn/bits.h new file mode 100755 index 0000000..7369ce6 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/bits.h @@ -0,0 +1,27 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . +#ifndef BITS_H +#define BITS_H + +#include "device.h" + +// Contains the odd-parity flag for a given 8-bit value. +extern const uint8 Lookup_OddParity[256]; + +uint8 countBits(uint8 value); + +#endif \ No newline at end of file diff --git a/software/SCSI2SD/SCSI2SD.cydsn/blinky.c b/software/SCSI2SD/SCSI2SD.cydsn/blinky.c new file mode 100755 index 0000000..676ec5d --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/blinky.c @@ -0,0 +1,31 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . + +#include "blinky.h" +#include "device.h" + +void scsi2sd_test_blink(void) +{ + // Toggle LED. + while (1) + { + LED1_Write(0); + CyDelay(1000); // ms + LED1_Write(1); + CyDelay(250); // ms + } +} \ No newline at end of file diff --git a/software/SCSI2SD/SCSI2SD.cydsn/blinky.h b/software/SCSI2SD/SCSI2SD.cydsn/blinky.h new file mode 100755 index 0000000..a8fde4b --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/blinky.h @@ -0,0 +1,25 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . + +#ifndef SCSI2SD_BLINKY_H +#define SCSI2SD_BLINKY_H + +// Helloworld LED blink test. +void scsi2sd_test_blink(void); + + +#endif // SCSI2SD_POST_H diff --git a/software/SCSI2SD/SCSI2SD.cydsn/device.h b/software/SCSI2SD/SCSI2SD.cydsn/device.h new file mode 100644 index 0000000..6280779 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/device.h @@ -0,0 +1,18 @@ +/******************************************************************************* +* This file is automatically generated by PSoC Creator +* and should not be edited by hand. +* +* This file is necessary for your project to build. +* Please do not delete it. +******************************************************************************** +* Copyright 2008-2011, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ +#ifndef DEVICE_H +#define DEVICE_H +#include + +#endif +/* [] END OF FILE */ diff --git a/software/SCSI2SD/SCSI2SD.cydsn/diagnostic.c b/software/SCSI2SD/SCSI2SD.cydsn/diagnostic.c new file mode 100755 index 0000000..3f27b83 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/diagnostic.c @@ -0,0 +1,132 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . + +#include "device.h" +#include "scsi.h" +#include "diagnostic.h" + +#include + +static const uint8 SupportedDiagnosticPages[] = +{ +0x00, // Page Code +0x00, // Reserved +0x02, // Page length +0x00, // Support "Supported diagnostic page" +0x40 // Support "Translate address page" +}; + +void scsiSendDiagnostic() +{ + // SEND DIAGNOSTIC + // Pretend to do self-test. Actual data is returned via the + // RECEIVE DIAGNOSTIC RESULTS command. + int selfTest = scsiDev.cdb[1] & 0x04; + uint32 paramLength = + (((uint32) scsiDev.cdb[3]) << 8) + + scsiDev.cdb[4]; + + if (!selfTest) + { + // Initiator sends us page data. + scsiDev.dataLen = paramLength; + scsiDev.phase = DATA_OUT; + + if (scsiDev.dataLen > sizeof (scsiDev.data)) + { + // Nowhere to store this data! + // Shouldn't happen - our buffer should be many magnitudes larger + // than the required size for diagnostic parameters. + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = INVALID_FIELD_IN_CDB; + scsiDev.status = CHECK_CONDITION; + scsiDev.phase = STATUS; + } + } + else + { + // Default command result will be a status of GOOD anyway. + } +} + +void scsiReceiveDiagnostic() +{ + // RECEIVE DIAGNOSTIC RESULTS + // We assume scsiDev.data contains the contents of a previous + // SEND DIAGNOSTICS command. We only care about the page-code part + // of the parameter list. + uint8 pageCode = scsiDev.data[0]; + + int allocLength = + (((uint16) scsiDev.cdb[3]) << 8) + + scsiDev.cdb[4]; + + + if (pageCode == 0x00) + { + memcpy( + scsiDev.data, + SupportedDiagnosticPages, + sizeof(SupportedDiagnosticPages)); + scsiDev.dataLen = sizeof(SupportedDiagnosticPages); + scsiDev.phase = DATA_IN; + } + else if (pageCode == 0x40) + { + // Translate between logical block address, physical sector address, or + // physical bytes. + uint8 suppliedFmt = scsiDev.data[4] & 0x7; + uint8 translateFmt = scsiDev.data[5] & 0x7; + + // Convert each supplied address back to a simple + // 64bit linear address, then convert back again. + uint64 fromByteAddr = + scsiByteAddress(suppliedFmt, &scsiDev.data[6]); + + scsiSaveByteAddress(translateFmt, fromByteAddr, &scsiDev.data[6]); + + // Fill out the rest of the response. + // (Clear out any optional bits). + scsiDev.data[4] = suppliedFmt; + scsiDev.data[5] = translateFmt; + + scsiDev.dataLen = 14; + scsiDev.phase = DATA_IN; + } + else + { + // error. + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = INVALID_FIELD_IN_CDB; + scsiDev.phase = STATUS; + } + + if (scsiDev.phase == DATA_IN && scsiDev.dataLen > allocLength) + { + // simply truncate the response. + scsiDev.dataLen = allocLength; + } + + uint8 lun = scsiDev.cdb[1] >> 5; + // Set the first byte to indicate LUN presence. + if (lun) // We only support lun 0 + { + scsiDev.data[0] = 0x7F; + } +} + diff --git a/software/SCSI2SD/SCSI2SD.cydsn/diagnostic.h b/software/SCSI2SD/SCSI2SD.cydsn/diagnostic.h new file mode 100755 index 0000000..cec3f42 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/diagnostic.h @@ -0,0 +1,23 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . +#ifndef DIAGNOSTIC_H +#define DIAGNOSTIC_H + +void scsiSendDiagnostic(); +void scsiReceiveDiagnostic(); + +#endif \ No newline at end of file diff --git a/software/SCSI2SD/SCSI2SD.cydsn/disk.c b/software/SCSI2SD/SCSI2SD.cydsn/disk.c new file mode 100755 index 0000000..ad0fac5 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/disk.c @@ -0,0 +1,697 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . + +#include "device.h" +#include "scsi.h" +#include "disk.h" + +#include + +// Global +BlockDevice blockDev; +Transfer transfer; + +static void startRead(int nextBlock); +static int sdInit(); + +static void doFormatUnit() +{ + // Low-level formatting is not required. + // Nothing left to do. +} + +static void doReadCapacity() +{ + uint32 lba = (((uint32) scsiDev.cdb[2]) << 24) + + (((uint32) scsiDev.cdb[3]) << 16) + + (((uint32) scsiDev.cdb[4]) << 8) + + scsiDev.cdb[5]; + int pmi = scsiDev.cdb[8] & 1; + + if (!pmi && lba) + { + // error. + // We don't do anything with the "partial medium indicator", and + // assume that delays are constant across each block. But the spec + // says we must return this error if pmi is specified incorrectly. + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = INVALID_FIELD_IN_CDB; + scsiDev.phase = STATUS; + } + else if (blockDev.capacity > 0) + { + uint32 highestBlock = blockDev.capacity - 1; + + scsiDev.data[0] = highestBlock >> 24; + scsiDev.data[1] = highestBlock >> 16; + scsiDev.data[2] = highestBlock >> 8; + scsiDev.data[3] = highestBlock; + + scsiDev.data[4] = blockDev.bs >> 24; + scsiDev.data[5] = blockDev.bs >> 16; + scsiDev.data[6] = blockDev.bs >> 8; + scsiDev.data[7] = blockDev.bs; + scsiDev.dataLen = 8; + scsiDev.phase = DATA_IN; + } + else + { + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = NOT_READY; + scsiDev.sense.asc = MEDIUM_NOT_PRESENT; + scsiDev.phase = STATUS; + } +} + +static void doWrite(uint32 lba, uint32 blocks) +{ + if (blockDev.state & DISK_WP) + { + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = WRITE_PROTECTED; + scsiDev.phase = STATUS; + } + else if (((uint64) lba) + blocks > blockDev.capacity) + { + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = LOGICAL_BLOCK_ADDRESS_OUT_OF_RANGE; + scsiDev.phase = STATUS; + } + else + { + transfer.dir = TRANSFER_WRITE; + transfer.lba = lba; + transfer.blocks = blocks; + transfer.currentBlock = 0; + scsiDev.phase = DATA_OUT; + scsiDev.dataLen = SCSI_BLOCK_SIZE; + } +} + + +static void doRead(uint32 lba, uint32 blocks) +{ + if (((uint64) lba) + blocks > blockDev.capacity) + { + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = LOGICAL_BLOCK_ADDRESS_OUT_OF_RANGE; + scsiDev.phase = STATUS; + } + else + { + transfer.dir = TRANSFER_READ; + transfer.lba = lba; + transfer.blocks = blocks; + transfer.currentBlock = 0; + scsiDev.phase = DATA_IN; + scsiDev.dataLen = 0; // No data yet + startRead(0); + } +} + +static void doSeek(uint32 lba) +{ + if (lba >= blockDev.capacity) + { + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = LOGICAL_BLOCK_ADDRESS_OUT_OF_RANGE; + scsiDev.phase = STATUS; + } +} + +static int doTestUnitReady() +{ + int ready = 1; + if (!(blockDev.state & DISK_STARTED)) + { + ready = 0; + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = NOT_READY; + scsiDev.sense.asc = LOGICAL_UNIT_NOT_READY_INITIALIZING_COMMAND_REQUIRED; + scsiDev.phase = STATUS; + } + else if (!(blockDev.state & DISK_PRESENT)) + { + ready = 0; + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = NOT_READY; + scsiDev.sense.asc = MEDIUM_NOT_PRESENT; + scsiDev.phase = STATUS; + } + else if (!(blockDev.state & DISK_INITIALISED)) + { + ready = 0; + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = NOT_READY; + scsiDev.sense.asc = LOGICAL_UNIT_NOT_READY_CAUSE_NOT_REPORTABLE; + scsiDev.phase = STATUS; + } + return ready; +} + +// Handle direct-access scsi device commands +int scsiDiskCommand() +{ + int commandHandled = 1; + + uint8 command = scsiDev.cdb[0]; + if (command == 0x1B) + { + // START STOP UNIT + // Enable or disable media access operations. + // Ignore load/eject requests. We can't do that. + //int immed = scsiDev.cdb[1] & 1; + int start = scsiDev.cdb[4] & 1; + + if (start) + { + blockDev.state = blockDev.state | DISK_STARTED; + if (!(blockDev.state & DISK_INITIALISED)) + { + if (sdInit()) + { + blockDev.state = blockDev.state | DISK_INITIALISED; + } + } + } + else + { + blockDev.state = blockDev.state & (-1 ^ DISK_STARTED); + } + } + else if (command == 0x00) + { + // TEST UNIT READY + doTestUnitReady(); + } + else if (!doTestUnitReady()) + { + // Status and sense codes already set by doTestUnitReady + } + else if (command == 0x04) + { + // FORMAT UNIT + doFormatUnit(); + } + else if (command == 0x08) + { + // READ(6) + uint32 lba = + (((uint32) scsiDev.cdb[1] & 0x1F) << 16) + + (((uint32) scsiDev.cdb[2]) << 8) + + scsiDev.cdb[3]; + uint32 blocks = scsiDev.cdb[4]; + if (blocks == 0) blocks = 256; + doRead(lba, blocks); + } + + else if (command == 0x28) + { + // READ(10) + // Ignore all cache control bits - we don't support a memory cache. + + uint32 lba = + (((uint32) scsiDev.cdb[2]) << 24) + + (((uint32) scsiDev.cdb[3]) << 16) + + (((uint32) scsiDev.cdb[4]) << 8) + + scsiDev.cdb[5]; + uint32 blocks = + (((uint32) scsiDev.cdb[7]) << 8) + + scsiDev.cdb[8]; + + doRead(lba, blocks); + } + + else if (command == 0x25) + { + // READ CAPACITY + doReadCapacity(); + } + + else if (command == 0x0B) + { + // SEEK(6) + uint32 lba = + (((uint32) scsiDev.cdb[1] & 0x1F) << 16) + + (((uint32) scsiDev.cdb[2]) << 8) + + scsiDev.cdb[3]; + + doSeek(lba); + } + + else if (command == 0x2B) + { + // SEEK(10) + uint32 lba = + (((uint32) scsiDev.cdb[2]) << 24) + + (((uint32) scsiDev.cdb[3]) << 16) + + (((uint32) scsiDev.cdb[4]) << 8) + + scsiDev.cdb[5]; + + doSeek(lba); + } + else if (command == 0x0A) + { + // WRITE(6) + uint32 lba = + (((uint32) scsiDev.cdb[1] & 0x1F) << 16) + + (((uint32) scsiDev.cdb[2]) << 8) + + scsiDev.cdb[3]; + uint32 blocks = scsiDev.cdb[4]; + if (blocks == 0) blocks = 256; + doWrite(lba, blocks); + } + + else if (command == 0x2A) + { + // WRITE(10) + // Ignore all cache control bits - we don't support a memory cache. + + uint32 lba = + (((uint32) scsiDev.cdb[2]) << 24) + + (((uint32) scsiDev.cdb[3]) << 16) + + (((uint32) scsiDev.cdb[4]) << 8) + + scsiDev.cdb[5]; + uint32 blocks = + (((uint32) scsiDev.cdb[7]) << 8) + + scsiDev.cdb[8]; + + doWrite(lba, blocks); + } + else if (command == 0x36) + { + // LOCK UNLOCK CACHE + // We don't have a cache to lock data into. do nothing. + } + else if (command == 0x34) + { + // PRE-FETCH. + // We don't have a cache to pre-fetch into. do nothing. + } + else if (command == 0x1E) + { + // PREVENT ALLOW MEDIUM REMOVAL + // Not much we can do to prevent the user removing the SD card. + // do nothing. + } + else if (command == 0x01) + { + // REZERO UNIT + // Set the lun to a vendor-specific state. Ignore. + } + else if (command == 0x35) + { + // SYNCHRONIZE CACHE + // We don't have a cache. do nothing. + } + else + { + commandHandled = 0; + } + + return commandHandled; +} + + +static uint8 sdCrc7(uint8* chr, uint8 cnt, uint8 crc) +{ + uint8 a; + for(a = 0; a < cnt; a++) + { + uint8 Data = chr[a]; + uint8 i; + for(i = 0; i < 8; i++) + { + crc <<= 1; + if( (Data & 0x80) ^ (crc & 0x80) ) {crc ^= 0x09;} + Data <<= 1; + } + } + return crc & 0x7F; +} + +// Read and write 1 byte. +static uint8 sdSpiByte(uint8 value) +{ + SDCard_WriteTxData(value); + while(!(SDCard_ReadTxStatus() & SDCard_STS_SPI_DONE)) + {} + while (!SDCard_GetRxBufferSize()) {} + return SDCard_ReadRxData(); +} + +static void sdSendCommand(uint8 cmd, uint32 param) +{ + uint8 send[6]; + + send[0] = cmd | 0x40; + send[1] = param >> 24; + send[2] = param >> 16; + send[3] = param >> 8; + send[4] = param; + send[5] = (sdCrc7(send, 5, 0) << 1) | 1; + + for(cmd = 0; cmd < sizeof(send); cmd++) + { + sdSpiByte(send[cmd]); + } +} + +static uint8 sdReadResp() +{ + uint8 v; + uint8 i = 128; + do + { + v = sdSpiByte(0xFF); + } while(i-- && (v == 0xFF)); + return v; +} + +static uint8 sdWaitResp() +{ + uint8 v; + uint8 i = 255; + do + { + v = sdSpiByte(0xFF); + } while(i-- && (v != 0xFE)); + return v; +} + + +static uint8 sdCommandAndResponse(uint8 cmd, uint32 param) +{ + SDCard_ClearRxBuffer(); + sdSpiByte(0xFF); + sdSendCommand(cmd, param); + return sdReadResp(); +} + + +static int sdInit() +{ + int result = 0; + SD_CS_Write(1); // Set CS inactive (active low) + SD_Init_Clk_Start(); // Turn on the slow 400KHz clock + SD_Clk_Ctl_Write(0); // Select the 400KHz clock source. + SDCard_Start(); // Enable SPI hardware + + // Power on sequence. 74 clock cycles of a "1" while CS unasserted. + int i; + for (i = 0; i < 10; ++i) + { + sdSpiByte(0xFF); + } + + SD_CS_Write(0); // Set CS active (active low) + CyDelayUs(1); + + uint8 v = sdCommandAndResponse(0, 0); + if(v != 1){goto bad;} + + // TODO CMD8 + valid CC for ver2 + cards. arg 0x00..01AA + + + // TODO SDv2 support: ACMD41, fallback to CMD1 + + v = sdCommandAndResponse(1, 0); + for(i=0;v != 0 && i<50;++i){ + CyDelay(50); + v = sdCommandAndResponse(1, 0); + } + if(v){goto bad;} + + v = sdCommandAndResponse(16, SCSI_BLOCK_SIZE); //Force sector size + if(v){goto bad;} + v = sdCommandAndResponse(59, 0); //crc off + if(v){goto bad;} + + // now set the sd card up for full speed + SD_Data_Clk_Start(); // Turn on the fast clock + SD_Clk_Ctl_Write(1); // Select the fast clock source. + SD_Init_Clk_Stop(); // Stop the slow clock. + + v = sdCommandAndResponse(0x9, 0); + if(v){goto bad;} + v = sdWaitResp(); + if (v != 0xFE) { goto bad; } + uint8 buf[16]; + for (i = 0; i < 16; ++i) + { + buf[i] = sdSpiByte(0xFF); + } + sdSpiByte(0xFF); // CRC + sdSpiByte(0xFF); // CRC + uint32 c_size = (((((uint32)buf[6]) & 0x3) << 16) | (((uint32)buf[7]) << 8) | buf[8]) >> 6; + uint32 c_mult = (((((uint32)buf[9]) & 0x3) << 8) | ((uint32)buf[0xa])) >> 7; + uint32 sectorSize = buf[5] & 0x0F; + blockDev.capacity = ((c_size+1) * ((uint64)1 << (c_mult+2)) * ((uint64)1 << sectorSize)) / SCSI_BLOCK_SIZE; + result = 1; + goto out; + +bad: + blockDev.capacity = 0; + +out: + return result; + +} + +static void startRead(int nextBlock) +{ +// TODO 4Gb limit +// NOTE: CMD17 is NOT in hex. decimal 17. + uint8 v = sdCommandAndResponse(17, ((uint32)SCSI_BLOCK_SIZE) * (transfer.lba + transfer.currentBlock + nextBlock)); + if (v) + { + scsiDiskReset(); + + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = HARDWARE_ERROR; + scsiDev.sense.asc = LOGICAL_UNIT_COMMUNICATION_FAILURE; + scsiDev.phase = STATUS; + } +} + +static int readReady() +{ + uint8 v = sdWaitResp(); + if (v == 0xFF) + { + return 0; + } + else if (v == 0xFE) + { + return 1; + } + else + { + scsiDiskReset(); + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = HARDWARE_ERROR; + scsiDev.sense.asc = LOGICAL_UNIT_COMMUNICATION_FAILURE; + scsiDev.phase = STATUS; + return 0; + } +} +static void readSector() +{ +// TODO this is slow. Really slow. +// Even if we don't use DMA, we still want to read/write multiple bytes +// at a time. +/* + int i; + for (i = 0; i < SCSI_BLOCK_SIZE; ++i) + { + scsiDev.data[i] = sdSpiByte(0xFF); + } +*/ + + // We have a spi FIFO of 4 bytes. use it. + // This is much better, byut after 4 bytes we're still + // blocking a bit. + int i; + for (i = 0; i < SCSI_BLOCK_SIZE; i+=4) + { + SDCard_WriteTxData(0xFF); + SDCard_WriteTxData(0xFF); + SDCard_WriteTxData(0xFF); + SDCard_WriteTxData(0xFF); + + while(!(SDCard_ReadTxStatus() & SDCard_STS_SPI_DONE)) + {} + scsiDev.data[i] = SDCard_ReadRxData(); + scsiDev.data[i+1] = SDCard_ReadRxData(); + scsiDev.data[i+2] = SDCard_ReadRxData(); + scsiDev.data[i+3] = SDCard_ReadRxData(); + + } + + + sdSpiByte(0xFF); // CRC + sdSpiByte(0xFF); // CRC + scsiDev.dataLen = SCSI_BLOCK_SIZE; + scsiDev.dataPtr = 0; +} + +static void writeSector() +{ + uint8 v = sdCommandAndResponse(24, ((uint32)SCSI_BLOCK_SIZE) * (transfer.lba + transfer.currentBlock)); + if (v) + { + scsiDiskReset(); + + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = HARDWARE_ERROR; + scsiDev.sense.asc = LOGICAL_UNIT_COMMUNICATION_FAILURE; + scsiDev.phase = STATUS; + } + else + { + SDCard_WriteTxData(0xFE); + int i; + for (i = 0; i < SCSI_BLOCK_SIZE; ++i) + { + SDCard_WriteTxData(scsiDev.data[i]); + } + while(!(SDCard_ReadTxStatus() & SDCard_STS_SPI_DONE)) + {} + sdSpiByte(0x00); // CRC + sdSpiByte(0x00); // CRC + SDCard_ClearRxBuffer(); + v = sdSpiByte(0x00); // Response + if (((v & 0x1F) >> 1) != 0x2) // Accepted. + { + scsiDiskReset(); + + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = HARDWARE_ERROR; + scsiDev.sense.asc = LOGICAL_UNIT_COMMUNICATION_FAILURE; + scsiDev.phase = STATUS; + } + else + { + // Wait for the card to come out of busy. + v = sdSpiByte(0xFF); + while (v == 0) + { + v = sdSpiByte(0xFF); + } + uint8 r1 = sdCommandAndResponse(13, 0); // send status + uint8 r2 = sdSpiByte(0xFF); + if (r1 || r2) + { + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = HARDWARE_ERROR; + scsiDev.sense.asc = WRITE_ERROR_AUTO_REALLOCATION_FAILED; + scsiDev.phase = STATUS; + } + } + } +} + +void scsiDiskPoll() +{ + if (scsiDev.phase == DATA_IN && + transfer.currentBlock != transfer.blocks) + { + if (scsiDev.dataLen == 0) + { + if (readReady()) + { + readSector(); + if ((transfer.currentBlock + 1) < transfer.blocks) + { + startRead(1); // Tell SD card to grab data while we send + // buffer to SCSI. + } + } + } + else if (scsiDev.dataPtr == scsiDev.dataLen) + { + scsiDev.dataLen = 0; + scsiDev.dataPtr = 0; + transfer.currentBlock++; + if (transfer.currentBlock >= transfer.blocks) + { + scsiDev.phase = STATUS; + scsiDiskReset(); + } + } + } + else if (scsiDev.phase == DATA_OUT && + transfer.currentBlock != transfer.blocks) + { + if (scsiDev.dataPtr == SCSI_BLOCK_SIZE) + { + writeSector(); + scsiDev.dataPtr = 0; + transfer.currentBlock++; + if (transfer.currentBlock >= transfer.blocks) + { + scsiDev.dataLen = 0; + scsiDev.phase = STATUS; + scsiDiskReset(); + } + } + } +} + +void scsiDiskReset() +{ + // todo if SPI command in progress, cancel it. + scsiDev.dataPtr = 0; + scsiDev.savedDataPtr = 0; + scsiDev.dataLen = 0; + transfer.lba = 0; + transfer.blocks = 0; + transfer.currentBlock = 0; +} + +void scsiDiskInit() +{ + blockDev.bs = SCSI_BLOCK_SIZE; + blockDev.capacity = 0; + scsiDiskReset(); + + // Don't require the host to send us a START STOP UNIT command + blockDev.state = DISK_STARTED; + if (SD_WP_Read()) + { + blockDev.state = blockDev.state | DISK_WP; + } + + if (SD_CD_Read() == 0) + { + blockDev.state = blockDev.state | DISK_PRESENT; + +// todo IF FAILS, TRY AGAIN LATER. +// 5000 works well with the Mac. + CyDelay(5000); // allow the card to wake up. + if (sdInit()) + { + blockDev.state = blockDev.state | DISK_INITIALISED; + } + } +} + diff --git a/software/SCSI2SD/SCSI2SD.cydsn/disk.h b/software/SCSI2SD/SCSI2SD.cydsn/disk.h new file mode 100755 index 0000000..5d2d04d --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/disk.h @@ -0,0 +1,59 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . +#ifndef DISK_H +#define DISK_H + +typedef enum +{ + DISK_STARTED = 1, // Controlled via START STOP UNIT + DISK_PRESENT = 2, // SD card is physically present + DISK_INITIALISED = 4, // SD card responded to init sequence + DISK_WP = 8 // Write-protect. +} DISK_STATE; + +typedef enum +{ + TRANSFER_READ, + TRANSFER_WRITE +} TRANSFER_DIR; + +typedef struct +{ + uint32 bs; // Block size. + uint32 capacity; // In blocks. + + int state; +} BlockDevice; + +typedef struct +{ + int dir; + uint32 lba; + uint32 blocks; + + uint32 currentBlock; +} Transfer; + +extern BlockDevice blockDev; +extern Transfer transfer; + +void scsiDiskInit(); +void scsiDiskReset(); +void scsiDiskPoll(); +int scsiDiskCommand(); + +#endif diff --git a/software/SCSI2SD/SCSI2SD.cydsn/geometry.c b/software/SCSI2SD/SCSI2SD.cydsn/geometry.c new file mode 100755 index 0000000..5665ae5 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/geometry.c @@ -0,0 +1,168 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . + +#include "geometry.h" +#include "scsi.h" + +#include + +// Standard mapping according to ECMA-107 and ISO/IEC 9293:1994 +// Sector always starts at 1. There is no 0 sector. +uint64 CHS2LBA(uint32 c, uint8 h, uint32 s) +{ + return ( + (((uint64)c) * SCSI_HEADS_PER_CYLINDER + h) * + (uint64) SCSI_SECTORS_PER_TRACK + ) + (s - 1); +} + + +void LBA2CHS(uint32 lba, uint32* c, uint8* h, uint32* s) +{ + *c = lba / (SCSI_SECTORS_PER_TRACK * SCSI_HEADS_PER_CYLINDER); + *h = (lba / SCSI_SECTORS_PER_TRACK) % SCSI_HEADS_PER_CYLINDER; + *s = (lba % SCSI_SECTORS_PER_TRACK) + 1; +} + +uint64 scsiByteAddress(int format, const uint8* addr) +{ + uint64 result; + switch (format) + { + case ADDRESS_BLOCK: + { + uint32 lba = + (((uint32) addr[0]) << 24) + + (((uint32) addr[1]) << 16) + + (((uint32) addr[2]) << 8) + + addr[3]; + + result = (uint64) SCSI_BLOCK_SIZE * lba; + } break; + + case ADDRESS_PHYSICAL_BYTE: + { + uint32 cyl = + (((uint32) addr[0]) << 16) + + (((uint32) addr[1]) << 8) + + addr[2]; + + uint8 head = addr[3]; + + uint32 bytes = + (((uint32) addr[4]) << 24) + + (((uint32) addr[5]) << 16) + + (((uint32) addr[6]) << 8) + + addr[7]; + + result = CHS2LBA(cyl, head, 1) * (uint64) SCSI_SECTOR_SIZE + bytes; + } break; + + case ADDRESS_PHYSICAL_SECTOR: + { + uint32 cyl = + (((uint32) addr[0]) << 16) + + (((uint32) addr[1]) << 8) + + addr[2]; + + uint8 head = scsiDev.data[3]; + + uint32 sector = + (((uint32) addr[4]) << 24) + + (((uint32) addr[5]) << 16) + + (((uint32) addr[6]) << 8) + + addr[7]; + + result = CHS2LBA(cyl, head, sector) * (uint64) SCSI_SECTOR_SIZE; + } break; + + default: + result = -1; + } + + return result; +} + + +void scsiSaveByteAddress(int format, uint64 byteAddr, uint8* buf) +{ + uint32 lba = byteAddr / SCSI_BLOCK_SIZE; + uint32 byteOffset = byteAddr % SCSI_BLOCK_SIZE; + + switch (format) + { + case ADDRESS_BLOCK: + { + buf[0] = lba >> 24; + buf[1] = lba >> 16; + buf[2] = lba >> 8; + buf[3] = lba; + + buf[4] = 0; + buf[5] = 0; + buf[6] = 0; + buf[7] = 0; + } break; + + case ADDRESS_PHYSICAL_BYTE: + { + uint32 cyl; + uint8 head; + uint32 sector; + + LBA2CHS(lba, &cyl, &head, §or); + + uint32 bytes = sector * SCSI_SECTOR_SIZE + byteOffset; + + buf[0] = cyl >> 16; + buf[1] = cyl >> 8; + buf[2] = cyl; + + buf[3] = head; + + buf[4] = bytes >> 24; + buf[5] = bytes >> 16; + buf[6] = bytes >> 8; + buf[7] = bytes; + } break; + + case ADDRESS_PHYSICAL_SECTOR: + { + uint32 cyl; + uint8 head; + uint32 sector; + + LBA2CHS(lba, &cyl, &head, §or); + + buf[0] = cyl >> 16; + buf[1] = cyl >> 8; + buf[2] = cyl; + + buf[3] = head; + + buf[4] = sector >> 24; + buf[5] = sector >> 16; + buf[6] = sector >> 8; + buf[7] = sector; + } break; + + default: + memset(buf, 0, 8); + } + +} + diff --git a/software/SCSI2SD/SCSI2SD.cydsn/geometry.h b/software/SCSI2SD/SCSI2SD.cydsn/geometry.h new file mode 100755 index 0000000..daf0fdd --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/geometry.h @@ -0,0 +1,51 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . +#ifndef GEOMETRY_H +#define GEOMETRY_H + +#include "device.h" + +// We make some assumptions that the block size and sector size +// are always equal. +#define SCSI_BLOCK_SIZE 512 +#define SCSI_SECTOR_SIZE 512 + +// Max allowed by legacy IBM-PC Bios (6 bits) +#define SCSI_SECTORS_PER_TRACK 63 + +// MS-DOS up to 7.10 will crash on 256 heads. +#define SCSI_HEADS_PER_CYLINDER 255 + +typedef enum +{ + ADDRESS_BLOCK = 0, + ADDRESS_PHYSICAL_BYTE = 4, + ADDRESS_PHYSICAL_SECTOR = 5 +} SCSI_ADDRESS_FORMAT; + + +uint64 CHS2LBA(uint32 c, uint8 h, uint32 s); +void LBA2CHS(uint32 lba, uint32* c, uint8* h, uint32* s); + +// Convert an address in the given SCSI_ADDRESS_FORMAT to +// a linear byte address. +// addr must be >= 8 bytes. +uint64 scsiByteAddress(int format, const uint8* addr); +void scsiSaveByteAddress(int format, uint64 byteAddr, uint8* buf); + + +#endif diff --git a/software/SCSI2SD/SCSI2SD.cydsn/inquiry.c b/software/SCSI2SD/SCSI2SD.cydsn/inquiry.c new file mode 100755 index 0000000..75fac44 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/inquiry.c @@ -0,0 +1,160 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . + +#include "device.h" +#include "scsi.h" +#include "inquiry.h" + +#include + +static const uint8 StandardResponse[] = +{ +0x00, // "Direct-access device". AKA standard hard disk +0x00, // device type qualifier +0x02, // Complies with ANSI SCSI-2. +0x02, // SCSI-2 Inquiry response +31, // standard length +0, 0, //Reserved +0, // We don't support anything at all +'c','o','d','e','s','r','c',' ', +'S','C','S','I','2','S','D',' ',' ',' ',' ',' ',' ',' ',' ',' ', +'2','.','0','a' +}; + +static const uint8 SupportedVitalPages[] = +{ +0x00, // "Direct-access device". AKA standard hard disk +0x00, // Page Code +0x00, // Reserved +0x04, // Page length +0x00, // Support "Supported vital product data pages" +0x80, // Support "Unit serial number page" +0x81, // Support "Implemented operating definition page" +0x82 // Support "ASCII Implemented operating definition page" +}; + +static const uint8 UnitSerialNumber[] = +{ +0x00, // "Direct-access device". AKA standard hard disk +0x80, // Page Code +0x00, // Reserved +0x10, // Page length +'c','o','d','e','s','r','c','-','1','2','3','4','5','6','7','8' +}; + +static const uint8 ImpOperatingDefinition[] = +{ +0x00, // "Direct-access device". AKA standard hard disk +0x81, // Page Code +0x00, // Reserved +0x03, // Page length +0x03, // Current: SCSI-2 operating definition +0x03, // Default: SCSI-2 operating definition +0x03 // Supported (list): SCSI-2 operating definition. +}; + +static const uint8 AscImpOperatingDefinition[] = +{ +0x00, // "Direct-access device". AKA standard hard disk +0x82, // Page Code +0x00, // Reserved +0x07, // Page length +0x06, // Ascii length +'S','C','S','I','-','2' +}; + +void scsiInquiry() +{ + uint8 evpd = scsiDev.cdb[1] & 1; // enable vital product data. + uint8 pageCode = scsiDev.cdb[2]; + uint8 lun = scsiDev.cdb[1] >> 5; + uint32 allocationLength = scsiDev.cdb[4]; + if (allocationLength == 0) allocationLength = 256; + + if (!evpd) + { + if (pageCode) + { + // error. + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = INVALID_FIELD_IN_CDB; + scsiDev.phase = STATUS; + } + else + { + memcpy(scsiDev.data, StandardResponse, sizeof(StandardResponse)); + scsiDev.dataLen = sizeof(StandardResponse); + scsiDev.phase = DATA_IN; + + if (!lun) scsiDev.unitAttention = 0; + } + } + else if (pageCode == 0x00) + { + memcpy(scsiDev.data, SupportedVitalPages, sizeof(SupportedVitalPages)); + scsiDev.dataLen = sizeof(SupportedVitalPages); + scsiDev.phase = DATA_IN; + } + else if (pageCode == 0x80) + { + memcpy(scsiDev.data, UnitSerialNumber, sizeof(UnitSerialNumber)); + scsiDev.dataLen = sizeof(UnitSerialNumber); + scsiDev.phase = DATA_IN; + } + else if (pageCode == 0x81) + { + memcpy( + scsiDev.data, + ImpOperatingDefinition, + sizeof(ImpOperatingDefinition)); + scsiDev.dataLen = sizeof(ImpOperatingDefinition); + scsiDev.phase = DATA_IN; + } + else if (pageCode == 0x82) + { + memcpy( + scsiDev.data, + AscImpOperatingDefinition, + sizeof(AscImpOperatingDefinition)); + scsiDev.dataLen = sizeof(AscImpOperatingDefinition); + scsiDev.phase = DATA_IN; + } + else + { + // error. + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = INVALID_FIELD_IN_CDB; + scsiDev.phase = STATUS; + } + + + if (scsiDev.phase == DATA_IN && scsiDev.dataLen > allocationLength) + { + // Spec 8.2.5 requires us to simply truncate the response. + scsiDev.dataLen = allocationLength; + } + + + // Set the first byte to indicate LUN presence. + if (lun) // We only support lun 0 + { + scsiDev.data[0] = 0x7F; + } +} + diff --git a/software/SCSI2SD/SCSI2SD.cydsn/inquiry.h b/software/SCSI2SD/SCSI2SD.cydsn/inquiry.h new file mode 100755 index 0000000..d068796 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/inquiry.h @@ -0,0 +1,22 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . +#ifndef INQUIRY_H +#define INQUIRY_H + +void scsiInquiry(); + +#endif diff --git a/software/SCSI2SD/SCSI2SD.cydsn/led.h b/software/SCSI2SD/SCSI2SD.cydsn/led.h new file mode 100755 index 0000000..48e1b83 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/led.h @@ -0,0 +1,25 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . +#ifndef LED_H +#define LED_H + +#include "device.h" + +#define ledOn() LED1_Write(0) +#define ledOff() LED1_Write(1) + +#endif \ No newline at end of file diff --git a/software/SCSI2SD/SCSI2SD.cydsn/loopback.c b/software/SCSI2SD/SCSI2SD.cydsn/loopback.c new file mode 100755 index 0000000..411899a --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/loopback.c @@ -0,0 +1,122 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . + +#include "loopback.h" +#include "device.h" + +// Return true if all inputs are un-asserted (1) +// Note that CyPins returns non-zero if pin is active. It does NOT +// necessarily return 1. +static int test_initial_inputs(void) +{ + uint8 dbx = SCSI_In_DBx_Read(); + int result = + (dbx == 0xFF) && + CyPins_ReadPin(SCSI_In_DBP) && + CyPins_ReadPin(SCSI_In_ATN) && + CyPins_ReadPin(SCSI_In_BSY) && + CyPins_ReadPin(SCSI_In_ACK) && + CyPins_ReadPin(SCSI_In_RST) && + CyPins_ReadPin(SCSI_In_MSG) && + CyPins_ReadPin(SCSI_In_SEL) && + CyPins_ReadPin(SCSI_In_CD) && + CyPins_ReadPin(SCSI_In_REQ) && + CyPins_ReadPin(SCSI_In_IO); + + return result; +} + +static int test_data_lines(void) +{ + int result = 1; + int i; + for (i = 0; i < 8; ++i) + { + // We write using Active High + SCSI_Out_DBx_Write(1 << i); + CyDelay(1); // ms + + // And expect an Active Low response. + uint8 dbx = SCSI_In_DBx_Read(); + result = result && (dbx == (0xFF ^ (1 << i))); + } + SCSI_Out_DBx_Write(0); + return result; +} + +static int test_data_10MHz(void) +{ + // 10MHz = 100ns period. + // We'll try and go high -> low -> high in 100ns. + // At 66MHz, 50ns ~= 3 cycles. + + int result = 1; + int i; + for (i = 0; i < 100; ++i) + { + // We write using Active High + SCSI_Out_DBx_Write(0xFF); + CyDelayCycles(3); + // And expect an Active Low response. + uint8 dbx = SCSI_In_DBx_Read(); + result = result && (dbx == 0); + + // We write using Active High + SCSI_Out_DBx_Write(0); + CyDelayCycles(3); + // And expect an Active Low response. + dbx = SCSI_In_DBx_Read(); + result = result && (dbx == 0xFF); + } + SCSI_Out_DBx_Write(0); + return result; +} + +static void test_error(void) +{ + // Toggle LED. + while (1) + { + LED1_Write(0); + CyDelay(250); // ms + LED1_Write(1); + CyDelay(250); // ms + } +} + +static void test_success(void) +{ + // Toggle LED. + while (1) + { + LED1_Write(0); + CyDelay(1000); // ms + LED1_Write(1); + CyDelay(1000); // ms + } +} +void scsi2sd_test_loopback(void) +{ + if (!test_initial_inputs() || !test_data_lines() || !test_data_10MHz()) + { + test_error(); + } + else + { + test_success(); + } +} \ No newline at end of file diff --git a/software/SCSI2SD/SCSI2SD.cydsn/loopback.h b/software/SCSI2SD/SCSI2SD.cydsn/loopback.h new file mode 100755 index 0000000..1d1b7bf --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/loopback.h @@ -0,0 +1,30 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . + +#ifndef SCSI2SD_LOOPBACK_H +#define SCSI2SD_LOOPBACK_H + +// Loopback test +// Ensure we can read-back whatever we write to the SCSI bus. +// This testing should be performed in isolation, with the +// terminator jumper and terminator power jumper installed. +// ie. do not connect a SCSI cable and plug us in to another +// device. +void scsi2sd_test_loopback(void); + + +#endif // SCSI2SD_POST_H diff --git a/software/SCSI2SD/SCSI2SD.cydsn/main.c b/software/SCSI2SD/SCSI2SD.cydsn/main.c new file mode 100755 index 0000000..e6f18e4 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/main.c @@ -0,0 +1,53 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . + +#include "device.h" +// #include "blinky.h" +// #include "loopback.h" +#include "scsi.h" +#include "disk.h" +#include "led.h" + +const char* Notice = "Copyright (C) 2013 Michael McMaster "; + +void main() +{ + // scsi2sd_test_blinky(); // Initial test. Will not return. + // scsi2sd_test_loopback(); // Second test. Will not return. + ledOff(); + + /* Uncomment this line to enable global interrupts. */ + // MM: Try to avoid interrupts completely, as it will screw with our + // timing. + CyGlobalIntEnable; + + // TODO insert any initialisation code here. + scsiInit(0, 1); // ID 0 is mac boot disk + scsiDiskInit(); + + // Reading jumpers + // Is SD card detect asserted ? + + // TODO POST ? + + while (1) + { + scsiPoll(); + scsiDiskPoll(); + } +} + diff --git a/software/SCSI2SD/SCSI2SD.cydsn/mode.c b/software/SCSI2SD/SCSI2SD.cydsn/mode.c new file mode 100755 index 0000000..89639cc --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/mode.c @@ -0,0 +1,322 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . + +#include "device.h" +#include "scsi.h" +#include "mode.h" +#include "disk.h" + +#include + +static const uint8 DisconnectReconnectPage[] = +{ +0x02, // Page code +0x0E, // Page length +0, // Buffer full ratio +0, // Buffer empty ratio +0x00, 10, // Bus inactivity limit, 100us increments. Allow 1ms. +0x00, 0x00, // Disconnect time limit +0x00, 0x00, // Connect time limit +0x00, 0x00, // Maximum burst size +0x00 ,// DTDC. Not used. +0x00, 0x00, 0x00 // Reserved +}; + +static const uint8 FormatDevicePage[] = +{ +x03, // Page code +0x16, // Page length +0x00, 0x00, // Single zone +0x00, 0x00, // No alternate sectors +0x00, 0x00, // No alternate tracks +0x00, 0x00, // No alternate tracks per lun +0x00, SCSI_SECTORS_PER_TRACK, // Sectors per track +SCSI_SECTOR_SIZE >> 8, SCSI_SECTOR_SIZE & 0xFF, // Data bytes per physical sector +0x00, 0x01, // Interleave +0x00, 0x00, // Track skew factor +0x00, 0x00, // Cylinder skew factor +0xC0, // SSEC(set) HSEC(set) RMB SURF +0x00, 0x00, 0x00 // Reserved +}; + +static const uint8 RigidDiskDriveGeometry[] = +{ +0x04, // Page code +0x16, // Page length +0xFF, 0xFF, 0xFF, // Number of cylinders +SCSI_HEADS_PER_CYLINDER, // Number of heads +0xFF, 0xFF, 0xFF, // Starting cylinder-write precompensation +0xFF, 0xFF, 0xFF, // Starting cylinder-reduced write current +0x00, 0x1, // Drive step rate (units of 100ns) +0x00, 0x00, 0x00, // Landing zone cylinder +0x00, // RPL +0x00, // Rotational offset +0x00, // Reserved +5400 >> 8, 5400 & 0xFF, // Medium rotation rate (RPM) +0x00, 0x00 // Reserved +}; + +static const uint8 CachingPage[] = +{ +0x08, // Page Code +0x0A, // Page length +0x01, // Read cache disable +0x00, // No useful rention policy. +0x00, 0x00, // Pre-fetch always disabled +0x00, 0x00, // Minimum pre-fetch +0x00, 0x00, // Maximum pre-fetch +0x00, 0x00, // Maximum pre-fetch ceiling +}; + +static const uint8 ControlModePage[] = +{ +0x0A, // Page code +0x06, // Page length +0x00, // No logging +0x01, // Disable tagged queuing +0x00, // No async event notifications +0x00, // Reserved +0x00, 0x00 // AEN holdoff period. +}; + +static void pageIn(int pc, int dataIdx, const uint8* pageData, int pageLen) +{ + memcpy(&scsiDev.data[dataIdx], pageData, pageLen); + + if (pc == 0x01) // Mask out (un)changable values + { + memset(&scsiDev.data[dataIdx+2], 0, pageLen - 2); + } +} + +static void doModeSense( + int sixByteCmd, int dbd, int pc, int pageCode, int allocLength) +{ + // TODO Apple HD SC Drive Setup requests Page 3 (FormatDevicePage) with an + // allocLength of 0x20. We need 0x24 if we include a block descriptor, and + // thus return CHECK CONDITION. A block descriptor is optional, so we + // chose to ignore it. + // TODO make configurable + dbd = 1; + + if (pc == 0x03) // Saved Values not supported. + { + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = SAVING_PARAMETERS_NOT_SUPPORTED; + scsiDev.phase = STATUS; + } + else + { + ////////////// Mode Parameter Header + //////////////////////////////////// + + // Skip the Mode Data Length, we set that last. + int idx = 1; + if (!sixByteCmd) ++idx; + + scsiDev.data[idx++] = 0; // Medium type. 0 = default + + // Device-specific parameter. Contains cache bits (0) and + // a Write-Protect bit. + scsiDev.data[idx++] = (blockDev.state & DISK_WP) ? 0x80 : 0; + + if (sixByteCmd) + { + if (dbd) + { + scsiDev.data[idx++] = 0; // No block descriptor + } + else + { + // One block descriptor of length 8 bytes. + scsiDev.data[idx++] = 8; + } + } + else + { + scsiDev.data[idx++] = 0; // Reserved + scsiDev.data[idx++] = 0; // Reserved + if (dbd) + { + scsiDev.data[idx++] = 0; // No block descriptor + scsiDev.data[idx++] = 0; // No block descriptor + } + else + { + // One block descriptor of length 8 bytes. + scsiDev.data[idx++] = 0; + scsiDev.data[idx++] = 8; + } + } + + ////////////// Block Descriptor + //////////////////////////////////// + if (!dbd) + { + scsiDev.data[idx++] = 0; // Density code. Reserved for direct-access + // Number of blocks + // Zero == all remaining blocks shall have the medium + // characteristics specified. + scsiDev.data[idx++] = 0; + scsiDev.data[idx++] = 0; + scsiDev.data[idx++] = 0; + + scsiDev.data[idx++] = 0; // reserved + + // Block length + scsiDev.data[idx++] = SCSI_BLOCK_SIZE >> 16; + scsiDev.data[idx++] = SCSI_BLOCK_SIZE >> 8; + scsiDev.data[idx++] = SCSI_BLOCK_SIZE & 0xFF; + } + + int pageFound = 1; + + switch (pageCode) + { + case 0x3F: + // EVERYTHING + + case 0x02: + pageIn(pc, idx, DisconnectReconnectPage, sizeof(DisconnectReconnectPage)); + idx += sizeof(DisconnectReconnectPage); + if (pageCode != 0x3f) break; + + case 0x03: + pageIn(pc, idx, FormatDevicePage, sizeof(FormatDevicePage)); + idx += sizeof(FormatDevicePage); + if (pageCode != 0x3f) break; + + case 0x04: + { + pageIn(pc, idx, RigidDiskDriveGeometry, sizeof(RigidDiskDriveGeometry)); + + if (pc != 0x01) + { + // Need to fill out the number of cylinders. + uint32 cyl; + uint8 head; + uint32 sector; + LBA2CHS(blockDev.capacity, &cyl, &head, §or); + + scsiDev.data[idx+2] = cyl >> 16; + scsiDev.data[idx+3] = cyl >> 8; + scsiDev.data[idx+4] = cyl; + + memcpy(&scsiDev.data[idx+6], &scsiDev.data[idx+2], 3); + memcpy(&scsiDev.data[idx+9], &scsiDev.data[idx+2], 3); + } + + idx += sizeof(RigidDiskDriveGeometry); + if (pageCode != 0x3f) break; + } + + case 0x08: + pageIn(pc, idx, CachingPage, sizeof(CachingPage)); + idx += sizeof(CachingPage); + if (pageCode != 0x3f) break; + + case 0x0A: + pageIn(pc, idx, ControlModePage, sizeof(ControlModePage)); + idx += sizeof(ControlModePage); + break; + + default: + // Unknown Page Code + pageFound = 0; + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = INVALID_FIELD_IN_CDB; + scsiDev.phase = STATUS; + } + + + if (idx > allocLength) + { + // Initiator may not have space to receive results. + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = INVALID_FIELD_IN_CDB; + scsiDev.phase = STATUS; + } + else if (pageFound) + { + // Go back and fill out the mode data length + if (sixByteCmd) + { + // Cannot currently exceed limits. yay + scsiDev.data[0] = idx - 1; + } + else + { + scsiDev.data[0] = ((idx - 2) >> 8); + scsiDev.data[1] = (idx - 2); + } + + scsiDev.dataLen = idx; + scsiDev.phase = DATA_IN; + } + else + { + // Initiator may not have space to receive results. + scsiDev.status = CHECK_CONDITION; + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = INVALID_FIELD_IN_CDB; + scsiDev.phase = STATUS; + } + } +} + +int scsiModeCommand() +{ + int commandHandled = 1; + + uint8 command = scsiDev.cdb[0]; + + // We don't currently support the setting of any parameters. + // (ie. no MODE SELECT(6) or MODE SELECT(10) commands) + + if (command == 0x1A) + { + // MODE SENSE(6) + int dbd = scsiDev.cdb[1] & 0x08; // Disable block descriptors + int pc = scsiDev.cdb[2] >> 6; // Page Control + int pageCode = scsiDev.cdb[2] & 0x3F; + int allocLength = scsiDev.cdb[4]; + if (allocLength == 0) allocLength = 256; + doModeSense(1, dbd, pc, pageCode, allocLength); + } + else if (command == 0x5A) + { + // MODE SENSE(10) + int dbd = scsiDev.cdb[1] & 0x08; // Disable block descriptors + int pc = scsiDev.cdb[2] >> 6; // Page Control + int pageCode = scsiDev.cdb[2] & 0x3F; + int allocLength = + (((uint16) scsiDev.cdb[7]) << 8) + + scsiDev.cdb[8]; + doModeSense(0, dbd, pc, pageCode, allocLength); + } + else + { + commandHandled = 0; + } + + return commandHandled; +} + + diff --git a/software/SCSI2SD/SCSI2SD.cydsn/mode.h b/software/SCSI2SD/SCSI2SD.cydsn/mode.h new file mode 100755 index 0000000..c097807 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/mode.h @@ -0,0 +1,22 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . +#ifndef MODE_H +#define MODE_H + +int scsiModeCommand(); + +#endif diff --git a/software/SCSI2SD/SCSI2SD.cydsn/scsi.c b/software/SCSI2SD/SCSI2SD.cydsn/scsi.c new file mode 100755 index 0000000..a5db1f9 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/scsi.c @@ -0,0 +1,683 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . + +#include "device.h" +#include "scsi.h" +#include "scsiPhy.h" +#include "bits.h" +#include "diagnostic.h" +#include "disk.h" +#include "inquiry.h" +#include "led.h" +#include "mode.h" +#include "disk.h" + +#include + +// Global SCSI device state. +ScsiDevice scsiDev; + +static void enter_SelectionPhase(); +static void process_SelectionPhase(); +static void enter_BusFree(); +static void enter_MessageIn(uint8 message); +static void process_MessageIn(); +static void enter_Status(uint8 status); +static void process_Status(); +static void enter_DataIn(int len); +static void process_DataIn(); +static void process_DataOut(); +static void process_Command(); + +static void doReserveRelease(); + +static void enter_BusFree() +{ + scsiEnterPhase(BUS_FREE); + + ledOff(); + + scsiDev.phase = BUS_FREE; + SCSI_ClearPin(SCSI_Out_BSY); +} + +static void enter_MessageIn(uint8 message) +{ + scsiDev.msgIn = message; + scsiDev.phase = MESSAGE_IN; +} + +static void process_MessageIn() +{ + scsiEnterPhase(MESSAGE_IN); + scsiWrite(scsiDev.msgIn); + + scsiDev.atnFlag = scsiDev.atnFlag || SCSI_ReadPin(SCSI_In_ATN); + + + if (scsiDev.atnFlag) + { + // If there was a parity error, we go + // back to MESSAGE_OUT first, get out parity error message, then come + // back here. + } + else if (scsiDev.msgIn == MSG_COMMAND_COMPLETE) + { + enter_BusFree(); + } + else + { + // MESSAGE_REJECT. Go back to command phase + scsiDev.phase = COMMAND; + } +} + +static void enter_Status(uint8 status) +{ + scsiDev.status = status; + scsiDev.phase = STATUS; +} + +static void process_Status() +{ + scsiEnterPhase(STATUS); + scsiWrite(scsiDev.status); + + // Command Complete occurs AFTER a valid status has been + // sent. then we go bus-free. + enter_MessageIn(MSG_COMMAND_COMPLETE); +} + +static void enter_DataIn(int len) +{ + scsiDev.dataLen = len; + scsiDev.phase = DATA_IN; +} + +static void process_DataIn() +{ + if (scsiDev.dataLen > sizeof(scsiDev.data)) + { + scsiDev.dataLen = sizeof(scsiDev.data); + } + + scsiEnterPhase(DATA_IN); + while ((scsiDev.dataPtr < scsiDev.dataLen) && + !scsiDev.resetFlag && + !scsiDev.atnFlag) + { + scsiWrite(scsiDev.data[scsiDev.dataPtr]); + ++scsiDev.dataPtr; + + // scsiWrite will update resetFlag. + scsiDev.atnFlag = scsiDev.atnFlag || SCSI_ReadPin(SCSI_In_ATN); + } + + if ((scsiDev.dataPtr >= scsiDev.dataLen) && + (transfer.currentBlock == transfer.blocks)) + { + enter_Status(GOOD); + } +} + +static void process_DataOut() +{ + if (scsiDev.dataLen > sizeof(scsiDev.data)) + { + scsiDev.dataLen = sizeof(scsiDev.data); + } + + scsiEnterPhase(DATA_OUT); + while ((scsiDev.dataPtr < scsiDev.dataLen) && + !scsiDev.resetFlag && + !scsiDev.atnFlag) + { + scsiDev.parityError = 0; + scsiDev.data[scsiDev.dataPtr] = scsiRead(); + + if (scsiDev.parityError) + { + scsiDev.sense.code = ABORTED_COMMAND; + scsiDev.sense.asc = SCSI_PARITY_ERROR; + enter_Status(CHECK_CONDITION); + break; + } + ++scsiDev.dataPtr; + + // scsiRead will update resetFlag. + scsiDev.atnFlag = scsiDev.atnFlag || SCSI_ReadPin(SCSI_In_ATN); + } + + if ((scsiDev.dataPtr >= scsiDev.dataLen) && + (transfer.currentBlock == transfer.blocks)) + { + enter_Status(GOOD); + } +} + +static const uint8 CmdGroupBytes[8] = {6, 10, 10, 6, 6, 12, 6, 6}; +static void process_Command() +{ + scsiEnterPhase(COMMAND); + scsiDev.parityError = 0; + + memset(scsiDev.cdb, 0, sizeof(scsiDev.cdb)); + scsiDev.cdb[0] = scsiRead(); + + int group = scsiDev.cdb[0] >> 5; + int cmdSize = CmdGroupBytes[group]; + int i; + for (i = 1; i < cmdSize; ++i) + { + scsiDev.cdb[i] = scsiRead(); + } + + uint8 command = scsiDev.cdb[0]; + uint8 lun = scsiDev.cdb[1] >> 5; + + if (scsiDev.parityError) + { + scsiDev.sense.code = ABORTED_COMMAND; + scsiDev.sense.asc = SCSI_PARITY_ERROR; + enter_Status(CHECK_CONDITION); + } + else if (command == 0x12) + { + scsiInquiry(); + } + else if (command == 0x03) + { + // REQUEST SENSE + uint32 allocLength = scsiDev.cdb[4]; + if (allocLength == 0) allocLength = 256; + memset(scsiDev.data, 0, 18); + scsiDev.data[0] = 0xF0; + scsiDev.data[2] = scsiDev.sense.code & 0x0F; + + // TODO populate "information" field with requested LBA. + // TODO support more detailed sense data ? + + scsiDev.data[12] = scsiDev.sense.asc >> 8; + scsiDev.data[13] = scsiDev.sense.asc; + + // Silently truncate results. SCSI-2 spec 8.2.14. + enter_DataIn(allocLength < 18 ? allocLength : 18); + + // This is a good time to clear out old sense information. + scsiDev.sense.code = NO_SENSE; + scsiDev.sense.asc = NO_ADDITIONAL_SENSE_INFORMATION; + } + // Some old SCSI drivers do NOT properly support + // unitAttention. OTOH, Linux seems to require it + // TODO MAKE CONFIGURABLE. + /* confirmed LCIII with unknown scsi driver fials here. + else if (scsiDev.unitAttention) + { + scsiDev.sense.code = UNIT_ATTENTION; + scsiDev.sense.asc = scsiDev.unitAttention; + enter_Status(CHECK_CONDITION); + }*/ + else if (lun) + { + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = LOGICAL_UNIT_NOT_SUPPORTED; + enter_Status(CHECK_CONDITION); + } + else if (command == 0x17 || command == 0x16) + { + doReserveRelease(); + } + else if ((scsiDev.reservedId >= 0) && + (scsiDev.reservedId != scsiDev.initiatorId)) + { + enter_Status(CONFLICT); + } + else if (command == 0x1C) + { + scsiReceiveDiagnostic(); + } + else if (command == 0x1D) + { + scsiSendDiagnostic(); + } + else if ( + !scsiModeCommand() && + !scsiDiskCommand()) + { + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = INVALID_COMMAND_OPERATION_CODE; + enter_Status(CHECK_CONDITION); + } + + // Successful + if (scsiDev.phase == COMMAND) // No status set, and not in DATA_IN + { + enter_Status(GOOD); + } + + scsiDev.atnFlag = scsiDev.atnFlag || SCSI_ReadPin(SCSI_In_ATN); +} + +static void doReserveRelease() +{ + int extentReservation = scsiDev.cdb[1] & 1; + int thirdPty = scsiDev.cdb[1] & 0x10; + int thirdPtyId = (scsiDev.cdb[1] >> 1) & 0x7; + uint8 command = scsiDev.cdb[0]; + + int canRelease = + (!thirdPty && (scsiDev.initiatorId == scsiDev.reservedId)) || + (thirdPty && + (scsiDev.reserverId == scsiDev.initiatorId) && + (scsiDev.reservedId == thirdPtyId) + ); + + if (extentReservation) + { + // Not supported. + scsiDev.sense.code = ILLEGAL_REQUEST; + scsiDev.sense.asc = INVALID_FIELD_IN_CDB; + enter_Status(CHECK_CONDITION); + } + else if (command == 0x17) // release + { + if ((scsiDev.reservedId < 0) || canRelease) + { + scsiDev.reservedId = -1; + scsiDev.reserverId = -1; + } + else + { + enter_Status(CONFLICT); + } + } + else // assume reserve. + { + if ((scsiDev.reservedId < 0) || canRelease) + { + scsiDev.reserverId = scsiDev.initiatorId; + if (thirdPty) + { + scsiDev.reservedId = thirdPtyId; + } + else + { + scsiDev.reservedId = scsiDev.initiatorId; + } + } + else + { + // Already reserved by someone else! + enter_Status(CONFLICT); + } + } +} + +static void scsiReset() +{ + ledOff(); + SCSI_Out_DBx_Write(0); + SCSI_ClearPin(SCSI_Out_DBP); + SCSI_ClearPin(SCSI_Out_ATN); + SCSI_ClearPin(SCSI_Out_BSY); + SCSI_ClearPin(SCSI_Out_ACK); + SCSI_ClearPin(SCSI_Out_RST); + SCSI_ClearPin(SCSI_Out_SEL); + SCSI_ClearPin(SCSI_Out_REQ); + SCSI_ClearPin(SCSI_Out_MSG); + SCSI_ClearPin(SCSI_Out_CD); + SCSI_ClearPin(SCSI_Out_IO); + + scsiDev.resetFlag = 0; + scsiDev.atnFlag = 0; + scsiDev.parityError = 0; + scsiDev.phase = BUS_FREE; + + if (scsiDev.unitAttention != POWER_ON_RESET) + { + scsiDev.unitAttention = SCSI_BUS_RESET; + } + scsiDev.reservedId = -1; + scsiDev.reserverId = -1; + scsiDev.sense.code = NO_SENSE; + scsiDev.sense.asc = NO_ADDITIONAL_SENSE_INFORMATION; + scsiDiskReset(); + + // Sleep to allow the bus to settle down a bit. + // We must be ready again within the "Reset to selection time" of + // 250ms. + // There is no guarantee that the RST line will be negated by then. + int reset; + do + { + CyDelay(10); // 10ms. + reset = SCSI_ReadPin(SCSI_In_RST); + } while (reset); +} + +static void enter_SelectionPhase() +{ + + scsiDev.atnFlag = 0; + scsiDev.parityError = 0; + scsiDev.dataPtr = 0; + scsiDev.savedDataPtr = 0; + scsiDev.status = GOOD; + scsiDev.phase = SELECTION; +} + +static void process_SelectionPhase() +{ + uint8 mask = ~SCSI_In_DBx_Read(); + int goodParity = (Lookup_OddParity[mask] == SCSI_ReadPin(SCSI_In_DBP)); + + scsiDev.atnFlag = scsiDev.atnFlag || SCSI_ReadPin(SCSI_In_ATN); + int sel = SCSI_ReadPin(SCSI_In_SEL); + int bsy = SCSI_ReadPin(SCSI_In_BSY); + if (!bsy && sel && + (mask & scsiDev.scsiIdMask) && + goodParity && (countBits(mask) == 2)) + { + // We've been selected! + // Assert BSY - Selection success! + // must happen within 200us (Selection abort time) of seeing our + // ID + SEL. + // (Note: the initiator will be waiting the "Selection time-out delay" + // for our BSY response, which is actually a very generous 250ms) + SCSI_SetPin(SCSI_Out_BSY); + ledOn(); + + // Wait until the end of the selection phase. + // Keep checking the ATN flag, as the initiator may assert it at any + // time before releasing SEL. + while (!scsiDev.resetFlag) + { + scsiDev.atnFlag = scsiDev.atnFlag || SCSI_ReadPin(SCSI_In_ATN); + if (!SCSI_ReadPin(SCSI_In_SEL)) + { + break; + } + scsiDev.resetFlag = SCSI_ReadPin(SCSI_In_RST); + } + + // Save our initiator now that we're no longer in a time-critical + // section. + uint8 initiatorMask = mask ^ scsiDev.scsiIdMask; + scsiDev.initiatorId = 0; + int i; + for (i = 0; i < 8; ++i) + { + if (initiatorMask & (1 << i)) + { + scsiDev.initiatorId = i; + break; + } + } + + scsiDev.phase = COMMAND; + } + else if (!sel) + { + scsiDev.phase = BUS_BUSY; + } + + scsiDev.resetFlag = scsiDev.resetFlag || SCSI_ReadPin(SCSI_In_RST); +} + +static void process_MessageOut() +{ + scsiDev.atnFlag = 0; + scsiEnterPhase(MESSAGE_OUT); + + scsiDev.parityError = 0; + scsiDev.msgOut = scsiRead(); + + if (scsiDev.parityError) + { + // Skip the remaining message bytes, and then start the MESSAGE_OUT + // phase again from the start. The initiator will re-send the + // same set of messages. + while (SCSI_ReadPin(SCSI_In_ATN) && !scsiDev.resetFlag) + { + scsiRead(); + scsiDev.resetFlag = scsiDev.resetFlag || SCSI_ReadPin(SCSI_In_RST); + } + + // Go-back and try the message again. + scsiDev.atnFlag = 1; + scsiDev.parityError = 0; + } + else if (scsiDev.msgOut == 0x00) + { + // COMMAND COMPLETE. but why would the target be receiving this ? nfi. + enter_BusFree(); + } + else if (scsiDev.msgOut == 0x06) + { + // ABORT + scsiDiskReset(); + enter_BusFree(); + } + else if (scsiDev.msgOut == 0x0C) + { + // BUS DEVICE RESET + + scsiDiskReset(); + + scsiDev.unitAttention = SCSI_BUS_RESET; + + // ANY initiator can reset the reservation state via this message. + scsiDev.reservedId = -1; + scsiDev.reserverId = -1; + enter_BusFree(); + } + else if (scsiDev.msgOut == 0x05) + { + // Initiate Detected Error + // Ignore for now + } + else if (scsiDev.msgOut == 0x0F) + { + // INITIATE RECOVERY + // Ignore for now + } + else if (scsiDev.msgOut == 0x10) + { + // RELEASE RECOVERY + // Ignore for now + enter_BusFree(); + } + else if (scsiDev.msgOut == MSG_REJECT) + { + // Message Reject + // Oh well. + scsiDev.resetFlag = 1; + } + else if (scsiDev.msgOut == 0x08) + { + // NOP + } + else if (scsiDev.msgOut == 0x09) + { + // Message Parity Error + // Go back and re-send the last message. + scsiDev.phase = MESSAGE_IN; + } + else if (scsiDev.msgOut & 0x80) // 0x80 -> 0xFF + { + // IDENTIFY + // We don't disconnect, so ignore disconnect privilege. + if ((scsiDev.msgOut & 0x18) || // Reserved bits set. + (scsiDev.msgOut & 0x20) || // We don't have any target routines! + (scsiDev.msgOut & 0x7) // We only support LUN 0! + ) + { + enter_MessageIn(MSG_REJECT); + } + } + else if (scsiDev.msgOut >= 0x20 && scsiDev.msgOut <= 0x2F) + { + // Two byte message. We don't support these. read and discard. + scsiRead(); + } + else if (scsiDev.msgOut == 0x01) + { + // Extended message. + int msgLen = scsiRead(); + if (msgLen == 0) msgLen = 256; + int i; + for (i = 0; i < msgLen && !scsiDev.resetFlag; ++i) + { + // Discard bytes. + scsiRead(); + } + + // We don't support ANY extended messages. + // Modify Data Pointer: We don't support reselection. + // Wide Data Transfer Request: No. 8bit only. + // Synchronous data transfer request. No, we can't do that. + // We don't support any 2-byte messages either. + // And we don't support any optional 1-byte messages. + // In each case, the correct response is MESSAGE REJECT. + enter_MessageIn(MSG_REJECT); + } + else + { + enter_MessageIn(MSG_REJECT); + } + + // atnFlag will be forced to 1 if there was a parity error. + scsiDev.atnFlag = scsiDev.atnFlag || SCSI_ReadPin(SCSI_In_ATN); +} + + +void scsiPoll(void) +{ + if (scsiDev.resetFlag || SCSI_ReadPin(SCSI_In_RST)) + { + scsiReset(); + } + + switch (scsiDev.phase) + { + case BUS_FREE: + if (SCSI_ReadPin(SCSI_In_BSY)) + { + scsiDev.phase = BUS_BUSY; + } + break; + + case BUS_BUSY: + // Someone is using the bus. Perhaps they are trying to + // select us. + if (SCSI_ReadPin(SCSI_In_SEL)) + { + enter_SelectionPhase(); + } + else if (!SCSI_ReadPin(SCSI_In_BSY)) + { + scsiDev.phase = BUS_FREE; + } + break; + + case ARBITRATION: + // TODO Support reselection. + break; + + case SELECTION: + process_SelectionPhase(); + break; + + case RESELECTION: + // Not currently supported! + break; + + case COMMAND: + if (scsiDev.atnFlag) + { + process_MessageOut(); + } + else + { + process_Command(); + } + break; + + case DATA_IN: + if (scsiDev.atnFlag) + { + process_MessageOut(); + } + else + { + process_DataIn(); + } + break; + + case DATA_OUT: + if (scsiDev.atnFlag) + { + process_MessageOut(); + } + else + { + process_DataOut(); + } + break; + + case STATUS: + if (scsiDev.atnFlag) + { + process_MessageOut(); + } + else + { + process_Status(); + } + break; + + case MESSAGE_IN: + if (scsiDev.atnFlag) + { + process_MessageOut(); + } + else + { + process_MessageIn(); + } + + break; + + case MESSAGE_OUT: + process_MessageOut(); + break; + } +} + +void scsiInit(int scsiId, int enableParity) +{ + scsiDev.scsiIdMask = 1 << scsiId; + scsiDev.enableParity = enableParity; + + scsiDev.atnFlag = 0; + scsiDev.resetFlag = 1; + scsiDev.phase = BUS_FREE; + scsiDev.reservedId = -1; + scsiDev.reserverId = -1; + scsiDev.unitAttention = POWER_ON_RESET; +} + diff --git a/software/SCSI2SD/SCSI2SD.cydsn/scsi.h b/software/SCSI2SD/SCSI2SD.cydsn/scsi.h new file mode 100755 index 0000000..86e8445 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/scsi.h @@ -0,0 +1,114 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . +#ifndef SCSI_H +#define SCSI_H + +// SCSI documentation goes here +// SCSI-2. +// Single LUN +// No tagged-queuing support - single command at a time. +// All read/write commands disconnect. State SD card latency. +// Fixed 512 byte sector size. +// 2TB limit, based on 32bit LBA (read16/write16 not supported) + +#include "geometry.h" +#include "sense.h" + +typedef enum +{ + // internal bits + __scsiphase_msg = 1, + __scsiphase_cd = 2, + __scsiphase_io = 4, + + BUS_FREE = -1, + BUS_BUSY = -2, + ARBITRATION = -3, + SELECTION = -4, + RESELECTION = -5, + STATUS = __scsiphase_cd | __scsiphase_io, + COMMAND = __scsiphase_cd, + DATA_IN = __scsiphase_io, + DATA_OUT = 0, + MESSAGE_IN = __scsiphase_msg | __scsiphase_cd | __scsiphase_io, + MESSAGE_OUT = __scsiphase_msg | __scsiphase_cd +} SCSI_PHASE; + +typedef enum +{ + GOOD = 0, + CHECK_CONDITION = 2, + BUSY = 0x8, + CONFLICT = 0x18 +} SCSI_STATUS; + +typedef enum +{ + MSG_COMMAND_COMPLETE = 0, + MSG_REJECT = 0x7 + +} SCSI_MESSAGE; + +typedef struct +{ + uint8_t scsiIdMask; + int enableParity; + + // Set to true (1) if the ATN flag was set, and we need to + // enter the MESSAGE_OUT phase. + int atnFlag; + + // Set to true (1) if the RST flag was set. + int resetFlag; + + // Set to true (1) if a parity error was observed. + int parityError; + + int phase; + + uint8 data[SCSI_BLOCK_SIZE]; + int dataPtr; // Index into data, reset on [re]selection to savedDataPtr + int savedDataPtr; // Index into data, initially 0. + int dataLen; + + uint8 cdb[12]; // command descriptor block + + // Only let the reserved initiator talk to us. + // A 3rd party may be sending the RESERVE/RELEASE commands + int initiatorId; // 0 -> 7. Set during the selection phase. + int reservedId;; // 0 -> 7 if reserved. -1 if not reserved. + int reserverId;; // 0 -> 7 if reserved. -1 if not reserved. + + // SCSI_STATUS value. + // Change to SCSI_STATUS_CHECK_CONDITION when setting a SENSE value + uint8 status; + + ScsiSense sense; + + uint16 unitAttention; // Set to the sense qualifier key to be returned. + + uint8 msgIn; + uint8 msgOut; +} ScsiDevice; + +extern ScsiDevice scsiDev; + +void scsiInit(int scsiId, int enableParity); +void scsiPoll(void); + + +#endif diff --git a/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.c b/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.c new file mode 100755 index 0000000..5a0985f --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.c @@ -0,0 +1,150 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . + +#include "device.h" +#include "scsi.h" +#include "scsiPhy.h" +#include "bits.h" + +// Spins until the SCSI pin is true, or the reset flag is set. +static void waitForPinTrue(int pin) +{ + while (!scsiDev.resetFlag) + { + // TODO put some hardware gates in front of the RST pin, and store + // the state in a register. The minimum "Reset hold time" is 25us, which + // we can easily satisfy within this loop, but perhaps hard to satisfy + // if we don't call this function often. + scsiDev.resetFlag = SCSI_ReadPin(SCSI_In_RST); + + if (SCSI_ReadPin(pin)) + { + break; + } + } +} + +// Spins until the SCSI pin is true, or the reset flag is set. +static void waitForPinFalse(int pin) +{ + while (!scsiDev.resetFlag) + { + // TODO put some hardware gates in front of the RST pin, and store + // the state in a register. The minimum "Reset hold time" is 25us, which + // we can easily satisfy within this loop, but perhaps hard to satisfy + // if we don't call this function often. + scsiDev.resetFlag = SCSI_ReadPin(SCSI_In_RST); + + if (!SCSI_ReadPin(pin)) + { + break; + } + } +} + +static void deskewDelay(void) +{ + // Delay for deskew + cable skew. total 55 nanoseconds. + // Assumes 66MHz. + CyDelayCycles(4); +} + +uint8 scsiRead(void) +{ + SCSI_SetPin(SCSI_Out_REQ); + waitForPinTrue(SCSI_In_ACK); + deskewDelay(); + + uint8 value = ~SCSI_In_DBx_Read(); + scsiDev.parityError = scsiDev.parityError || + (Lookup_OddParity[value] != SCSI_ReadPin(SCSI_In_DBP)); + + SCSI_ClearPin(SCSI_Out_REQ); + waitForPinFalse(SCSI_In_ACK); + return value; +} + +void scsiWrite(uint8 value) +{ + SCSI_Out_DBx_Write(value); + if (Lookup_OddParity[value]) + { + SCSI_SetPin(SCSI_Out_DBP); + } + deskewDelay(); + + SCSI_SetPin(SCSI_Out_REQ); + + // Initiator reads data here. + + waitForPinTrue(SCSI_In_ACK); + + SCSI_ClearPin(SCSI_Out_DBP); + SCSI_Out_DBx_Write(0); + SCSI_ClearPin(SCSI_Out_REQ); + + // Wait for ACK to clear. + waitForPinFalse(SCSI_In_ACK); +} + +static void busSettleDelay(void) +{ + // Data Release time (switching IO) = 400ns + // + Bus Settle time (switching phase) = 400ns. + CyDelayUs(1); // Close enough. +} + +void scsiEnterPhase(int phase) +{ + if (phase > 0) + { + if (phase & __scsiphase_msg) + { + SCSI_SetPin(SCSI_Out_MSG); + } + else + { + SCSI_ClearPin(SCSI_Out_MSG); + } + + if (phase & __scsiphase_cd) + { + SCSI_SetPin(SCSI_Out_CD); + } + else + { + SCSI_ClearPin(SCSI_Out_CD); + } + + if (phase & __scsiphase_io) + { + SCSI_SetPin(SCSI_Out_IO); + } + else + { + SCSI_ClearPin(SCSI_Out_IO); + } + } + else + { + SCSI_ClearPin(SCSI_Out_MSG); + SCSI_ClearPin(SCSI_Out_CD); + SCSI_ClearPin(SCSI_Out_IO); + } + busSettleDelay(); +} + diff --git a/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.h b/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.h new file mode 100755 index 0000000..334d5cf --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/scsiPhy.h @@ -0,0 +1,41 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . +#ifndef SCSIPHY_H +#define SCSIPHY_H + +#define SCSI_SetPin(pin) \ + CyPins_SetPin((pin)); + +#define SCSI_ClearPin(pin) \ + CyPins_ClearPin((pin)); + +// Active low: we interpret a 0 as "true", and non-zero as "false" +#define SCSI_ReadPin(pin) \ + (CyPins_ReadPin((pin)) == 0) + +// Contains the odd-parity flag for a given 8-bit value. +extern const uint8 Lookup_OddParity[256]; + +uint8 scsiRead(void); +void scsiWrite(uint8 value); + +// Returns true if the ATN flag becomes set, indicating a parity error. +int scsiWriteMsg(uint8 msg); + +void scsiEnterPhase(int phase); + +#endif diff --git a/software/SCSI2SD/SCSI2SD.cydsn/sense.h b/software/SCSI2SD/SCSI2SD.cydsn/sense.h new file mode 100755 index 0000000..7852868 --- /dev/null +++ b/software/SCSI2SD/SCSI2SD.cydsn/sense.h @@ -0,0 +1,176 @@ +// Copyright (C) 2013 Michael McMaster +// +// This file is part of SCSI2SD. +// +// SCSI2SD is free software: you can redistribute it and/or modify +// it under the terms of the GNU General Public License as published by +// the Free Software Foundation, either version 3 of the License, or +// (at your option) any later version. +// +// SCSI2SD is distributed in the hope that it will be useful, +// but WITHOUT ANY WARRANTY; without even the implied warranty of +// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +// GNU General Public License for more details. +// +// You should have received a copy of the GNU General Public License +// along with SCSI2SD. If not, see . +#ifndef SENSE_H +#define SENSE_H + +typedef enum +{ + NO_SENSE = 0, + RECOVERED_ERROR = 1, + NOT_READY = 2, + MEDIUM_ERROR = 3, + HARDWARE_ERROR = 4, + ILLEGAL_REQUEST = 5, + UNIT_ATTENTION = 6, + DATA_PROTECT = 7, + BLANK_CHECK = 8, + VENDOR_SPECIFIC = 9, + COPY_ABORTED = 0xA, + ABORTED_COMMAND = 0xB, + EQUAL = 0xC, + VOLUME_OVERFLOW = 0xD, + MISCOMPARE = 0xE, + RESERVED = 0xF +} SCSI_SENSE; + +// Top 8 bits = ASC. Lower 8 bits = ASCQ. +// Enum only contains definitions for direct-access related codes. +typedef enum +{ + ADDRESS_MARK_NOT_FOUND_FOR_DATA_FIELD = 0x1300, + ADDRESS_MARK_NOT_FOUND_FOR_ID_FIELD = 0x1200, + CANNOT_READ_MEDIUM_INCOMPATIBLE_FORMAT = 0x3002, + CANNOT_READ_MEDIUM_UNKNOWN_FORMAT = 0x3001, + CHANGED_OPERATING_DEFINITION = 0x3F02, + COMMAND_PHASE_ERROR = 0x4A00, + COMMAND_SEQUENCE_ERROR = 0x2C00, + COMMANDS_CLEARED_BY_ANOTHER_INITIATOR = 0x2F00, + COPY_CANNOT_EXECUTE_SINCE_HOST_CANNOT_DISCONNECT = 0x2B00, + DATA_PATH_FAILURE = 0x4100, + DATA_PHASE_ERROR = 0x4B00, + DATA_SYNCHRONIZATION_MARK_ERROR = 0x1600, + DEFECT_LIST_ERROR = 0x1900, + DEFECT_LIST_ERROR_IN_GROWN_LIST = 0x1903, + DEFECT_LIST_ERROR_IN_PRIMARY_LIST = 0x1902, + DEFECT_LIST_NOT_AVAILABLE = 0x1901, + DEFECT_LIST_NOT_FOUND = 0x1C00, + DEFECT_LIST_UPDATE_FAILURE = 0x3201, + ERROR_LOG_OVERFLOW = 0x0A00, + ERROR_TOO_LONG_TO_CORRECT = 0x1102, + FORMAT_COMMAND_FAILED = 0x3101, + GROWN_DEFECT_LIST_NOT_FOUND = 0x1C02, + IO_PROCESS_TERMINATED = 0x0006, + ID_CRC_OR_ECC_ERROR = 0x1000, + ILLEGAL_FUNCTION = 0x2200, + INCOMPATIBLE_MEDIUM_INSTALLED = 0x3000, + INITIATOR_DETECTED_ERROR_MESSAGE_RECEIVED = 0x4800, + INQUIRY_DATA_HAS_CHANGED = 0x3F03, + INTERNAL_TARGET_FAILURE = 0x4400, + INVALID_BITS_IN_IDENTIFY_MESSAGE = 0x3D00, + INVALID_COMMAND_OPERATION_CODE = 0x2000, + INVALID_FIELD_IN_CDB = 0x2400, + INVALID_FIELD_IN_PARAMETER_LIST = 0x2600, + INVALID_MESSAGE_ERROR = 0x4900, + LOG_COUNTER_AT_MAXIMUM = 0x5B02, + LOG_EXCEPTION = 0x5B00, + LOG_LIST_CODES_EXHAUSTED = 0x5B03, + LOG_PARAMETERS_CHANGED = 0x2A02, + LOGICAL_BLOCK_ADDRESS_OUT_OF_RANGE = 0x2100, + LOGICAL_UNIT_COMMUNICATION_FAILURE = 0x0800, + LOGICAL_UNIT_COMMUNICATION_PARITY_ERROR = 0x0802, + LOGICAL_UNIT_COMMUNICATION_TIMEOUT = 0x0801, + LOGICAL_UNIT_DOES_NOT_RESPOND_TO_SELECTION = 0x0500, + LOGICAL_UNIT_FAILED_SELF_CONFIGURATION = 0x4C00, + LOGICAL_UNIT_HAS_NOT_SELF_CONFIGURED_YET = 0x3E00, + LOGICAL_UNIT_IS_IN_PROCESS_OF_BECOMING_READY = 0x0401, + LOGICAL_UNIT_NOT_READY_CAUSE_NOT_REPORTABLE = 0x0400, + LOGICAL_UNIT_NOT_READY_FORMAT_IN_PROGRESS = 0x0404, + LOGICAL_UNIT_NOT_READY_INITIALIZING_COMMAND_REQUIRED = 0x0402, + LOGICAL_UNIT_NOT_READY_MANUAL_INTERVENTION_REQUIRED = 0x0403, + LOGICAL_UNIT_NOT_SUPPORTED = 0x2500, + MECHANICAL_POSITIONING_ERROR = 0x1501, + MEDIA_LOAD_OR_EJECT_FAILED = 0x5300, + MEDIUM_FORMAT_CORRUPTED = 0x3100, + MEDIUM_NOT_PRESENT = 0x3A00, + MEDIUM_REMOVAL_PREVENTED = 0x5302, + MESSAGE_ERROR = 0x4300, + MICROCODE_HAS_BEEN_CHANGED = 0x3F01, + MISCOMPARE_DURING_VERIFY_OPERATION = 0x1D00, + MISCORRECTED_ERROR = 0x110A, + MODE_PARAMETERS_CHANGED = 0x2A01, + MULTIPLE_PERIPHERAL_DEVICES_SELECTED = 0x0700, + MULTIPLE_READ_ERRORS = 0x1103, + NO_ADDITIONAL_SENSE_INFORMATION = 0x0000, + NO_DEFECT_SPARE_LOCATION_AVAILABLE = 0x3200, + NO_INDEX_SECTOR_SIGNAL = 0x0100, + NO_REFERENCE_POSITION_FOUND = 0x0600, + NO_SEEK_COMPLETE = 0x0200, + NOT_READY_TO_READY_TRANSITION_MEDIUM_MAY_HAVE_CHANGED = 0x2800, + OPERATOR_MEDIUM_REMOVAL_REQUEST = 0x5A01, + OPERATOR_REQUEST_OR_STATE_CHANGE_INPUT = 0x5A00, + OPERATOR_SELECTED_WRITE_PERMIT = 0x5A03, + OPERATOR_SELECTED_WRITE_PROTECT = 0x5A02, + OVERLAPPED_COMMANDS_ATTEMPTED = 0x4E00, + PARAMETER_LIST_LENGTH_ERROR = 0x1A00, + PARAMETER_NOT_SUPPORTED = 0x2601, + PARAMETER_VALUE_INVALID = 0x2602, + PARAMETERS_CHANGED = 0x2A00, + PERIPHERAL_DEVICE_WRITE_FAULT = 0x0300, + POSITIONING_ERROR_DETECTED_BY_READ_OF_MEDIUM = 0x1502, + POWER_ON_RESET_OR_BUS_DEVICE_RESET_OCCURRED = 0x2900, + POWER_ON_RESET = 0x2901, + POWER_ON_OR_SELF_TEST_FAILURE = 0x4200, + PRIMARY_DEFECT_LIST_NOT_FOUND = 0x1C01, + RAM_FAILURE = 0x4000, + RANDOM_POSITIONING_ERROR = 0x1500, + READ_RETRIES_EXHAUSTED = 0x1101, + RECORD_NOT_FOUND = 0x1401, + RECORDED_ENTITY_NOT_FOUND = 0x1400, + RECOVERED_DATA_DATA_AUTO_REALLOCATED = 0x1802, + RECOVERED_DATA_RECOMMEND_REASSIGNMENT = 0x1805, + RECOVERED_DATA_RECOMMEND_REWRITE = 0x1806, + RECOVERED_DATA_USING_PREVIOUS_SECTOR_ID = 0x1705, + RECOVERED_DATA_WITH_ERROR_CORRECTION_RETRIES_APPLIED = 0x1801, + RECOVERED_DATA_WITH_ERROR_CORRECTION_APPLIED = 0x1800, + RECOVERED_DATA_WITH_NEGATIVE_HEAD_OFFSET = 0x1703, + RECOVERED_DATA_WITH_NO_ERROR_CORRECTION_APPLIED = 0x1700, + RECOVERED_DATA_WITH_POSITIVE_HEAD_OFFSET = 0x1702, + RECOVERED_DATA_WITH_RETRIES = 0x1701, + RECOVERED_DATA_WITHOUT_ECC_DATA_AUTO_REALLOCATED = 0x1706, + RECOVERED_DATA_WITHOUT_ECC_RECOMMEND_REASSIGNMENT = 0x1707, + RECOVERED_DATA_WITHOUT_ECC_RECOMMEND_REWRITE = 0x1708, + RECOVERED_ID_WITH_ECC_CORRECTION = 0x1E00, + ROUNDED_PARAMETER = 0x3700, + RPL_STATUS_CHANGE = 0x5C00, + SAVING_PARAMETERS_NOT_SUPPORTED = 0x3900, + SCSI_BUS_RESET = 0x2902, + SCSI_PARITY_ERROR = 0x4700, + SELECT_OR_RESELECT_FAILURE = 0x4500, + SPINDLES_NOT_SYNCHRONIZED = 0x5C02, + SPINDLES_SYNCHRONIZED = 0x5C01, + SYNCHRONOUS_DATA_TRANSFER_ERROR = 0x1B00, + TARGET_OPERATING_CONDITIONS_HAVE_CHANGED = 0x3F00, + THRESHOLD_CONDITION_MET = 0x5B01, + THRESHOLD_PARAMETERS_NOT_SUPPORTED = 0x2603, + TRACK_FOLLOWING_ERROR = 0x0900, + UNRECOVERED_READ_ERROR = 0x1100, + UNRECOVERED_READ_ERROR_AUTO_REALLOCATE_FAILED = 0x1104, + UNRECOVERED_READ_ERROR_RECOMMEND_REASSIGNMENT = 0x110B, + UNRECOVERED_READ_ERROR_RECOMMEND_REWRITE_THE_DATA = 0x110C, + UNSUCCESSFUL_SOFT_RESET = 0x4600, + WRITE_ERROR_AUTO_REALLOCATION_FAILED = 0x0C02, + WRITE_ERROR_RECOVERED_WITH_AUTO_REALLOCATION = 0x0C01, + WRITE_PROTECTED = 0x2700 +} SCSI_ASC_ASCQ; + +typedef struct +{ + uint8 code; + uint16 asc; +} ScsiSense; + +#endif