From 7db82a4e4a536bc088eeef01e3facf03798d901a Mon Sep 17 00:00:00 2001 From: Michael McMaster Date: Thu, 28 Aug 2014 23:28:09 +1000 Subject: [PATCH] Added glitch filter on ACK line, registered all outputs, double-synced inputs, and fixed parity checks. --- CHANGELOG | 6 +- STATUS | 2 +- readme.txt | 2 + software/SCSI2SD/src/disk.c | 6 + software/SCSI2SD/src/scsi.c | 3 +- software/SCSI2SD/src/scsiPhy.c | 18 +- .../PSoC5/SCSI_Parity_Error.c | 134 + .../PSoC5/SCSI_Parity_Error.h | 63 + .../Generated_Source/PSoC5/cyfitter.h | 276 +- .../Generated_Source/PSoC5/cyfitter_cfg.c | 2497 +++++++++-------- .../Generated_Source/PSoC5/cyfittergnu.inc | 276 +- .../Generated_Source/PSoC5/cyfitteriar.inc | 276 +- .../Generated_Source/PSoC5/cyfitterrv.inc | 276 +- .../Generated_Source/PSoC5/cymetadata.c | 2 +- .../Generated_Source/PSoC5/project.h | 1 + .../Generated_Source/PSoCCreatorExportIDE.xml | 253 ++ .../SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cycdx | 66 +- .../SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cyfit | Bin 243517 -> 257412 bytes .../SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cyprj | 30 + software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.svd | 161 +- .../SCSI2SD.cydsn/TopDesign/TopDesign.cysch | Bin 221102 -> 227061 bytes .../SCSI2SD.cydsn/scsiTarget/scsiTarget.cysym | Bin 79008 -> 80204 bytes .../v3/SCSI2SD.cydsn/scsiTarget/scsiTarget.v | 91 +- .../Generated_Source/PSoCCreatorExportIDE.xml | 36 +- .../USB_Bootloader.cydsn/USB_Bootloader.cyfit | Bin 159461 -> 159480 bytes .../USB_Bootloader.cyprj.Micha_000 | 7 +- .../USB_Bootloader.cydsn/USB_Bootloader.rpt | 80 +- .../USB_Bootloader_timing.html | 2 +- .../Generated_Source/PSoC5/SCSI_CLK.c | 521 ++++ .../Generated_Source/PSoC5/SCSI_CLK.h | 124 + .../PSoC5/SCSI_Parity_Error.c | 134 + .../PSoC5/SCSI_Parity_Error.h | 63 + .../Generated_Source/PSoC5/cybootloader.c | 4 +- .../Generated_Source/PSoC5/cyfitter.h | 312 +- .../Generated_Source/PSoC5/cyfitter_cfg.c | 2476 +++++++++------- .../Generated_Source/PSoC5/cyfittergnu.inc | 312 +- .../Generated_Source/PSoC5/cyfitteriar.inc | 312 +- .../Generated_Source/PSoC5/cyfitterrv.inc | 312 +- .../Generated_Source/PSoC5/cymetadata.c | 2 +- .../Generated_Source/PSoC5/project.h | 2 + .../Generated_Source/PSoCCreatorExportIDE.xml | 44 +- .../SCSI2SD/v4/SCSI2SD.cydsn/SCSI2SD.cycdx | 76 +- .../SCSI2SD/v4/SCSI2SD.cydsn/SCSI2SD.cyfit | Bin 240771 -> 256762 bytes .../SCSI2SD/v4/SCSI2SD.cydsn/SCSI2SD.cyprj | 60 + software/SCSI2SD/v4/SCSI2SD.cydsn/SCSI2SD.svd | 199 +- .../SCSI2SD.cydsn/TopDesign/TopDesign.cysch | Bin 218433 -> 224191 bytes .../USB_Bootloader.cydsn/USB_Bootloader.cyfit | Bin 160824 -> 160846 bytes 47 files changed, 6018 insertions(+), 3499 deletions(-) create mode 100644 software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Parity_Error.c create mode 100644 software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Parity_Error.h create mode 100755 software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoCCreatorExportIDE.xml create mode 100644 software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_CLK.c create mode 100644 software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_CLK.h create mode 100644 software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Parity_Error.c create mode 100644 software/SCSI2SD/v4/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Parity_Error.h diff --git a/CHANGELOG b/CHANGELOG index 5e6bc72..656e944 100644 --- a/CHANGELOG +++ b/CHANGELOG @@ -1,5 +1,9 @@ -201407XX 3.6 +201408XX 3.6 - Fix handling requests for LUNs other than 0 from SCSI-2 hosts. + - Handle glitches of the ACK line to improve stability and operate with + multiple devices on the SCSI bus. + - Re-add parity checking. This can be disabled using scsi2sd-config if + required. 20140718 3.5.2 - Fix blank SCSI ID in scsi2sd-config output. diff --git a/STATUS b/STATUS index 7074591..e1ad87f 100644 --- a/STATUS +++ b/STATUS @@ -1,2 +1,2 @@ -- Parity checking not implemented for the PSoC Datapath implementation +- Everything works. If it doesn't, please report the bug to michael@codesrc.com diff --git a/readme.txt b/readme.txt index 1e998a1..0188152 100644 --- a/readme.txt +++ b/readme.txt @@ -70,6 +70,7 @@ Compatibility Apple IIgs using Apple II High Speed SCSI controller card (from v3.3) Symbolics Lisp Machine XL1200, using 1280 byte sectors (from v3.4) PDP-11/73 running RSX11M+ V4.6 + Microvax 3100 Model 80 running VMS 7.3 (needs patch against v3.5.2 firmware) Amiga 500+ with GVP A530 Atari TT030 System V @@ -88,6 +89,7 @@ Samplers Casio FZ-20M Requires TERMPWR jumper. The manual shows the pin25 of the DB25 connector is "not connected". May require scsi2sd-config --apple flag + Yamaha EX5R Other diff --git a/software/SCSI2SD/src/disk.c b/software/SCSI2SD/src/disk.c index 1e656cd..d0f93ba 100755 --- a/software/SCSI2SD/src/disk.c +++ b/software/SCSI2SD/src/disk.c @@ -563,6 +563,12 @@ void scsiDiskPoll() if (scsiDev.phase == DATA_OUT) { + if (scsiDev.parityError) + { + scsiDev.sense.code = ABORTED_COMMAND; + scsiDev.sense.asc = SCSI_PARITY_ERROR; + scsiDev.status = CHECK_CONDITION;; + } scsiDev.phase = STATUS; } scsiDiskReset(); diff --git a/software/SCSI2SD/src/scsi.c b/software/SCSI2SD/src/scsi.c index 5be5a84..74fe177 100755 --- a/software/SCSI2SD/src/scsi.c +++ b/software/SCSI2SD/src/scsi.c @@ -197,8 +197,7 @@ static void process_DataOut() scsiRead(scsiDev.data + scsiDev.dataPtr, len); scsiDev.dataPtr += len; - // TODO re-implement parity checking - if (0 && scsiDev.parityError && config->enableParity) + if (scsiDev.parityError && config->enableParity) { scsiDev.sense.code = ABORTED_COMMAND; scsiDev.sense.asc = SCSI_PARITY_ERROR; diff --git a/software/SCSI2SD/src/scsiPhy.c b/software/SCSI2SD/src/scsiPhy.c index 45362a7..46852fb 100755 --- a/software/SCSI2SD/src/scsiPhy.c +++ b/software/SCSI2SD/src/scsiPhy.c @@ -86,8 +86,9 @@ scsiReadByte(void) while (scsiPhyRxFifoEmpty() && !scsiDev.resetFlag) {} uint8_t val = scsiPhyRx(); + scsiDev.parityError = scsiDev.parityError || SCSI_Parity_Error_Read(); - while (SCSI_ReadPin(SCSI_In_ACK) && !scsiDev.resetFlag) {} + while (!(scsiPhyStatus() & SCSI_PHY_TX_COMPLETE) && !scsiDev.resetFlag) {} return val; } @@ -113,7 +114,8 @@ scsiReadPIO(uint8* data, uint32 count) ++i; } } - while (SCSI_ReadPin(SCSI_In_ACK) && !scsiDev.resetFlag) {} + scsiDev.parityError = scsiDev.parityError || SCSI_Parity_Error_Read(); + while (!(scsiPhyStatus() & SCSI_PHY_TX_COMPLETE) && !scsiDev.resetFlag) {} } static void @@ -182,7 +184,7 @@ scsiReadDMAPoll() if (dmaSentCount == dmaTotalCount) { dmaInProgress = 0; - while (SCSI_ReadPin(SCSI_In_ACK) && !scsiDev.resetFlag) {} + scsiDev.parityError = scsiDev.parityError || SCSI_Parity_Error_Read(); return 1; } else @@ -224,8 +226,6 @@ scsiWriteByte(uint8 value) while (!(scsiPhyStatus() & SCSI_PHY_TX_COMPLETE) && !scsiDev.resetFlag) {} scsiPhyRxFifoClear(); - - while (SCSI_ReadPin(SCSI_In_ACK) && !scsiDev.resetFlag) {} } static void @@ -271,6 +271,7 @@ doTxSingleDMA(uint8* data, uint32 count) CyDmaClearPendingDrq(scsiDmaTxChan); txDMAComplete = 0; + rxDMAComplete = 1; CyDmaChEnable(scsiDmaTxChan, 1); } @@ -296,7 +297,6 @@ scsiWriteDMAPoll() { scsiPhyRxFifoClear(); dmaInProgress = 0; - while (SCSI_ReadPin(SCSI_In_ACK) && !scsiDev.resetFlag) {} return 1; } else @@ -383,6 +383,8 @@ void scsiPhyReset() // Allow the FIFOs to fill up again. SCSI_ClearPin(SCSI_Out_RST); scsiTarget_AUX_CTL = scsiTarget_AUX_CTL & ~(0x03); + + SCSI_Parity_Error_Read(); // clear sticky bits } static void scsiPhyInitDMA() @@ -397,7 +399,7 @@ static void scsiPhyInitDMA() HI16(CYDEV_PERIPH_BASE), HI16(CYDEV_SRAM_BASE) ); - + scsiDmaTxChan = SCSI_TX_DMA_DmaInitialize( 1, // Bytes per burst @@ -411,7 +413,7 @@ static void scsiPhyInitDMA() scsiDmaRxTd[0] = CyDmaTdAllocate(); scsiDmaTxTd[0] = CyDmaTdAllocate(); - + SCSI_RX_DMA_COMPLETE_StartEx(scsiRxCompleteISR); SCSI_TX_DMA_COMPLETE_StartEx(scsiTxCompleteISR); } diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Parity_Error.c b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Parity_Error.c new file mode 100644 index 0000000..8d35a48 --- /dev/null +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Parity_Error.c @@ -0,0 +1,134 @@ +/******************************************************************************* +* File Name: SCSI_Parity_Error.c +* Version 1.80 +* +* Description: +* This file contains API to enable firmware to read the value of a Status +* Register. +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#include "SCSI_Parity_Error.h" + +#if !defined(SCSI_Parity_Error_sts_sts_reg__REMOVED) /* Check for removal by optimization */ + + +/******************************************************************************* +* Function Name: SCSI_Parity_Error_Read +******************************************************************************** +* +* Summary: +* Reads the current value assigned to the Status Register. +* +* Parameters: +* None. +* +* Return: +* The current value in the Status Register. +* +*******************************************************************************/ +uint8 SCSI_Parity_Error_Read(void) +{ + return SCSI_Parity_Error_Status; +} + + +/******************************************************************************* +* Function Name: SCSI_Parity_Error_InterruptEnable +******************************************************************************** +* +* Summary: +* Enables the Status Register interrupt. +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +void SCSI_Parity_Error_InterruptEnable(void) +{ + uint8 interruptState; + interruptState = CyEnterCriticalSection(); + SCSI_Parity_Error_Status_Aux_Ctrl |= SCSI_Parity_Error_STATUS_INTR_ENBL; + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: SCSI_Parity_Error_InterruptDisable +******************************************************************************** +* +* Summary: +* Disables the Status Register interrupt. +* +* Parameters: +* None. +* +* Return: +* None. +* +*******************************************************************************/ +void SCSI_Parity_Error_InterruptDisable(void) +{ + uint8 interruptState; + interruptState = CyEnterCriticalSection(); + SCSI_Parity_Error_Status_Aux_Ctrl &= (uint8)(~SCSI_Parity_Error_STATUS_INTR_ENBL); + CyExitCriticalSection(interruptState); +} + + +/******************************************************************************* +* Function Name: SCSI_Parity_Error_WriteMask +******************************************************************************** +* +* Summary: +* Writes the current mask value assigned to the Status Register. +* +* Parameters: +* mask: Value to write into the mask register. +* +* Return: +* None. +* +*******************************************************************************/ +void SCSI_Parity_Error_WriteMask(uint8 mask) +{ + #if(SCSI_Parity_Error_INPUTS < 8u) + mask &= (uint8)((((uint8)1u) << SCSI_Parity_Error_INPUTS) - 1u); + #endif /* End SCSI_Parity_Error_INPUTS < 8u */ + SCSI_Parity_Error_Status_Mask = mask; +} + + +/******************************************************************************* +* Function Name: SCSI_Parity_Error_ReadMask +******************************************************************************** +* +* Summary: +* Reads the current interrupt mask assigned to the Status Register. +* +* Parameters: +* None. +* +* Return: +* The value of the interrupt mask of the Status Register. +* +*******************************************************************************/ +uint8 SCSI_Parity_Error_ReadMask(void) +{ + return SCSI_Parity_Error_Status_Mask; +} + +#endif /* End check for removal by optimization */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Parity_Error.h b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Parity_Error.h new file mode 100644 index 0000000..d03aed7 --- /dev/null +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/SCSI_Parity_Error.h @@ -0,0 +1,63 @@ +/******************************************************************************* +* File Name: SCSI_Parity_Error.h +* Version 1.80 +* +* Description: +* This file containts Status Register function prototypes and register defines +* +* Note: +* +******************************************************************************** +* Copyright 2008-2012, Cypress Semiconductor Corporation. All rights reserved. +* You may use this file only in accordance with the license, terms, conditions, +* disclaimers, and limitations in the end user license agreement accompanying +* the software package with which this file was provided. +*******************************************************************************/ + +#if !defined(CY_STATUS_REG_SCSI_Parity_Error_H) /* CY_STATUS_REG_SCSI_Parity_Error_H */ +#define CY_STATUS_REG_SCSI_Parity_Error_H + +#include "cytypes.h" +#include "CyLib.h" + + +/*************************************** +* Function Prototypes +***************************************/ + +uint8 SCSI_Parity_Error_Read(void) ; +void SCSI_Parity_Error_InterruptEnable(void) ; +void SCSI_Parity_Error_InterruptDisable(void) ; +void SCSI_Parity_Error_WriteMask(uint8 mask) ; +uint8 SCSI_Parity_Error_ReadMask(void) ; + + +/*************************************** +* API Constants +***************************************/ + +#define SCSI_Parity_Error_STATUS_INTR_ENBL 0x10u + + +/*************************************** +* Parameter Constants +***************************************/ + +/* Status Register Inputs */ +#define SCSI_Parity_Error_INPUTS 1 + + +/*************************************** +* Registers +***************************************/ + +/* Status Register */ +#define SCSI_Parity_Error_Status (* (reg8 *) SCSI_Parity_Error_sts_sts_reg__STATUS_REG ) +#define SCSI_Parity_Error_Status_PTR ( (reg8 *) SCSI_Parity_Error_sts_sts_reg__STATUS_REG ) +#define SCSI_Parity_Error_Status_Mask (* (reg8 *) SCSI_Parity_Error_sts_sts_reg__MASK_REG ) +#define SCSI_Parity_Error_Status_Aux_Ctrl (* (reg8 *) SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG ) + +#endif /* End CY_STATUS_REG_SCSI_Parity_Error_H */ + + +/* [] END OF FILE */ diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h index 2ce57f8..1335c5f 100644 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter.h @@ -71,6 +71,16 @@ #define SD_TX_DMA_COMPLETE__INTC_SET_EN_REG CYREG_NVIC_SETENA0 #define SD_TX_DMA_COMPLETE__INTC_SET_PD_REG CYREG_NVIC_SETPEND0 +/* SCSI_Parity_Error */ +#define SCSI_Parity_Error_sts_sts_reg__0__MASK 0x01u +#define SCSI_Parity_Error_sts_sts_reg__0__POS 0 +#define SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB10_11_ACTL +#define SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG CYREG_B0_UDB10_11_ST +#define SCSI_Parity_Error_sts_sts_reg__MASK 0x01u +#define SCSI_Parity_Error_sts_sts_reg__MASK_REG CYREG_B0_UDB10_MSK +#define SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG CYREG_B0_UDB10_ACTL +#define SCSI_Parity_Error_sts_sts_reg__STATUS_REG CYREG_B0_UDB10_ST + /* USBFS_bus_reset */ #define USBFS_bus_reset__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 #define USBFS_bus_reset__INTC_CLR_PD_REG CYREG_NVIC_CLRPEND0 @@ -84,41 +94,41 @@ /* SCSI_CTL_PHASE */ #define SCSI_CTL_PHASE_Sync_ctrl_reg__0__MASK 0x01u #define SCSI_CTL_PHASE_Sync_ctrl_reg__0__POS 0 -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB05_06_ACTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB05_06_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB05_06_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB05_06_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB05_06_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB05_06_MSK -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB05_06_MSK -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB05_06_MSK -#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB05_06_MSK +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB01_02_ACTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB01_02_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB01_02_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB01_02_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB01_02_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB01_02_MSK +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB01_02_MSK +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB01_02_MSK +#define SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB01_02_MSK #define SCSI_CTL_PHASE_Sync_ctrl_reg__1__MASK 0x02u #define SCSI_CTL_PHASE_Sync_ctrl_reg__1__POS 1 #define SCSI_CTL_PHASE_Sync_ctrl_reg__2__MASK 0x04u #define SCSI_CTL_PHASE_Sync_ctrl_reg__2__POS 2 -#define SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB05_ACTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB05_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB05_ST_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB05_CTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB05_ST_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB01_ACTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB01_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB01_ST_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB01_CTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB01_ST_CTL #define SCSI_CTL_PHASE_Sync_ctrl_reg__MASK 0x07u -#define SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB05_MSK_ACTL -#define SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB05_MSK -#define SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB05_MSK_ACTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB01_MSK_ACTL +#define SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB01_MSK +#define SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB01_MSK_ACTL /* SCSI_Out_Bits */ #define SCSI_Out_Bits_Sync_ctrl_reg__0__MASK 0x01u #define SCSI_Out_Bits_Sync_ctrl_reg__0__POS 0 -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB12_13_ACTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB12_13_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB12_13_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB12_13_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB12_13_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB12_13_MSK -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB12_13_MSK -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB12_13_MSK -#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB12_13_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB11_12_ACTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB11_12_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB11_12_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB11_12_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB11_12_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB11_12_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB11_12_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB11_12_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB11_12_MSK #define SCSI_Out_Bits_Sync_ctrl_reg__1__MASK 0x02u #define SCSI_Out_Bits_Sync_ctrl_reg__1__POS 1 #define SCSI_Out_Bits_Sync_ctrl_reg__2__MASK 0x04u @@ -133,15 +143,15 @@ #define SCSI_Out_Bits_Sync_ctrl_reg__6__POS 6 #define SCSI_Out_Bits_Sync_ctrl_reg__7__MASK 0x80u #define SCSI_Out_Bits_Sync_ctrl_reg__7__POS 7 -#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB12_ACTL -#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB12_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB12_ST_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB12_CTL -#define SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB12_ST_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB11_ACTL +#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB11_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB11_ST_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB11_CTL +#define SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB11_ST_CTL #define SCSI_Out_Bits_Sync_ctrl_reg__MASK 0xFFu -#define SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB12_MSK_ACTL -#define SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB12_MSK -#define SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB12_MSK_ACTL +#define SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB11_MSK_ACTL +#define SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB11_MSK +#define SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB11_MSK_ACTL /* USBFS_arb_int */ #define USBFS_arb_int__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 @@ -166,24 +176,24 @@ /* SCSI_Out_Ctl */ #define SCSI_Out_Ctl_Sync_ctrl_reg__0__MASK 0x01u #define SCSI_Out_Ctl_Sync_ctrl_reg__0__POS 0 -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B1_UDB07_08_ACTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B1_UDB07_08_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B1_UDB07_08_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B1_UDB07_08_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B1_UDB07_08_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B1_UDB07_08_MSK -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B1_UDB07_08_MSK -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B1_UDB07_08_MSK -#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B1_UDB07_08_MSK -#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B1_UDB07_ACTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG CYREG_B1_UDB07_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B1_UDB07_ST_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG CYREG_B1_UDB07_CTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG CYREG_B1_UDB07_ST_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB14_15_ACTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB14_15_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB14_15_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB14_15_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG CYREG_B0_UDB14_15_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG CYREG_B0_UDB14_15_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG CYREG_B0_UDB14_15_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG CYREG_B0_UDB14_15_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB14_15_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG CYREG_B0_UDB14_ACTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG CYREG_B0_UDB14_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG CYREG_B0_UDB14_ST_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG CYREG_B0_UDB14_CTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG CYREG_B0_UDB14_ST_CTL #define SCSI_Out_Ctl_Sync_ctrl_reg__MASK 0x01u -#define SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B1_UDB07_MSK_ACTL -#define SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG CYREG_B1_UDB07_MSK -#define SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B1_UDB07_MSK_ACTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB14_MSK_ACTL +#define SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG CYREG_B0_UDB14_MSK +#define SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG CYREG_B0_UDB14_MSK_ACTL /* SCSI_Out_DBx */ #define SCSI_Out_DBx__0__AG CYREG_PRT6_AG @@ -656,8 +666,8 @@ #define SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB06_MSK_ACTL #define SDCard_BSPIM_BitCounter__PERIOD_REG CYREG_B0_UDB06_MSK #define SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG CYREG_B0_UDB06_MSK_ACTL -#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB05_06_ACTL -#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG CYREG_B0_UDB05_06_ST +#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B1_UDB05_06_ACTL +#define SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG CYREG_B1_UDB05_06_ST #define SDCard_BSPIM_RxStsReg__4__MASK 0x10u #define SDCard_BSPIM_RxStsReg__4__POS 4 #define SDCard_BSPIM_RxStsReg__5__MASK 0x20u @@ -665,17 +675,13 @@ #define SDCard_BSPIM_RxStsReg__6__MASK 0x40u #define SDCard_BSPIM_RxStsReg__6__POS 6 #define SDCard_BSPIM_RxStsReg__MASK 0x70u -#define SDCard_BSPIM_RxStsReg__MASK_REG CYREG_B0_UDB05_MSK -#define SDCard_BSPIM_RxStsReg__MASK_ST_AUX_CTL_REG CYREG_B0_UDB05_MSK_ACTL -#define SDCard_BSPIM_RxStsReg__PER_ST_AUX_CTL_REG CYREG_B0_UDB05_MSK_ACTL -#define SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG CYREG_B0_UDB05_ACTL -#define SDCard_BSPIM_RxStsReg__STATUS_CNT_REG CYREG_B0_UDB05_ST_CTL -#define SDCard_BSPIM_RxStsReg__STATUS_CONTROL_REG CYREG_B0_UDB05_ST_CTL -#define SDCard_BSPIM_RxStsReg__STATUS_REG CYREG_B0_UDB05_ST +#define SDCard_BSPIM_RxStsReg__MASK_REG CYREG_B1_UDB05_MSK +#define SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG CYREG_B1_UDB05_ACTL +#define SDCard_BSPIM_RxStsReg__STATUS_REG CYREG_B1_UDB05_ST #define SDCard_BSPIM_TxStsReg__0__MASK 0x01u #define SDCard_BSPIM_TxStsReg__0__POS 0 -#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B1_UDB06_07_ACTL -#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG CYREG_B1_UDB06_07_ST +#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB05_06_ACTL +#define SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG CYREG_B0_UDB05_06_ST #define SDCard_BSPIM_TxStsReg__1__MASK 0x02u #define SDCard_BSPIM_TxStsReg__1__POS 1 #define SDCard_BSPIM_TxStsReg__2__MASK 0x04u @@ -685,28 +691,26 @@ #define SDCard_BSPIM_TxStsReg__4__MASK 0x10u #define SDCard_BSPIM_TxStsReg__4__POS 4 #define SDCard_BSPIM_TxStsReg__MASK 0x1Fu -#define SDCard_BSPIM_TxStsReg__MASK_REG CYREG_B1_UDB06_MSK -#define SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG CYREG_B1_UDB06_ACTL -#define SDCard_BSPIM_TxStsReg__STATUS_REG CYREG_B1_UDB06_ST -#define SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG CYREG_B0_UDB05_06_A0 -#define SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG CYREG_B0_UDB05_06_A1 -#define SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG CYREG_B0_UDB05_06_D0 -#define SDCard_BSPIM_sR8_Dp_u0__16BIT_D1_REG CYREG_B0_UDB05_06_D1 -#define SDCard_BSPIM_sR8_Dp_u0__16BIT_DP_AUX_CTL_REG CYREG_B0_UDB05_06_ACTL -#define SDCard_BSPIM_sR8_Dp_u0__16BIT_F0_REG CYREG_B0_UDB05_06_F0 -#define SDCard_BSPIM_sR8_Dp_u0__16BIT_F1_REG CYREG_B0_UDB05_06_F1 -#define SDCard_BSPIM_sR8_Dp_u0__A0_A1_REG CYREG_B0_UDB05_A0_A1 -#define SDCard_BSPIM_sR8_Dp_u0__A0_REG CYREG_B0_UDB05_A0 -#define SDCard_BSPIM_sR8_Dp_u0__A1_REG CYREG_B0_UDB05_A1 -#define SDCard_BSPIM_sR8_Dp_u0__D0_D1_REG CYREG_B0_UDB05_D0_D1 -#define SDCard_BSPIM_sR8_Dp_u0__D0_REG CYREG_B0_UDB05_D0 -#define SDCard_BSPIM_sR8_Dp_u0__D1_REG CYREG_B0_UDB05_D1 -#define SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG CYREG_B0_UDB05_ACTL -#define SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG CYREG_B0_UDB05_F0_F1 -#define SDCard_BSPIM_sR8_Dp_u0__F0_REG CYREG_B0_UDB05_F0 -#define SDCard_BSPIM_sR8_Dp_u0__F1_REG CYREG_B0_UDB05_F1 -#define SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG CYREG_B0_UDB05_MSK_ACTL -#define SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG CYREG_B0_UDB05_MSK_ACTL +#define SDCard_BSPIM_TxStsReg__MASK_REG CYREG_B0_UDB05_MSK +#define SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG CYREG_B0_UDB05_ACTL +#define SDCard_BSPIM_TxStsReg__STATUS_REG CYREG_B0_UDB05_ST +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG CYREG_B1_UDB06_07_A0 +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG CYREG_B1_UDB06_07_A1 +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG CYREG_B1_UDB06_07_D0 +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_D1_REG CYREG_B1_UDB06_07_D1 +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_DP_AUX_CTL_REG CYREG_B1_UDB06_07_ACTL +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_F0_REG CYREG_B1_UDB06_07_F0 +#define SDCard_BSPIM_sR8_Dp_u0__16BIT_F1_REG CYREG_B1_UDB06_07_F1 +#define SDCard_BSPIM_sR8_Dp_u0__A0_A1_REG CYREG_B1_UDB06_A0_A1 +#define SDCard_BSPIM_sR8_Dp_u0__A0_REG CYREG_B1_UDB06_A0 +#define SDCard_BSPIM_sR8_Dp_u0__A1_REG CYREG_B1_UDB06_A1 +#define SDCard_BSPIM_sR8_Dp_u0__D0_D1_REG CYREG_B1_UDB06_D0_D1 +#define SDCard_BSPIM_sR8_Dp_u0__D0_REG CYREG_B1_UDB06_D0 +#define SDCard_BSPIM_sR8_Dp_u0__D1_REG CYREG_B1_UDB06_D1 +#define SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG CYREG_B1_UDB06_ACTL +#define SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG CYREG_B1_UDB06_F0_F1 +#define SDCard_BSPIM_sR8_Dp_u0__F0_REG CYREG_B1_UDB06_F0 +#define SDCard_BSPIM_sR8_Dp_u0__F1_REG CYREG_B1_UDB06_F1 /* USBFS_dp_int */ #define USBFS_dp_int__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 @@ -1199,8 +1203,8 @@ /* scsiTarget */ #define scsiTarget_StatusReg__0__MASK 0x01u #define scsiTarget_StatusReg__0__POS 0 -#define scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB00_01_ACTL -#define scsiTarget_StatusReg__16BIT_STATUS_REG CYREG_B0_UDB00_01_ST +#define scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB11_12_ACTL +#define scsiTarget_StatusReg__16BIT_STATUS_REG CYREG_B0_UDB11_12_ST #define scsiTarget_StatusReg__1__MASK 0x02u #define scsiTarget_StatusReg__1__POS 1 #define scsiTarget_StatusReg__2__MASK 0x04u @@ -1210,54 +1214,58 @@ #define scsiTarget_StatusReg__4__MASK 0x10u #define scsiTarget_StatusReg__4__POS 4 #define scsiTarget_StatusReg__MASK 0x1Fu -#define scsiTarget_StatusReg__MASK_REG CYREG_B0_UDB00_MSK -#define scsiTarget_StatusReg__STATUS_AUX_CTL_REG CYREG_B0_UDB00_ACTL -#define scsiTarget_StatusReg__STATUS_REG CYREG_B0_UDB00_ST -#define scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB03_04_ACTL -#define scsiTarget_datapath_PI__16BIT_STATUS_REG CYREG_B0_UDB03_04_ST -#define scsiTarget_datapath_PI__MASK_REG CYREG_B0_UDB03_MSK -#define scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL -#define scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL -#define scsiTarget_datapath_PI__STATUS_AUX_CTL_REG CYREG_B0_UDB03_ACTL -#define scsiTarget_datapath_PI__STATUS_CNT_REG CYREG_B0_UDB03_ST_CTL -#define scsiTarget_datapath_PI__STATUS_CONTROL_REG CYREG_B0_UDB03_ST_CTL -#define scsiTarget_datapath_PI__STATUS_REG CYREG_B0_UDB03_ST -#define scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB03_04_ACTL -#define scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB03_04_CTL -#define scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB03_04_CTL -#define scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB03_04_CTL -#define scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG CYREG_B0_UDB03_04_CTL -#define scsiTarget_datapath_PO__16BIT_MASK_MASK_REG CYREG_B0_UDB03_04_MSK -#define scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG CYREG_B0_UDB03_04_MSK -#define scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG CYREG_B0_UDB03_04_MSK -#define scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB03_04_MSK -#define scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG CYREG_B0_UDB03_ACTL -#define scsiTarget_datapath_PO__CONTROL_REG CYREG_B0_UDB03_CTL -#define scsiTarget_datapath_PO__CONTROL_ST_REG CYREG_B0_UDB03_ST_CTL -#define scsiTarget_datapath_PO__COUNT_REG CYREG_B0_UDB03_CTL -#define scsiTarget_datapath_PO__COUNT_ST_REG CYREG_B0_UDB03_ST_CTL -#define scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL -#define scsiTarget_datapath_PO__PERIOD_REG CYREG_B0_UDB03_MSK -#define scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL -#define scsiTarget_datapath__16BIT_A0_REG CYREG_B0_UDB03_04_A0 -#define scsiTarget_datapath__16BIT_A1_REG CYREG_B0_UDB03_04_A1 -#define scsiTarget_datapath__16BIT_D0_REG CYREG_B0_UDB03_04_D0 -#define scsiTarget_datapath__16BIT_D1_REG CYREG_B0_UDB03_04_D1 -#define scsiTarget_datapath__16BIT_DP_AUX_CTL_REG CYREG_B0_UDB03_04_ACTL -#define scsiTarget_datapath__16BIT_F0_REG CYREG_B0_UDB03_04_F0 -#define scsiTarget_datapath__16BIT_F1_REG CYREG_B0_UDB03_04_F1 -#define scsiTarget_datapath__A0_A1_REG CYREG_B0_UDB03_A0_A1 -#define scsiTarget_datapath__A0_REG CYREG_B0_UDB03_A0 -#define scsiTarget_datapath__A1_REG CYREG_B0_UDB03_A1 -#define scsiTarget_datapath__D0_D1_REG CYREG_B0_UDB03_D0_D1 -#define scsiTarget_datapath__D0_REG CYREG_B0_UDB03_D0 -#define scsiTarget_datapath__D1_REG CYREG_B0_UDB03_D1 -#define scsiTarget_datapath__DP_AUX_CTL_REG CYREG_B0_UDB03_ACTL -#define scsiTarget_datapath__F0_F1_REG CYREG_B0_UDB03_F0_F1 -#define scsiTarget_datapath__F0_REG CYREG_B0_UDB03_F0 -#define scsiTarget_datapath__F1_REG CYREG_B0_UDB03_F1 -#define scsiTarget_datapath__MSK_DP_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL -#define scsiTarget_datapath__PER_DP_AUX_CTL_REG CYREG_B0_UDB03_MSK_ACTL +#define scsiTarget_StatusReg__MASK_REG CYREG_B0_UDB11_MSK +#define scsiTarget_StatusReg__MASK_ST_AUX_CTL_REG CYREG_B0_UDB11_MSK_ACTL +#define scsiTarget_StatusReg__PER_ST_AUX_CTL_REG CYREG_B0_UDB11_MSK_ACTL +#define scsiTarget_StatusReg__STATUS_AUX_CTL_REG CYREG_B0_UDB11_ACTL +#define scsiTarget_StatusReg__STATUS_CNT_REG CYREG_B0_UDB11_ST_CTL +#define scsiTarget_StatusReg__STATUS_CONTROL_REG CYREG_B0_UDB11_ST_CTL +#define scsiTarget_StatusReg__STATUS_REG CYREG_B0_UDB11_ST +#define scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG CYREG_B0_UDB04_05_ACTL +#define scsiTarget_datapath_PI__16BIT_STATUS_REG CYREG_B0_UDB04_05_ST +#define scsiTarget_datapath_PI__MASK_REG CYREG_B0_UDB04_MSK +#define scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL +#define scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL +#define scsiTarget_datapath_PI__STATUS_AUX_CTL_REG CYREG_B0_UDB04_ACTL +#define scsiTarget_datapath_PI__STATUS_CNT_REG CYREG_B0_UDB04_ST_CTL +#define scsiTarget_datapath_PI__STATUS_CONTROL_REG CYREG_B0_UDB04_ST_CTL +#define scsiTarget_datapath_PI__STATUS_REG CYREG_B0_UDB04_ST +#define scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG CYREG_B0_UDB04_05_ACTL +#define scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG CYREG_B0_UDB04_05_CTL +#define scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG CYREG_B0_UDB04_05_CTL +#define scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG CYREG_B0_UDB04_05_CTL +#define scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG CYREG_B0_UDB04_05_CTL +#define scsiTarget_datapath_PO__16BIT_MASK_MASK_REG CYREG_B0_UDB04_05_MSK +#define scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG CYREG_B0_UDB04_05_MSK +#define scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG CYREG_B0_UDB04_05_MSK +#define scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG CYREG_B0_UDB04_05_MSK +#define scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG CYREG_B0_UDB04_ACTL +#define scsiTarget_datapath_PO__CONTROL_REG CYREG_B0_UDB04_CTL +#define scsiTarget_datapath_PO__CONTROL_ST_REG CYREG_B0_UDB04_ST_CTL +#define scsiTarget_datapath_PO__COUNT_REG CYREG_B0_UDB04_CTL +#define scsiTarget_datapath_PO__COUNT_ST_REG CYREG_B0_UDB04_ST_CTL +#define scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL +#define scsiTarget_datapath_PO__PERIOD_REG CYREG_B0_UDB04_MSK +#define scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL +#define scsiTarget_datapath__16BIT_A0_REG CYREG_B0_UDB04_05_A0 +#define scsiTarget_datapath__16BIT_A1_REG CYREG_B0_UDB04_05_A1 +#define scsiTarget_datapath__16BIT_D0_REG CYREG_B0_UDB04_05_D0 +#define scsiTarget_datapath__16BIT_D1_REG CYREG_B0_UDB04_05_D1 +#define scsiTarget_datapath__16BIT_DP_AUX_CTL_REG CYREG_B0_UDB04_05_ACTL +#define scsiTarget_datapath__16BIT_F0_REG CYREG_B0_UDB04_05_F0 +#define scsiTarget_datapath__16BIT_F1_REG CYREG_B0_UDB04_05_F1 +#define scsiTarget_datapath__A0_A1_REG CYREG_B0_UDB04_A0_A1 +#define scsiTarget_datapath__A0_REG CYREG_B0_UDB04_A0 +#define scsiTarget_datapath__A1_REG CYREG_B0_UDB04_A1 +#define scsiTarget_datapath__D0_D1_REG CYREG_B0_UDB04_D0_D1 +#define scsiTarget_datapath__D0_REG CYREG_B0_UDB04_D0 +#define scsiTarget_datapath__D1_REG CYREG_B0_UDB04_D1 +#define scsiTarget_datapath__DP_AUX_CTL_REG CYREG_B0_UDB04_ACTL +#define scsiTarget_datapath__F0_F1_REG CYREG_B0_UDB04_F0_F1 +#define scsiTarget_datapath__F0_REG CYREG_B0_UDB04_F0 +#define scsiTarget_datapath__F1_REG CYREG_B0_UDB04_F1 +#define scsiTarget_datapath__MSK_DP_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL +#define scsiTarget_datapath__PER_DP_AUX_CTL_REG CYREG_B0_UDB04_MSK_ACTL /* USBFS_ep_0 */ #define USBFS_ep_0__INTC_CLR_EN_REG CYREG_NVIC_CLRENA0 diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c index 081c1e2..365ce1d 100644 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitter_cfg.c @@ -121,7 +121,7 @@ static void CyClockStartupError(uint8 errorCode) } #endif -#define CY_CFG_BASE_ADDR_COUNT 36u +#define CY_CFG_BASE_ADDR_COUNT 37u CYPACKED typedef struct { uint8 offset; @@ -380,39 +380,40 @@ void cyfitter_cfg(void) static const uint32 CYCODE cy_cfg_addr_table[] = { 0x40004502u, /* Base address: 0x40004500 Count: 2 */ 0x40004F02u, /* Base address: 0x40004F00 Count: 2 */ - 0x4000520Au, /* Base address: 0x40005200 Count: 10 */ + 0x4000520Cu, /* Base address: 0x40005200 Count: 12 */ 0x40006402u, /* Base address: 0x40006400 Count: 2 */ - 0x4001004Bu, /* Base address: 0x40010000 Count: 75 */ - 0x40010138u, /* Base address: 0x40010100 Count: 56 */ - 0x40010248u, /* Base address: 0x40010200 Count: 72 */ - 0x4001035Au, /* Base address: 0x40010300 Count: 90 */ - 0x40010462u, /* Base address: 0x40010400 Count: 98 */ - 0x40010551u, /* Base address: 0x40010500 Count: 81 */ - 0x40010657u, /* Base address: 0x40010600 Count: 87 */ - 0x40010752u, /* Base address: 0x40010700 Count: 82 */ - 0x4001090Au, /* Base address: 0x40010900 Count: 10 */ - 0x40010A04u, /* Base address: 0x40010A00 Count: 4 */ - 0x40010B1Au, /* Base address: 0x40010B00 Count: 26 */ - 0x40010C3Eu, /* Base address: 0x40010C00 Count: 62 */ - 0x40010D42u, /* Base address: 0x40010D00 Count: 66 */ - 0x40010F06u, /* Base address: 0x40010F00 Count: 6 */ - 0x40011506u, /* Base address: 0x40011500 Count: 6 */ - 0x40011652u, /* Base address: 0x40011600 Count: 82 */ - 0x4001174Eu, /* Base address: 0x40011700 Count: 78 */ - 0x40011907u, /* Base address: 0x40011900 Count: 7 */ - 0x40011B05u, /* Base address: 0x40011B00 Count: 5 */ - 0x40014017u, /* Base address: 0x40014000 Count: 23 */ - 0x40014116u, /* Base address: 0x40014100 Count: 22 */ - 0x40014210u, /* Base address: 0x40014200 Count: 16 */ - 0x4001430Bu, /* Base address: 0x40014300 Count: 11 */ - 0x4001440Cu, /* Base address: 0x40014400 Count: 12 */ - 0x40014518u, /* Base address: 0x40014500 Count: 24 */ - 0x40014607u, /* Base address: 0x40014600 Count: 7 */ - 0x4001470Au, /* Base address: 0x40014700 Count: 10 */ - 0x40014807u, /* Base address: 0x40014800 Count: 7 */ - 0x40014909u, /* Base address: 0x40014900 Count: 9 */ - 0x40014C01u, /* Base address: 0x40014C00 Count: 1 */ - 0x40015006u, /* Base address: 0x40015000 Count: 6 */ + 0x4001004Au, /* Base address: 0x40010000 Count: 74 */ + 0x40010137u, /* Base address: 0x40010100 Count: 55 */ + 0x4001024Au, /* Base address: 0x40010200 Count: 74 */ + 0x4001035Cu, /* Base address: 0x40010300 Count: 92 */ + 0x4001043Au, /* Base address: 0x40010400 Count: 58 */ + 0x4001055Cu, /* Base address: 0x40010500 Count: 92 */ + 0x4001064Eu, /* Base address: 0x40010600 Count: 78 */ + 0x40010757u, /* Base address: 0x40010700 Count: 87 */ + 0x4001091Au, /* Base address: 0x40010900 Count: 26 */ + 0x40010A3Bu, /* Base address: 0x40010A00 Count: 59 */ + 0x40010B51u, /* Base address: 0x40010B00 Count: 81 */ + 0x40010D23u, /* Base address: 0x40010D00 Count: 35 */ + 0x40010E49u, /* Base address: 0x40010E00 Count: 73 */ + 0x40010F35u, /* Base address: 0x40010F00 Count: 53 */ + 0x4001145Bu, /* Base address: 0x40011400 Count: 91 */ + 0x40011543u, /* Base address: 0x40011500 Count: 67 */ + 0x4001161Eu, /* Base address: 0x40011600 Count: 30 */ + 0x40011750u, /* Base address: 0x40011700 Count: 80 */ + 0x4001190Du, /* Base address: 0x40011900 Count: 13 */ + 0x40011B03u, /* Base address: 0x40011B00 Count: 3 */ + 0x4001401Bu, /* Base address: 0x40014000 Count: 27 */ + 0x40014119u, /* Base address: 0x40014100 Count: 25 */ + 0x4001420Cu, /* Base address: 0x40014200 Count: 12 */ + 0x4001430Eu, /* Base address: 0x40014300 Count: 14 */ + 0x4001440Eu, /* Base address: 0x40014400 Count: 14 */ + 0x40014514u, /* Base address: 0x40014500 Count: 20 */ + 0x40014609u, /* Base address: 0x40014600 Count: 9 */ + 0x4001470Cu, /* Base address: 0x40014700 Count: 12 */ + 0x40014805u, /* Base address: 0x40014800 Count: 5 */ + 0x4001490Fu, /* Base address: 0x40014900 Count: 15 */ + 0x40014C04u, /* Base address: 0x40014C00 Count: 4 */ + 0x40015002u, /* Base address: 0x40015000 Count: 2 */ 0x40015104u, /* Base address: 0x40015100 Count: 4 */ }; @@ -420,1160 +421,1401 @@ void cyfitter_cfg(void) {0x36u, 0x02u}, {0x7Eu, 0x02u}, {0x01u, 0x20u}, - {0x0Au, 0x4Bu}, - {0x00u, 0x05u}, - {0x01u, 0x13u}, - {0x18u, 0x0Cu}, - {0x19u, 0x08u}, + {0x0Au, 0x27u}, + {0x00u, 0x04u}, + {0x01u, 0x11u}, + {0x18u, 0x04u}, {0x1Cu, 0x61u}, - {0x20u, 0x90u}, - {0x21u, 0x58u}, - {0x30u, 0x06u}, - {0x31u, 0x0Cu}, + {0x20u, 0x68u}, + {0x21u, 0xC0u}, + {0x2Cu, 0x0Fu}, + {0x30u, 0x09u}, + {0x31u, 0x0Au}, + {0x34u, 0x90u}, + {0x64u, 0x20u}, {0x7Cu, 0x40u}, - {0x23u, 0x02u}, + {0x24u, 0x02u}, {0x86u, 0x0Fu}, - {0x01u, 0x09u}, - {0x03u, 0x24u}, - {0x05u, 0x09u}, - {0x06u, 0x0Eu}, - {0x07u, 0x12u}, - {0x0Bu, 0x30u}, - {0x0Cu, 0x21u}, - {0x0Eu, 0x84u}, - {0x0Fu, 0x46u}, - {0x12u, 0x21u}, - {0x16u, 0xC0u}, - {0x18u, 0x21u}, - {0x1Au, 0x42u}, - {0x1Bu, 0x01u}, - {0x1Eu, 0x20u}, - {0x1Fu, 0x08u}, - {0x21u, 0x40u}, - {0x22u, 0x10u}, - {0x23u, 0x80u}, - {0x26u, 0x01u}, - {0x2Bu, 0x80u}, - {0x2Cu, 0x08u}, - {0x2Eu, 0x10u}, - {0x2Fu, 0x09u}, - {0x30u, 0x18u}, - {0x31u, 0x07u}, - {0x32u, 0xE0u}, - {0x33u, 0xC0u}, - {0x34u, 0x07u}, - {0x35u, 0x38u}, - {0x3Eu, 0x01u}, - {0x3Fu, 0x04u}, + {0x03u, 0x80u}, + {0x06u, 0x80u}, + {0x07u, 0x07u}, + {0x09u, 0x44u}, + {0x0Bu, 0x88u}, + {0x0Eu, 0x07u}, + {0x10u, 0xAAu}, + {0x11u, 0xAAu}, + {0x12u, 0x55u}, + {0x13u, 0x55u}, + {0x14u, 0x99u}, + {0x15u, 0x99u}, + {0x16u, 0x22u}, + {0x17u, 0x22u}, + {0x1Au, 0x70u}, + {0x1Bu, 0x70u}, + {0x27u, 0x08u}, + {0x28u, 0x44u}, + {0x2Au, 0x88u}, + {0x2Eu, 0x08u}, + {0x30u, 0x0Fu}, + {0x33u, 0x0Fu}, + {0x34u, 0xF0u}, + {0x35u, 0xF0u}, + {0x56u, 0x08u}, {0x58u, 0x04u}, {0x59u, 0x04u}, {0x5Bu, 0x04u}, {0x5Cu, 0x11u}, + {0x5Du, 0x90u}, {0x5Fu, 0x01u}, - {0x80u, 0x30u}, - {0x81u, 0x01u}, - {0x82u, 0xC0u}, - {0x84u, 0x09u}, - {0x85u, 0x02u}, - {0x86u, 0x06u}, - {0x87u, 0x04u}, - {0x88u, 0xFFu}, - {0x8Bu, 0x02u}, - {0x8Cu, 0x50u}, - {0x8Du, 0x02u}, - {0x8Eu, 0xA0u}, - {0x8Fu, 0x08u}, - {0x91u, 0x01u}, - {0x94u, 0x03u}, - {0x96u, 0x0Cu}, - {0x97u, 0x0Cu}, - {0x98u, 0x90u}, - {0x9Au, 0x60u}, - {0x9Cu, 0xFFu}, - {0x9Fu, 0x02u}, - {0xA4u, 0x05u}, - {0xA5u, 0x01u}, - {0xA6u, 0x0Au}, - {0xA9u, 0x01u}, - {0xAAu, 0xFFu}, - {0xACu, 0x0Fu}, - {0xAEu, 0xF0u}, - {0xB5u, 0x0Eu}, - {0xB6u, 0xFFu}, - {0xB7u, 0x01u}, - {0xB9u, 0x80u}, + {0x82u, 0x20u}, + {0x84u, 0x02u}, + {0x85u, 0x08u}, + {0x86u, 0x0Du}, + {0x88u, 0x02u}, + {0x8Au, 0x04u}, + {0x8Bu, 0x19u}, + {0x8Cu, 0x0Du}, + {0x8Du, 0x33u}, + {0x8Fu, 0x4Cu}, + {0x90u, 0x10u}, + {0x91u, 0x18u}, + {0x92u, 0x20u}, + {0x93u, 0x60u}, + {0x94u, 0x0Du}, + {0x99u, 0x2Au}, + {0x9Au, 0x10u}, + {0x9Bu, 0x55u}, + {0x9Cu, 0x0Du}, + {0x9Du, 0x01u}, + {0x9Fu, 0x06u}, + {0xA0u, 0x02u}, + {0xA2u, 0x08u}, + {0xA3u, 0x10u}, + {0xA4u, 0x0Du}, + {0xA5u, 0x3Au}, + {0xA7u, 0x45u}, + {0xA8u, 0x01u}, + {0xAAu, 0x02u}, + {0xABu, 0x01u}, + {0xACu, 0x0Du}, + {0xB1u, 0x07u}, + {0xB2u, 0x0Fu}, + {0xB6u, 0x30u}, + {0xB7u, 0x78u}, + {0xBAu, 0x08u}, + {0xBBu, 0x82u}, {0xBEu, 0x40u}, - {0xBFu, 0x40u}, {0xD8u, 0x04u}, {0xD9u, 0x04u}, + {0xDBu, 0x04u}, {0xDCu, 0x10u}, {0xDFu, 0x01u}, - {0x00u, 0x88u}, - {0x03u, 0x20u}, - {0x05u, 0x20u}, - {0x06u, 0x42u}, - {0x07u, 0x60u}, - {0x08u, 0x01u}, - {0x0Au, 0x24u}, - {0x0Bu, 0x01u}, - {0x0Eu, 0x08u}, - {0x0Fu, 0x22u}, - {0x11u, 0x44u}, - {0x12u, 0x40u}, - {0x15u, 0xC0u}, - {0x16u, 0x01u}, - {0x17u, 0x18u}, - {0x19u, 0x02u}, - {0x1Au, 0x20u}, - {0x1Bu, 0x30u}, - {0x1Eu, 0x01u}, - {0x20u, 0x40u}, - {0x21u, 0x18u}, - {0x22u, 0x01u}, - {0x24u, 0x02u}, - {0x27u, 0x08u}, - {0x28u, 0x05u}, - {0x29u, 0x40u}, - {0x2Au, 0x11u}, - {0x2Du, 0x08u}, - {0x2Eu, 0x10u}, - {0x30u, 0xA0u}, - {0x35u, 0x40u}, - {0x36u, 0x02u}, - {0x37u, 0x08u}, - {0x38u, 0x44u}, - {0x39u, 0x22u}, - {0x3Cu, 0x80u}, - {0x3Du, 0x10u}, - {0x3Eu, 0x05u}, - {0x58u, 0x82u}, - {0x59u, 0x14u}, - {0x61u, 0x80u}, - {0x81u, 0x10u}, - {0x82u, 0x80u}, - {0x84u, 0x04u}, - {0x89u, 0x10u}, - {0x8Cu, 0x01u}, - {0xC0u, 0xF5u}, - {0xC2u, 0xEFu}, - {0xC4u, 0xEDu}, - {0xCAu, 0x6Du}, - {0xCCu, 0xDCu}, - {0xCEu, 0xFFu}, - {0xD6u, 0x0Fu}, - {0xD8u, 0x08u}, - {0xE2u, 0x48u}, - {0xE6u, 0x02u}, - {0x06u, 0xFFu}, - {0x08u, 0xFFu}, - {0x0Cu, 0x50u}, - {0x0Du, 0x04u}, - {0x0Eu, 0xA0u}, - {0x0Fu, 0x03u}, - {0x11u, 0x01u}, - {0x12u, 0xFFu}, - {0x13u, 0x06u}, - {0x14u, 0x03u}, - {0x15u, 0x03u}, - {0x16u, 0x0Cu}, - {0x17u, 0x04u}, - {0x18u, 0x60u}, - {0x19u, 0x05u}, - {0x1Au, 0x90u}, - {0x1Bu, 0x02u}, - {0x1Cu, 0x0Fu}, - {0x1Eu, 0xF0u}, - {0x24u, 0x05u}, - {0x26u, 0x0Au}, - {0x28u, 0x06u}, - {0x2Au, 0x09u}, - {0x2Cu, 0x30u}, - {0x2Eu, 0xC0u}, - {0x32u, 0xFFu}, - {0x37u, 0x07u}, - {0x3Bu, 0x80u}, - {0x3Eu, 0x04u}, - {0x58u, 0x04u}, - {0x59u, 0x04u}, - {0x5Fu, 0x01u}, - {0x82u, 0x3Fu}, - {0x84u, 0x01u}, - {0x89u, 0x01u}, - {0x8Cu, 0x34u}, - {0x8Eu, 0x4Bu}, - {0x98u, 0x0Bu}, - {0x9Au, 0x64u}, - {0x9Cu, 0x08u}, - {0x9Eu, 0x52u}, - {0xA6u, 0x20u}, - {0xB2u, 0x40u}, - {0xB4u, 0x07u}, - {0xB6u, 0x38u}, - {0xB7u, 0x01u}, - {0xBEu, 0x04u}, - {0xBFu, 0x40u}, - {0xC0u, 0x54u}, - {0xC1u, 0x02u}, - {0xC2u, 0x30u}, - {0xC5u, 0xE2u}, - {0xC6u, 0xCFu}, - {0xC7u, 0x0Du}, - {0xC8u, 0x1Fu}, - {0xC9u, 0xFFu}, - {0xCAu, 0xFFu}, - {0xCBu, 0xFFu}, - {0xCFu, 0x2Cu}, - {0xD6u, 0x01u}, - {0xD8u, 0x04u}, - {0xD9u, 0x04u}, - {0xDAu, 0x04u}, - {0xDBu, 0x04u}, - {0xDCu, 0x01u}, - {0xDDu, 0x01u}, - {0xDFu, 0x01u}, - {0xE2u, 0xC0u}, - {0xE6u, 0x80u}, - {0xE8u, 0x40u}, - {0xE9u, 0x40u}, - {0xEEu, 0x08u}, - {0x00u, 0x80u}, - {0x02u, 0x40u}, - {0x03u, 0x10u}, - {0x05u, 0x20u}, - {0x06u, 0x02u}, - {0x07u, 0x10u}, - {0x0Au, 0x05u}, - {0x0Cu, 0x01u}, - {0x0Du, 0x40u}, - {0x0Eu, 0x08u}, - {0x0Fu, 0x20u}, - {0x13u, 0x04u}, - {0x14u, 0x08u}, - {0x16u, 0x01u}, - {0x17u, 0x68u}, - {0x18u, 0x14u}, - {0x19u, 0x40u}, - {0x1Au, 0x0Du}, - {0x1Bu, 0x80u}, - {0x1Eu, 0x10u}, - {0x22u, 0x40u}, - {0x25u, 0x40u}, - {0x28u, 0x01u}, - {0x29u, 0x04u}, - {0x2Bu, 0x21u}, - {0x35u, 0x11u}, - {0x36u, 0x08u}, - {0x3Au, 0x20u}, - {0x3Du, 0x80u}, - {0x3Eu, 0x80u}, - {0x40u, 0x14u}, - {0x41u, 0x01u}, - {0x49u, 0x40u}, - {0x4Au, 0x40u}, - {0x4Bu, 0x04u}, - {0x51u, 0x10u}, - {0x52u, 0x80u}, - {0x53u, 0x28u}, - {0x58u, 0x14u}, - {0x59u, 0x02u}, - {0x5Au, 0x80u}, - {0x60u, 0x02u}, - {0x62u, 0x04u}, - {0x63u, 0x88u}, - {0x68u, 0x80u}, - {0x69u, 0x54u}, - {0x70u, 0x20u}, - {0x73u, 0x51u}, - {0x83u, 0x04u}, - {0x84u, 0x80u}, - {0x86u, 0x42u}, - {0x88u, 0x02u}, - {0x89u, 0x02u}, - {0x8Cu, 0x04u}, - {0x8Du, 0x40u}, - {0x92u, 0x02u}, - {0x94u, 0x04u}, - {0x95u, 0x96u}, - {0x96u, 0x14u}, - {0x97u, 0x81u}, - {0x9Au, 0x30u}, - {0x9Bu, 0x08u}, - {0x9Cu, 0x80u}, - {0x9Du, 0x6Cu}, - {0x9Eu, 0x02u}, - {0x9Fu, 0x70u}, - {0xA0u, 0x04u}, - {0xA3u, 0x10u}, - {0xA4u, 0xE0u}, - {0xA5u, 0x80u}, - {0xA6u, 0x86u}, - {0xA7u, 0x09u}, - {0xAAu, 0x30u}, - {0xAFu, 0x40u}, - {0xB0u, 0x02u}, - {0xB1u, 0x0Au}, - {0xB3u, 0x08u}, - {0xC0u, 0xEDu}, - {0xC2u, 0xF3u}, - {0xC4u, 0xE4u}, - {0xCCu, 0xE0u}, - {0xCEu, 0x14u}, - {0xD0u, 0x07u}, - {0xD2u, 0x08u}, - {0xD6u, 0x0Fu}, - {0xD8u, 0x0Fu}, - {0xE6u, 0x0Cu}, - {0xEAu, 0x04u}, - {0xECu, 0x04u}, - {0xEEu, 0x21u}, - {0x01u, 0x9Bu}, - {0x03u, 0x04u}, - {0x04u, 0x03u}, - {0x06u, 0x0Cu}, - {0x07u, 0x40u}, - {0x08u, 0x30u}, - {0x09u, 0x0Cu}, - {0x0Au, 0xC0u}, - {0x0Bu, 0x80u}, - {0x0Cu, 0x0Fu}, + {0x00u, 0x48u}, + {0x05u, 0x56u}, + {0x09u, 0x0Au}, + {0x0Au, 0x04u}, {0x0Du, 0x20u}, - {0x0Eu, 0xF0u}, + {0x0Eu, 0x11u}, {0x0Fu, 0x40u}, - {0x10u, 0x50u}, - {0x12u, 0xA0u}, - {0x15u, 0x98u}, - {0x17u, 0x04u}, + {0x11u, 0x50u}, + {0x15u, 0x24u}, + {0x16u, 0x02u}, + {0x17u, 0x01u}, + {0x18u, 0x40u}, + {0x1Au, 0x0Cu}, {0x1Bu, 0x01u}, - {0x1Cu, 0x06u}, - {0x1Du, 0x80u}, - {0x1Eu, 0x09u}, - {0x1Fu, 0x17u}, - {0x20u, 0x05u}, - {0x22u, 0x0Au}, - {0x23u, 0x20u}, - {0x24u, 0x60u}, - {0x25u, 0x03u}, - {0x26u, 0x90u}, - {0x27u, 0x0Cu}, - {0x29u, 0x02u}, - {0x2Fu, 0x1Fu}, - {0x31u, 0x1Fu}, - {0x34u, 0xFFu}, - {0x35u, 0x60u}, - {0x37u, 0x80u}, - {0x3Eu, 0x10u}, - {0x3Fu, 0x50u}, - {0x58u, 0x04u}, - {0x59u, 0x04u}, - {0x5Cu, 0x10u}, - {0x5Fu, 0x01u}, - {0x81u, 0x35u}, - {0x89u, 0x39u}, - {0x8Bu, 0x42u}, - {0x8Fu, 0x04u}, - {0x91u, 0x20u}, - {0x95u, 0x4Au}, - {0x97u, 0x31u}, - {0x99u, 0x0Bu}, - {0x9Bu, 0x70u}, - {0x9Du, 0x12u}, - {0x9Fu, 0x01u}, - {0xA1u, 0x35u}, - {0xA5u, 0x15u}, - {0xA7u, 0x20u}, - {0xA9u, 0x05u}, - {0xABu, 0x30u}, - {0xADu, 0x30u}, - {0xAFu, 0x05u}, - {0xB3u, 0x78u}, - {0xB5u, 0x04u}, - {0xB7u, 0x03u}, - {0xB9u, 0x08u}, - {0xBBu, 0x80u}, - {0xBFu, 0x10u}, - {0xC0u, 0x62u}, - {0xC1u, 0x04u}, - {0xC2u, 0x10u}, - {0xC4u, 0x05u}, - {0xC5u, 0xCEu}, - {0xC6u, 0xFDu}, - {0xC7u, 0x0Bu}, - {0xC8u, 0x1Fu}, - {0xC9u, 0xFFu}, - {0xCAu, 0xFFu}, - {0xCBu, 0xFFu}, - {0xCCu, 0x22u}, - {0xCEu, 0xF0u}, - {0xCFu, 0x08u}, - {0xD0u, 0x04u}, - {0xD4u, 0x40u}, - {0xD6u, 0x04u}, - {0xD9u, 0x04u}, - {0xDAu, 0x04u}, - {0xDBu, 0x04u}, - {0xDFu, 0x01u}, - {0xE2u, 0xC0u}, - {0xE4u, 0x40u}, - {0xE5u, 0x01u}, - {0xE6u, 0x10u}, - {0xE7u, 0x11u}, - {0xE8u, 0xC0u}, - {0xE9u, 0x01u}, - {0xEBu, 0x11u}, - {0xECu, 0x40u}, - {0xEDu, 0x01u}, - {0xEEu, 0x40u}, - {0xEFu, 0x01u}, - {0x00u, 0x64u}, - {0x09u, 0x01u}, - {0x0Au, 0x02u}, - {0x10u, 0x40u}, - {0x12u, 0x10u}, - {0x19u, 0x20u}, - {0x20u, 0x80u}, - {0x21u, 0x81u}, - {0x22u, 0x10u}, - {0x24u, 0x02u}, - {0x26u, 0xACu}, - {0x28u, 0xC1u}, - {0x2Au, 0x48u}, - {0x2Bu, 0x08u}, - {0x2Du, 0x40u}, - {0x2Eu, 0x12u}, - {0x2Fu, 0x20u}, - {0x30u, 0x10u}, - {0x32u, 0x04u}, - {0x33u, 0x90u}, - {0x35u, 0x12u}, - {0x36u, 0x88u}, - {0x38u, 0x48u}, - {0x39u, 0xA2u}, - {0x3Du, 0x21u}, - {0x3Fu, 0x80u}, - {0x45u, 0x62u}, - {0x4Du, 0x82u}, - {0x4Eu, 0x08u}, - {0x4Fu, 0x05u}, - {0x55u, 0x04u}, - {0x56u, 0x24u}, - {0x57u, 0x40u}, - {0x64u, 0x02u}, - {0x66u, 0x20u}, - {0x67u, 0xA0u}, - {0x6Eu, 0x40u}, - {0x6Fu, 0x14u}, - {0x78u, 0x02u}, - {0x7Bu, 0x40u}, - {0x7Eu, 0x20u}, - {0x7Fu, 0x10u}, - {0x82u, 0x40u}, - {0x88u, 0x40u}, - {0x8Eu, 0x19u}, - {0x91u, 0x20u}, - {0x92u, 0x0Eu}, - {0x93u, 0x50u}, - {0x95u, 0x82u}, - {0x97u, 0x80u}, - {0x9Au, 0x90u}, - {0x9Bu, 0x08u}, - {0x9Cu, 0x08u}, - {0x9Du, 0x39u}, - {0x9Eu, 0x41u}, - {0x9Fu, 0x14u}, - {0xA0u, 0x04u}, - {0xA3u, 0x88u}, - {0xA4u, 0x40u}, - {0xA5u, 0x80u}, - {0xA6u, 0x0Au}, - {0xAAu, 0x04u}, - {0xABu, 0x14u}, - {0xACu, 0x15u}, - {0xB1u, 0x40u}, - {0xB3u, 0x08u}, - {0xB5u, 0x40u}, - {0xB6u, 0x04u}, - {0xB7u, 0x40u}, - {0xC0u, 0x07u}, - {0xC2u, 0x09u}, - {0xC4u, 0x0Cu}, - {0xCAu, 0xFFu}, - {0xCCu, 0xFEu}, - {0xCEu, 0xBFu}, - {0xD0u, 0xB0u}, - {0xD2u, 0x30u}, - {0xD8u, 0xF0u}, - {0xE2u, 0x41u}, - {0xEAu, 0x0Au}, - {0xEEu, 0x06u}, - {0x00u, 0x24u}, - {0x01u, 0x01u}, - {0x04u, 0x6Cu}, - {0x05u, 0x10u}, - {0x0Au, 0x2Fu}, - {0x0Bu, 0x40u}, - {0x0Cu, 0x2Cu}, - {0x0Eu, 0x40u}, - {0x10u, 0x31u}, - {0x11u, 0x07u}, - {0x12u, 0x02u}, - {0x13u, 0xD8u}, - {0x14u, 0x40u}, - {0x15u, 0x08u}, - {0x16u, 0x2Cu}, - {0x17u, 0x61u}, - {0x18u, 0x11u}, - {0x19u, 0xA2u}, - {0x1Au, 0x0Eu}, - {0x1Bu, 0x08u}, - {0x1Cu, 0x08u}, - {0x1Du, 0x01u}, - {0x1Eu, 0x10u}, - {0x20u, 0x6Cu}, - {0x21u, 0x01u}, - {0x24u, 0x80u}, - {0x25u, 0x01u}, - {0x28u, 0x64u}, - {0x29u, 0x04u}, + {0x1Cu, 0x02u}, + {0x1Du, 0x04u}, + {0x21u, 0x24u}, + {0x27u, 0x42u}, {0x2Au, 0x08u}, - {0x2Cu, 0x80u}, - {0x2Du, 0x01u}, - {0x30u, 0x0Fu}, - {0x31u, 0x3Fu}, - {0x32u, 0x80u}, - {0x34u, 0x31u}, - {0x35u, 0xE0u}, - {0x36u, 0x40u}, - {0x37u, 0x08u}, - {0x38u, 0x08u}, - {0x39u, 0x02u}, - {0x3Au, 0x30u}, + {0x2Fu, 0x54u}, + {0x31u, 0x2Au}, + {0x36u, 0x10u}, + {0x37u, 0x42u}, + {0x38u, 0x02u}, + {0x39u, 0x18u}, + {0x3Bu, 0x24u}, + {0x3Du, 0x08u}, {0x3Eu, 0x40u}, - {0x3Fu, 0x41u}, - {0x56u, 0x02u}, - {0x57u, 0x20u}, - {0x58u, 0x04u}, - {0x59u, 0x04u}, - {0x5Bu, 0x04u}, - {0x5Fu, 0x01u}, - {0x81u, 0xC0u}, - {0x82u, 0x49u}, - {0x83u, 0x01u}, - {0x86u, 0x06u}, - {0x87u, 0x9Fu}, - {0x89u, 0xC0u}, - {0x8Bu, 0x08u}, - {0x8Cu, 0x09u}, - {0x8Du, 0xC0u}, - {0x8Eu, 0x24u}, - {0x8Fu, 0x02u}, - {0x91u, 0x90u}, - {0x93u, 0x40u}, - {0x97u, 0xFFu}, - {0x98u, 0x09u}, - {0x99u, 0xC0u}, - {0x9Au, 0x52u}, - {0x9Bu, 0x04u}, - {0x9Du, 0x80u}, - {0x9Eu, 0x30u}, - {0xA1u, 0x1Fu}, - {0xA3u, 0x20u}, - {0xA7u, 0x60u}, - {0xA9u, 0x7Fu}, - {0xAAu, 0x08u}, - {0xABu, 0x80u}, - {0xAEu, 0x01u}, - {0xB0u, 0x40u}, - {0xB3u, 0xFFu}, - {0xB4u, 0x07u}, - {0xB6u, 0x38u}, - {0xBEu, 0x01u}, - {0xBFu, 0x04u}, - {0xD8u, 0x04u}, - {0xD9u, 0x04u}, - {0xDCu, 0x01u}, - {0xDFu, 0x01u}, - {0x00u, 0x84u}, - {0x03u, 0x80u}, - {0x04u, 0x02u}, - {0x05u, 0x10u}, - {0x06u, 0x20u}, - {0x07u, 0x01u}, - {0x08u, 0x80u}, - {0x0Au, 0x05u}, - {0x0Du, 0x02u}, - {0x0Eu, 0x18u}, - {0x0Fu, 0x01u}, - {0x13u, 0x50u}, - {0x15u, 0x09u}, - {0x17u, 0x50u}, - {0x18u, 0x04u}, - {0x1Au, 0x01u}, - {0x1Bu, 0x01u}, - {0x1Du, 0xB7u}, - {0x1Eu, 0x02u}, - {0x1Fu, 0x08u}, - {0x21u, 0x04u}, - {0x25u, 0x10u}, - {0x26u, 0x50u}, - {0x27u, 0x40u}, - {0x29u, 0x15u}, - {0x2Du, 0x40u}, - {0x2Eu, 0x02u}, - {0x2Fu, 0x28u}, - {0x32u, 0x88u}, - {0x33u, 0x11u}, - {0x35u, 0x11u}, - {0x36u, 0x88u}, - {0x38u, 0x80u}, - {0x39u, 0x10u}, - {0x3Au, 0x06u}, - {0x3Du, 0x29u}, - {0x45u, 0xC0u}, - {0x66u, 0x80u}, - {0x6Cu, 0x40u}, - {0x6Du, 0x51u}, - {0x6Eu, 0x10u}, - {0x6Fu, 0x31u}, - {0x75u, 0x80u}, - {0x76u, 0x02u}, - {0x81u, 0x80u}, - {0x82u, 0x20u}, - {0x8Bu, 0x01u}, - {0x90u, 0x02u}, - {0x92u, 0x04u}, - {0x93u, 0x55u}, - {0x94u, 0x04u}, - {0x95u, 0xC1u}, - {0x96u, 0x10u}, - {0x98u, 0x10u}, - {0x99u, 0x20u}, - {0x9Au, 0x85u}, - {0x9Bu, 0x08u}, - {0x9Cu, 0x88u}, - {0x9Du, 0x19u}, - {0x9Eu, 0x02u}, - {0xA0u, 0x44u}, - {0xA1u, 0x04u}, - {0xA2u, 0x8Cu}, - {0xA3u, 0x80u}, - {0xA5u, 0x62u}, - {0xA6u, 0x02u}, - {0xA7u, 0x20u}, - {0xA8u, 0x04u}, - {0xA9u, 0x93u}, - {0xACu, 0x10u}, - {0xB0u, 0x01u}, - {0xC0u, 0xFDu}, - {0xC2u, 0xF3u}, + {0x3Fu, 0x20u}, + {0x59u, 0x80u}, + {0x5Bu, 0x20u}, + {0x60u, 0x04u}, + {0x62u, 0x80u}, + {0x63u, 0x08u}, + {0x6Cu, 0x02u}, + {0x6Du, 0x08u}, + {0x6Fu, 0x18u}, + {0x83u, 0x18u}, + {0x84u, 0x50u}, + {0x88u, 0x01u}, + {0x89u, 0x04u}, + {0x8Au, 0x04u}, + {0x8Bu, 0x02u}, + {0x8Fu, 0x04u}, + {0xC0u, 0xF5u}, + {0xC2u, 0xFEu}, {0xC4u, 0xF3u}, - {0xCAu, 0xF7u}, - {0xCCu, 0xFFu}, - {0xCEu, 0xEFu}, - {0xD8u, 0x10u}, - {0xE2u, 0x89u}, - {0xE6u, 0x20u}, - {0xEAu, 0x08u}, - {0xEEu, 0x01u}, - {0x90u, 0x08u}, - {0x91u, 0x40u}, - {0x9Bu, 0x01u}, - {0x9Eu, 0x20u}, - {0xA2u, 0x10u}, - {0xA9u, 0x04u}, - {0xAEu, 0x40u}, - {0xE2u, 0x09u}, - {0xE6u, 0x20u}, - {0xEEu, 0x20u}, - {0xB9u, 0x08u}, - {0xBFu, 0x04u}, - {0xD9u, 0x04u}, - {0xDFu, 0x01u}, - {0x27u, 0x20u}, - {0x83u, 0x20u}, - {0x8Bu, 0x04u}, - {0x8Fu, 0x10u}, - {0x90u, 0x08u}, - {0x91u, 0x40u}, - {0x97u, 0x04u}, - {0x99u, 0x04u}, - {0x9Au, 0x40u}, - {0x9Bu, 0x11u}, - {0x9Eu, 0x20u}, - {0xA2u, 0x10u}, - {0xA9u, 0x54u}, - {0xADu, 0x05u}, - {0xAFu, 0x01u}, - {0xB1u, 0x02u}, - {0xB2u, 0x18u}, - {0xB4u, 0x40u}, - {0xB5u, 0x41u}, - {0xE2u, 0x10u}, - {0xE4u, 0x20u}, - {0xE6u, 0x40u}, - {0xE8u, 0xC4u}, - {0xEAu, 0x01u}, - {0xECu, 0x80u}, - {0xEEu, 0x50u}, - {0x02u, 0x04u}, - {0x06u, 0x20u}, - {0x08u, 0x21u}, - {0x0Au, 0x42u}, - {0x0Eu, 0x04u}, - {0x11u, 0x20u}, - {0x13u, 0x90u}, - {0x15u, 0x04u}, - {0x16u, 0x18u}, - {0x17u, 0x08u}, - {0x18u, 0x04u}, - {0x1Au, 0x10u}, - {0x1Bu, 0x01u}, - {0x1Eu, 0x02u}, - {0x21u, 0x10u}, - {0x22u, 0x40u}, - {0x23u, 0x20u}, - {0x24u, 0x04u}, - {0x25u, 0x08u}, + {0xCAu, 0xE2u}, + {0xCCu, 0xB7u}, + {0xCEu, 0x77u}, + {0xD6u, 0x0Cu}, + {0xD8u, 0x0Cu}, + {0xE0u, 0x04u}, + {0xE2u, 0xA0u}, + {0xE6u, 0x02u}, + {0x01u, 0x60u}, + {0x04u, 0x06u}, + {0x06u, 0x01u}, + {0x08u, 0x04u}, + {0x09u, 0x04u}, + {0x0Bu, 0x03u}, + {0x10u, 0x1Fu}, + {0x11u, 0x2Du}, + {0x13u, 0x12u}, + {0x16u, 0x1Eu}, + {0x18u, 0x01u}, + {0x19u, 0x1Bu}, + {0x1Au, 0x18u}, + {0x1Bu, 0x44u}, + {0x24u, 0x07u}, {0x26u, 0x08u}, - {0x27u, 0x44u}, - {0x29u, 0x4Du}, - {0x2Au, 0x01u}, - {0x2Bu, 0xB2u}, - {0x2Cu, 0x80u}, - {0x2Fu, 0x02u}, - {0x30u, 0x03u}, - {0x31u, 0xC0u}, - {0x32u, 0x1Cu}, - {0x33u, 0x03u}, - {0x34u, 0x80u}, - {0x36u, 0x60u}, - {0x37u, 0x3Cu}, - {0x3Eu, 0x51u}, - {0x3Fu, 0x45u}, + {0x29u, 0x19u}, + {0x2Au, 0x02u}, + {0x2Bu, 0x26u}, + {0x2Cu, 0x17u}, + {0x30u, 0x1Eu}, + {0x31u, 0x70u}, + {0x33u, 0x07u}, + {0x34u, 0x01u}, + {0x35u, 0x08u}, + {0x39u, 0x02u}, + {0x3Bu, 0x08u}, + {0x3Eu, 0x10u}, + {0x3Fu, 0x10u}, + {0x56u, 0x08u}, {0x58u, 0x04u}, {0x59u, 0x04u}, {0x5Bu, 0x04u}, {0x5Cu, 0x01u}, + {0x5Du, 0x90u}, {0x5Fu, 0x01u}, - {0x8Bu, 0x08u}, - {0x8Cu, 0x01u}, - {0x8Du, 0x10u}, - {0x91u, 0x04u}, - {0x93u, 0x08u}, + {0x80u, 0x40u}, + {0x81u, 0x02u}, + {0x85u, 0x01u}, + {0x8Au, 0x07u}, + {0x8Du, 0x04u}, + {0x8Eu, 0x20u}, + {0x8Fu, 0x08u}, + {0x90u, 0x0Au}, + {0x92u, 0x05u}, + {0x94u, 0x09u}, + {0x96u, 0x02u}, {0x97u, 0x04u}, - {0x98u, 0x02u}, - {0xA5u, 0x01u}, - {0xA9u, 0x02u}, - {0xACu, 0x04u}, - {0xB0u, 0x02u}, + {0x98u, 0x04u}, + {0x99u, 0x02u}, + {0x9Au, 0x08u}, + {0xA1u, 0x02u}, + {0xA2u, 0x08u}, + {0xA6u, 0x10u}, + {0xABu, 0x08u}, + {0xACu, 0x10u}, + {0xADu, 0x02u}, + {0xAEu, 0x20u}, + {0xB0u, 0x30u}, {0xB1u, 0x02u}, - {0xB3u, 0x10u}, - {0xB4u, 0x01u}, - {0xB5u, 0x0Cu}, - {0xB6u, 0x04u}, - {0xB7u, 0x01u}, - {0xBEu, 0x51u}, - {0xBFu, 0x55u}, + {0xB2u, 0x0Fu}, + {0xB3u, 0x01u}, + {0xB4u, 0x40u}, + {0xB7u, 0x0Cu}, + {0xB9u, 0x02u}, + {0xBEu, 0x11u}, + {0xBFu, 0x45u}, + {0xD6u, 0x08u}, {0xD8u, 0x04u}, {0xD9u, 0x04u}, + {0xDBu, 0x04u}, + {0xDCu, 0x01u}, + {0xDDu, 0x90u}, {0xDFu, 0x01u}, - {0x03u, 0x02u}, - {0x04u, 0x40u}, - {0x05u, 0x02u}, - {0x06u, 0x24u}, - {0x09u, 0x10u}, - {0x0Cu, 0x80u}, - {0x0Eu, 0x20u}, - {0x0Fu, 0x04u}, - {0x10u, 0x01u}, - {0x14u, 0x08u}, - {0x16u, 0x40u}, - {0x17u, 0x48u}, - {0x19u, 0x61u}, - {0x1Du, 0x90u}, - {0x1Eu, 0xA0u}, - {0x21u, 0x45u}, - {0x22u, 0x10u}, + {0x00u, 0x20u}, + {0x02u, 0x82u}, + {0x03u, 0x08u}, + {0x04u, 0x20u}, + {0x05u, 0x08u}, + {0x09u, 0x0Au}, + {0x0Au, 0x04u}, + {0x0Eu, 0x44u}, + {0x0Fu, 0x20u}, + {0x11u, 0x01u}, + {0x13u, 0x44u}, + {0x14u, 0x21u}, + {0x17u, 0x10u}, + {0x19u, 0x20u}, + {0x1Au, 0x80u}, + {0x1Bu, 0x08u}, + {0x1Du, 0x02u}, + {0x1Eu, 0x40u}, + {0x1Fu, 0x20u}, + {0x20u, 0x12u}, + {0x22u, 0x01u}, {0x24u, 0x80u}, - {0x25u, 0x04u}, - {0x27u, 0x01u}, - {0x2Au, 0x18u}, - {0x2Cu, 0xA8u}, - {0x2Du, 0x40u}, - {0x31u, 0x02u}, - {0x32u, 0x08u}, - {0x34u, 0x08u}, - {0x36u, 0x11u}, - {0x39u, 0x10u}, - {0x3Au, 0x80u}, - {0x6Cu, 0x04u}, - {0x6Du, 0x50u}, - {0x6Eu, 0x02u}, - {0x6Fu, 0x10u}, - {0x74u, 0x90u}, - {0x75u, 0x04u}, - {0x76u, 0x40u}, - {0x81u, 0x10u}, - {0x83u, 0x40u}, + {0x25u, 0x01u}, + {0x27u, 0x28u}, + {0x28u, 0x04u}, + {0x29u, 0x01u}, + {0x2Bu, 0x02u}, + {0x2Eu, 0x20u}, + {0x32u, 0x28u}, + {0x35u, 0x10u}, + {0x37u, 0x01u}, + {0x38u, 0x04u}, + {0x39u, 0x22u}, + {0x3Au, 0x20u}, + {0x3Bu, 0x40u}, + {0x3Du, 0x02u}, + {0x3Fu, 0x10u}, + {0x45u, 0x40u}, + {0x46u, 0x02u}, + {0x58u, 0x98u}, + {0x5Cu, 0x40u}, + {0x5Fu, 0x30u}, + {0x60u, 0x02u}, + {0x62u, 0x14u}, + {0x66u, 0x80u}, + {0x80u, 0x02u}, + {0x81u, 0x02u}, + {0x82u, 0x40u}, {0x84u, 0x01u}, - {0x85u, 0x10u}, - {0x87u, 0x02u}, - {0x89u, 0x60u}, - {0x8Au, 0x80u}, - {0x8Cu, 0x08u}, - {0x8Du, 0x02u}, - {0x8Eu, 0x1Cu}, - {0x8Fu, 0x08u}, - {0x94u, 0x80u}, - {0x98u, 0x08u}, - {0xA0u, 0x20u}, - {0xA4u, 0x10u}, - {0xA5u, 0x80u}, - {0xA6u, 0x40u}, - {0xA8u, 0x08u}, - {0xA9u, 0x80u}, - {0xC0u, 0xF1u}, - {0xC2u, 0xE2u}, - {0xC4u, 0xF1u}, - {0xCAu, 0xF6u}, - {0xCCu, 0xE3u}, - {0xCEu, 0x0Cu}, - {0xE2u, 0xAAu}, - {0xE4u, 0x50u}, - {0xE6u, 0x01u}, - {0xE8u, 0x80u}, - {0xEAu, 0x04u}, - {0x80u, 0x40u}, - {0x84u, 0x10u}, - {0x86u, 0x40u}, - {0x88u, 0x20u}, + {0x85u, 0x80u}, + {0x88u, 0x04u}, + {0x8Au, 0x01u}, + {0x8Bu, 0x20u}, + {0x8Cu, 0x0Au}, + {0x90u, 0x04u}, + {0x92u, 0x08u}, + {0x93u, 0x04u}, + {0x94u, 0x02u}, + {0x95u, 0x64u}, + {0x96u, 0x51u}, + {0x97u, 0xE8u}, + {0x98u, 0x10u}, + {0x99u, 0x01u}, + {0x9Bu, 0x50u}, + {0x9Cu, 0x40u}, + {0x9Du, 0xD2u}, + {0x9Eu, 0x0Au}, + {0x9Fu, 0x01u}, + {0xA0u, 0x02u}, + {0xA1u, 0x04u}, + {0xA2u, 0x80u}, + {0xA3u, 0x11u}, + {0xA4u, 0x04u}, + {0xA5u, 0x0Au}, + {0xA6u, 0x10u}, + {0xABu, 0x10u}, + {0xAFu, 0x20u}, + {0xB1u, 0x20u}, + {0xB4u, 0x40u}, + {0xB5u, 0x01u}, + {0xC0u, 0x6Bu}, + {0xC2u, 0x7Eu}, + {0xC4u, 0xEDu}, + {0xCAu, 0x2Du}, + {0xCCu, 0xA6u}, + {0xCEu, 0xABu}, + {0xD6u, 0x1Eu}, + {0xD8u, 0x1Eu}, {0xE0u, 0x01u}, - {0xE4u, 0x20u}, - {0xABu, 0x21u}, - {0xAFu, 0x80u}, - {0xB0u, 0x08u}, - {0xB1u, 0x40u}, - {0xB2u, 0x10u}, - {0xB7u, 0x40u}, - {0x00u, 0x21u}, - {0x01u, 0x02u}, - {0x02u, 0x02u}, - {0x03u, 0x0Du}, - {0x04u, 0xE0u}, - {0x05u, 0x60u}, - {0x08u, 0x88u}, - {0x09u, 0x0Du}, - {0x0Au, 0x03u}, - {0x0Eu, 0x01u}, - {0x11u, 0x91u}, - {0x13u, 0x22u}, - {0x15u, 0x92u}, - {0x16u, 0xECu}, - {0x17u, 0x44u}, + {0xE2u, 0x28u}, + {0xEAu, 0x02u}, + {0xEEu, 0x01u}, + {0x00u, 0x02u}, + {0x09u, 0x01u}, + {0x14u, 0x01u}, + {0x28u, 0x04u}, + {0x2Du, 0x02u}, + {0x30u, 0x02u}, + {0x31u, 0x01u}, + {0x34u, 0x04u}, + {0x36u, 0x01u}, + {0x37u, 0x02u}, + {0x3Eu, 0x51u}, + {0x3Fu, 0x41u}, + {0x40u, 0x24u}, + {0x41u, 0x03u}, + {0x42u, 0x10u}, + {0x45u, 0xFCu}, + {0x46u, 0xD2u}, + {0x47u, 0x0Eu}, + {0x48u, 0x1Fu}, + {0x49u, 0xFFu}, + {0x4Au, 0xFFu}, + {0x4Bu, 0xFFu}, + {0x4Fu, 0x2Cu}, + {0x56u, 0x01u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Au, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Du, 0x01u}, + {0x5Fu, 0x01u}, + {0x62u, 0xC0u}, + {0x66u, 0x80u}, + {0x68u, 0x40u}, + {0x69u, 0x40u}, + {0x6Eu, 0x08u}, + {0x84u, 0x0Bu}, + {0x86u, 0x14u}, + {0x8Du, 0x01u}, + {0x90u, 0x34u}, + {0x92u, 0x0Bu}, + {0x96u, 0x3Fu}, + {0x98u, 0x08u}, + {0x9Au, 0x22u}, + {0x9Eu, 0x10u}, + {0xA8u, 0x01u}, + {0xAFu, 0x02u}, + {0xB2u, 0x07u}, + {0xB3u, 0x02u}, + {0xB4u, 0x38u}, + {0xB5u, 0x01u}, + {0xBFu, 0x10u}, + {0xD4u, 0x09u}, + {0xD6u, 0x04u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDBu, 0x04u}, + {0xDCu, 0x01u}, + {0xDFu, 0x01u}, + {0x02u, 0x40u}, + {0x05u, 0x04u}, + {0x08u, 0x08u}, + {0x0Du, 0x42u}, + {0x0Eu, 0x04u}, + {0x0Fu, 0x20u}, + {0x12u, 0x04u}, + {0x17u, 0x10u}, {0x18u, 0x04u}, - {0x19u, 0xA2u}, - {0x1Au, 0x43u}, - {0x1Bu, 0x18u}, - {0x1Du, 0x0Du}, - {0x21u, 0x0Du}, - {0x25u, 0x0Du}, - {0x2Au, 0x12u}, - {0x2Du, 0x0Du}, + {0x19u, 0x01u}, + {0x1Bu, 0x40u}, + {0x1Du, 0x0Cu}, + {0x1Eu, 0x24u}, + {0x1Fu, 0x30u}, + {0x23u, 0x81u}, + {0x27u, 0x24u}, + {0x28u, 0x06u}, + {0x2Au, 0x10u}, + {0x2Cu, 0x02u}, + {0x3Au, 0x10u}, + {0x3Eu, 0x80u}, + {0x41u, 0x0Au}, + {0x42u, 0x04u}, + {0x43u, 0x40u}, + {0x49u, 0x08u}, + {0x4Bu, 0x02u}, + {0x50u, 0x10u}, + {0x51u, 0x40u}, + {0x52u, 0x08u}, + {0x53u, 0x40u}, + {0x59u, 0x21u}, + {0x5Bu, 0x84u}, + {0x5Cu, 0x40u}, + {0x5Du, 0x10u}, + {0x5Eu, 0x02u}, + {0x5Fu, 0x04u}, + {0x60u, 0x14u}, + {0x63u, 0x81u}, + {0x64u, 0x40u}, + {0x65u, 0x80u}, + {0x68u, 0x04u}, + {0x69u, 0x49u}, + {0x70u, 0x09u}, + {0x72u, 0x0Au}, + {0x83u, 0x01u}, + {0x86u, 0x08u}, + {0x88u, 0x20u}, + {0x8Au, 0x04u}, + {0x8Bu, 0x04u}, + {0x8Cu, 0x98u}, + {0x90u, 0x90u}, + {0x92u, 0x08u}, + {0x93u, 0x10u}, + {0x94u, 0x22u}, + {0x95u, 0x67u}, + {0x96u, 0x15u}, + {0x97u, 0xE8u}, + {0x98u, 0x02u}, + {0x99u, 0x20u}, + {0x9Au, 0x94u}, + {0x9Bu, 0x58u}, + {0x9Cu, 0x60u}, + {0x9Du, 0x58u}, + {0x9Eu, 0x08u}, + {0x9Fu, 0x01u}, + {0xA0u, 0x10u}, + {0xA1u, 0x06u}, + {0xA2u, 0x80u}, + {0xA3u, 0x11u}, + {0xA4u, 0x04u}, + {0xA5u, 0x48u}, + {0xA6u, 0x30u}, + {0xA7u, 0x02u}, + {0xA8u, 0x40u}, + {0xAAu, 0x02u}, + {0xACu, 0x01u}, + {0xADu, 0x0Au}, + {0xAEu, 0x08u}, + {0xB0u, 0x80u}, + {0xB6u, 0x04u}, + {0xC0u, 0x28u}, + {0xC2u, 0xF4u}, + {0xC4u, 0x42u}, + {0xCAu, 0x18u}, + {0xCEu, 0x14u}, + {0xD0u, 0x0Fu}, + {0xD6u, 0xFFu}, + {0xD8u, 0x9Fu}, + {0xE0u, 0x08u}, + {0xE4u, 0x04u}, + {0xEAu, 0x09u}, + {0xEEu, 0x0Cu}, + {0x08u, 0x14u}, + {0x0Au, 0x43u}, + {0x0Bu, 0xFFu}, + {0x0Cu, 0xE0u}, + {0x0Du, 0x69u}, + {0x0Fu, 0x96u}, + {0x11u, 0x0Fu}, + {0x12u, 0x02u}, + {0x13u, 0xF0u}, + {0x15u, 0x33u}, + {0x17u, 0xCCu}, + {0x18u, 0x21u}, + {0x1Au, 0x12u}, + {0x1Bu, 0xFFu}, + {0x1Du, 0x55u}, + {0x1Eu, 0xECu}, + {0x1Fu, 0xAAu}, + {0x20u, 0x88u}, + {0x21u, 0xFFu}, + {0x22u, 0x13u}, + {0x27u, 0xFFu}, + {0x2Au, 0x01u}, + {0x2Bu, 0xFFu}, {0x30u, 0x10u}, - {0x31u, 0x0Fu}, - {0x32u, 0x0Fu}, - {0x35u, 0x70u}, - {0x36u, 0xE0u}, - {0x37u, 0x80u}, - {0x39u, 0x20u}, + {0x31u, 0xFFu}, + {0x34u, 0xE0u}, + {0x36u, 0x0Fu}, {0x3Bu, 0x02u}, - {0x3Eu, 0x40u}, - {0x3Fu, 0x40u}, - {0x54u, 0x09u}, - {0x56u, 0x04u}, + {0x3Eu, 0x11u}, + {0x56u, 0x02u}, + {0x57u, 0x28u}, {0x58u, 0x04u}, {0x59u, 0x04u}, {0x5Bu, 0x04u}, + {0x5Cu, 0x10u}, {0x5Fu, 0x01u}, - {0x80u, 0x50u}, - {0x81u, 0x30u}, - {0x82u, 0xA0u}, - {0x83u, 0xC0u}, - {0x84u, 0x03u}, - {0x85u, 0x06u}, - {0x86u, 0x0Cu}, - {0x87u, 0x09u}, + {0x84u, 0x40u}, + {0x85u, 0x03u}, + {0x86u, 0x1Fu}, + {0x87u, 0x0Cu}, + {0x89u, 0x50u}, + {0x8Au, 0x70u}, + {0x8Bu, 0xA0u}, + {0x8Cu, 0x03u}, + {0x8Du, 0x0Fu}, + {0x8Eu, 0x0Cu}, + {0x8Fu, 0xF0u}, + {0x90u, 0x20u}, + {0x92u, 0x4Fu}, + {0x94u, 0x10u}, + {0x95u, 0x05u}, + {0x96u, 0x2Fu}, + {0x97u, 0x0Au}, + {0x98u, 0x05u}, + {0x9Au, 0x0Au}, + {0x9Bu, 0xFFu}, + {0x9Fu, 0xFFu}, + {0xA1u, 0x60u}, + {0xA3u, 0x90u}, + {0xA4u, 0x0Fu}, + {0xA5u, 0xFFu}, + {0xA9u, 0x30u}, + {0xABu, 0xC0u}, + {0xACu, 0x06u}, + {0xADu, 0x06u}, + {0xAEu, 0x09u}, + {0xAFu, 0x09u}, + {0xB4u, 0x7Fu}, + {0xB5u, 0xFFu}, + {0xB9u, 0x80u}, + {0xBFu, 0x50u}, + {0xD6u, 0x08u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDBu, 0x04u}, + {0xDCu, 0x01u}, + {0xDDu, 0x90u}, + {0xDFu, 0x01u}, + {0x01u, 0x20u}, + {0x02u, 0x02u}, + {0x03u, 0x20u}, + {0x04u, 0x80u}, + {0x05u, 0x10u}, + {0x08u, 0x10u}, + {0x09u, 0x0Au}, + {0x0Bu, 0x80u}, + {0x0Cu, 0x02u}, + {0x0Du, 0x90u}, + {0x11u, 0x08u}, + {0x12u, 0x01u}, + {0x17u, 0x21u}, + {0x19u, 0x20u}, + {0x1Cu, 0x48u}, + {0x1Du, 0x80u}, + {0x21u, 0x40u}, + {0x22u, 0x20u}, + {0x26u, 0x02u}, + {0x28u, 0x40u}, + {0x29u, 0x02u}, + {0x2Au, 0x08u}, + {0x2Bu, 0x05u}, + {0x2Fu, 0x64u}, + {0x32u, 0x44u}, + {0x33u, 0x10u}, + {0x34u, 0x04u}, + {0x36u, 0x92u}, + {0x38u, 0x04u}, + {0x3Bu, 0x60u}, + {0x3Eu, 0x80u}, + {0x3Fu, 0x20u}, + {0x58u, 0xA0u}, + {0x60u, 0x08u}, + {0x62u, 0x40u}, + {0x67u, 0x10u}, + {0x6Du, 0xC4u}, + {0x6Eu, 0x15u}, + {0x75u, 0xC0u}, + {0x80u, 0x20u}, + {0x82u, 0x08u}, + {0x84u, 0x04u}, + {0x8Au, 0x40u}, + {0x8Bu, 0x40u}, + {0x8Cu, 0x08u}, + {0x8Du, 0x40u}, + {0x90u, 0x80u}, + {0x91u, 0x08u}, + {0x92u, 0x08u}, + {0x93u, 0x18u}, + {0x94u, 0x40u}, + {0x95u, 0x36u}, + {0x96u, 0x11u}, + {0x97u, 0x44u}, + {0x98u, 0x94u}, + {0x9Au, 0x83u}, + {0x9Bu, 0x30u}, + {0x9Cu, 0x08u}, + {0x9Du, 0x50u}, + {0x9Eu, 0x40u}, + {0x9Fu, 0x01u}, + {0xA0u, 0x10u}, + {0xA1u, 0x0Eu}, + {0xA2u, 0x90u}, + {0xA3u, 0x31u}, + {0xA4u, 0x02u}, + {0xA5u, 0x40u}, + {0xA6u, 0x21u}, + {0xA7u, 0x02u}, + {0xA9u, 0x08u}, + {0xAAu, 0x01u}, + {0xADu, 0x80u}, + {0xAFu, 0x08u}, + {0xB0u, 0x10u}, + {0xB5u, 0x08u}, + {0xC0u, 0xC7u}, + {0xC2u, 0xDEu}, + {0xC4u, 0x55u}, + {0xCAu, 0xEFu}, + {0xCCu, 0xFEu}, + {0xCEu, 0x3Eu}, + {0xD6u, 0x0Cu}, + {0xD8u, 0x4Cu}, + {0xE2u, 0x02u}, + {0xE6u, 0x1Du}, + {0xEAu, 0x06u}, + {0xECu, 0x04u}, + {0x81u, 0x80u}, + {0x8Bu, 0x0Au}, + {0x8Fu, 0x80u}, + {0x90u, 0x02u}, + {0x92u, 0x01u}, + {0x9Bu, 0x02u}, + {0x9Fu, 0x48u}, + {0xA0u, 0x80u}, + {0xA1u, 0x80u}, + {0xA2u, 0x04u}, + {0xA3u, 0x08u}, + {0xA4u, 0x10u}, + {0xA6u, 0x20u}, + {0xA7u, 0x80u}, + {0xA8u, 0x08u}, + {0xABu, 0x10u}, + {0xADu, 0x21u}, + {0xB3u, 0x10u}, + {0xB4u, 0x08u}, + {0xB5u, 0x02u}, + {0xE0u, 0x40u}, + {0xE2u, 0x22u}, + {0xE4u, 0x80u}, + {0xE6u, 0x0Cu}, + {0xEAu, 0x22u}, + {0xECu, 0x10u}, + {0x00u, 0x06u}, + {0x0Bu, 0x02u}, + {0x0Cu, 0x04u}, + {0x0Eu, 0x03u}, + {0x11u, 0x02u}, + {0x13u, 0x04u}, + {0x14u, 0x06u}, + {0x18u, 0x02u}, + {0x1Au, 0x04u}, + {0x1Bu, 0x04u}, + {0x23u, 0x01u}, + {0x30u, 0x01u}, + {0x31u, 0x06u}, + {0x33u, 0x01u}, + {0x34u, 0x06u}, + {0x3Au, 0x20u}, + {0x3Fu, 0x01u}, + {0x54u, 0x01u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Cu, 0x11u}, + {0x5Du, 0x10u}, + {0x5Fu, 0x01u}, + {0x82u, 0xFFu}, + {0x84u, 0x0Fu}, + {0x86u, 0xF0u}, + {0x87u, 0xFFu}, + {0x88u, 0x33u}, {0x89u, 0xFFu}, - {0x8Au, 0xFFu}, - {0x8Cu, 0x30u}, - {0x8Eu, 0xC0u}, - {0x90u, 0x0Fu}, - {0x92u, 0xF0u}, - {0x94u, 0x09u}, - {0x95u, 0x03u}, - {0x96u, 0x06u}, - {0x97u, 0x0Cu}, - {0x99u, 0x05u}, + {0x8Au, 0xCCu}, + {0x92u, 0xFFu}, + {0x93u, 0xFFu}, + {0x94u, 0x55u}, + {0x95u, 0x0Fu}, + {0x96u, 0xAAu}, + {0x97u, 0xF0u}, {0x9Au, 0xFFu}, - {0x9Bu, 0x0Au}, - {0x9Du, 0x0Fu}, - {0x9Eu, 0xFFu}, - {0x9Fu, 0xF0u}, - {0xA0u, 0x90u}, - {0xA1u, 0x50u}, - {0xA2u, 0x60u}, - {0xA3u, 0xA0u}, - {0xA4u, 0x05u}, - {0xA6u, 0x0Au}, + {0x9Cu, 0xFFu}, + {0x9Du, 0x33u}, + {0x9Fu, 0xCCu}, + {0xA1u, 0x96u}, + {0xA3u, 0x69u}, + {0xA4u, 0x69u}, + {0xA6u, 0x96u}, {0xA7u, 0xFFu}, {0xABu, 0xFFu}, - {0xADu, 0x60u}, - {0xAFu, 0x90u}, - {0xB1u, 0xFFu}, - {0xB2u, 0xFFu}, - {0xBEu, 0x04u}, - {0xBFu, 0x01u}, + {0xADu, 0x55u}, + {0xAEu, 0xFFu}, + {0xAFu, 0xAAu}, + {0xB3u, 0xFFu}, + {0xB4u, 0xFFu}, + {0xBAu, 0x20u}, + {0xBBu, 0x08u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDBu, 0x04u}, + {0xDCu, 0x11u}, + {0xDFu, 0x01u}, + {0x00u, 0x60u}, + {0x01u, 0x12u}, + {0x04u, 0x04u}, + {0x07u, 0x88u}, + {0x09u, 0x08u}, + {0x0Au, 0x08u}, + {0x0Du, 0x42u}, + {0x0Eu, 0x04u}, + {0x0Fu, 0x20u}, + {0x14u, 0x02u}, + {0x17u, 0x04u}, + {0x19u, 0x03u}, + {0x1Au, 0x0Cu}, + {0x1Cu, 0x04u}, + {0x1Fu, 0x10u}, + {0x21u, 0x08u}, + {0x23u, 0x40u}, + {0x26u, 0x08u}, + {0x27u, 0x10u}, + {0x29u, 0x01u}, + {0x2Cu, 0x08u}, + {0x2Eu, 0x04u}, + {0x2Fu, 0x81u}, + {0x30u, 0x20u}, + {0x32u, 0x01u}, + {0x34u, 0x02u}, + {0x36u, 0x88u}, + {0x39u, 0x10u}, + {0x3Eu, 0x10u}, + {0x3Fu, 0x04u}, + {0x5Au, 0x02u}, + {0x5Bu, 0x42u}, + {0x5Cu, 0x28u}, + {0x5Du, 0x81u}, + {0x65u, 0x40u}, + {0x6Cu, 0x21u}, + {0x6Eu, 0x89u}, + {0x6Fu, 0x08u}, + {0x74u, 0x81u}, + {0x76u, 0x24u}, + {0x80u, 0x20u}, + {0x85u, 0x80u}, + {0x8Au, 0x04u}, + {0x8Bu, 0x84u}, + {0x8Cu, 0x01u}, + {0x8Du, 0x20u}, + {0x90u, 0x40u}, + {0x91u, 0x80u}, + {0x92u, 0x10u}, + {0x93u, 0x02u}, + {0x94u, 0x01u}, + {0x97u, 0x44u}, + {0x98u, 0x02u}, + {0x99u, 0x40u}, + {0x9Bu, 0x06u}, + {0x9Eu, 0x24u}, + {0xA1u, 0x80u}, + {0xA2u, 0x80u}, + {0xA3u, 0x10u}, + {0xA4u, 0x11u}, + {0xA5u, 0x02u}, + {0xA6u, 0x64u}, + {0xA7u, 0x08u}, + {0xAAu, 0x04u}, + {0xACu, 0x01u}, + {0xAEu, 0x03u}, + {0xB0u, 0x40u}, + {0xB7u, 0x04u}, + {0xC0u, 0x79u}, + {0xC2u, 0xF6u}, + {0xC4u, 0xA0u}, + {0xCAu, 0xF1u}, + {0xCCu, 0xD5u}, + {0xCEu, 0x64u}, + {0xD6u, 0xF8u}, + {0xD8u, 0x10u}, + {0xE2u, 0x90u}, + {0xE4u, 0x70u}, + {0xEAu, 0x20u}, + {0xECu, 0x10u}, + {0xEEu, 0x04u}, + {0x81u, 0x40u}, + {0x82u, 0x12u}, + {0x87u, 0x40u}, + {0x89u, 0x40u}, + {0x8Au, 0x20u}, + {0x8Fu, 0x10u}, + {0x90u, 0x40u}, + {0x92u, 0x01u}, + {0x93u, 0x02u}, + {0x94u, 0x01u}, + {0x97u, 0x04u}, + {0x98u, 0x02u}, + {0x9Bu, 0x02u}, + {0x9Cu, 0x40u}, + {0x9Eu, 0x26u}, + {0xA1u, 0x80u}, + {0xA2u, 0x80u}, + {0xA4u, 0x10u}, + {0xA5u, 0x02u}, + {0xA6u, 0x66u}, + {0xA7u, 0x08u}, + {0xA8u, 0x02u}, + {0xAEu, 0x04u}, + {0xB2u, 0x04u}, + {0xB3u, 0x08u}, + {0xB4u, 0x90u}, + {0xB7u, 0x04u}, + {0xE0u, 0x90u}, + {0xE2u, 0x48u}, + {0xE4u, 0x02u}, + {0xE6u, 0x80u}, + {0xE8u, 0x40u}, + {0xEAu, 0x02u}, + {0xECu, 0x88u}, + {0xEEu, 0x40u}, + {0x02u, 0x08u}, + {0x05u, 0x01u}, + {0x06u, 0x10u}, + {0x0Cu, 0x0Au}, + {0x0Du, 0x02u}, + {0x0Eu, 0x05u}, + {0x0Fu, 0x04u}, + {0x10u, 0x09u}, + {0x12u, 0x02u}, + {0x17u, 0x04u}, + {0x18u, 0x04u}, + {0x1Au, 0x08u}, + {0x1Bu, 0x02u}, + {0x1Eu, 0x07u}, + {0x20u, 0x20u}, + {0x22u, 0x40u}, + {0x26u, 0x20u}, + {0x2Eu, 0x40u}, + {0x30u, 0x10u}, + {0x33u, 0x06u}, + {0x34u, 0x60u}, + {0x35u, 0x01u}, + {0x36u, 0x0Fu}, + {0x3Eu, 0x10u}, + {0x3Fu, 0x04u}, + {0x56u, 0x08u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Bu, 0x04u}, + {0x5Cu, 0x11u}, + {0x5Du, 0x90u}, + {0x5Fu, 0x01u}, + {0x84u, 0x50u}, + {0x85u, 0x09u}, + {0x86u, 0xA0u}, + {0x87u, 0x06u}, + {0x88u, 0x60u}, + {0x89u, 0x03u}, + {0x8Au, 0x90u}, + {0x8Bu, 0x0Cu}, + {0x8Cu, 0x0Fu}, + {0x8Eu, 0xF0u}, + {0x8Fu, 0xFFu}, + {0x91u, 0x0Fu}, + {0x93u, 0xF0u}, + {0x95u, 0x30u}, + {0x97u, 0xC0u}, + {0x9Bu, 0xFFu}, + {0x9Cu, 0x05u}, + {0x9Du, 0x90u}, + {0x9Eu, 0x0Au}, + {0x9Fu, 0x60u}, + {0xA3u, 0xFFu}, + {0xA4u, 0x03u}, + {0xA5u, 0x05u}, + {0xA6u, 0x0Cu}, + {0xA7u, 0x0Au}, + {0xA8u, 0x06u}, + {0xA9u, 0x50u}, + {0xAAu, 0x09u}, + {0xABu, 0xA0u}, + {0xACu, 0x30u}, + {0xAEu, 0xC0u}, + {0xB3u, 0xFFu}, + {0xB6u, 0xFFu}, + {0xBEu, 0x40u}, + {0xBFu, 0x04u}, + {0xD6u, 0x08u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDBu, 0x04u}, + {0xDDu, 0x90u}, + {0xDFu, 0x01u}, + {0x00u, 0x09u}, + {0x02u, 0x02u}, + {0x06u, 0x24u}, + {0x07u, 0x02u}, + {0x09u, 0x02u}, + {0x0Au, 0x01u}, + {0x0Bu, 0x04u}, + {0x0Eu, 0x01u}, + {0x11u, 0x04u}, + {0x13u, 0x82u}, + {0x14u, 0x04u}, + {0x16u, 0x02u}, + {0x17u, 0x08u}, + {0x18u, 0x40u}, + {0x1Au, 0x05u}, + {0x1Du, 0x80u}, + {0x1Fu, 0x80u}, + {0x20u, 0x08u}, + {0x22u, 0x04u}, + {0x24u, 0x20u}, + {0x2Cu, 0x20u}, + {0x2Fu, 0x88u}, + {0x30u, 0x20u}, + {0x33u, 0x08u}, + {0x36u, 0x64u}, + {0x37u, 0x82u}, + {0x38u, 0x04u}, + {0x39u, 0x40u}, + {0x3Cu, 0x20u}, + {0x3Du, 0x04u}, + {0x3Fu, 0x88u}, + {0x58u, 0x40u}, + {0x5Bu, 0x10u}, + {0x5Cu, 0x80u}, + {0x60u, 0x04u}, + {0x62u, 0x80u}, + {0x64u, 0x02u}, + {0x69u, 0x40u}, + {0x6Bu, 0x02u}, + {0x83u, 0x40u}, + {0x88u, 0x24u}, + {0x8Fu, 0x11u}, + {0xC0u, 0xEDu}, + {0xC2u, 0x8Bu}, + {0xC4u, 0xEDu}, + {0xCAu, 0xE0u}, + {0xCCu, 0xF6u}, + {0xCEu, 0x7Au}, + {0xD6u, 0x1Cu}, + {0xD8u, 0x1Cu}, + {0xE0u, 0x40u}, + {0xE4u, 0xA0u}, + {0xE6u, 0x02u}, + {0x00u, 0x09u}, + {0x02u, 0x06u}, + {0x04u, 0x03u}, + {0x05u, 0x03u}, + {0x06u, 0x0Cu}, + {0x07u, 0x0Cu}, + {0x08u, 0x05u}, + {0x09u, 0x50u}, + {0x0Au, 0x0Au}, + {0x0Bu, 0xA0u}, + {0x0Du, 0x0Fu}, + {0x0Fu, 0xF0u}, + {0x10u, 0x0Fu}, + {0x12u, 0xF0u}, + {0x15u, 0x05u}, + {0x16u, 0xFFu}, + {0x17u, 0x0Au}, + {0x18u, 0xFFu}, + {0x1Bu, 0xFFu}, + {0x1Cu, 0x90u}, + {0x1Du, 0xFFu}, + {0x1Eu, 0x60u}, + {0x20u, 0xFFu}, + {0x21u, 0x60u}, + {0x23u, 0x90u}, + {0x24u, 0x50u}, + {0x26u, 0xA0u}, + {0x27u, 0xFFu}, + {0x28u, 0x30u}, + {0x29u, 0x30u}, + {0x2Au, 0xC0u}, + {0x2Bu, 0xC0u}, + {0x2Du, 0x06u}, + {0x2Fu, 0x09u}, + {0x30u, 0xFFu}, + {0x31u, 0xFFu}, + {0x3Eu, 0x01u}, + {0x3Fu, 0x01u}, + {0x58u, 0x04u}, + {0x59u, 0x04u}, + {0x5Fu, 0x01u}, + {0x80u, 0x22u}, + {0x82u, 0x10u}, + {0x83u, 0x9Fu}, + {0x84u, 0x17u}, + {0x85u, 0xC0u}, + {0x86u, 0x28u}, + {0x87u, 0x04u}, + {0x88u, 0x29u}, + {0x89u, 0xC0u}, + {0x8Au, 0x16u}, + {0x8Bu, 0x08u}, + {0x8Cu, 0x16u}, + {0x8Du, 0x80u}, + {0x90u, 0x04u}, + {0x91u, 0x7Fu}, + {0x93u, 0x80u}, + {0x94u, 0x40u}, + {0x97u, 0x60u}, + {0x98u, 0x12u}, + {0x99u, 0x1Fu}, + {0x9Au, 0x04u}, + {0x9Bu, 0x20u}, + {0x9Cu, 0x16u}, + {0x9Du, 0xC0u}, + {0x9Fu, 0x01u}, + {0xA0u, 0x31u}, + {0xA1u, 0xC0u}, + {0xA2u, 0x0Eu}, + {0xA3u, 0x02u}, + {0xA4u, 0x40u}, + {0xA7u, 0xFFu}, + {0xA8u, 0x10u}, + {0xAAu, 0x06u}, + {0xACu, 0x06u}, + {0xADu, 0x90u}, + {0xAEu, 0x10u}, + {0xAFu, 0x40u}, + {0xB0u, 0x30u}, + {0xB2u, 0x40u}, + {0xB4u, 0x0Fu}, + {0xB5u, 0xFFu}, + {0xB8u, 0x28u}, + {0xBAu, 0x02u}, + {0xBFu, 0x10u}, + {0xD4u, 0x40u}, + {0xD6u, 0x04u}, {0xD8u, 0x04u}, {0xD9u, 0x04u}, {0xDBu, 0x04u}, {0xDFu, 0x01u}, - {0x00u, 0x08u}, - {0x01u, 0x22u}, - {0x02u, 0x01u}, - {0x03u, 0x40u}, - {0x04u, 0x44u}, - {0x05u, 0x11u}, - {0x08u, 0x18u}, - {0x09u, 0x40u}, - {0x0Au, 0x80u}, - {0x0Eu, 0x28u}, - {0x10u, 0x20u}, - {0x12u, 0xC0u}, - {0x13u, 0x08u}, - {0x16u, 0x04u}, - {0x19u, 0x08u}, - {0x1Cu, 0x40u}, - {0x1Eu, 0x20u}, - {0x1Fu, 0x80u}, - {0x22u, 0x02u}, - {0x24u, 0x04u}, - {0x25u, 0x01u}, - {0x27u, 0x01u}, - {0x28u, 0x10u}, - {0x29u, 0x22u}, - {0x2Au, 0x40u}, - {0x2Du, 0x41u}, + {0x00u, 0x04u}, + {0x02u, 0x48u}, + {0x05u, 0x91u}, + {0x07u, 0x20u}, + {0x08u, 0x50u}, + {0x0Au, 0x20u}, + {0x0Bu, 0x40u}, + {0x0Eu, 0x25u}, + {0x0Fu, 0x80u}, + {0x10u, 0x84u}, + {0x12u, 0x10u}, + {0x15u, 0x50u}, + {0x17u, 0x09u}, + {0x1Bu, 0x02u}, + {0x1Du, 0x15u}, + {0x1Eu, 0x40u}, + {0x1Fu, 0x20u}, + {0x21u, 0x01u}, + {0x27u, 0x08u}, + {0x28u, 0x54u}, + {0x2Au, 0x48u}, + {0x2Bu, 0x05u}, + {0x2Du, 0x40u}, + {0x2Eu, 0x01u}, {0x2Fu, 0x20u}, - {0x30u, 0x20u}, - {0x32u, 0x48u}, - {0x35u, 0x91u}, - {0x36u, 0x04u}, - {0x3Au, 0x11u}, - {0x3Bu, 0x08u}, - {0x3Cu, 0x04u}, - {0x3Du, 0x02u}, - {0x3Eu, 0x10u}, - {0x46u, 0x80u}, - {0x47u, 0x01u}, - {0x48u, 0x04u}, - {0x4Au, 0x08u}, - {0x5Eu, 0x82u}, - {0x5Fu, 0x24u}, - {0x64u, 0x08u}, - {0x66u, 0x82u}, - {0x67u, 0x08u}, - {0x69u, 0x80u}, - {0x6Au, 0x80u}, - {0x82u, 0x80u}, - {0x8Au, 0x02u}, - {0x91u, 0x41u}, - {0x92u, 0x10u}, - {0x93u, 0x05u}, - {0x95u, 0x80u}, - {0x98u, 0x10u}, - {0x99u, 0xB1u}, - {0x9Au, 0x05u}, - {0x9Bu, 0x08u}, - {0x9Cu, 0x08u}, - {0x9Du, 0x08u}, - {0xA0u, 0x04u}, - {0xA2u, 0x45u}, - {0xA3u, 0x20u}, - {0xA6u, 0x02u}, - {0xA8u, 0x04u}, - {0xB2u, 0x10u}, - {0xC0u, 0xFFu}, - {0xC2u, 0x6Fu}, - {0xC4u, 0x4Cu}, + {0x30u, 0x80u}, + {0x32u, 0x5Cu}, + {0x33u, 0x10u}, + {0x35u, 0x84u}, + {0x37u, 0x21u}, + {0x38u, 0x04u}, + {0x3Au, 0x10u}, + {0x3Bu, 0x60u}, + {0x3Du, 0x12u}, + {0x3Eu, 0x54u}, + {0x64u, 0xA0u}, + {0x66u, 0x20u}, + {0x67u, 0x01u}, + {0x84u, 0x80u}, + {0x8Eu, 0x04u}, + {0x90u, 0x04u}, + {0x91u, 0x40u}, + {0x92u, 0x9Du}, + {0x93u, 0x61u}, + {0x95u, 0x02u}, + {0x98u, 0x60u}, + {0x99u, 0x80u}, + {0x9Au, 0x28u}, + {0x9Bu, 0x31u}, + {0x9Du, 0x15u}, + {0xA1u, 0x01u}, + {0xA2u, 0x14u}, + {0xA3u, 0x45u}, + {0xA7u, 0x02u}, + {0xA8u, 0x05u}, + {0xAAu, 0x01u}, + {0xB1u, 0x30u}, + {0xB2u, 0x80u}, + {0xC0u, 0xFEu}, + {0xC2u, 0xFFu}, + {0xC4u, 0xFEu}, {0xCAu, 0xDFu}, {0xCCu, 0xFEu}, - {0xCEu, 0xE7u}, - {0xD6u, 0xF0u}, - {0xD8u, 0x90u}, - {0xE2u, 0x80u}, - {0xE6u, 0x04u}, - {0xE8u, 0x04u}, - {0xEAu, 0x80u}, + {0xCEu, 0xFEu}, + {0xD8u, 0xF0u}, + {0xE2u, 0x40u}, + {0xEAu, 0x04u}, + {0x80u, 0x08u}, + {0x82u, 0x84u}, + {0x87u, 0x80u}, + {0x88u, 0x02u}, + {0x8Au, 0x41u}, + {0x8Bu, 0x07u}, + {0x8Cu, 0x04u}, + {0x8Eu, 0x28u}, + {0x90u, 0x53u}, + {0x91u, 0xAAu}, + {0x92u, 0xACu}, + {0x93u, 0x55u}, + {0x94u, 0x01u}, + {0x95u, 0x99u}, + {0x96u, 0x12u}, + {0x97u, 0x22u}, + {0x9Bu, 0x70u}, + {0xA3u, 0x08u}, + {0xA5u, 0x44u}, + {0xA7u, 0x88u}, + {0xB0u, 0x0Fu}, + {0xB3u, 0x0Fu}, + {0xB4u, 0xC0u}, + {0xB5u, 0xF0u}, + {0xB6u, 0x30u}, + {0xBEu, 0x51u}, + {0xD8u, 0x04u}, + {0xD9u, 0x04u}, + {0xDCu, 0x10u}, + {0xDFu, 0x01u}, + {0x00u, 0x20u}, + {0x01u, 0x01u}, + {0x02u, 0x01u}, + {0x05u, 0x95u}, + {0x07u, 0x08u}, + {0x08u, 0x20u}, + {0x09u, 0x10u}, + {0x0Bu, 0x50u}, + {0x0Cu, 0x02u}, + {0x0Eu, 0x09u}, + {0x15u, 0x64u}, + {0x17u, 0x21u}, + {0x18u, 0x02u}, + {0x19u, 0x20u}, + {0x1Au, 0x80u}, + {0x1Eu, 0x28u}, + {0x20u, 0x04u}, + {0x21u, 0x20u}, + {0x23u, 0x10u}, + {0x24u, 0x01u}, + {0x25u, 0x10u}, + {0x26u, 0x03u}, + {0x27u, 0x21u}, + {0x2Au, 0x02u}, + {0x2Bu, 0x20u}, + {0x2Eu, 0x85u}, + {0x31u, 0x2Au}, + {0x35u, 0x81u}, + {0x37u, 0x28u}, + {0x39u, 0x08u}, + {0x3Bu, 0x10u}, + {0x3Cu, 0x01u}, + {0x3Du, 0x48u}, + {0x3Eu, 0x10u}, + {0x47u, 0x29u}, + {0x4Cu, 0x04u}, + {0x4Eu, 0x02u}, + {0x4Fu, 0x05u}, + {0x54u, 0x02u}, + {0x55u, 0x05u}, + {0x56u, 0xA0u}, + {0x57u, 0x40u}, + {0x7Au, 0x80u}, + {0x7Bu, 0x40u}, + {0x89u, 0x20u}, + {0x8Eu, 0x40u}, + {0x91u, 0x4Du}, + {0x92u, 0x1Du}, + {0x93u, 0x60u}, + {0x94u, 0x04u}, + {0x95u, 0x32u}, + {0x96u, 0x80u}, + {0x97u, 0x04u}, + {0x98u, 0x40u}, + {0x99u, 0x80u}, + {0x9Au, 0x0Au}, + {0x9Bu, 0x10u}, + {0x9Du, 0x10u}, + {0x9Fu, 0x01u}, + {0xA0u, 0x10u}, + {0xA1u, 0x0Au}, + {0xA3u, 0x25u}, + {0xA4u, 0x02u}, + {0xA6u, 0x01u}, + {0xA7u, 0x02u}, + {0xA9u, 0x02u}, + {0xADu, 0x01u}, + {0xB3u, 0x10u}, + {0xB5u, 0x20u}, + {0xB7u, 0x04u}, + {0xC0u, 0xFBu}, + {0xC2u, 0xDCu}, + {0xC4u, 0xF0u}, + {0xCAu, 0xD3u}, + {0xCCu, 0xF7u}, + {0xCEu, 0xF6u}, + {0xD0u, 0xE0u}, + {0xD2u, 0x30u}, + {0xEAu, 0x08u}, + {0xEEu, 0x06u}, + {0x8Eu, 0x20u}, + {0xA0u, 0x80u}, + {0xA4u, 0x10u}, + {0xA6u, 0x20u}, + {0xA8u, 0x01u}, + {0xAEu, 0x01u}, + {0xB3u, 0x08u}, + {0xB6u, 0x04u}, + {0xB7u, 0x40u}, + {0xE0u, 0x30u}, + {0xE8u, 0x10u}, + {0xEAu, 0x60u}, {0xEEu, 0x02u}, - {0x81u, 0x40u}, - {0x90u, 0x08u}, - {0x91u, 0x40u}, - {0x9Bu, 0x01u}, - {0xA2u, 0x10u}, - {0xAAu, 0x20u}, - {0xEEu, 0x02u}, - {0xB2u, 0x10u}, - {0xB3u, 0x01u}, - {0xB4u, 0x04u}, - {0xEAu, 0x90u}, - {0xEEu, 0x20u}, + {0xA8u, 0x80u}, + {0xB0u, 0x10u}, + {0xECu, 0x80u}, {0x12u, 0x08u}, {0x15u, 0x80u}, - {0x17u, 0x01u}, - {0x33u, 0x01u}, - {0x36u, 0x88u}, - {0x39u, 0x84u}, - {0x3Du, 0x41u}, - {0x40u, 0x08u}, - {0x59u, 0x12u}, - {0x5Fu, 0x02u}, - {0x61u, 0x02u}, + {0x17u, 0x04u}, + {0x33u, 0x04u}, + {0x36u, 0x28u}, + {0x39u, 0x88u}, + {0x3Du, 0x44u}, + {0x43u, 0x80u}, + {0x56u, 0x08u}, + {0x5Au, 0x08u}, + {0x5Cu, 0x08u}, + {0x61u, 0x10u}, {0x65u, 0x04u}, - {0x81u, 0x40u}, - {0x87u, 0x02u}, - {0x8Du, 0x10u}, + {0x81u, 0x80u}, + {0x83u, 0x10u}, + {0x87u, 0x80u}, + {0x89u, 0x80u}, + {0x8Au, 0x04u}, {0xC4u, 0xE0u}, {0xCCu, 0xE0u}, {0xCEu, 0xF0u}, {0xD0u, 0x10u}, - {0xD4u, 0x80u}, + {0xD4u, 0x40u}, {0xD6u, 0xC0u}, {0xD8u, 0xC0u}, - {0xE6u, 0x60u}, - {0x31u, 0x22u}, + {0xE2u, 0x20u}, + {0xE6u, 0x90u}, + {0x30u, 0x20u}, + {0x32u, 0x04u}, + {0x34u, 0x01u}, {0x36u, 0x40u}, - {0x37u, 0x04u}, - {0x54u, 0x02u}, - {0x56u, 0x80u}, - {0x59u, 0x40u}, - {0x63u, 0x80u}, - {0x85u, 0x04u}, - {0x95u, 0x04u}, + {0x51u, 0x80u}, + {0x57u, 0x10u}, + {0x59u, 0x80u}, + {0x62u, 0x08u}, + {0x81u, 0x04u}, + {0x82u, 0x08u}, + {0x84u, 0x08u}, + {0x8Au, 0x08u}, + {0x95u, 0x4Cu}, + {0x99u, 0x80u}, {0x9Cu, 0x08u}, - {0x9Du, 0x02u}, - {0xA6u, 0x80u}, - {0xA9u, 0x04u}, - {0xADu, 0x01u}, - {0xB1u, 0x02u}, + {0x9Du, 0x14u}, + {0x9Eu, 0x08u}, + {0xA1u, 0x80u}, + {0xA3u, 0x10u}, + {0xA6u, 0x20u}, {0xCCu, 0xF0u}, - {0xD4u, 0xC0u}, - {0xD6u, 0x20u}, + {0xD4u, 0xE0u}, {0xD8u, 0x40u}, - {0xE6u, 0x40u}, - {0xEAu, 0x10u}, - {0xEEu, 0x80u}, - {0x12u, 0x80u}, - {0x63u, 0x01u}, - {0x83u, 0x41u}, - {0x8Du, 0x02u}, - {0x9Cu, 0x08u}, - {0x9Du, 0x42u}, - {0x9Fu, 0x04u}, - {0xA5u, 0x22u}, - {0xA6u, 0xC0u}, - {0xA7u, 0x40u}, - {0xA8u, 0x02u}, - {0xAAu, 0x80u}, + {0xE2u, 0x20u}, + {0xE6u, 0x90u}, + {0x12u, 0x20u}, + {0x81u, 0x40u}, + {0x85u, 0x04u}, + {0x95u, 0x4Cu}, + {0x96u, 0x08u}, + {0x9Cu, 0x01u}, + {0x9Du, 0x10u}, + {0xA4u, 0x20u}, + {0xA6u, 0x60u}, {0xC4u, 0x10u}, - {0xD6u, 0x40u}, - {0xE2u, 0xA0u}, - {0xEAu, 0xA0u}, - {0x83u, 0x04u}, - {0x85u, 0x20u}, - {0x89u, 0x42u}, - {0x9Cu, 0x08u}, - {0x9Du, 0x41u}, - {0x9Fu, 0x04u}, - {0xA5u, 0x22u}, + {0xE2u, 0x10u}, + {0xE6u, 0x20u}, + {0x73u, 0x01u}, + {0x84u, 0x20u}, + {0x86u, 0x24u}, + {0x8Fu, 0x01u}, + {0x95u, 0x04u}, + {0x96u, 0x08u}, + {0x9Du, 0x10u}, + {0xA4u, 0x20u}, {0xA6u, 0x40u}, - {0xA9u, 0x01u}, - {0xE2u, 0x90u}, - {0xE8u, 0x20u}, - {0x09u, 0x40u}, - {0x0Fu, 0x20u}, - {0x13u, 0x08u}, - {0x51u, 0x08u}, - {0x53u, 0x02u}, - {0x57u, 0x20u}, - {0x5Cu, 0x40u}, - {0x81u, 0x08u}, + {0xACu, 0x01u}, + {0xDCu, 0x20u}, + {0xE2u, 0x40u}, + {0xE6u, 0x50u}, + {0xEAu, 0x40u}, + {0x09u, 0x80u}, + {0x0Fu, 0x80u}, + {0x10u, 0x10u}, + {0x53u, 0x80u}, + {0x54u, 0x04u}, + {0x59u, 0x20u}, + {0x5Fu, 0x80u}, + {0x84u, 0x10u}, + {0x8Fu, 0x40u}, {0xC2u, 0x06u}, {0xC4u, 0x08u}, {0xD4u, 0x07u}, {0xD6u, 0x04u}, - {0x03u, 0x08u}, - {0x06u, 0x08u}, - {0x07u, 0x80u}, - {0x0Bu, 0x84u}, - {0x0Cu, 0x08u}, - {0x0Du, 0x10u}, - {0x82u, 0x08u}, - {0x84u, 0x08u}, - {0x87u, 0x40u}, - {0x8Bu, 0x04u}, + {0xE6u, 0x02u}, + {0x00u, 0x02u}, + {0x03u, 0x01u}, + {0x04u, 0x42u}, + {0x0Bu, 0x22u}, + {0x0Eu, 0x01u}, + {0x0Fu, 0x20u}, + {0x85u, 0x20u}, + {0x86u, 0x01u}, {0x8Cu, 0x40u}, - {0x8Fu, 0x08u}, - {0x94u, 0x40u}, - {0xA1u, 0x40u}, - {0xA3u, 0x10u}, - {0xA7u, 0x02u}, - {0xABu, 0x08u}, - {0xB3u, 0x20u}, - {0xC0u, 0x07u}, + {0x9Du, 0x20u}, + {0x9Fu, 0x01u}, + {0xA4u, 0x04u}, + {0xAFu, 0x81u}, + {0xB3u, 0x80u}, + {0xB5u, 0x80u}, + {0xC0u, 0x0Fu}, {0xC2u, 0x0Fu}, - {0xE0u, 0x02u}, - {0xE2u, 0x08u}, - {0xE6u, 0x04u}, - {0xE8u, 0x01u}, + {0xE2u, 0x02u}, + {0xEAu, 0x08u}, + {0xECu, 0x04u}, {0x8Fu, 0x10u}, - {0xA1u, 0x40u}, + {0x90u, 0x02u}, {0xA3u, 0x10u}, - {0xABu, 0x82u}, - {0xB1u, 0x10u}, + {0xA4u, 0x04u}, + {0xABu, 0x01u}, + {0xB0u, 0x01u}, + {0xB3u, 0x10u}, {0xE2u, 0x08u}, - {0xEEu, 0x04u}, - {0x09u, 0x40u}, - {0x0Bu, 0x80u}, - {0x0Fu, 0x41u}, - {0x83u, 0x01u}, - {0x87u, 0x40u}, - {0x89u, 0x40u}, - {0xB1u, 0x40u}, + {0xEAu, 0x05u}, + {0x09u, 0x02u}, + {0x0Bu, 0x08u}, + {0x0Eu, 0x04u}, + {0x0Fu, 0x40u}, + {0x80u, 0x01u}, + {0x85u, 0x02u}, + {0x87u, 0x04u}, + {0x90u, 0x02u}, + {0x96u, 0x04u}, + {0xA4u, 0x04u}, + {0xAEu, 0x04u}, {0xC2u, 0x0Fu}, - {0xE6u, 0x04u}, - {0xEEu, 0x04u}, - {0x88u, 0x08u}, - {0x9Cu, 0x08u}, - {0x9Du, 0x01u}, - {0xA3u, 0x20u}, + {0x95u, 0x04u}, + {0x9Du, 0x10u}, + {0xA2u, 0x20u}, {0xAEu, 0x40u}, - {0xB3u, 0x20u}, {0xEEu, 0x40u}, - {0x05u, 0x01u}, - {0x57u, 0x21u}, - {0x9Du, 0x01u}, - {0xA3u, 0x21u}, - {0xAFu, 0x01u}, + {0x07u, 0x40u}, + {0x52u, 0x20u}, + {0x57u, 0x80u}, + {0x85u, 0x04u}, + {0x8Fu, 0x80u}, + {0x95u, 0x04u}, + {0x9Fu, 0x40u}, + {0xA2u, 0x20u}, + {0xA9u, 0x10u}, + {0xABu, 0x40u}, {0xC0u, 0x20u}, - {0xD4u, 0x40u}, - {0xD6u, 0x20u}, - {0xEEu, 0x10u}, + {0xD4u, 0x60u}, + {0xE6u, 0x40u}, + {0xECu, 0x80u}, + {0xEEu, 0x20u}, + {0x88u, 0x04u}, + {0xA4u, 0x04u}, {0xAFu, 0x40u}, - {0x00u, 0x03u}, - {0x08u, 0x03u}, - {0x0Au, 0x03u}, - {0x0Eu, 0x02u}, - {0x10u, 0x01u}, - {0x1Au, 0x01u}, + {0xE0u, 0x04u}, + {0x10u, 0x03u}, + {0x1Au, 0x03u}, {0x00u, 0xFDu}, {0x01u, 0xABu}, {0x02u, 0x02u}, @@ -1587,15 +1829,44 @@ void cyfitter_cfg(void) uint16 size; } CYPACKED_ATTR cfg_memset_t; + + CYPACKED typedef struct { + void CYFAR *dest; + const void CYCODE *src; + uint16 size; + } CYPACKED_ATTR cfg_memcpy_t; + static const cfg_memset_t CYCODE cfg_memset_list [] = { /* address, size */ {(void CYFAR *)(CYREG_TMR0_CFG0), 12u}, {(void CYFAR *)(CYREG_PRT1_DR), 16u}, {(void CYFAR *)(CYDEV_UCFG_B0_P0_U0_BASE), 4096u}, - {(void CYFAR *)(CYDEV_UCFG_B1_P2_U0_BASE), 2048u}, + {(void CYFAR *)(CYDEV_UCFG_B1_P2_U0_BASE), 512u}, + {(void CYFAR *)(CYDEV_UCFG_B1_P3_U1_BASE), 1408u}, {(void CYFAR *)(CYDEV_UCFG_DSI0_BASE), 2560u}, {(void CYFAR *)(CYDEV_UCFG_DSI12_BASE), 512u}, - {(void CYFAR *)(CYREG_BCTL0_MDCLK_EN), 32u}, + {(void CYFAR *)(CYREG_BCTL1_MDCLK_EN), 16u}, + }; + + /* UDB_1_1_1_CONFIG Address: CYDEV_UCFG_B1_P3_U0_BASE Size (bytes): 128 */ + static const uint8 CYCODE BS_UDB_1_1_1_CONFIG_VAL[] = { + 0x01u, 0x00u, 0x00u, 0x75u, 0x04u, 0x00u, 0x00u, 0x08u, 0x08u, 0x88u, 0x61u, 0x64u, 0x01u, 0x64u, 0x00u, 0x88u, + 0x10u, 0x24u, 0x00u, 0x00u, 0x00u, 0x03u, 0x00u, 0x70u, 0x00u, 0x07u, 0x40u, 0x10u, 0x01u, 0xECu, 0x00u, 0x00u, + 0xA2u, 0xECu, 0x08u, 0x00u, 0x01u, 0xACu, 0x00u, 0x40u, 0x07u, 0x00u, 0xD8u, 0x00u, 0x01u, 0x40u, 0x00u, 0x02u, + 0x00u, 0x80u, 0x3Fu, 0x71u, 0xE0u, 0x08u, 0x00u, 0x07u, 0x08u, 0x00u, 0x00u, 0xC0u, 0x00u, 0x00u, 0x04u, 0x11u, + 0x34u, 0x02u, 0x50u, 0x00u, 0x06u, 0xDEu, 0xFCu, 0xBDu, 0x3Fu, 0xFFu, 0xFFu, 0xFFu, 0x22u, 0x00u, 0xF0u, 0x08u, + 0x04u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x04u, 0x04u, 0x04u, 0x00u, 0x00u, 0x00u, 0x00u, 0x01u, + 0x00u, 0x00u, 0xC0u, 0x00u, 0x40u, 0x01u, 0x10u, 0x11u, 0xC0u, 0x01u, 0x00u, 0x11u, 0x40u, 0x01u, 0x40u, 0x01u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u}; + + /* UCFG_BCTL0 Address: CYREG_BCTL0_MDCLK_EN Size (bytes): 16 */ + static const uint8 CYCODE BS_UCFG_BCTL0_VAL[] = { + 0x03u, 0x01u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x03u, 0x01u, 0x03u, 0x01u, 0x02u, 0x00u, 0x02u, 0x01u}; + + static const cfg_memcpy_t CYCODE cfg_memcpy_list [] = { + /* dest, src, size */ + {(void CYFAR *)(CYDEV_UCFG_B1_P3_U0_BASE), BS_UDB_1_1_1_CONFIG_VAL, 128u}, + {(void CYFAR *)(CYREG_BCTL0_MDCLK_EN), BS_UCFG_BCTL0_VAL, 16u}, }; uint8 CYDATA i; @@ -1607,6 +1878,16 @@ void cyfitter_cfg(void) CYMEMZERO(ms->address, (uint32)(ms->size)); } + /* Copy device configuration data into registers */ + for (i = 0u; i < (sizeof(cfg_memcpy_list)/sizeof(cfg_memcpy_list[0])); i++) + { + const cfg_memcpy_t CYCODE * CYDATA mc = &cfg_memcpy_list[i]; + void * CYDATA destPtr = mc->dest; + const void CYCODE * CYDATA srcPtr = mc->src; + uint16 CYDATA numBytes = mc->size; + CYCONFIGCPYCODE(destPtr, srcPtr, numBytes); + } + cfg_write_bytes32(cy_cfg_addr_table, cy_cfg_data_table); /* Perform normal device configuration. Order is not critical for these items. */ diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc index 9362175..3842dd2 100644 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfittergnu.inc @@ -71,6 +71,16 @@ .set SD_TX_DMA_COMPLETE__INTC_SET_EN_REG, CYREG_NVIC_SETENA0 .set SD_TX_DMA_COMPLETE__INTC_SET_PD_REG, CYREG_NVIC_SETPEND0 +/* SCSI_Parity_Error */ +.set SCSI_Parity_Error_sts_sts_reg__0__MASK, 0x01 +.set SCSI_Parity_Error_sts_sts_reg__0__POS, 0 +.set SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB10_11_ACTL +.set SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG, CYREG_B0_UDB10_11_ST +.set SCSI_Parity_Error_sts_sts_reg__MASK, 0x01 +.set SCSI_Parity_Error_sts_sts_reg__MASK_REG, CYREG_B0_UDB10_MSK +.set SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG, CYREG_B0_UDB10_ACTL +.set SCSI_Parity_Error_sts_sts_reg__STATUS_REG, CYREG_B0_UDB10_ST + /* USBFS_bus_reset */ .set USBFS_bus_reset__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 .set USBFS_bus_reset__INTC_CLR_PD_REG, CYREG_NVIC_CLRPEND0 @@ -84,41 +94,41 @@ /* SCSI_CTL_PHASE */ .set SCSI_CTL_PHASE_Sync_ctrl_reg__0__MASK, 0x01 .set SCSI_CTL_PHASE_Sync_ctrl_reg__0__POS, 0 -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB05_06_ACTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB05_06_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB05_06_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB05_06_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB05_06_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB05_06_MSK -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB05_06_MSK -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB05_06_MSK -.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB05_06_MSK +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB01_02_ACTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB01_02_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB01_02_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB01_02_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB01_02_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB01_02_MSK +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB01_02_MSK +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB01_02_MSK +.set SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB01_02_MSK .set SCSI_CTL_PHASE_Sync_ctrl_reg__1__MASK, 0x02 .set SCSI_CTL_PHASE_Sync_ctrl_reg__1__POS, 1 .set SCSI_CTL_PHASE_Sync_ctrl_reg__2__MASK, 0x04 .set SCSI_CTL_PHASE_Sync_ctrl_reg__2__POS, 2 -.set SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB05_ACTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB05_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB05_ST_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB05_CTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB05_ST_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB01_ACTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB01_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB01_ST_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB01_CTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB01_ST_CTL .set SCSI_CTL_PHASE_Sync_ctrl_reg__MASK, 0x07 -.set SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB05_MSK_ACTL -.set SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB05_MSK -.set SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB05_MSK_ACTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB01_MSK_ACTL +.set SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB01_MSK +.set SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB01_MSK_ACTL /* SCSI_Out_Bits */ .set SCSI_Out_Bits_Sync_ctrl_reg__0__MASK, 0x01 .set SCSI_Out_Bits_Sync_ctrl_reg__0__POS, 0 -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB12_13_ACTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB12_13_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB12_13_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB12_13_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB12_13_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB12_13_MSK -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB12_13_MSK -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB12_13_MSK -.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB12_13_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB11_12_ACTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB11_12_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB11_12_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB11_12_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB11_12_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB11_12_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB11_12_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB11_12_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB11_12_MSK .set SCSI_Out_Bits_Sync_ctrl_reg__1__MASK, 0x02 .set SCSI_Out_Bits_Sync_ctrl_reg__1__POS, 1 .set SCSI_Out_Bits_Sync_ctrl_reg__2__MASK, 0x04 @@ -133,15 +143,15 @@ .set SCSI_Out_Bits_Sync_ctrl_reg__6__POS, 6 .set SCSI_Out_Bits_Sync_ctrl_reg__7__MASK, 0x80 .set SCSI_Out_Bits_Sync_ctrl_reg__7__POS, 7 -.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB12_ACTL -.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB12_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB12_ST_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB12_CTL -.set SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB12_ST_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB11_ACTL +.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB11_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB11_ST_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB11_CTL +.set SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB11_ST_CTL .set SCSI_Out_Bits_Sync_ctrl_reg__MASK, 0xFF -.set SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB12_MSK_ACTL -.set SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB12_MSK -.set SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB12_MSK_ACTL +.set SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB11_MSK_ACTL +.set SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB11_MSK +.set SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB11_MSK_ACTL /* USBFS_arb_int */ .set USBFS_arb_int__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 @@ -166,24 +176,24 @@ /* SCSI_Out_Ctl */ .set SCSI_Out_Ctl_Sync_ctrl_reg__0__MASK, 0x01 .set SCSI_Out_Ctl_Sync_ctrl_reg__0__POS, 0 -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B1_UDB07_08_ACTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B1_UDB07_08_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B1_UDB07_08_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B1_UDB07_08_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B1_UDB07_08_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B1_UDB07_08_MSK -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B1_UDB07_08_MSK -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B1_UDB07_08_MSK -.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B1_UDB07_08_MSK -.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B1_UDB07_ACTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG, CYREG_B1_UDB07_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B1_UDB07_ST_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG, CYREG_B1_UDB07_CTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B1_UDB07_ST_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB14_15_ACTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB14_15_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB14_15_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB14_15_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB14_15_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG, CYREG_B0_UDB14_15_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB14_15_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB14_15_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB14_15_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG, CYREG_B0_UDB14_ACTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG, CYREG_B0_UDB14_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG, CYREG_B0_UDB14_ST_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG, CYREG_B0_UDB14_CTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG, CYREG_B0_UDB14_ST_CTL .set SCSI_Out_Ctl_Sync_ctrl_reg__MASK, 0x01 -.set SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B1_UDB07_MSK_ACTL -.set SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG, CYREG_B1_UDB07_MSK -.set SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B1_UDB07_MSK_ACTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB14_MSK_ACTL +.set SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG, CYREG_B0_UDB14_MSK +.set SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB14_MSK_ACTL /* SCSI_Out_DBx */ .set SCSI_Out_DBx__0__AG, CYREG_PRT6_AG @@ -656,8 +666,8 @@ .set SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB06_MSK_ACTL .set SDCard_BSPIM_BitCounter__PERIOD_REG, CYREG_B0_UDB06_MSK .set SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB06_MSK_ACTL -.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB05_06_ACTL -.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG, CYREG_B0_UDB05_06_ST +.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B1_UDB05_06_ACTL +.set SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG, CYREG_B1_UDB05_06_ST .set SDCard_BSPIM_RxStsReg__4__MASK, 0x10 .set SDCard_BSPIM_RxStsReg__4__POS, 4 .set SDCard_BSPIM_RxStsReg__5__MASK, 0x20 @@ -665,17 +675,13 @@ .set SDCard_BSPIM_RxStsReg__6__MASK, 0x40 .set SDCard_BSPIM_RxStsReg__6__POS, 6 .set SDCard_BSPIM_RxStsReg__MASK, 0x70 -.set SDCard_BSPIM_RxStsReg__MASK_REG, CYREG_B0_UDB05_MSK -.set SDCard_BSPIM_RxStsReg__MASK_ST_AUX_CTL_REG, CYREG_B0_UDB05_MSK_ACTL -.set SDCard_BSPIM_RxStsReg__PER_ST_AUX_CTL_REG, CYREG_B0_UDB05_MSK_ACTL -.set SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB05_ACTL -.set SDCard_BSPIM_RxStsReg__STATUS_CNT_REG, CYREG_B0_UDB05_ST_CTL -.set SDCard_BSPIM_RxStsReg__STATUS_CONTROL_REG, CYREG_B0_UDB05_ST_CTL -.set SDCard_BSPIM_RxStsReg__STATUS_REG, CYREG_B0_UDB05_ST +.set SDCard_BSPIM_RxStsReg__MASK_REG, CYREG_B1_UDB05_MSK +.set SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG, CYREG_B1_UDB05_ACTL +.set SDCard_BSPIM_RxStsReg__STATUS_REG, CYREG_B1_UDB05_ST .set SDCard_BSPIM_TxStsReg__0__MASK, 0x01 .set SDCard_BSPIM_TxStsReg__0__POS, 0 -.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B1_UDB06_07_ACTL -.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG, CYREG_B1_UDB06_07_ST +.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB05_06_ACTL +.set SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG, CYREG_B0_UDB05_06_ST .set SDCard_BSPIM_TxStsReg__1__MASK, 0x02 .set SDCard_BSPIM_TxStsReg__1__POS, 1 .set SDCard_BSPIM_TxStsReg__2__MASK, 0x04 @@ -685,28 +691,26 @@ .set SDCard_BSPIM_TxStsReg__4__MASK, 0x10 .set SDCard_BSPIM_TxStsReg__4__POS, 4 .set SDCard_BSPIM_TxStsReg__MASK, 0x1F -.set SDCard_BSPIM_TxStsReg__MASK_REG, CYREG_B1_UDB06_MSK -.set SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG, CYREG_B1_UDB06_ACTL -.set SDCard_BSPIM_TxStsReg__STATUS_REG, CYREG_B1_UDB06_ST -.set SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG, CYREG_B0_UDB05_06_A0 -.set SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG, CYREG_B0_UDB05_06_A1 -.set SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG, CYREG_B0_UDB05_06_D0 -.set SDCard_BSPIM_sR8_Dp_u0__16BIT_D1_REG, CYREG_B0_UDB05_06_D1 -.set SDCard_BSPIM_sR8_Dp_u0__16BIT_DP_AUX_CTL_REG, CYREG_B0_UDB05_06_ACTL -.set SDCard_BSPIM_sR8_Dp_u0__16BIT_F0_REG, CYREG_B0_UDB05_06_F0 -.set SDCard_BSPIM_sR8_Dp_u0__16BIT_F1_REG, CYREG_B0_UDB05_06_F1 -.set SDCard_BSPIM_sR8_Dp_u0__A0_A1_REG, CYREG_B0_UDB05_A0_A1 -.set SDCard_BSPIM_sR8_Dp_u0__A0_REG, CYREG_B0_UDB05_A0 -.set SDCard_BSPIM_sR8_Dp_u0__A1_REG, CYREG_B0_UDB05_A1 -.set SDCard_BSPIM_sR8_Dp_u0__D0_D1_REG, CYREG_B0_UDB05_D0_D1 -.set SDCard_BSPIM_sR8_Dp_u0__D0_REG, CYREG_B0_UDB05_D0 -.set SDCard_BSPIM_sR8_Dp_u0__D1_REG, CYREG_B0_UDB05_D1 -.set SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG, CYREG_B0_UDB05_ACTL -.set SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG, CYREG_B0_UDB05_F0_F1 -.set SDCard_BSPIM_sR8_Dp_u0__F0_REG, CYREG_B0_UDB05_F0 -.set SDCard_BSPIM_sR8_Dp_u0__F1_REG, CYREG_B0_UDB05_F1 -.set SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG, CYREG_B0_UDB05_MSK_ACTL -.set SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG, CYREG_B0_UDB05_MSK_ACTL +.set SDCard_BSPIM_TxStsReg__MASK_REG, CYREG_B0_UDB05_MSK +.set SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB05_ACTL +.set SDCard_BSPIM_TxStsReg__STATUS_REG, CYREG_B0_UDB05_ST +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG, CYREG_B1_UDB06_07_A0 +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG, CYREG_B1_UDB06_07_A1 +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG, CYREG_B1_UDB06_07_D0 +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_D1_REG, CYREG_B1_UDB06_07_D1 +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_DP_AUX_CTL_REG, CYREG_B1_UDB06_07_ACTL +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_F0_REG, CYREG_B1_UDB06_07_F0 +.set SDCard_BSPIM_sR8_Dp_u0__16BIT_F1_REG, CYREG_B1_UDB06_07_F1 +.set SDCard_BSPIM_sR8_Dp_u0__A0_A1_REG, CYREG_B1_UDB06_A0_A1 +.set SDCard_BSPIM_sR8_Dp_u0__A0_REG, CYREG_B1_UDB06_A0 +.set SDCard_BSPIM_sR8_Dp_u0__A1_REG, CYREG_B1_UDB06_A1 +.set SDCard_BSPIM_sR8_Dp_u0__D0_D1_REG, CYREG_B1_UDB06_D0_D1 +.set SDCard_BSPIM_sR8_Dp_u0__D0_REG, CYREG_B1_UDB06_D0 +.set SDCard_BSPIM_sR8_Dp_u0__D1_REG, CYREG_B1_UDB06_D1 +.set SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG, CYREG_B1_UDB06_ACTL +.set SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG, CYREG_B1_UDB06_F0_F1 +.set SDCard_BSPIM_sR8_Dp_u0__F0_REG, CYREG_B1_UDB06_F0 +.set SDCard_BSPIM_sR8_Dp_u0__F1_REG, CYREG_B1_UDB06_F1 /* USBFS_dp_int */ .set USBFS_dp_int__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 @@ -1199,8 +1203,8 @@ /* scsiTarget */ .set scsiTarget_StatusReg__0__MASK, 0x01 .set scsiTarget_StatusReg__0__POS, 0 -.set scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB00_01_ACTL -.set scsiTarget_StatusReg__16BIT_STATUS_REG, CYREG_B0_UDB00_01_ST +.set scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB11_12_ACTL +.set scsiTarget_StatusReg__16BIT_STATUS_REG, CYREG_B0_UDB11_12_ST .set scsiTarget_StatusReg__1__MASK, 0x02 .set scsiTarget_StatusReg__1__POS, 1 .set scsiTarget_StatusReg__2__MASK, 0x04 @@ -1210,54 +1214,58 @@ .set scsiTarget_StatusReg__4__MASK, 0x10 .set scsiTarget_StatusReg__4__POS, 4 .set scsiTarget_StatusReg__MASK, 0x1F -.set scsiTarget_StatusReg__MASK_REG, CYREG_B0_UDB00_MSK -.set scsiTarget_StatusReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB00_ACTL -.set scsiTarget_StatusReg__STATUS_REG, CYREG_B0_UDB00_ST -.set scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB03_04_ACTL -.set scsiTarget_datapath_PI__16BIT_STATUS_REG, CYREG_B0_UDB03_04_ST -.set scsiTarget_datapath_PI__MASK_REG, CYREG_B0_UDB03_MSK -.set scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL -.set scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL -.set scsiTarget_datapath_PI__STATUS_AUX_CTL_REG, CYREG_B0_UDB03_ACTL -.set scsiTarget_datapath_PI__STATUS_CNT_REG, CYREG_B0_UDB03_ST_CTL -.set scsiTarget_datapath_PI__STATUS_CONTROL_REG, CYREG_B0_UDB03_ST_CTL -.set scsiTarget_datapath_PI__STATUS_REG, CYREG_B0_UDB03_ST -.set scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB03_04_ACTL -.set scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB03_04_CTL -.set scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB03_04_CTL -.set scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB03_04_CTL -.set scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB03_04_CTL -.set scsiTarget_datapath_PO__16BIT_MASK_MASK_REG, CYREG_B0_UDB03_04_MSK -.set scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB03_04_MSK -.set scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB03_04_MSK -.set scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB03_04_MSK -.set scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG, CYREG_B0_UDB03_ACTL -.set scsiTarget_datapath_PO__CONTROL_REG, CYREG_B0_UDB03_CTL -.set scsiTarget_datapath_PO__CONTROL_ST_REG, CYREG_B0_UDB03_ST_CTL -.set scsiTarget_datapath_PO__COUNT_REG, CYREG_B0_UDB03_CTL -.set scsiTarget_datapath_PO__COUNT_ST_REG, CYREG_B0_UDB03_ST_CTL -.set scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL -.set scsiTarget_datapath_PO__PERIOD_REG, CYREG_B0_UDB03_MSK -.set scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL -.set scsiTarget_datapath__16BIT_A0_REG, CYREG_B0_UDB03_04_A0 -.set scsiTarget_datapath__16BIT_A1_REG, CYREG_B0_UDB03_04_A1 -.set scsiTarget_datapath__16BIT_D0_REG, CYREG_B0_UDB03_04_D0 -.set scsiTarget_datapath__16BIT_D1_REG, CYREG_B0_UDB03_04_D1 -.set scsiTarget_datapath__16BIT_DP_AUX_CTL_REG, CYREG_B0_UDB03_04_ACTL -.set scsiTarget_datapath__16BIT_F0_REG, CYREG_B0_UDB03_04_F0 -.set scsiTarget_datapath__16BIT_F1_REG, CYREG_B0_UDB03_04_F1 -.set scsiTarget_datapath__A0_A1_REG, CYREG_B0_UDB03_A0_A1 -.set scsiTarget_datapath__A0_REG, CYREG_B0_UDB03_A0 -.set scsiTarget_datapath__A1_REG, CYREG_B0_UDB03_A1 -.set scsiTarget_datapath__D0_D1_REG, CYREG_B0_UDB03_D0_D1 -.set scsiTarget_datapath__D0_REG, CYREG_B0_UDB03_D0 -.set scsiTarget_datapath__D1_REG, CYREG_B0_UDB03_D1 -.set scsiTarget_datapath__DP_AUX_CTL_REG, CYREG_B0_UDB03_ACTL -.set scsiTarget_datapath__F0_F1_REG, CYREG_B0_UDB03_F0_F1 -.set scsiTarget_datapath__F0_REG, CYREG_B0_UDB03_F0 -.set scsiTarget_datapath__F1_REG, CYREG_B0_UDB03_F1 -.set scsiTarget_datapath__MSK_DP_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL -.set scsiTarget_datapath__PER_DP_AUX_CTL_REG, CYREG_B0_UDB03_MSK_ACTL +.set scsiTarget_StatusReg__MASK_REG, CYREG_B0_UDB11_MSK +.set scsiTarget_StatusReg__MASK_ST_AUX_CTL_REG, CYREG_B0_UDB11_MSK_ACTL +.set scsiTarget_StatusReg__PER_ST_AUX_CTL_REG, CYREG_B0_UDB11_MSK_ACTL +.set scsiTarget_StatusReg__STATUS_AUX_CTL_REG, CYREG_B0_UDB11_ACTL +.set scsiTarget_StatusReg__STATUS_CNT_REG, CYREG_B0_UDB11_ST_CTL +.set scsiTarget_StatusReg__STATUS_CONTROL_REG, CYREG_B0_UDB11_ST_CTL +.set scsiTarget_StatusReg__STATUS_REG, CYREG_B0_UDB11_ST +.set scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG, CYREG_B0_UDB04_05_ACTL +.set scsiTarget_datapath_PI__16BIT_STATUS_REG, CYREG_B0_UDB04_05_ST +.set scsiTarget_datapath_PI__MASK_REG, CYREG_B0_UDB04_MSK +.set scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL +.set scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL +.set scsiTarget_datapath_PI__STATUS_AUX_CTL_REG, CYREG_B0_UDB04_ACTL +.set scsiTarget_datapath_PI__STATUS_CNT_REG, CYREG_B0_UDB04_ST_CTL +.set scsiTarget_datapath_PI__STATUS_CONTROL_REG, CYREG_B0_UDB04_ST_CTL +.set scsiTarget_datapath_PI__STATUS_REG, CYREG_B0_UDB04_ST +.set scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG, CYREG_B0_UDB04_05_ACTL +.set scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG, CYREG_B0_UDB04_05_CTL +.set scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG, CYREG_B0_UDB04_05_CTL +.set scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG, CYREG_B0_UDB04_05_CTL +.set scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG, CYREG_B0_UDB04_05_CTL +.set scsiTarget_datapath_PO__16BIT_MASK_MASK_REG, CYREG_B0_UDB04_05_MSK +.set scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG, CYREG_B0_UDB04_05_MSK +.set scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG, CYREG_B0_UDB04_05_MSK +.set scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG, CYREG_B0_UDB04_05_MSK +.set scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG, CYREG_B0_UDB04_ACTL +.set scsiTarget_datapath_PO__CONTROL_REG, CYREG_B0_UDB04_CTL +.set scsiTarget_datapath_PO__CONTROL_ST_REG, CYREG_B0_UDB04_ST_CTL +.set scsiTarget_datapath_PO__COUNT_REG, CYREG_B0_UDB04_CTL +.set scsiTarget_datapath_PO__COUNT_ST_REG, CYREG_B0_UDB04_ST_CTL +.set scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL +.set scsiTarget_datapath_PO__PERIOD_REG, CYREG_B0_UDB04_MSK +.set scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL +.set scsiTarget_datapath__16BIT_A0_REG, CYREG_B0_UDB04_05_A0 +.set scsiTarget_datapath__16BIT_A1_REG, CYREG_B0_UDB04_05_A1 +.set scsiTarget_datapath__16BIT_D0_REG, CYREG_B0_UDB04_05_D0 +.set scsiTarget_datapath__16BIT_D1_REG, CYREG_B0_UDB04_05_D1 +.set scsiTarget_datapath__16BIT_DP_AUX_CTL_REG, CYREG_B0_UDB04_05_ACTL +.set scsiTarget_datapath__16BIT_F0_REG, CYREG_B0_UDB04_05_F0 +.set scsiTarget_datapath__16BIT_F1_REG, CYREG_B0_UDB04_05_F1 +.set scsiTarget_datapath__A0_A1_REG, CYREG_B0_UDB04_A0_A1 +.set scsiTarget_datapath__A0_REG, CYREG_B0_UDB04_A0 +.set scsiTarget_datapath__A1_REG, CYREG_B0_UDB04_A1 +.set scsiTarget_datapath__D0_D1_REG, CYREG_B0_UDB04_D0_D1 +.set scsiTarget_datapath__D0_REG, CYREG_B0_UDB04_D0 +.set scsiTarget_datapath__D1_REG, CYREG_B0_UDB04_D1 +.set scsiTarget_datapath__DP_AUX_CTL_REG, CYREG_B0_UDB04_ACTL +.set scsiTarget_datapath__F0_F1_REG, CYREG_B0_UDB04_F0_F1 +.set scsiTarget_datapath__F0_REG, CYREG_B0_UDB04_F0 +.set scsiTarget_datapath__F1_REG, CYREG_B0_UDB04_F1 +.set scsiTarget_datapath__MSK_DP_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL +.set scsiTarget_datapath__PER_DP_AUX_CTL_REG, CYREG_B0_UDB04_MSK_ACTL /* USBFS_ep_0 */ .set USBFS_ep_0__INTC_CLR_EN_REG, CYREG_NVIC_CLRENA0 diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc index aefac3b..9ce179e 100644 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitteriar.inc @@ -71,6 +71,16 @@ SD_TX_DMA_COMPLETE__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_4 SD_TX_DMA_COMPLETE__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 SD_TX_DMA_COMPLETE__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 +/* SCSI_Parity_Error */ +SCSI_Parity_Error_sts_sts_reg__0__MASK EQU 0x01 +SCSI_Parity_Error_sts_sts_reg__0__POS EQU 0 +SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB10_11_ACTL +SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB10_11_ST +SCSI_Parity_Error_sts_sts_reg__MASK EQU 0x01 +SCSI_Parity_Error_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB10_MSK +SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB10_ACTL +SCSI_Parity_Error_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB10_ST + /* USBFS_bus_reset */ USBFS_bus_reset__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 USBFS_bus_reset__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 @@ -84,41 +94,41 @@ USBFS_bus_reset__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 /* SCSI_CTL_PHASE */ SCSI_CTL_PHASE_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_CTL_PHASE_Sync_ctrl_reg__0__POS EQU 0 -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB05_06_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB01_02_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB01_02_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB01_02_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB01_02_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB01_02_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB01_02_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB01_02_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB01_02_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB01_02_MSK SCSI_CTL_PHASE_Sync_ctrl_reg__1__MASK EQU 0x02 SCSI_CTL_PHASE_Sync_ctrl_reg__1__POS EQU 1 SCSI_CTL_PHASE_Sync_ctrl_reg__2__MASK EQU 0x04 SCSI_CTL_PHASE_Sync_ctrl_reg__2__POS EQU 2 -SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB05_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB05_ST_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB05_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB05_ST_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB01_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB01_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB01_ST_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB01_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB01_ST_CTL SCSI_CTL_PHASE_Sync_ctrl_reg__MASK EQU 0x07 -SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB05_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB01_MSK_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB01_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB01_MSK_ACTL /* SCSI_Out_Bits */ SCSI_Out_Bits_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Out_Bits_Sync_ctrl_reg__0__POS EQU 0 -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB12_13_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB12_13_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB12_13_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB12_13_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB12_13_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB12_13_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB12_13_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB12_13_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB12_13_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB11_12_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB11_12_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB11_12_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB11_12_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB11_12_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB11_12_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB11_12_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB11_12_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB11_12_MSK SCSI_Out_Bits_Sync_ctrl_reg__1__MASK EQU 0x02 SCSI_Out_Bits_Sync_ctrl_reg__1__POS EQU 1 SCSI_Out_Bits_Sync_ctrl_reg__2__MASK EQU 0x04 @@ -133,15 +143,15 @@ SCSI_Out_Bits_Sync_ctrl_reg__6__MASK EQU 0x40 SCSI_Out_Bits_Sync_ctrl_reg__6__POS EQU 6 SCSI_Out_Bits_Sync_ctrl_reg__7__MASK EQU 0x80 SCSI_Out_Bits_Sync_ctrl_reg__7__POS EQU 7 -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB12_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB12_CTL -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB12_ST_CTL -SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB12_CTL -SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB12_ST_CTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB11_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB11_CTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB11_ST_CTL +SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB11_CTL +SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB11_ST_CTL SCSI_Out_Bits_Sync_ctrl_reg__MASK EQU 0xFF -SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB12_MSK_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB12_MSK -SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB12_MSK_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB11_MSK +SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL /* USBFS_arb_int */ USBFS_arb_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 @@ -166,24 +176,24 @@ USBFS_sof_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 /* SCSI_Out_Ctl */ SCSI_Out_Ctl_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Out_Ctl_Sync_ctrl_reg__0__POS EQU 0 -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B1_UDB07_08_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B1_UDB07_08_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B1_UDB07_08_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B1_UDB07_08_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B1_UDB07_08_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B1_UDB07_08_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B1_UDB07_08_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B1_UDB07_08_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB07_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B1_UDB07_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B1_UDB07_ST_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B1_UDB07_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B1_UDB07_ST_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB14_15_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB14_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB14_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB14_ST_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB14_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB14_ST_CTL SCSI_Out_Ctl_Sync_ctrl_reg__MASK EQU 0x01 -SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B1_UDB07_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB14_MSK_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB14_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB14_MSK_ACTL /* SCSI_Out_DBx */ SCSI_Out_DBx__0__AG EQU CYREG_PRT6_AG @@ -656,8 +666,8 @@ SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B0_UDB06_ST_CTL SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB06_MSK_ACTL SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B0_UDB06_MSK SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB06_MSK_ACTL -SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL -SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB05_06_ST +SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB05_06_ACTL +SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB05_06_ST SDCard_BSPIM_RxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_RxStsReg__4__POS EQU 4 SDCard_BSPIM_RxStsReg__5__MASK EQU 0x20 @@ -665,17 +675,13 @@ SDCard_BSPIM_RxStsReg__5__POS EQU 5 SDCard_BSPIM_RxStsReg__6__MASK EQU 0x40 SDCard_BSPIM_RxStsReg__6__POS EQU 6 SDCard_BSPIM_RxStsReg__MASK EQU 0x70 -SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B0_UDB05_MSK -SDCard_BSPIM_RxStsReg__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL -SDCard_BSPIM_RxStsReg__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL -SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL -SDCard_BSPIM_RxStsReg__STATUS_CNT_REG EQU CYREG_B0_UDB05_ST_CTL -SDCard_BSPIM_RxStsReg__STATUS_CONTROL_REG EQU CYREG_B0_UDB05_ST_CTL -SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B0_UDB05_ST +SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B1_UDB05_MSK +SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB05_ACTL +SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B1_UDB05_ST SDCard_BSPIM_TxStsReg__0__MASK EQU 0x01 SDCard_BSPIM_TxStsReg__0__POS EQU 0 -SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB06_07_ACTL -SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB06_07_ST +SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL +SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB05_06_ST SDCard_BSPIM_TxStsReg__1__MASK EQU 0x02 SDCard_BSPIM_TxStsReg__1__POS EQU 1 SDCard_BSPIM_TxStsReg__2__MASK EQU 0x04 @@ -685,28 +691,26 @@ SDCard_BSPIM_TxStsReg__3__POS EQU 3 SDCard_BSPIM_TxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_TxStsReg__4__POS EQU 4 SDCard_BSPIM_TxStsReg__MASK EQU 0x1F -SDCard_BSPIM_TxStsReg__MASK_REG EQU CYREG_B1_UDB06_MSK -SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB06_ACTL -SDCard_BSPIM_TxStsReg__STATUS_REG EQU CYREG_B1_UDB06_ST -SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG EQU CYREG_B0_UDB05_06_A0 -SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG EQU CYREG_B0_UDB05_06_A1 -SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG EQU CYREG_B0_UDB05_06_D0 -SDCard_BSPIM_sR8_Dp_u0__16BIT_D1_REG EQU CYREG_B0_UDB05_06_D1 -SDCard_BSPIM_sR8_Dp_u0__16BIT_DP_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL -SDCard_BSPIM_sR8_Dp_u0__16BIT_F0_REG EQU CYREG_B0_UDB05_06_F0 -SDCard_BSPIM_sR8_Dp_u0__16BIT_F1_REG EQU CYREG_B0_UDB05_06_F1 -SDCard_BSPIM_sR8_Dp_u0__A0_A1_REG EQU CYREG_B0_UDB05_A0_A1 -SDCard_BSPIM_sR8_Dp_u0__A0_REG EQU CYREG_B0_UDB05_A0 -SDCard_BSPIM_sR8_Dp_u0__A1_REG EQU CYREG_B0_UDB05_A1 -SDCard_BSPIM_sR8_Dp_u0__D0_D1_REG EQU CYREG_B0_UDB05_D0_D1 -SDCard_BSPIM_sR8_Dp_u0__D0_REG EQU CYREG_B0_UDB05_D0 -SDCard_BSPIM_sR8_Dp_u0__D1_REG EQU CYREG_B0_UDB05_D1 -SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL -SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG EQU CYREG_B0_UDB05_F0_F1 -SDCard_BSPIM_sR8_Dp_u0__F0_REG EQU CYREG_B0_UDB05_F0 -SDCard_BSPIM_sR8_Dp_u0__F1_REG EQU CYREG_B0_UDB05_F1 -SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL -SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL +SDCard_BSPIM_TxStsReg__MASK_REG EQU CYREG_B0_UDB05_MSK +SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL +SDCard_BSPIM_TxStsReg__STATUS_REG EQU CYREG_B0_UDB05_ST +SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG EQU CYREG_B1_UDB06_07_A0 +SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG EQU CYREG_B1_UDB06_07_A1 +SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG EQU CYREG_B1_UDB06_07_D0 +SDCard_BSPIM_sR8_Dp_u0__16BIT_D1_REG EQU CYREG_B1_UDB06_07_D1 +SDCard_BSPIM_sR8_Dp_u0__16BIT_DP_AUX_CTL_REG EQU CYREG_B1_UDB06_07_ACTL +SDCard_BSPIM_sR8_Dp_u0__16BIT_F0_REG EQU CYREG_B1_UDB06_07_F0 +SDCard_BSPIM_sR8_Dp_u0__16BIT_F1_REG EQU CYREG_B1_UDB06_07_F1 +SDCard_BSPIM_sR8_Dp_u0__A0_A1_REG EQU CYREG_B1_UDB06_A0_A1 +SDCard_BSPIM_sR8_Dp_u0__A0_REG EQU CYREG_B1_UDB06_A0 +SDCard_BSPIM_sR8_Dp_u0__A1_REG EQU CYREG_B1_UDB06_A1 +SDCard_BSPIM_sR8_Dp_u0__D0_D1_REG EQU CYREG_B1_UDB06_D0_D1 +SDCard_BSPIM_sR8_Dp_u0__D0_REG EQU CYREG_B1_UDB06_D0 +SDCard_BSPIM_sR8_Dp_u0__D1_REG EQU CYREG_B1_UDB06_D1 +SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG EQU CYREG_B1_UDB06_ACTL +SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG EQU CYREG_B1_UDB06_F0_F1 +SDCard_BSPIM_sR8_Dp_u0__F0_REG EQU CYREG_B1_UDB06_F0 +SDCard_BSPIM_sR8_Dp_u0__F1_REG EQU CYREG_B1_UDB06_F1 /* USBFS_dp_int */ USBFS_dp_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 @@ -1199,8 +1203,8 @@ timer_clock__PM_STBY_MSK EQU 0x04 /* scsiTarget */ scsiTarget_StatusReg__0__MASK EQU 0x01 scsiTarget_StatusReg__0__POS EQU 0 -scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB00_01_ACTL -scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB00_01_ST +scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB11_12_ACTL +scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB11_12_ST scsiTarget_StatusReg__1__MASK EQU 0x02 scsiTarget_StatusReg__1__POS EQU 1 scsiTarget_StatusReg__2__MASK EQU 0x04 @@ -1210,54 +1214,58 @@ scsiTarget_StatusReg__3__POS EQU 3 scsiTarget_StatusReg__4__MASK EQU 0x10 scsiTarget_StatusReg__4__POS EQU 4 scsiTarget_StatusReg__MASK EQU 0x1F -scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB00_MSK -scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB00_ACTL -scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB00_ST -scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL -scsiTarget_datapath_PI__16BIT_STATUS_REG EQU CYREG_B0_UDB03_04_ST -scsiTarget_datapath_PI__MASK_REG EQU CYREG_B0_UDB03_MSK -scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL -scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL -scsiTarget_datapath_PI__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL -scsiTarget_datapath_PI__STATUS_CNT_REG EQU CYREG_B0_UDB03_ST_CTL -scsiTarget_datapath_PI__STATUS_CONTROL_REG EQU CYREG_B0_UDB03_ST_CTL -scsiTarget_datapath_PI__STATUS_REG EQU CYREG_B0_UDB03_ST -scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL -scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB03_04_CTL -scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB03_04_CTL -scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB03_04_CTL -scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB03_04_CTL -scsiTarget_datapath_PO__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB03_04_MSK -scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB03_04_MSK -scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB03_04_MSK -scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB03_04_MSK -scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL -scsiTarget_datapath_PO__CONTROL_REG EQU CYREG_B0_UDB03_CTL -scsiTarget_datapath_PO__CONTROL_ST_REG EQU CYREG_B0_UDB03_ST_CTL -scsiTarget_datapath_PO__COUNT_REG EQU CYREG_B0_UDB03_CTL -scsiTarget_datapath_PO__COUNT_ST_REG EQU CYREG_B0_UDB03_ST_CTL -scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL -scsiTarget_datapath_PO__PERIOD_REG EQU CYREG_B0_UDB03_MSK -scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL -scsiTarget_datapath__16BIT_A0_REG EQU CYREG_B0_UDB03_04_A0 -scsiTarget_datapath__16BIT_A1_REG EQU CYREG_B0_UDB03_04_A1 -scsiTarget_datapath__16BIT_D0_REG EQU CYREG_B0_UDB03_04_D0 -scsiTarget_datapath__16BIT_D1_REG EQU CYREG_B0_UDB03_04_D1 -scsiTarget_datapath__16BIT_DP_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL -scsiTarget_datapath__16BIT_F0_REG EQU CYREG_B0_UDB03_04_F0 -scsiTarget_datapath__16BIT_F1_REG EQU CYREG_B0_UDB03_04_F1 -scsiTarget_datapath__A0_A1_REG EQU CYREG_B0_UDB03_A0_A1 -scsiTarget_datapath__A0_REG EQU CYREG_B0_UDB03_A0 -scsiTarget_datapath__A1_REG EQU CYREG_B0_UDB03_A1 -scsiTarget_datapath__D0_D1_REG EQU CYREG_B0_UDB03_D0_D1 -scsiTarget_datapath__D0_REG EQU CYREG_B0_UDB03_D0 -scsiTarget_datapath__D1_REG EQU CYREG_B0_UDB03_D1 -scsiTarget_datapath__DP_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL -scsiTarget_datapath__F0_F1_REG EQU CYREG_B0_UDB03_F0_F1 -scsiTarget_datapath__F0_REG EQU CYREG_B0_UDB03_F0 -scsiTarget_datapath__F1_REG EQU CYREG_B0_UDB03_F1 -scsiTarget_datapath__MSK_DP_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL -scsiTarget_datapath__PER_DP_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB11_MSK +scsiTarget_StatusReg__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL +scsiTarget_StatusReg__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL +scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB11_ACTL +scsiTarget_StatusReg__STATUS_CNT_REG EQU CYREG_B0_UDB11_ST_CTL +scsiTarget_StatusReg__STATUS_CONTROL_REG EQU CYREG_B0_UDB11_ST_CTL +scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB11_ST +scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL +scsiTarget_datapath_PI__16BIT_STATUS_REG EQU CYREG_B0_UDB04_05_ST +scsiTarget_datapath_PI__MASK_REG EQU CYREG_B0_UDB04_MSK +scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_datapath_PI__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL +scsiTarget_datapath_PI__STATUS_CNT_REG EQU CYREG_B0_UDB04_ST_CTL +scsiTarget_datapath_PI__STATUS_CONTROL_REG EQU CYREG_B0_UDB04_ST_CTL +scsiTarget_datapath_PI__STATUS_REG EQU CYREG_B0_UDB04_ST +scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL +scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL +scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB04_05_CTL +scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL +scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB04_05_CTL +scsiTarget_datapath_PO__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB04_05_MSK +scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK +scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB04_05_MSK +scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK +scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL +scsiTarget_datapath_PO__CONTROL_REG EQU CYREG_B0_UDB04_CTL +scsiTarget_datapath_PO__CONTROL_ST_REG EQU CYREG_B0_UDB04_ST_CTL +scsiTarget_datapath_PO__COUNT_REG EQU CYREG_B0_UDB04_CTL +scsiTarget_datapath_PO__COUNT_ST_REG EQU CYREG_B0_UDB04_ST_CTL +scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_datapath_PO__PERIOD_REG EQU CYREG_B0_UDB04_MSK +scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_datapath__16BIT_A0_REG EQU CYREG_B0_UDB04_05_A0 +scsiTarget_datapath__16BIT_A1_REG EQU CYREG_B0_UDB04_05_A1 +scsiTarget_datapath__16BIT_D0_REG EQU CYREG_B0_UDB04_05_D0 +scsiTarget_datapath__16BIT_D1_REG EQU CYREG_B0_UDB04_05_D1 +scsiTarget_datapath__16BIT_DP_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL +scsiTarget_datapath__16BIT_F0_REG EQU CYREG_B0_UDB04_05_F0 +scsiTarget_datapath__16BIT_F1_REG EQU CYREG_B0_UDB04_05_F1 +scsiTarget_datapath__A0_A1_REG EQU CYREG_B0_UDB04_A0_A1 +scsiTarget_datapath__A0_REG EQU CYREG_B0_UDB04_A0 +scsiTarget_datapath__A1_REG EQU CYREG_B0_UDB04_A1 +scsiTarget_datapath__D0_D1_REG EQU CYREG_B0_UDB04_D0_D1 +scsiTarget_datapath__D0_REG EQU CYREG_B0_UDB04_D0 +scsiTarget_datapath__D1_REG EQU CYREG_B0_UDB04_D1 +scsiTarget_datapath__DP_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL +scsiTarget_datapath__F0_F1_REG EQU CYREG_B0_UDB04_F0_F1 +scsiTarget_datapath__F0_REG EQU CYREG_B0_UDB04_F0 +scsiTarget_datapath__F1_REG EQU CYREG_B0_UDB04_F1 +scsiTarget_datapath__MSK_DP_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_datapath__PER_DP_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL /* USBFS_ep_0 */ USBFS_ep_0__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc index 2145cf3..52e34c0 100644 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cyfitterrv.inc @@ -71,6 +71,16 @@ SD_TX_DMA_COMPLETE__INTC_PRIOR_REG EQU CYREG_NVIC_PRI_4 SD_TX_DMA_COMPLETE__INTC_SET_EN_REG EQU CYREG_NVIC_SETENA0 SD_TX_DMA_COMPLETE__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 +; SCSI_Parity_Error +SCSI_Parity_Error_sts_sts_reg__0__MASK EQU 0x01 +SCSI_Parity_Error_sts_sts_reg__0__POS EQU 0 +SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB10_11_ACTL +SCSI_Parity_Error_sts_sts_reg__16BIT_STATUS_REG EQU CYREG_B0_UDB10_11_ST +SCSI_Parity_Error_sts_sts_reg__MASK EQU 0x01 +SCSI_Parity_Error_sts_sts_reg__MASK_REG EQU CYREG_B0_UDB10_MSK +SCSI_Parity_Error_sts_sts_reg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB10_ACTL +SCSI_Parity_Error_sts_sts_reg__STATUS_REG EQU CYREG_B0_UDB10_ST + ; USBFS_bus_reset USBFS_bus_reset__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 USBFS_bus_reset__INTC_CLR_PD_REG EQU CYREG_NVIC_CLRPEND0 @@ -84,41 +94,41 @@ USBFS_bus_reset__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 ; SCSI_CTL_PHASE SCSI_CTL_PHASE_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_CTL_PHASE_Sync_ctrl_reg__0__POS EQU 0 -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB05_06_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB05_06_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB05_06_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB01_02_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB01_02_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB01_02_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB01_02_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB01_02_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB01_02_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB01_02_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB01_02_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB01_02_MSK SCSI_CTL_PHASE_Sync_ctrl_reg__1__MASK EQU 0x02 SCSI_CTL_PHASE_Sync_ctrl_reg__1__POS EQU 1 SCSI_CTL_PHASE_Sync_ctrl_reg__2__MASK EQU 0x04 SCSI_CTL_PHASE_Sync_ctrl_reg__2__POS EQU 2 -SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB05_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB05_ST_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB05_CTL -SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB05_ST_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB01_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB01_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB01_ST_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB01_CTL +SCSI_CTL_PHASE_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB01_ST_CTL SCSI_CTL_PHASE_Sync_ctrl_reg__MASK EQU 0x07 -SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL -SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB05_MSK -SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB01_MSK_ACTL +SCSI_CTL_PHASE_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB01_MSK +SCSI_CTL_PHASE_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB01_MSK_ACTL ; SCSI_Out_Bits SCSI_Out_Bits_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Out_Bits_Sync_ctrl_reg__0__POS EQU 0 -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB12_13_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB12_13_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB12_13_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB12_13_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB12_13_CTL -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB12_13_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB12_13_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB12_13_MSK -SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB12_13_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB11_12_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB11_12_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB11_12_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB11_12_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB11_12_CTL +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB11_12_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB11_12_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB11_12_MSK +SCSI_Out_Bits_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB11_12_MSK SCSI_Out_Bits_Sync_ctrl_reg__1__MASK EQU 0x02 SCSI_Out_Bits_Sync_ctrl_reg__1__POS EQU 1 SCSI_Out_Bits_Sync_ctrl_reg__2__MASK EQU 0x04 @@ -133,15 +143,15 @@ SCSI_Out_Bits_Sync_ctrl_reg__6__MASK EQU 0x40 SCSI_Out_Bits_Sync_ctrl_reg__6__POS EQU 6 SCSI_Out_Bits_Sync_ctrl_reg__7__MASK EQU 0x80 SCSI_Out_Bits_Sync_ctrl_reg__7__POS EQU 7 -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB12_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB12_CTL -SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB12_ST_CTL -SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB12_CTL -SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB12_ST_CTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB11_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB11_CTL +SCSI_Out_Bits_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB11_ST_CTL +SCSI_Out_Bits_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB11_CTL +SCSI_Out_Bits_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB11_ST_CTL SCSI_Out_Bits_Sync_ctrl_reg__MASK EQU 0xFF -SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB12_MSK_ACTL -SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB12_MSK -SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB12_MSK_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL +SCSI_Out_Bits_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB11_MSK +SCSI_Out_Bits_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL ; USBFS_arb_int USBFS_arb_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 @@ -166,24 +176,24 @@ USBFS_sof_int__INTC_SET_PD_REG EQU CYREG_NVIC_SETPEND0 ; SCSI_Out_Ctl SCSI_Out_Ctl_Sync_ctrl_reg__0__MASK EQU 0x01 SCSI_Out_Ctl_Sync_ctrl_reg__0__POS EQU 0 -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB07_08_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B1_UDB07_08_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B1_UDB07_08_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B1_UDB07_08_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B1_UDB07_08_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B1_UDB07_08_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B1_UDB07_08_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B1_UDB07_08_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B1_UDB07_08_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B1_UDB07_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B1_UDB07_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B1_UDB07_ST_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B1_UDB07_CTL -SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B1_UDB07_ST_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB14_15_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB14_15_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB14_15_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB14_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_REG EQU CYREG_B0_UDB14_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__CONTROL_ST_REG EQU CYREG_B0_UDB14_ST_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_REG EQU CYREG_B0_UDB14_CTL +SCSI_Out_Ctl_Sync_ctrl_reg__COUNT_ST_REG EQU CYREG_B0_UDB14_ST_CTL SCSI_Out_Ctl_Sync_ctrl_reg__MASK EQU 0x01 -SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL -SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B1_UDB07_MSK -SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B1_UDB07_MSK_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB14_MSK_ACTL +SCSI_Out_Ctl_Sync_ctrl_reg__PERIOD_REG EQU CYREG_B0_UDB14_MSK +SCSI_Out_Ctl_Sync_ctrl_reg__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB14_MSK_ACTL ; SCSI_Out_DBx SCSI_Out_DBx__0__AG EQU CYREG_PRT6_AG @@ -656,8 +666,8 @@ SDCard_BSPIM_BitCounter__COUNT_ST_REG EQU CYREG_B0_UDB06_ST_CTL SDCard_BSPIM_BitCounter__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB06_MSK_ACTL SDCard_BSPIM_BitCounter__PERIOD_REG EQU CYREG_B0_UDB06_MSK SDCard_BSPIM_BitCounter__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB06_MSK_ACTL -SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL -SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB05_06_ST +SDCard_BSPIM_RxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB05_06_ACTL +SDCard_BSPIM_RxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB05_06_ST SDCard_BSPIM_RxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_RxStsReg__4__POS EQU 4 SDCard_BSPIM_RxStsReg__5__MASK EQU 0x20 @@ -665,17 +675,13 @@ SDCard_BSPIM_RxStsReg__5__POS EQU 5 SDCard_BSPIM_RxStsReg__6__MASK EQU 0x40 SDCard_BSPIM_RxStsReg__6__POS EQU 6 SDCard_BSPIM_RxStsReg__MASK EQU 0x70 -SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B0_UDB05_MSK -SDCard_BSPIM_RxStsReg__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL -SDCard_BSPIM_RxStsReg__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL -SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL -SDCard_BSPIM_RxStsReg__STATUS_CNT_REG EQU CYREG_B0_UDB05_ST_CTL -SDCard_BSPIM_RxStsReg__STATUS_CONTROL_REG EQU CYREG_B0_UDB05_ST_CTL -SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B0_UDB05_ST +SDCard_BSPIM_RxStsReg__MASK_REG EQU CYREG_B1_UDB05_MSK +SDCard_BSPIM_RxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB05_ACTL +SDCard_BSPIM_RxStsReg__STATUS_REG EQU CYREG_B1_UDB05_ST SDCard_BSPIM_TxStsReg__0__MASK EQU 0x01 SDCard_BSPIM_TxStsReg__0__POS EQU 0 -SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B1_UDB06_07_ACTL -SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG EQU CYREG_B1_UDB06_07_ST +SDCard_BSPIM_TxStsReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL +SDCard_BSPIM_TxStsReg__16BIT_STATUS_REG EQU CYREG_B0_UDB05_06_ST SDCard_BSPIM_TxStsReg__1__MASK EQU 0x02 SDCard_BSPIM_TxStsReg__1__POS EQU 1 SDCard_BSPIM_TxStsReg__2__MASK EQU 0x04 @@ -685,28 +691,26 @@ SDCard_BSPIM_TxStsReg__3__POS EQU 3 SDCard_BSPIM_TxStsReg__4__MASK EQU 0x10 SDCard_BSPIM_TxStsReg__4__POS EQU 4 SDCard_BSPIM_TxStsReg__MASK EQU 0x1F -SDCard_BSPIM_TxStsReg__MASK_REG EQU CYREG_B1_UDB06_MSK -SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B1_UDB06_ACTL -SDCard_BSPIM_TxStsReg__STATUS_REG EQU CYREG_B1_UDB06_ST -SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG EQU CYREG_B0_UDB05_06_A0 -SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG EQU CYREG_B0_UDB05_06_A1 -SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG EQU CYREG_B0_UDB05_06_D0 -SDCard_BSPIM_sR8_Dp_u0__16BIT_D1_REG EQU CYREG_B0_UDB05_06_D1 -SDCard_BSPIM_sR8_Dp_u0__16BIT_DP_AUX_CTL_REG EQU CYREG_B0_UDB05_06_ACTL -SDCard_BSPIM_sR8_Dp_u0__16BIT_F0_REG EQU CYREG_B0_UDB05_06_F0 -SDCard_BSPIM_sR8_Dp_u0__16BIT_F1_REG EQU CYREG_B0_UDB05_06_F1 -SDCard_BSPIM_sR8_Dp_u0__A0_A1_REG EQU CYREG_B0_UDB05_A0_A1 -SDCard_BSPIM_sR8_Dp_u0__A0_REG EQU CYREG_B0_UDB05_A0 -SDCard_BSPIM_sR8_Dp_u0__A1_REG EQU CYREG_B0_UDB05_A1 -SDCard_BSPIM_sR8_Dp_u0__D0_D1_REG EQU CYREG_B0_UDB05_D0_D1 -SDCard_BSPIM_sR8_Dp_u0__D0_REG EQU CYREG_B0_UDB05_D0 -SDCard_BSPIM_sR8_Dp_u0__D1_REG EQU CYREG_B0_UDB05_D1 -SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL -SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG EQU CYREG_B0_UDB05_F0_F1 -SDCard_BSPIM_sR8_Dp_u0__F0_REG EQU CYREG_B0_UDB05_F0 -SDCard_BSPIM_sR8_Dp_u0__F1_REG EQU CYREG_B0_UDB05_F1 -SDCard_BSPIM_sR8_Dp_u0__MSK_DP_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL -SDCard_BSPIM_sR8_Dp_u0__PER_DP_AUX_CTL_REG EQU CYREG_B0_UDB05_MSK_ACTL +SDCard_BSPIM_TxStsReg__MASK_REG EQU CYREG_B0_UDB05_MSK +SDCard_BSPIM_TxStsReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB05_ACTL +SDCard_BSPIM_TxStsReg__STATUS_REG EQU CYREG_B0_UDB05_ST +SDCard_BSPIM_sR8_Dp_u0__16BIT_A0_REG EQU CYREG_B1_UDB06_07_A0 +SDCard_BSPIM_sR8_Dp_u0__16BIT_A1_REG EQU CYREG_B1_UDB06_07_A1 +SDCard_BSPIM_sR8_Dp_u0__16BIT_D0_REG EQU CYREG_B1_UDB06_07_D0 +SDCard_BSPIM_sR8_Dp_u0__16BIT_D1_REG EQU CYREG_B1_UDB06_07_D1 +SDCard_BSPIM_sR8_Dp_u0__16BIT_DP_AUX_CTL_REG EQU CYREG_B1_UDB06_07_ACTL +SDCard_BSPIM_sR8_Dp_u0__16BIT_F0_REG EQU CYREG_B1_UDB06_07_F0 +SDCard_BSPIM_sR8_Dp_u0__16BIT_F1_REG EQU CYREG_B1_UDB06_07_F1 +SDCard_BSPIM_sR8_Dp_u0__A0_A1_REG EQU CYREG_B1_UDB06_A0_A1 +SDCard_BSPIM_sR8_Dp_u0__A0_REG EQU CYREG_B1_UDB06_A0 +SDCard_BSPIM_sR8_Dp_u0__A1_REG EQU CYREG_B1_UDB06_A1 +SDCard_BSPIM_sR8_Dp_u0__D0_D1_REG EQU CYREG_B1_UDB06_D0_D1 +SDCard_BSPIM_sR8_Dp_u0__D0_REG EQU CYREG_B1_UDB06_D0 +SDCard_BSPIM_sR8_Dp_u0__D1_REG EQU CYREG_B1_UDB06_D1 +SDCard_BSPIM_sR8_Dp_u0__DP_AUX_CTL_REG EQU CYREG_B1_UDB06_ACTL +SDCard_BSPIM_sR8_Dp_u0__F0_F1_REG EQU CYREG_B1_UDB06_F0_F1 +SDCard_BSPIM_sR8_Dp_u0__F0_REG EQU CYREG_B1_UDB06_F0 +SDCard_BSPIM_sR8_Dp_u0__F1_REG EQU CYREG_B1_UDB06_F1 ; USBFS_dp_int USBFS_dp_int__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 @@ -1199,8 +1203,8 @@ timer_clock__PM_STBY_MSK EQU 0x04 ; scsiTarget scsiTarget_StatusReg__0__MASK EQU 0x01 scsiTarget_StatusReg__0__POS EQU 0 -scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB00_01_ACTL -scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB00_01_ST +scsiTarget_StatusReg__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB11_12_ACTL +scsiTarget_StatusReg__16BIT_STATUS_REG EQU CYREG_B0_UDB11_12_ST scsiTarget_StatusReg__1__MASK EQU 0x02 scsiTarget_StatusReg__1__POS EQU 1 scsiTarget_StatusReg__2__MASK EQU 0x04 @@ -1210,54 +1214,58 @@ scsiTarget_StatusReg__3__POS EQU 3 scsiTarget_StatusReg__4__MASK EQU 0x10 scsiTarget_StatusReg__4__POS EQU 4 scsiTarget_StatusReg__MASK EQU 0x1F -scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB00_MSK -scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB00_ACTL -scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB00_ST -scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL -scsiTarget_datapath_PI__16BIT_STATUS_REG EQU CYREG_B0_UDB03_04_ST -scsiTarget_datapath_PI__MASK_REG EQU CYREG_B0_UDB03_MSK -scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL -scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL -scsiTarget_datapath_PI__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL -scsiTarget_datapath_PI__STATUS_CNT_REG EQU CYREG_B0_UDB03_ST_CTL -scsiTarget_datapath_PI__STATUS_CONTROL_REG EQU CYREG_B0_UDB03_ST_CTL -scsiTarget_datapath_PI__STATUS_REG EQU CYREG_B0_UDB03_ST -scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL -scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB03_04_CTL -scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB03_04_CTL -scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB03_04_CTL -scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB03_04_CTL -scsiTarget_datapath_PO__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB03_04_MSK -scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB03_04_MSK -scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB03_04_MSK -scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB03_04_MSK -scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL -scsiTarget_datapath_PO__CONTROL_REG EQU CYREG_B0_UDB03_CTL -scsiTarget_datapath_PO__CONTROL_ST_REG EQU CYREG_B0_UDB03_ST_CTL -scsiTarget_datapath_PO__COUNT_REG EQU CYREG_B0_UDB03_CTL -scsiTarget_datapath_PO__COUNT_ST_REG EQU CYREG_B0_UDB03_ST_CTL -scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL -scsiTarget_datapath_PO__PERIOD_REG EQU CYREG_B0_UDB03_MSK -scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL -scsiTarget_datapath__16BIT_A0_REG EQU CYREG_B0_UDB03_04_A0 -scsiTarget_datapath__16BIT_A1_REG EQU CYREG_B0_UDB03_04_A1 -scsiTarget_datapath__16BIT_D0_REG EQU CYREG_B0_UDB03_04_D0 -scsiTarget_datapath__16BIT_D1_REG EQU CYREG_B0_UDB03_04_D1 -scsiTarget_datapath__16BIT_DP_AUX_CTL_REG EQU CYREG_B0_UDB03_04_ACTL -scsiTarget_datapath__16BIT_F0_REG EQU CYREG_B0_UDB03_04_F0 -scsiTarget_datapath__16BIT_F1_REG EQU CYREG_B0_UDB03_04_F1 -scsiTarget_datapath__A0_A1_REG EQU CYREG_B0_UDB03_A0_A1 -scsiTarget_datapath__A0_REG EQU CYREG_B0_UDB03_A0 -scsiTarget_datapath__A1_REG EQU CYREG_B0_UDB03_A1 -scsiTarget_datapath__D0_D1_REG EQU CYREG_B0_UDB03_D0_D1 -scsiTarget_datapath__D0_REG EQU CYREG_B0_UDB03_D0 -scsiTarget_datapath__D1_REG EQU CYREG_B0_UDB03_D1 -scsiTarget_datapath__DP_AUX_CTL_REG EQU CYREG_B0_UDB03_ACTL -scsiTarget_datapath__F0_F1_REG EQU CYREG_B0_UDB03_F0_F1 -scsiTarget_datapath__F0_REG EQU CYREG_B0_UDB03_F0 -scsiTarget_datapath__F1_REG EQU CYREG_B0_UDB03_F1 -scsiTarget_datapath__MSK_DP_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL -scsiTarget_datapath__PER_DP_AUX_CTL_REG EQU CYREG_B0_UDB03_MSK_ACTL +scsiTarget_StatusReg__MASK_REG EQU CYREG_B0_UDB11_MSK +scsiTarget_StatusReg__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL +scsiTarget_StatusReg__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB11_MSK_ACTL +scsiTarget_StatusReg__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB11_ACTL +scsiTarget_StatusReg__STATUS_CNT_REG EQU CYREG_B0_UDB11_ST_CTL +scsiTarget_StatusReg__STATUS_CONTROL_REG EQU CYREG_B0_UDB11_ST_CTL +scsiTarget_StatusReg__STATUS_REG EQU CYREG_B0_UDB11_ST +scsiTarget_datapath_PI__16BIT_STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL +scsiTarget_datapath_PI__16BIT_STATUS_REG EQU CYREG_B0_UDB04_05_ST +scsiTarget_datapath_PI__MASK_REG EQU CYREG_B0_UDB04_MSK +scsiTarget_datapath_PI__MASK_ST_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_datapath_PI__PER_ST_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_datapath_PI__STATUS_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL +scsiTarget_datapath_PI__STATUS_CNT_REG EQU CYREG_B0_UDB04_ST_CTL +scsiTarget_datapath_PI__STATUS_CONTROL_REG EQU CYREG_B0_UDB04_ST_CTL +scsiTarget_datapath_PI__STATUS_REG EQU CYREG_B0_UDB04_ST +scsiTarget_datapath_PO__16BIT_CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL +scsiTarget_datapath_PO__16BIT_CONTROL_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL +scsiTarget_datapath_PO__16BIT_CONTROL_COUNT_REG EQU CYREG_B0_UDB04_05_CTL +scsiTarget_datapath_PO__16BIT_COUNT_CONTROL_REG EQU CYREG_B0_UDB04_05_CTL +scsiTarget_datapath_PO__16BIT_COUNT_COUNT_REG EQU CYREG_B0_UDB04_05_CTL +scsiTarget_datapath_PO__16BIT_MASK_MASK_REG EQU CYREG_B0_UDB04_05_MSK +scsiTarget_datapath_PO__16BIT_MASK_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK +scsiTarget_datapath_PO__16BIT_PERIOD_MASK_REG EQU CYREG_B0_UDB04_05_MSK +scsiTarget_datapath_PO__16BIT_PERIOD_PERIOD_REG EQU CYREG_B0_UDB04_05_MSK +scsiTarget_datapath_PO__CONTROL_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL +scsiTarget_datapath_PO__CONTROL_REG EQU CYREG_B0_UDB04_CTL +scsiTarget_datapath_PO__CONTROL_ST_REG EQU CYREG_B0_UDB04_ST_CTL +scsiTarget_datapath_PO__COUNT_REG EQU CYREG_B0_UDB04_CTL +scsiTarget_datapath_PO__COUNT_ST_REG EQU CYREG_B0_UDB04_ST_CTL +scsiTarget_datapath_PO__MASK_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_datapath_PO__PERIOD_REG EQU CYREG_B0_UDB04_MSK +scsiTarget_datapath_PO__PER_CTL_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_datapath__16BIT_A0_REG EQU CYREG_B0_UDB04_05_A0 +scsiTarget_datapath__16BIT_A1_REG EQU CYREG_B0_UDB04_05_A1 +scsiTarget_datapath__16BIT_D0_REG EQU CYREG_B0_UDB04_05_D0 +scsiTarget_datapath__16BIT_D1_REG EQU CYREG_B0_UDB04_05_D1 +scsiTarget_datapath__16BIT_DP_AUX_CTL_REG EQU CYREG_B0_UDB04_05_ACTL +scsiTarget_datapath__16BIT_F0_REG EQU CYREG_B0_UDB04_05_F0 +scsiTarget_datapath__16BIT_F1_REG EQU CYREG_B0_UDB04_05_F1 +scsiTarget_datapath__A0_A1_REG EQU CYREG_B0_UDB04_A0_A1 +scsiTarget_datapath__A0_REG EQU CYREG_B0_UDB04_A0 +scsiTarget_datapath__A1_REG EQU CYREG_B0_UDB04_A1 +scsiTarget_datapath__D0_D1_REG EQU CYREG_B0_UDB04_D0_D1 +scsiTarget_datapath__D0_REG EQU CYREG_B0_UDB04_D0 +scsiTarget_datapath__D1_REG EQU CYREG_B0_UDB04_D1 +scsiTarget_datapath__DP_AUX_CTL_REG EQU CYREG_B0_UDB04_ACTL +scsiTarget_datapath__F0_F1_REG EQU CYREG_B0_UDB04_F0_F1 +scsiTarget_datapath__F0_REG EQU CYREG_B0_UDB04_F0 +scsiTarget_datapath__F1_REG EQU CYREG_B0_UDB04_F1 +scsiTarget_datapath__MSK_DP_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL +scsiTarget_datapath__PER_DP_AUX_CTL_REG EQU CYREG_B0_UDB04_MSK_ACTL ; USBFS_ep_0 USBFS_ep_0__INTC_CLR_EN_REG EQU CYREG_NVIC_CLRENA0 diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cymetadata.c b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cymetadata.c index 65a8d8b..27cf404 100644 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cymetadata.c +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/cymetadata.c @@ -28,7 +28,7 @@ __attribute__ ((__section__(".cyloadablemeta"), used)) const uint8 cy_meta_loadable[] = { 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, - 0x00u, 0x00u, 0x00u, 0x00u, 0x5Cu, 0xD1u, 0x52u, 0x03u, + 0x00u, 0x00u, 0x00u, 0x00u, 0x5Cu, 0xD1u, 0x60u, 0x03u, 0x01u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, 0x00u, diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/project.h b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/project.h index d49b3af..542b2e7 100644 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/project.h +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoC5/project.h @@ -70,6 +70,7 @@ #include #include #include +#include #include #include #include diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoCCreatorExportIDE.xml b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoCCreatorExportIDE.xml new file mode 100755 index 0000000..94bc6b1 --- /dev/null +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/Generated_Source/PSoCCreatorExportIDE.xml @@ -0,0 +1,253 @@ + + + + + + + + + + + + + + + + + + + + + + SCSI2SD.svd + + + .\Generated_Source\PSoC5\cm3gcc.ld + .\Generated_Source\PSoC5\Cm3RealView.scat + .\Generated_Source\PSoC5\Cm3Iar.icf + + + + + ..\..\src\main.c + ..\..\src\diagnostic.c + ..\..\src\disk.c + ..\..\src\geometry.c + ..\..\src\inquiry.c + ..\..\src\mode.c + ..\..\src\scsi.c + ..\..\src\scsiPhy.c + ..\..\src\bits.c + ..\..\src\sd.c + ..\..\src\config.c + ..\..\src\led.c + ..\..\src\diagnostic.h + ..\..\src\disk.h + ..\..\src\geometry.h + ..\..\src\inquiry.h + ..\..\src\led.h + ..\..\src\mode.h + ..\..\src\scsi.h + ..\..\src\scsiPhy.h + ..\..\src\sense.h + ..\..\src\bits.h + ..\..\src\sd.h + ..\..\src\config.h + + + + + .\device.h + + + + + .\Generated_Source\PSoC5\cyfitter_cfg.h + .\Generated_Source\PSoC5\cyfitter_cfg.c + .\Generated_Source\PSoC5\cydevice.h + .\Generated_Source\PSoC5\cydevicegnu.inc + .\Generated_Source\PSoC5\cydevicerv.inc + .\Generated_Source\PSoC5\cydevice_trm.h + .\Generated_Source\PSoC5\cydevicegnu_trm.inc + .\Generated_Source\PSoC5\cydevicerv_trm.inc + .\Generated_Source\PSoC5\cyfittergnu.inc + .\Generated_Source\PSoC5\cyfitterrv.inc + .\Generated_Source\PSoC5\cyfitter.h + .\Generated_Source\PSoC5\SCSI_In_DBx_aliases.h + .\Generated_Source\PSoC5\SCSI_Out_DBx_aliases.h + .\Generated_Source\PSoC5\SD_MISO_aliases.h + .\Generated_Source\PSoC5\SD_MISO.c + .\Generated_Source\PSoC5\SD_MISO.h + .\Generated_Source\PSoC5\SD_MOSI_aliases.h + .\Generated_Source\PSoC5\SD_MOSI.c + .\Generated_Source\PSoC5\SD_MOSI.h + .\Generated_Source\PSoC5\SD_SCK_aliases.h + .\Generated_Source\PSoC5\SD_SCK.c + .\Generated_Source\PSoC5\SD_SCK.h + .\Generated_Source\PSoC5\SD_CS_aliases.h + .\Generated_Source\PSoC5\SD_CS.c + .\Generated_Source\PSoC5\SD_CS.h + .\Generated_Source\PSoC5\SD_DAT1_aliases.h + .\Generated_Source\PSoC5\SD_DAT1.c + .\Generated_Source\PSoC5\SD_DAT1.h + .\Generated_Source\PSoC5\SD_DAT2_aliases.h + .\Generated_Source\PSoC5\SD_DAT2.c + .\Generated_Source\PSoC5\SD_DAT2.h + .\Generated_Source\PSoC5\SD_CD_aliases.h + .\Generated_Source\PSoC5\SD_CD.c + .\Generated_Source\PSoC5\SD_CD.h + .\Generated_Source\PSoC5\SCSI_In_aliases.h + .\Generated_Source\PSoC5\SCSI_Out_aliases.h + .\Generated_Source\PSoC5\LED1_aliases.h + .\Generated_Source\PSoC5\LED1.c + .\Generated_Source\PSoC5\LED1.h + .\Generated_Source\PSoC5\Cm3Start.c + .\Generated_Source\PSoC5\core_cm3_psoc5.h + .\Generated_Source\PSoC5\core_cm3.h + .\Generated_Source\PSoC5\CyBootAsmGnu.s + .\Generated_Source\PSoC5\CyBootAsmRv.s + .\Generated_Source\PSoC5\CyDmac.c + .\Generated_Source\PSoC5\CyDmac.h + .\Generated_Source\PSoC5\CyFlash.c + .\Generated_Source\PSoC5\CyFlash.h + .\Generated_Source\PSoC5\CyLib.c + .\Generated_Source\PSoC5\CyLib.h + .\Generated_Source\PSoC5\cypins.h + .\Generated_Source\PSoC5\cyPm.c + .\Generated_Source\PSoC5\cyPm.h + .\Generated_Source\PSoC5\CySpc.c + .\Generated_Source\PSoC5\CySpc.h + .\Generated_Source\PSoC5\cytypes.h + .\Generated_Source\PSoC5\cyutils.c + .\Generated_Source\PSoC5\core_cmFunc.h + .\Generated_Source\PSoC5\core_cmInstr.h + .\Generated_Source\PSoC5\CyBootAsmIar.s + .\Generated_Source\PSoC5\project.h + .\Generated_Source\PSoC5\SD_Data_Clk.c + .\Generated_Source\PSoC5\SD_Data_Clk.h + .\Generated_Source\PSoC5\SDCard.c + .\Generated_Source\PSoC5\SDCard.h + .\Generated_Source\PSoC5\SDCard_PM.c + .\Generated_Source\PSoC5\SDCard_INT.c + .\Generated_Source\PSoC5\SDCard_PVT.h + .\Generated_Source\PSoC5\SCSI_RST_aliases.h + .\Generated_Source\PSoC5\SCSI_RST.c + .\Generated_Source\PSoC5\SCSI_RST.h + .\Generated_Source\PSoC5\SCSI_ATN_aliases.h + .\Generated_Source\PSoC5\SCSI_ATN.c + .\Generated_Source\PSoC5\SCSI_ATN.h + .\Generated_Source\PSoC5\SCSI_RST_ISR.c + .\Generated_Source\PSoC5\SCSI_RST_ISR.h + .\Generated_Source\PSoC5\cymetadata.c + .\Generated_Source\PSoC5\cydeviceiar.inc + .\Generated_Source\PSoC5\cydeviceiar_trm.inc + .\Generated_Source\PSoC5\cyfitteriar.inc + .\Generated_Source\PSoC5\cydisabledsheets.h + .\Generated_Source\PSoC5\CFG_EEPROM.c + .\Generated_Source\PSoC5\CFG_EEPROM.h + .\Generated_Source\PSoC5\cybootloader.c + .\Generated_Source\PSoC5\Bootloadable_1.c + .\Generated_Source\PSoC5\Bootloadable_1.h + .\Generated_Source\PSoC5\USBFS.c + .\Generated_Source\PSoC5\USBFS.h + .\Generated_Source\PSoC5\USBFS_audio.c + .\Generated_Source\PSoC5\USBFS_audio.h + .\Generated_Source\PSoC5\USBFS_boot.c + .\Generated_Source\PSoC5\USBFS_cdc.c + .\Generated_Source\PSoC5\USBFS_cdc.h + .\Generated_Source\PSoC5\USBFS_cls.c + .\Generated_Source\PSoC5\USBFS_descr.c + .\Generated_Source\PSoC5\USBFS_drv.c + .\Generated_Source\PSoC5\USBFS_episr.c + .\Generated_Source\PSoC5\USBFS_hid.c + .\Generated_Source\PSoC5\USBFS_hid.h + .\Generated_Source\PSoC5\USBFS_pm.c + .\Generated_Source\PSoC5\USBFS_std.c + .\Generated_Source\PSoC5\USBFS_vnd.c + .\Generated_Source\PSoC5\USBFS_midi.c + .\Generated_Source\PSoC5\USBFS_midi.h + .\Generated_Source\PSoC5\USBFS_pvt.h + .\Generated_Source\PSoC5\USBFS_Dm_aliases.h + .\Generated_Source\PSoC5\USBFS_Dm.c + .\Generated_Source\PSoC5\USBFS_Dm.h + .\Generated_Source\PSoC5\USBFS_Dp_aliases.h + .\Generated_Source\PSoC5\USBFS_Dp.c + .\Generated_Source\PSoC5\USBFS_Dp.h + .\Generated_Source\PSoC5\SCSI_CTL_PHASE.c + .\Generated_Source\PSoC5\SCSI_CTL_PHASE.h + .\Generated_Source\PSoC5\SCSI_CLK.c + .\Generated_Source\PSoC5\SCSI_CLK.h + .\Generated_Source\PSoC5\SCSI_Out_Bits.c + .\Generated_Source\PSoC5\SCSI_Out_Bits.h + .\Generated_Source\PSoC5\SCSI_Out_Ctl.c + .\Generated_Source\PSoC5\SCSI_Out_Ctl.h + .\Generated_Source\PSoC5\Debug_Timer.c + .\Generated_Source\PSoC5\Debug_Timer.h + .\Generated_Source\PSoC5\Debug_Timer_PM.c + .\Generated_Source\PSoC5\timer_clock.c + .\Generated_Source\PSoC5\timer_clock.h + .\Generated_Source\PSoC5\Debug_Timer_Interrupt.c + .\Generated_Source\PSoC5\Debug_Timer_Interrupt.h + .\Generated_Source\PSoC5\SCSI_TX_DMA_dma.c + .\Generated_Source\PSoC5\SCSI_TX_DMA_dma.h + .\Generated_Source\PSoC5\SCSI_TX_DMA_COMPLETE.c + .\Generated_Source\PSoC5\SCSI_TX_DMA_COMPLETE.h + .\Generated_Source\PSoC5\SD_RX_DMA_dma.c + .\Generated_Source\PSoC5\SD_RX_DMA_dma.h + .\Generated_Source\PSoC5\SD_TX_DMA_dma.c + .\Generated_Source\PSoC5\SD_TX_DMA_dma.h + .\Generated_Source\PSoC5\SD_RX_DMA_COMPLETE.c + .\Generated_Source\PSoC5\SD_RX_DMA_COMPLETE.h + .\Generated_Source\PSoC5\SD_TX_DMA_COMPLETE.c + .\Generated_Source\PSoC5\SD_TX_DMA_COMPLETE.h + .\Generated_Source\PSoC5\SCSI_RX_DMA_dma.c + .\Generated_Source\PSoC5\SCSI_RX_DMA_dma.h + .\Generated_Source\PSoC5\SCSI_RX_DMA_COMPLETE.c + .\Generated_Source\PSoC5\SCSI_RX_DMA_COMPLETE.h + .\Generated_Source\PSoC5\SCSI_Parity_Error.c + .\Generated_Source\PSoC5\SCSI_Parity_Error.h + .\Generated_Source\PSoC5\prebuild.bat + .\Generated_Source\PSoC5\postbuild.bat + .\Generated_Source\PSoC5\CyElfTool.exe + .\Generated_Source\PSoC5\libelf.dll + + + + + .\Generated_Source\PSoC5\ARM_GCC\CyComponentLibrary.a + + + + + .\Generated_Source\PSoC5\ARM_Keil_MDK\CyComponentLibrary.a + + + + + .\Generated_Source\PSoC5\IAR\CyComponentLibrary.a + + + + + + + + + + + + + + + + + + + + + + + + + + \ No newline at end of file diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cycdx b/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cycdx index e13d32d..e399342 100644 --- a/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cycdx +++ b/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cycdx @@ -1,12 +1,11 @@ - + - + - @@ -64,7 +63,8 @@ - + + @@ -73,7 +73,6 @@ - @@ -154,36 +153,67 @@ - + + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + - + - - + + - - + + - - + + - + + \ No newline at end of file diff --git a/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cyfit b/software/SCSI2SD/v3/SCSI2SD.cydsn/SCSI2SD.cyfit index 81519e102e558088c6886b2c9c7c170a8d845f23..515157ac293dfa2857fe4dedd8cc4a709da95b49 100644 GIT binary patch literal 257412 zcmb5VWq2G*vMnlGvSeAz%*-rV%xE#QEM{hAW@eVf%*@Qp%nU8&CwtF1cV_O~+28w> zRoPLI5o@i8%&)sz`UfxwA`lSJSD^YDOhLvvqkT_%AfPNCU?AX+Spg;4vo@$GjRl1R zSG6iWE;AiIE)n7=$){eMAfg7<4LoQ?#~<}NBgio?(cgs(66UC4bmkZnRvmx>mH^Jyq1*Et_6kJ8sx$ki6e-3%uObZ)`k#)+&EKfpYn{ z*b`K7_t+0OAXzWSX&RqNe;n62&^fR>kUy|?Z=PJrnYK-@dGeGbQe&%0-+6MUi{oBv zlF}!+9Z7f5I8{H!oU}h+H)N9=Ne@Pu0|6-lu-_Wy*GM#+&z>||EUhnLFq_yFH zrq4h|s_?i)5$saZSHecDBC(%{(F}c(kE@uj*s5l+qshIHD&oE`UV&I|=0Z85CM^WPs%(7JIDu4l-tf%P5Lm>7qCf9E-{O02j`-k|Or$yr5R zb_%ci#O@drUa9YTKV#s|UbGNF&91#*Aj3VQe~>X=tL!XG^n-kB9iiEQSHV-IFhw%x z<>VGDB4d^)@g~K|y4XaE8)tfA=XlMn0bIcpOXpO|uKxJF)?=B{*?Scso1dKsh8U;i zHj%!ZqiDgp>SwAZ$*pHGo2~q1i@9!mh*^npIa_cDc8C2~4P^lM!j=j8E2)SGUn=iVRH?6y3{OP50b5E!L(wwzj|72haGAi}WM1vndPfx-KF_h*pVD_hM4{v=({(GKf7BnJ z?=-(VB@*o$GP2)=l zw~GM5a#CeGm!I@8yj}6RS@+KZU$H+G&(|#&$kC92T(mg7ivY^y)M1N=h3b2#qxPAf zZF=tgLfJi&EoC~e%|UtOq#FgD#@PdXI4#^t0)8KOV~Bc~bT;rng)8bD` zdZjG1&}yXDHUL#ucugCL{Q=rzT`1=?XB}iMab`(sML5l(9p%zq&Wox>;-`oT!N>AT zE<#=zT?ql0?JL@39w@3{9@|lKdJoMF>q(kIsLA_?4F$_f)J`()jCm=PJ$IhOl z{br>W>g*m+@cGs^!+C$Hk(ZFiq_J8nucW{&SZ#2#{=V8+)2T;s+GM@-*^T5)VyGgE zWIMRCZKk?a`AZrl<8v8N0j+j&qLBMhzavfOF%ZH9!MkOYB{6HHJ&U1WJzr5)hN|=! z;T&UeZ*Xmf;CgA6jFGV6pq>#>x$`8n|!M1_T z`qi|$v3VRmooRz?(b2Q)*;5M5VPCs@Lob{LE+nbs0#o`USBlr|$h^~=DrzLSt@Z6d z3ld_#taNe~#tfaCnlu#~stVes-zPI#UALvXvOU+OSp_PRLp$CLuW`T*N05=a zTQ-*G1P_9-V8-=-FZ~vA_|ByvJ16PueD-`}E?IUehv8Z$Zri}6P*>GirA03~>Pk0P z^-E>|_gk4qNfoKe*Fup`Agp9y0^M--=atQ@1s!(o6`GDAd2HS{1?*p6Sjn&Ypejr} z9CP>6I(3hy)+fhHAM7lRNG{w;TS##RgWL3f#@`3uJU5qeAqy4Y!&a?RK*NW=2ce3q zzkIs7@xfJq8x4^k|JK~9#P1|-kA3Kdi-Q0;QLC8T`BM9)WG~Kq>=j>mQO;WjYf&~g zjj47rM+YZ~bKvVX8ko(BYQ#Q`6$08~VFK$*E7ynhH1T~Sn|yz+%c*5k@4=a;@8;># zkjGpdvCJSdL7){8?QZTOxfKgbkPA;dCIa0DcxRvIqZSP+q7;-@rMNH}SX!p~5qX}W z(!p9b!`BLfb!sro=NKspKTX65Ow-0-}Z6`H7yY2Y&$}tVakh=~$*Gh<5UnL+Uvp~ui z?>x)uSi<1i=k2~7N(L!+b9iQgnv^^7rOie@5$9A>d5#moL$YU4v*Ac;wi8alo^~$6 zCKCzHD5*)nT8^S&0o|UIKokdBq@=w=&5{2&b-1yu8%$uxJ1cC=4Qtl%Mgp@P{j(K@ z%_o&2F}q&gDHZF4X!h*5=FdGFe*G9p|12Di2Zf+z@bhc8%+LcAPr=-tXj65p zjhdsKMf?e4ZU?z*c`?$)hz+X9NGCxX{~e%KeZsdoiO_ISHRQ@YOIHvmLTO)_?l6!K zihp&2FQ$x2VRo$uUsh5%hH$i9ff{T?c|TB1=i86Ugox_JaF&?H>caO@q!zWzrMuPGjE}$$#O-Cr%Y7|*>9TW0`E2|%P7~}0F1qjBal05lmsAYyn z{T{(hGuUs6j;ofOOJ8_z?}NPLcW4>#K!C9?zMYxRtg$zr(c$1LeR;DDr*gC6EQ?9+ z4$F`+GQU{wJWzF|Wx#@U&B-d zA^B;Mc#f+b9v_@gzwgstejCab1(Gmu1l;Crz?IFtNea)J>k^Ioz*&ZJgp~00Gj?%( zSfuDc)?v-v;5&Vro-Y4dn8YmAE`xMHT8~<}51T7piU2Wv?^Xk5jNc|QX1vepY9)_cqNPRL}ukzJxs+*y%JyWdnkecBAD@>1*%af7-+v*uG{ z=abC>6ZOGv_hsJ&RT+A6((TmPEjpS|g^4!I3tc2!&6C{bI27rRWdz@0T=tVP!eRto z1=*`@A_>O_^<8>wwJ5Cv>7R7{@nlIBGnjiM8j65+hsHAV^AOXeQSIU;k#03ZwfO$5 z@@9C-B{UDC>xWtBkteJO)(un>Qyzoj;diUfuU_`>SCufh9Wj$Gp@+z6CFNlr6>O4f zBB9ztyEx84&0zx4yIB{+T<8*GqqQG#)(K=1 zxImXDVT^W=4!JX*^-24iV7rtjUE0@JC!ck8o%GjHa)VmC6mss2+-uflYP2g3VeE88 zJ4qM2hUsGlDU0|?7(;G=SZm@4gu<@)d~v;vM1>MkC}lodWPRePGnUT78;7RghAat( zaVVN!6IaSHoN7=OC&YT6fESejKtUPsW`8kLQAJ1sa~w1aV7h+SX(^baN9bbk9#d(_ zlg*Zz6v?kEL@||U5&4c4-WBXY-2YE zaa6c_p;0EHlMPmEr<1rk2j0bN4)u6rpuK+UWb`8FjE%w2l-4Hfc{bHl;zP9v)uCSk zr%QGP#kVLF`1m32)~u-?xd~$%v@f7I)cB;Xnk*_@}0yJ579bMh?6TA3*aV zqWBGTHiI>j7ADfZx`q>drm^x+-OUhbE1Yl{t@eH(04R{Zg)1R>%hg_QbN&|3!Q{%z^Wx`769qQ1P&cbXD#Xplo)#cftCE&onI9&F zWlO@#Z1ux!>5;AyT7KIsx@+ln511WAL45TEOW#BN$p?BdI$$r_-U!5?E{Uy6J z3D!!-qBEf?h<1@j#3au6XDB0Kpdk#zH}T;hL7&bBQLfEz5EiDn%Rg;7#i(%ProWBK zVbfq=o=i-qUy>p|8uqfSw+Nz5I4KAw-FlGMs zNzf^5ki8x55Lb*>Dhjo{KQagACXP|jG zZrP<+rR5?BZDd2`=U4U3ZqHJ5TZC5I)II_nBb0{;%)Udgt}qZxuo%2550T#7fk zOEwaA^qURZ2$e-))KkcMv#oly=&QNm~vhVg0fJSipG*I}4bJ z&ggcbB3g1$^>hq!W)LmxXvHpeGVlH<#K8VyYdv%-}11bhF{<~7!DXB_`h)Go4dhLA0nDL-%mOpMMvm_OwdZUPt*h1dq zWP>(eVrBWvM9Iu+1_(P?6xpc)aw@<~-e8|9d&pOm1nDwzWO_8OAg#xBHlCXH%C)2o)) ztDvumjp0uG>b*b>n3C^2%u6`tmV0%&++)8!eTm==trJcA)Fx~>~n}Agps1Q za=IdA^{H>xe^uw#==pSh8Rism4b?MJlXk|S`a(70l(+js>d5e}ZL*niC(D^Yh(|vU zS~4Pxb04d+OgoL6tN(h-48k z!D;}OkeOv`!qor-mB*n{H!=!OMv93Q_fm2t!;5(o8|i)aQxrTD{c2m=%R`#M=4e=^ zB0cQsedJqkl%CR!JP!gn3wpCbjajC}6h}pIHYFxm!)br6J*gWqPjZS0_A3dM$L!0c zq?@bKm*mnH1ovU?^g6B9-DaAK;6;5vHcvD#7TmSUFQefKz z9t+y4w}(8LClAt&XqBZ>>YqCRpZ@J2@-5QO+v@f4tm}8VbLO{K58{d$*Y^W8fXuI% zW$z#E3z+V6UJTubJ)5N-C-nBXF}T!TxfA2xP2D4ul~lJug1+Peca7x`R(!Rnx|ehp zJjxz)46y$)dr_(^X#@6ccw9ZptU&Cp#7uQz>vZ&JCXDN1o@8_r7BMTksPsx}< z5H2SV0l%$VQ}-HUw14_lr|$MS6d={@L~D{0-i+Ksbn4DxE}9!o{gbII@Ww%=Zo90S zwL=K$(H2YqZi#XU@HM>l+E}qeo;eD&{ftWj35DpuRX%Z&`w)o5s6IAFkIe1KDhEXA zGq>TUhE(B9Z#Bge%OSPFiAF!|N?TzH$}Ary$23Rr37jP0_zsLcNMs!JO7~5d0f__N zV5O$ZWgBbakX*o#Ip`+s^sw}txPQt#{*6hkEHbknvLnPSo094iTuULGGsk4;ddIA@ zeB6Lh$2{2q={aS_B9kR+sPOhv&* zH~VDfG%-oD#t^_nHK295V{|z70eNpjZ%-5u3h>n1d%VTqQ|px3J*xSRnrbj1#3cKb z;|JQ+fYKf}et}a_snPj2!0>|^!h0|Vz3eDh^voK0jnmQFC5Gea+=WwWm|C3N+13OG z5ZUOYvTvPU7u)?J76uW`!SqQDfaTb>8kN07O9&|>RRF>#Y@Z*;cKt_rX6(F0E@?2o z%sDt?lKIF0H%*7lj#k_Z8mIAPsEwN9I>UKy)BzD{l0a0mN~SUhXh|4F&Fq-AS;H5< zn2{>2iY2SL)?HAv;33p-YhmsvQV|0{Tld(M*qad6RXNvEalK=3{cDWv42hax;NC9o zFHD;5w6DXDythrR8&>&!^m9)o!MfC^2m?( zn!ti{S1>iv_O-nE40c*aLQd`$lgg~L-4eVc~2t$B18QlVj8t>mV(|LMx2&bFky zp42oZD8z#n-TF#LX#6xiJ+l7CPmilAt1FG>2jIEB^_aw3WeuHvN>L}G8s3n~5D_Tm zX~mXkLVWB+fzVMrIT57g;6nJT?zNZ_RC6>^Q8StyB%&fxZX{TrulwE7ahVoWiBV?)Uo$69e6}jy>335j*Ym}S;I_e*F!U+=x~f7Dm1-_# z#-Q4IC|3->1WJ86zf%}*%L|2$V=`x6q%iOVKF$?Q4sZ=4PKZmc;XzrBGZ6?@F5D`0 zQK>j0DBg-Wc9O5N1}ML$gaA;;netT)O+e`G3t6NpWN|lZJL9GDV>V{w6l^Jju`*#^ z8B4r>O!yVVpOl&rR{aDH{2a7dlHWmZ-8F!c@~DBSi44R0kT0u9GaPcP34T0ErIqxqj__A>iDx77@QW3I2ce!pbhWtS-VvmXp}vEv?!bT z{XM0KI(Q6e7^CgR{g<=Ar;{4VU5}}7mB&JC2N~5e3P55oP6c)){IL3`(uz5EI=n9+@^%>FV;d3!SuEVMsmO=ey-Rp#W1Gp*KW$!01B2;Hr($R!P-Kzy5o60@Wq4E zJiS&g)D_=z?C0C5YJ|`9_B?1weNw#{T)~gi`JtY8>`8&(g<&#R>(Q-GYi(ibgmb{Q3M((TKYYPJ& z)jQZj0lM*;7wZ9mAtk;?N1kfsceafOd#}W^f{%{gV*c#_HVpzJb=YC^rES_<-0P2UHwxyceuk9GpD(6M=Nb<1Jp>k4|wf=e@*{k?%_ z_4gsJJ?^D~myUZfsr`<13wpI%0E=RJX{eHH$SuGRjG}^wvNMmB?p+;-NX$U6J~z@y zuxuHtyM-TX)THpD$^@NJnx7!9RY!yEy83vn6C+gsaZgGgK3SS%TiVuG)gRBWTR#~w zfz(VVvsalVd&jI;xOR;`WXxd!CGI$(r9)QCm!VRvLaC+|pIcz4w!NPR!*;VVLP$DM z681T$3T$443Ry=(%)^cQ4ROyFZ37-61OW4~Nn{w{NO%WJ!;h#$G05l`gwf%(Lk>{r zb`Nr*^T%wxXyY9a{ga9OoGgeyZg^ z(e^nZ^+LT902pCDAuJQ*6_Ztr7kGbN*f9R@wGH(&sNLOj8^*!;Ob1LI_(3rF~LLo`5%#N`0 zdA_Zd)5j0B>0`h$^OU;^!}g-x=sO;IeJU#T&`O`#_*sjwxdkaYo;f9GF*66-b^a?*i|e_snW^8-*GN^Ci`|lA;nkrHexXCFe(4o7=9eYzc+Z68xPW zTCTIbq8znAgk;SNBUFlpx=5qly`CVU6zn<>BFee;1^K=9Yg%&sB<1tOh@z0U=vJ`& zgzFRxm3=6=dN4*|{8!t{-X>;CVXp`y9VDC>CP0~SWcQ{T>zym`H15(#YxT33qBVoT0oI+}|>Uv;E?d`^>2N*KGnnVnUL;arhN zM)nS_K%R0JK&P7KI?W|>vB?MtaSzDt^HG5ZMSrfVH5oOFbeszbv?bKIB}0%L%-sRB zO$sh#;yqi~AS43hpdc~InYI9NhX+-VICV@D)1Z>%t01wznJ^++20Cg%9jmD=RSk){ zZU8Mxa_fstZCIas*_#`6V#Q*4XxNpZn<}y3HCTvvg>OP=e==8&H8sVAAc4|D6Z4Qv z97fiN3KN!4>h6sjnNe)og!66);4m3UUv(0V6uqr|)W|r}zUQVbd2m;CAFLW+FGEii zMZ>wvpW=qrv`}hPrQR-RTtQgVZ>4VW3lMa&r(Bd4^kx%}3<5Sh$1d=_VwWJ2T!|Y& zuOBlzb8PqEpc;VrlzAfecCF$(UaWO0_hwlQSWS5oQ_N_1E>U@`2%KPU@0cohY4}|u z8{@;*4z>!f>?*IU?Erwi8&qgT&Wk65$9|Mpw4in+r#|xpA@OU^IHNA~k2?q3KH0g- zGqPV74=wQqLr;B*Ah_r+VUqb0tNJD~QfBpGgca z(6n9^#D}R+3w5$Glw|2V6?sL{dyYiO3MwnxGq)>>L$6<3L-6$Xg4LFR77I8A4o0d4 zt1vU2og*eN4@|q_hmV|(T4dqjZK5clzK1wgyFH;T9oR+gD*k#&@UCWE+!-ekY)abQ zOC%uf5umspQl{STaauK%5ZBBogRU*PdV@ILNex3=n0f&g%w5>=u&h(0j2?-#4Awjt zY8ZYjq%H$Pw@+iK>pFY_Za0<|fvF zL1fKYYt8u;mlRz*LX5S-hlquo479EaKTXQ#dC#ck zy8a&O0mhp()t#xuoe~8jh>R)PLrhg^!Lm8_*?^j8C(~Q#`0JJsmmS{to&5`Gwb;M{ z7HlRuOB*`v-*O@3;0 zrPU<}O93Z63OHWYrN3a>bnEq9Xe$wYz>4^>Raiov+G#BoDk(IM3iqyxEwLfDdX}9= zFB*?-dC>+O9xuDV^fB4?cxeXCpJq>VN4`f52d>ktR8vQ=Dvktd;Ga4=x%zr<32XU)55^!a=tz5^aaDsj4~)ogODn zrFilIs(0M_BM2NP=m0QJ=agKjHZJKMJ#ieD0kg?s>+3FzAqy_I%Put+*@vW3slE-m z=ZG~IR);>{4i1pcf{(C&8ES<)u70Lcq5;Nx(ofed^B}c^W73F}v#x$%Srw8cZH9QPmT~X%-^f9}=D=JT6wc z1mUYYp*AEm3E_(kP8mI+iMqNK&{b7XB~M@!X?WS0CCnB2VJYS%+C$1LHL(5chtLwM6W)znK7hmZC91fA2GBk%X30r0=Eh^$TgohqlS)<>MX ziORpP;eXoA7Mi4b}9J%jsSBI z-uSO)e&hPbL-jxWb(veV7k)Tfy#xrh!Rt+qYdTo9V1RyHZho-yF=YME()wV3?na

1y;!r>xTmEV0cgBq?P`?wf>iL6M?M`WE)ANdju@_f+rCtM0@wmXpI z^8j$y!<+$fY^LX*Q7P{8U`0!YTq0v!sCfpNkGOwL?)%Q3`hL(*pv&+kBE9!7yr(LA93ivn7Gr5$j=&@`=oqajBz>B|AnavPM!e{q-@Q^(?7ue z&A=ZorQ*L?{x?AW6$0g+>p#%_(fc3E!3@^O7pRXizjTnW!J;Lw5B=6K^Zq63I`F?f_+K3d zh5T*Q%G@7)>_ejeDd~SOQaQcLWin_uDaocTzsY&|{Cj`-fR;buAL9KN_4QBu2ZH`3I^X<%m&X6$=^r6)BK)nu zE;If;-hZY9%y$&jUFRP{4jz)OfdMUAB9RLCOX2^_z@KOZ^!}FnUh4n0-d|oG|JI~5 z(D^^>uzF&Gz!Uf{V0(tE{=F;A|8n0=o@1`~Fc8t34K{8JVitDzsIlOx>rk9xZO z7ri9@Z8(Pnf7_uFR{jg0?zBN9#|;0|C)_@|^zR08{n3#B2>fFU{4H>V*zCXBBQ3_w z--#8L<*%0eFN*m`%l(HqV733=OX&UIEmUc;_it74kB&B|sTQgJ^&fzqwEREHxpe38 zXVe^cW=e3=pQ_j&_}#K#wfcV(;)C=*C1McyhsjO^O0RLrxb1733i6Az{wV7*>8H~#miRQ+_!F>gg6u$Y`RR3&= z|8yewNc!InBLPDxAJP4HlgfWPSNsPrKv{q5MY`#4O$3QP6!}j_fe-Qh`w?oS;)4^h zs6T4>7fZ?OgWp=Vrxzd>CiSKCZM{%g)^!@*;2KfIP-DJ$-|CZp2@&8gte=4=-W#I2j`13md)Jrw3zx^FZW){QU!5@-a3yvE15@ zu>OZpJ>C3SG-vGbUC%FJP>Gxsm_%$9#$XF>br-#9!sb`2qiBiP!`~kYN#v~%6cEpy z8Pae9OyJjaYZ7B8B)C}WUi59;;z-0_1KA4LBVkxd-o!Mc0AQ5LVD;Fu0@ zF*229uI-o~c6_@X=0-P#saUukWNoP{cjQ=S(|pa`Okz@GAcJwVP@%siL23?-mrkqK z@@T8U$h=#d!}g&PO1|zd<9-7o&Ux$k7~Nxoo+?azNjsB+p4#eo5ml+-ZZ8%0PI^38Sds%+hJo= z`Q*I32kM5W^DQ4JXSIWmUzJYa&Ej6*FyFmf;*^r*ynvSAW%jzJ5|nQvu63D1_; zp;a(8@9ZF6C#}MvZyavx?AiKUu_DpZJL(URoJ^*%^X=F|WV0SzJ83MfZeeDseI0Ak z<>pdKNUbU7LI@Bj~|kKotp!r#I~n?*};f2o=XFEaO;*D zV=e6`d6`X1jk+qLc}RnJe~5!*doTH`@Z{~n4_#eh*VxShD4>GNeaXo_Sgf^L)OxlHedVOD-~Gw6(6-Um@?ev; zbR|p8Nf1V?&aJ6^JTU3%YFq;_l6gL#o5>cN9tGVA4^}kAj-*2zogAm@O3$h$w1hq= zgXF7aX8AKU`jx23KfE+fW*B+H{pklg9e9^4T>0H|md6DwcUIH8X-T>Ad&ju9J#?w< z&vf7uOyz@4Hn4ft%KzU}jZc_`cLVP601#->+k45quN=Pw&t}pYp5hE45%=&k5D*YU zY*tJdNRUe~LStql112_MpCQc-@#H3Np1H80#uoeZR$DzFM@6Clv3Fl`%6Eu!vz}iXLN#1g zi2{SYN&B-rRj$l%mgZP<)?P1{JNp7fU?AD556_)Dd*WsT7V zaodH>&CF-vtH6vP#PT*2+D_$XI0|=>h@0He<370^VkkX)&?AtQkn;Z+~ z=VS@Y1d5$jFC-n)kNR4d_$fyuYMH5mhX z6Z5uWX2V=K`6H(EduF;SGquFA3*BAj$pjeN#krfbk0Wo)HQw4F$Zd{Tgh)gLX*+H1 zy|X(vQt^5zMRMcx=nR#ThCJ1er!#`B;=Tlm48+&5<%U9q{4A7Tk1L)v5p&YOUJ-}& zq1hdV>_b6EfqK2OV-Qd4&`D2buhNO&U~@|~#rC1~R=vqOX`pAjrFa2sIz?IPQ$f-8Rd%sBFXZYQ6}U!^>!9XIRwUS9H@=B07HL5biE8C*_I zrA-LSEa+|E>CSmo3?Ik0w?dqEl<*2{7vdN>Y%#whwGUC=E0`N5%KOUza1C-#1iu2?2jt&zm8lwxAFy<3s9WzBoa_uyXgcVz*e2Yz%``R^a+W3~w08 zGBzXwY(v35AEPGNZk#jkc(h<~*N5)9Xzh$Mo9FrqqFLe4MIjuq*Yu6+-=3UG@ z_3{@voqqnlz3KSOh*b%^EKPhjc$iEbA%7&H0V2pwOA*XjFh%>tSu+#-n6o^_NX!o5 zKpLpUNv;BVw(oNXCUt90{(Q5HzF-qst0fZ_UGaSP*b?4l*!JuqC##WK-TVSkSsh_{ zI;<4yckFfZc?hg88)MeIO6jn^5hvViQ11SUJLC-eNmoX-W1nizrRr(-DL(&bBoAnF z3`!D1-LpSF;c=!KPTz{r*h-h~C7n9cDJ8F_YEHNH)X>i_bhB%yZ`t{irx5kQ5K~hoBMVXh3a}) zd6t8-=Jr;_TmAjCb+}Vo1~GPNMBS`-AoDb>-L0k4yL_#cxRnKe^3l}`n7Gk}HL&)( zesd*UsTi8~m1B=gt^#EQD)W#>;?F~+?UChC_hjCIDzh4RR#x==a)yk~o2W3v4xuR~ zv{#GS#(nd&SIV;u{CKbp?Fc0~j;ja|JNr>IEABB5_Zy%# zon+c2Ddm;4!Dc%AqaM0;FT%LsQW;@rTKsUYV*sM6)Oh>@IxGc9WULiz5fs=~k?Rxl zC*3dBc!??!AQho8hnFQCNlXsB_j@NIOGL42Y}6r>*WNq5*VM;^eGGVdqKtGc9FkV4 zi8XwEakgX~Nlt_}aHvEz`6F`8^0@*}Uj*uesks3-J!^1~Q z8f-5jle`dJHK*s^W?8S*xES|?S4d#2VbAc-V0d{b6R5|v{s=h-2f`oilkFiBgu7aq+|Qd9n`%`Cppw*#FE85OmYH_T?oZZyNeU|6j1=@3T9ueWq5fqrR_ zB5vlPk5PFdsBVqDeG`!i#agP_>8474r7IM&RYO0)`qiNUJt~#R8l3J~nVYnfK3@5uiarZC&<|kh zCVaX+4i`;o7abN`cn1^*y#K<8ZB(3-*gxbzryR!?<&jBorWfW`Nvo&zmS9cQM3VHZ zl`G(D3$?%Q|5z3%9M4E@MDYuC>SKuFbh_gzNr445=Iu~)=5y_Xr@+OvwQ4usYw2s| zSbhrTERL!EwYUsmJOCYnW-~~VYNa%7jlDil_>41$=Jj=4ZI0SiY*D{zF?rM=dcTam zpjI5|heW09{$6Z)s{L)+TSpCnm`$d=qDD)Dt)n46yrXi*La-|j5&roUQV;=!4;j_i z?L}{%vLPB~tgKXIpal=Ajb8}GrrXz16ip3iRkvu>&dm^Z9LFe1e4ZD+{nJEBo&Eo+b2|O{poW!kX4;t`N{2V0d|!T!YVpNz1tvz&kRSO z+4K{W7Oi9yPzrSvJ!D!#SG^u`UL2y+tnP!#Lv!5uGUvX*r5g+GQv$I_Ot~t|YI#^f zxn4z>+7bWyD(T3DzI$MB^=5qQB`pV~GwYezY#-^MdlfY(mL_!^C^8bmbpqntR`R%V zYbbq9jL$KyOZ!RiQG?Zu$I`3F5c?h4rDiuLnbRI{Ym>?08A z3KBC}osDYP7DkrPi#hO4AM=z4rL@uYU1o|GL2D?%^-~x9)gTm+k(1(Wl-n&Y)Uyuk zv)q$`K6514w}M&xmh;I3Ag#Kh>2kzOs4}Q19S57s?O6lAItt2*8J_dA)ok2N=n&jQ zLdm=td9Bv035}H<4L=vK)ms|{x4dgFwnD{vd9BG^WHk;fzcnjuDqrQ3dv?J(G^S?b zu+l?3RJbXfd;uz0%|?E8&@*t_19^1+e1k%1{#-~=4G4N8vPqp=uk^fh^Fos+99HvR zd%y(KIyo+ir=gFMrvg06XJo90y8@ZyD8Qz198-}oxdgJ+Qa<8ha7$D9W|5$uND^no z?_|8G4iS#l!a$)GTju7~4_AL{p=7u$UvI8%JGCy=^ieQU+eE;B8@n zl-4KU%HFPGa%-Kpl6iBpz~V}-lLuyNc8sG|-F5=Vl+6sR+`Kjznsen&2oAL@hJ3>Q zC6V+k^|sMJtS%heLoB`?U{hCiR0a2{_I%T*HnT(X)NseCV!BZkm^J#DAUplj zuEpe+R`{k>*|;b#BIvu#IY_F@${!DX{nJgxXOA*atWrpkORu*Y6k8y z$KJO0f*uo*x6oq5g16d+cduo>Pm-X4Z+t&X&1JhUx8Lq+ z0XyU&WiJ8`$&PhC`l>}puCO&^S{wqV{$1>4mIl%)afB*b#ZvFh6fC40hdCFL&`(mu z-{G%S+^NOZ1;w+u&w6KJc5hq>gkcR?j zZVfkk8&IyKHRD=^1JlGx$tC&Wu9J9ZM7l6zDU84$2X-+n7w(Nk5B)sS8)Yn=3P@)M z7nZrm1e_$lvVhg2;nn2I*af3!$X=V=BwO*XY!UB_6IIH;2&kLlzu9YH6gJDrM?ZI4 zAOmz90&iR$gt1_pfmiqyz0Z;f_ygMP&0Kyi#Gkn*%a9#CLmU_D!=B2fAJbA2`g$do zS{G5_Z3=~N9#W`?=TFb}?KfW~g_trZue^sLTV4fy%BqX|^e!|c$tkJfUrnSk3cakq zFLos+;DvdYUi~~`)zgpkaRK%9*HDDOilbQm7;^x5varvw-u7@IR@};s%vJE(D2CSLS?t;hl$F4~PXEHEH9o-u`$tpz zxmf_HQ>m+T0HZNp1S%Qvu3)izylnu{COzZ`_>^|CW^c|{f?4|hbnir=^ zIq{wpRc3wGqLvhsIH402J1ycmg+DYv3XKek)f=cQQr3xThI>PN#rj?KL~X^Yklp*S zcw4r{l`Z(puX$%6e#Qi@^p>y??VIuuiM|d?sIGki3uK~qo_=Z0z%&nCTuy8m-E1dY z+~wqT2te#f66~3ly#aqRn=%%&no;VPi=1;;$l8UnPxP)Z7iCp}Nipv=!zy`(0ZFM9 z1fy}0s~0>#bMK(cmAB6rEzlJA<$lXN*RYQi;MZBnlySj z=iBJGYy@VyY_$Ux&b|`D8E+|nV;=}$NOy2x@5Y>VAkWrYT^{bT1$Rxg~4R=w1WX{ z{nL5<4g6?@(Pk`LgH0WKAAY}6Y)Oe#YGxhQDq0Qu?_|_K>}q7(SaFu>77=`F5KW$T zeNGF+tzM&~oGMh|!m0#7EiZftOKZli9HP#?V5HbwTMU;+0QfO@+0bAU7N(_mK!DWd*4*4b5o1hX4~4xY?#t*f zo=QnoIAI-b6}TJt+Mm`T>ab?u&L5!sPU|0_6r#4)om&re937&Gts$XOEeL0;LEsO? zWnE1uoV3L1JqW+Cs1NmYIb;oGg=YovIJX<5)rliKu|+HIhF>r{3HEmOa|yy!K0xFM zcc2i)QkkfStXsf(lQ2o=byWQ@*^6kEIE|c3o2nZO>!*%ve<^oFEl z9-}&!DPt4S)BGHrA=tmgVV}2dv93+cOmu?M`7A!91_ABTQ^o<3qup$MD}%Tpu-{=< zA_j*qw&qNHh8!A|S;J72$w+`xpSX5NI*b)bn%hRc)j*EFd~FDi5xb?c42S=&2`S`8urkXb3F6_LXu_VE zppy65^&2i()gjxfeB45ycCnpAnvxde6Ue~@UD4Fzn@Q=Hl30b*L&6e*@|Q@T$L|D! zhKm`6uKrFwi+W&$ee1d0s`3;?MPciL#y>E78#fNKO!7S#(`1$tyAxEw<76pvg`k9Q zuvt=X`ZK5lHiUE8^M69l@Zk)934)+;mPi^HE{DQ$Qm$se#!9+xEBXI;`o`!?nl9Q& zGQq@|Ol;e>ZQHi(Jh5%twr$(CZQOkCz3cv|RbAD+`gEPstE+bJ+UGN++9sa%uWx1& z*a51yBRczZUyCHZt)FN^|0AE~te<2jEhg*4Ew8ezoI?Mejl8F{M42TrWnBnlZZ5RJr(SGXm5_AW3YoMo=PvN)m5EV+ zmh?#3g%*&)1<$hm-J(J)^|nV%GT4W5wS9Eu#M`9A-j29g<6}a%P4keUdgFGCXvT2J zzAp8{YLB)cMQIEkWd`IqsZ>2Hm7Ol?TqLVJ!aZ7W{2j(3k<3dqU={|YQV)Rocg|u})O#e3HcF4=fYxN~55&^h;9wkrvmfhU{D8OCFhqX7>b%OTMrrp{;&|oNuNuMdyb-6P#a``*M z4xH<^F*aCCVNa4LOMkRn^1tGCh9icnJk!hE1g)&xlLmcffSyja3zs|TFWCLXzK^`W z_qL3AY)S9DOP-#!gvL=Xnf5b>E6&OK?XY}(&-Qz>b;@NhO1OrP8^Ao)^)mg2hoxx9REf2rIiDt0cYv~IkPbjmt4wkD`C-@Thk?ci-G-R4H@!e&JXoR zVr0C?1P^yYEE{@jq0shNXW{o3*Dk-(iW59{&h@1~y*Vn-CBib}-kF4o2LhFlj-LOj zia%6HyJ?Y&SoXw2+_CPgVp8YDEIg`ClDgP_2&{g3vQs_#9Id2^!x%F<>2U8kL|!>o z)0p6MDXuRSJpE?aE!h})z(p{6K!quMz`t-gF6DI*{&yo^8B%9*)A7%W^8 zVmEbBC#1dv_B&5z210V)3N0TrhZ~G|Qs3LHM_#xchb@*tC7OuXGc3V$rjRof z-<(lRqf^9MLaCurAIzfql)c-8M+UhtdvB=&jQt<8;XA_bF2|R*)!y-xjDf#pO7IBp zEwn|A58V((+Kqo7X!Pwcfg0`&YVs0mXXNx0>?5}M*#HU*98lTgqfGcg+8?sSz;(jy zU0kOh|FCp@916YOA-m~PZ^r~TRx{o`&RPf)e`%v87fIU6YfbK$a+02}rCl}i>Fa^F zsAFYATfw(X59FZ@M~nAce<0>|lU{yCKem?ALMZ4s0RKe^S#|cFgcxyYf*f)3$%Gmi z2w#@dox3I5>}lqkt7U@D78`a60JAQa__5)xbC*j6eS_2v;)g?y;UyWr$OA<$koL4- zPtVoH`tkm;jB=RX_wCez`hlzZZSLR8xsnPq=oSt{2LVC-RfTi2$T`8fqBqOixv;i38X9!MqxHU?^~!CGw2k8D z2-vPBX_ZTChmKOC`TB(4!|zeHmh+Y&8OVolLFnG(5H{^I-Fm(=K8nv)?#d_+Zx5KD z2Bt0AhNhd$9o^#BwHftL4JUuMx;YbqYhuT;pbiFXsw+RAz~3rMC)HxCe;o+WsQDhm zx>oe~Z;UW8!hA}?mmr(MgN!D6H&)s3Ead130dn-#7bPdY?M&}59zK%?Fa<)%2W=CmK+)tc;$&Ql+}OX@E-##UIY`;8NHmB;0K7+r~IWOP)m>B zKE8THm8Bfj`#Ab=T=gy3gxoZF&c_qB2G@za&c7vGb^|iVk)>JrZfg9OWxYr}v*tO# zlkTqXt2dV!((??=o|nAv z#S-r;RT4442rk|L*Oh)6U@U7keUXiUU>n?(dF6)$O!JNxzq6sezm+{N`=XgVI0Qpn zlpkcyICg-&D{|s0WRm(vYm~?Ao`F*?)IL3kieM`X5z6>DG}Is8B$u zJsh8`Kbbt5m1+t+`JPt@8t0<|QC)oz06ll#i#>VaPE5vhRq<~cr3`$k7hHaze_vG6 zVb9am$bvdxC^dO59A7j|m$yVfp)M;JLCn<2Hkci@5`MG-nnOjkvwHd(N5yZg94U-$ zUJBO4Z)jO&p6ZwB$G=qQ-pzaWd#%SN==gMn(MVmKxnlqaK7=tbN|HyYds9Wg4xpe4SsYKcb#7^(gZuuF&vl!i;8g5^o4?ajI3kqvxA==L(5Qf7vqhd%ucd+3 zrO+LWS!l%)od}5efdx9T{lNv6=^H0@p#3kL%qdq{v^bGRz9vn+ADZE^T0Wm%R3Hf< z$f6F%wUu2cyIDf&I^m$y25#)}-a&G;JbcXfn^;zQRejulU2`LOGn9N6aEp}aJTIpi zm=1|(%k=-{&d)1{d7J?2Qp&ty&%8JtfQ>U2VJA}qww!LF1gc9GB_*m{`fI(~Vx{qK z#hbT}jEK`>p|4znDN%mWumVWJnf?I7TY0PVneBAEe6Y-m?^SbBYSj%=OT3NHc`OM} zaR-fTn95HeHkt0l;SteoZI3bz8lNgI6sH3pR}}`lUA3ov9cu!4nu!=Iv66+e8CAig zbp9pe0>+qJcmhDEL~nV6$EFl8uLT2D_E1C($@_~q3WV!vY~;2ftP@hFqnf1E9b`<$ zg+7tKKItmrm@i)5RtzX6ywe5IYG(8La%(b4Gw$DIX?+ESezRNLpJ*R367%OJ&4i|p zyfN!*+}Qlye$^A#fKQ_p^u zdYf0Mz~Ct&;b#1~7q={bkxO?yd*1h7ljDg=hqU|p;!8EIP7Qh%N3sylmJ)zuHbj^{ zBl_NF$Xecs41TkJ4fEP{XE(L#C+kQ=s9nSRH4+jOpXe$9gsCegQ&=>XXhy1Cm(&AB zL(TSn+?ksqfxR@^n!xD50)3N53`xu8AfjUaTl4$VO>zD4(*|NhDGc4f3r8j2hkZ^8v6sPXz)46j>OJ@@tkl7sG>os#ohFVqjgz%$ zHEp0)T2^R72?5ZD0&||pj>}xTTiJhZhEKc>Rn9^q#Z{Y}LZ`nU1%p-Ouw~J*=jxV@ z`?yGz)W2KHz=(@@bUuYCznlA6m=-==?k}HqWxVC!SWBx2+a7JuRl11U?^xy%&98NH z=$g8^pDvsG67AwX!gtf9hQ8XlheuWA)He>95$01Ov?j5l zJ{fAw^EV$2ROH;WKFv_g=Yq;6G1NHD%A{xt=sbl5POERg@5|z>kdxW+eMA4f%dxSC zw&2!H4vYg|u-*-zx|u)@5mP94Ne7(ouPrUm*I*wMQ-KXGYsIE29Y1gD7@3jsLms;AB0 zi)Ie&{_~a8y|n5kaBWpllGL<3eXq1`J@IhC1NOZBxX8Xtb@}H2Ti{cD(kA_I(Bsy@ z%8L8>Wt#&G8*}i%%0?!`sm5SI)t$*ci^uc`MjZi)odRoLFDAwmb3d-?MWn+%>rK!x z-Y#S<_@s15INg!dSzB39B`Y(hz^zy-i{N0L*IG?hcBr(S@EOcoSL|^6NIEo+tg29} z{%&x2U+Lkx(=IN-eI_5Q=Nz^^?M}}nz#r(f?z=`9OwXK-K2z>4^3GX=iN1lMEVi~l z&SP4|eqBL?W_u)H7^hC&%?lnVU3Fk*)fZv1Jqo~ZAGIs{qluao5o-9=x z{&K5uIwyv}nJ+hUBm^)-W+%yOyK+%#T!CPv;4<0Phn;BxPkXc0L+quM&E<$c?4#`j17Q-Zi!mA~fXem=UEh-n<1AoiL$`~MXu~oAc;6(uo!=1# z%Kr7-`z=8W`o`QoWD!>55&MgJvKq#iPXbm$Kl3DGOb0cqZq&4o?T7!(vzO3<7pdUS z&CORID2Wi6_gPDjr2clm20c_=$)uPov4urU(OK3(2m>}@3-oN3v1ae-ocsMZa8H1G6v*W>(xsrq!(a`bXdY61$jHzG8}6(FuKxTH zUD2+5#95R@K0Fpk%!ryPsy|lpFj+sOoJ@+ z>VZ#drE{AE*t(=32EYDm5>LE{0!sLF+L2XwYohcEDDs30=&{YYjLrbJ4 zDG%6fc!tZ(w^%mzYC5X2i4uG(`kL5u7!yWH4qRcMCIZA^(vsaatIa06rdUSq_JD)> z7w)rHgKfLDn^dHxW#Gzidx?hJ;QbT6-tUIG=n+>YVRZ3%KP*(XAl6+Io}N)f;bjtD7kZpVB3%-DSqPBFKya{EP8Miv$9>o_eG98BFI^?Zhi#o?=jOHAVSW{RVV1{+jUFEj z#FiRiUq;UQra$#8z}PH_=#mHqBpd3d*5xryk8u)H(0Ld?&5A?!fJsu&5z~|YM;67y zi3`~;GI?A|rB%rs&`AoW7RAV3J~rf?K-$JhI}XarnES1S{(y5fo=Ar~tGR)PgGBV4 zGeQ_!i+09FYTyszEo_ODi2>5s{D)6Vf#01icq(?lEc#&w@dsS!HOK7z*z?IkJB=4{7Etih|;^&56GtO88&ecoWE-r;wvDm z3fd!edqJc-G1dc-K+VEW=wM)tuHBiEIrTF`Y%Ge!nDsVPhH|Was}I&X>6q;?y2Ykp zbn}v3l?&C(UsT)}>U`kF75dvv%TC>3ibG^zC{*3hNd?StIB|Ta*TOWvl)}G4x|i-= zCM3)uhILxX&*5kg9)|?a?-idyeFC8s8yhjju*)Ce)^^}EV4aOj~f&7a6y!(zct}tMBw^-xD+%9K31(o zE@kCzg0-a2VnBvriPb`_JRKUk_VLyT@p;@8HqfTdq#6`nfGdl)J>3(##qCM=j;*qx zEW1NBr`^(>++)@8GD(!W^oB?5D*^LO3s+Lf|=Yd_lsvzIpt#KRW@BJmD=tiGu7U+^5*;9(Q=8fR80N;?eASf+je9xQbsohr2^@qh zr|E@18=CY>xl;t@2`l&DXUwl5iL%GUyGRl~ygHi=wgveed`bU08eiK2^W~g=T@m{h z1^Q%rGeqaw=TsHYyH(&RZ^l)yxghAs{=1z{4AF39{BosFLRNA-tKQ z8t-z}xdpRZhyy7zr`o9uMHE+_QkdnsIci`QD?58S=m%R(>T}@hY4!j1&fWbjtXDLe zOcV}pylT(9j&zH)N-y}rQkQ>zR^rFo$1Qak4O;vZ@-?)-&}5YDLfYag+FXBrRz&k_ zd-_x%>sPnX_DYjU*;(#Xzr{-jw*i2?H;Hhl2NfQGCP1G{nvDQbSygHjUo_f-vslqx zebHs@s=Y18VCXj`u6z7Abv*gjPLZHX%jSv0(5iBMj}GraxX0~vTnf+dW*l(wO#SU*V`&BUwIZM{u`Tis)`l824K$_j^cuT z`VlUW0DppZB*g>4NRDMX{(PIj`aR;xxZ9kRPC#q4*>B!E(q)}w)Lc4wP7SuQ2Pl_(ygGCF@;=x8rCCXZ|FlXK z>)i*>JDVDB9z?57=3qMT>|(V>5xi!CB1>WaT@m!p$r0TB7M2>aRLepZ#PqKj;!FTL z7(ncgNP+_d7RV3OVcp%;kNYMs7c3jY12+70o{3R{ncGudhe|Ntqn2oZd&*Bua(A`-neEd=^R!9qpu=|?e}$Fed7S>% zF=ljXat&+LOzAp+Vfnn+tTL#tA+xPSqd`eXbmvLYu{*#o7_e2QuNBxBF2rIXblnhT z3Bk05>8+dnI68x>bh%U*zk3t!@t7PLX~GBvm7Gpia2BsHR}LrhU;u}3UH=wc-6?c8w7>Nu zZf3kyEi=%Ys-trX9yAQjlJ*-F>q+vkF`i)qOHbKum9xjRs2FQUl3}St(38}WPS+?52-iSRV7t( zMrm{BT&)Vr&q5-O!%dn4SsRycNa(JFX*qX_z@L)AUv-%83%9*46A2SCnKn6cs@cYgVL^d&jy z&-QcHL^^HltRcVY8v80hys4n!v=XB0R~&lIoF^At9}9tqKs>C$Os!erq_KmYIfG-t>;at&KP#V zq8N4|qsCctr*pojKi?YeMyAYy5pET#j=vZn#Ve@P!{T%eJWaENjL0=exY)kyoHmc5VYq3`IHtRNYl)(x5Bm$IeDK zJQI6cLox?5pgCl@B z?Cj0*P{LuU$y%+d$ViX7mRsXAlMRVzw86`mBDvC`aDl>#qcH*@#k0x`y$eqh&^hbI zu>0xYG~c75Lr*n$ur$Luw1d^Hkw8gs+`9peREEi=$bkCrdd3dihF!#tq&b<4i~}~_ z(@6KI0jJQp(5LuXH?s)4I~a&3>369Zb9PBh_dQgWaod}svD}XA>~40iR|8#fzPT8@ z75wM0f@9-S0;vuX9IW6YPPzC9S)LxYDrCR6)EsU3Ws6?jXEP}uk=B5Vl zdzw?GKew1mnrBi4TS~latLsER?@QJHEQA+YL3Gqr*GA30ifp&v!cwmhOv`IYfP+U zWxco!ifcMZ&8^+ZSN^WF2BE&$N}f;!UO5NW;j~bdza*P3)#pR-G6Moa_{qn~|B&xP zG}+P%0`z{A@!ih5S-rUF-Bks@cCxJ=p`l7~JuBR0{0{eYmZcNdgw6$J$hcX5h4$_~ zlse(lrF1mPRt43-a3?gTInFX~n?G#JsU~mi~(#gIff0Glkbo+F2jC`@oQd_(tM?)#ZB{`IwX* znpavv;+ya{t9Y6w z#4-tO?F&nEJJN9>)=1XwgAZwfDVkT*LWETiU6_I*8Nh$%dx5UQy-x!se$%aj?X}T|eGHSs0L>wWwjTXYt@1 z>t1#A@Bh&UracZtikB7C1bm8>7zeJRv^Rz`_Q_XAjD!&~-;C^Gx6pSbV-rQYFp^`Wxq*tY)2A+867Y|iU97Sc z$a7Aw!na8%ULRK8Pkz^K)GeCR&UFmfuC?l+*YSQ1)|i<7{0mFRsJ=WF&3cvUN&ETYW)O4)cZr1 zij}~ozUgXI+6K1iv88}T+LQw_!LqWy5DG3z7oLM{Fe2Wir6}y~0fZ38-Ct!{GA!n- z^_kwRH8Vvi7Y7>`g*Ud$Rj1~RnLuoVo0=iEvP-g4tJ|xymh{eorndBNi8yYLE>s!y z!PtmnB|qc-zb0)$p1nG*!$32ZblYV>9Mo5Lzb0CGKcx5$0OQ!xo7^#(Ak!$q8fTvgdab z_v4yTkHE7Vvfls)q%x<*U*!CIDy@c~Hu1*iDT{g$abH+Zf2>>w%bRqo?no!*|GL)lp`?LH5w_+>yb}Ulf0zw$@?YD}kjT1n$Yws8KKl$IoRzMvz=|D?_C`Rl z`}K#&qCQx1^v5>WH?G2x+~t|DwIqZ1$feG=#j{HxOV(Q{v3)R}3rx-otu7W}U|dcx zuJcXJC>hhvNXlYHXMw8dPQDDG;<2S*Sz>(fBhmXuIyW(`(@m|s*z(hK?o6mf)fW<5 z1Op;x16ME<$*J&s9>5bZ5-g{hFDIR2GAhdw0qB>mI(pdnRo=Zjp5{<*Xrs=^`+McVr(1v?6(I{98-zY6>2 zn{|WoJ$T^jZU!D7DCnDjCTHCQ+hw0e69*fW+Q2eoqEe6b5^2rr-t&5NGsQ3-VRM3P z-fS{IkAE_V)h9WR=$Xys#u2=J(?7d#0{3PRjOI{uU-2~$+Y20Tk%6Ob$hkxD6ki-N zQ||Sa{uu16eq-_AQI{9e$!vlU+uOz=-R`= z7_H_kozQ#>^uEMAMZk_hHHBcLDHm_?HV?q5VrULH=Yy#emv{(19>80EVJ!-e;qi{t z6hO?EK>sxBg|i3qmL%Bg^yQhK#>^3*iD5VN)7fQE$6(`C*d?51SwHQKW@~8yY)nGT z&^UD02n2+mz@d=aY-@tIAHrFJrpuYjA!E2Ay>@%3H zdD1pH$HBJUZve=Vu!L=}YrDU>1gdJO8~T}S;mPukW&JboE+ewm^dyuvtClEZ%sda~ zJ0u+sJI)#q_Dr$jSsjVxLj?Cf{iPeQ+)-L(B`9HaPt`9l^G99%S>r7PLUtFVzl6%^ zz8ZoFBD#c+XJ{%2-CLH#;lyV_ZcsyWMMe;{Bi-C@U9~%~8Ym6GrFMoLFfTJ^|Y!}a6uS-uqB6Nt$aX?`rSA1 zZ#IZfI1_VhB2%qk?Tw{Ed8fAy5Z(Un*4}Xywz^3CuH|9J(wcebl68i^HF$P*rK{?h}@!MHxTk1 z8t0cidJl*W66yQ~wfW$1>aoT#Vl=aCMWeHsIZ)xjO($k1MO(1T1_F{G^kW;r)Bqb! z*5elL+}wRkOfz2d8t)t5SjhM%cb}%kb-q4cbo7AtT-0%+eS>M!d@T1+ZC=Qkng+=~ zssNnGFHKoJE6*8nOq*VSWZ+?_{B(teU^*J;(kzALIL*SIU5+PYT zD*UyphytdNn=|0|wlP2*8Ye(3oR)dTnL{r-<+)b3Hhg7)QWvv0robLCfwL1SyIeV= zCm>nrVopY-`5js_(@b4>#klCB%o3BCM+AATEeKtyDgriWUI!DLe=I5K^S*rNR-~s- zY;p1dCJ>zuW0r+xGWFRz32a!M`>?XQ#dCm6Tq`$<$l6{+MyQFAJRCkm?T*n0e{Yso z0ri&w%7mg$E@m#V`tE?|TkvoIP6+W1&GiU&7DT1W6R~{- z9rXy^5*!rpbuY;p)@mV=cRrG7k;)Mp9gQ#4NRye%W8f;&bOEF5iU6(!y)Hr2Vj~;Q z$FRFvbP_PqiR_QE|J@($bnfBz--wY-O=k#voVImK$oGWi`i5502K5S-Snk2A)oP&e zu(bUdIO~xlpwF({lKGXXO--mE1yEdLToJ6dZ0F0;W|lWlk1v3R=nA~Y*|MI=EbrSB zg115TJB&e%uR4T=ThoPfr2&c{zp%S?0o*5!2Wv{QF&Q=03u3J#@Ht{67dL*H==6Vf zs`+9<6so+PikmNH?Xhp*+peq?nm;f68`r1xq)Mzpc)Y$m;q$vlClhc3>345Qv+x4a zAzZY(ML=9u5A9jydU=<(@q)&mVZ<_(05CK1B3{j}fs zIIBL~ZS4(Pl8mHXK^pGS;i-^)MUc3<6>#;|o*zduQl zyDgIuFRFC3QNn-U`EYE&n7#OTwP4J}d>`4e6zF|@{FJ$$K0)nxFvr)>E&}L%H2*7` zjN0`irdd~j;ZlV<&$xq69LmdaBj<4{s{9$PzulaW3hX`v%6$BIT9% zx4Y3Q8bW&m*;P$p1K~94NQ1H+q9-5Wa@G=F-g*h^@;u+p3F}RuG9jxw#N&Ac&9>UF z_6}U*$=fA5VeU;Ew#T|Sv*x6*@5rr#oaZA^x7e4AmIS3vsdosy3R#Dl_;ANDg`yj_ z_S?2SG9^YD8@i_fW`lpcSeE~iJQidKZ5R>LNdEidK4c_5$D2;ZHB8TpSzi=vAmF|* zj~Cm}w%-F@qlZ`PHw?JTYabR!zGM@aIJjMQTfz2zjQe8nF)2(U9TDqPoi+3po4_~e zU#N5Pl;5nb1niGoB;y~T;YJ5J_JY24b22BG6tlGc?4z=Vm~|;D;fl81AWQxnwM43z z56zztbA98ig&X+I{nvvHn;XG}uO_7(S978zbrRooLk#V{F*PjzjGlgcmbSt;8>M>+ zRP!bvzs0{2uHfjbP3(_8#}C%M1;d_M*2&BhbYF6@AmG6ceVDXKmdZY05H z&&qo>mW{UHF>~4&FTCdA{Z(WV|JzI5zoBWUqr7gG`5<_uj8qO)KY8M{cO_0XplXkA zG^wz^Iw!_s#+;5|&=F}hCAy?sh^_Rw=#CBBo3C`Zzi1j_Pwfp;2mGd%bUFwM8}9nT z9oOm{DVN*$@s=>&I~rMcoc|rS0&K_%9KLb63-n{BGR?zL(dC4R1E=^g&_V=DX8VoN;F;&olfkDBXIJUm$(Fo?Mxz zh$2(5rpj5z+??g!D;t*BM<#|ydFTQib;m}t~~w$x<4K8C&*d+kU=!Z1$0D zuE$IT-F+Fkd%&CZu3kb)*VJ0LZXJ{5;V#Of08D%72j6~Gh;ooH(+5ryo~|<%Y_4Ll zk^No`p++#kUou34o47(Y5*~Te#h`c{LQW%qH$h|D5cy3Zl(!&OCd?h0PRn1>c1O56 z4=Izq{GCU*zO$&4@!vl7^pf$G@lCg%)Gz@9!ND39ZLir`ys)h4 zlpKk*7wr|N(-9+eav%kOR3R$@x(koL{UJmeaTvQif(a%hLkSd3eW?8qg79@aViAN5 z{S8=e1HvFr>c_bY#QoTls85>~0KJ2_lp=i&g{dv&Kkr`ywDcPz#d+t3Q!x^Nzm1ln z;aj+RhGcj?5`J<4+oM#3z*1QYQ|SFa3kRWi{e=UEr-;$-KeGQnKkLsx3n5i^IC~=g z`js#7>lfrtepVq%J$*52V;e#K%M6PUO%tpknKfYz3&k?!**uMBM#c34k8P=fIpbz4 zeVRY34NXgJQ^qd(7siM#^K-s=|AhDu$n$bY!}1{fb(Q{I%gg;M%)_yqUh`F)sm{&D z|DC=4o$7Fuk;=Bpbg{-<&_6Z7cK=mHmbv;q^adu|HoPD$x_kGj# z%J@8gk@xW~(wwo@AcGSBlPQoqRVFFEe>B%nZaXRNvdx2$EpJ(FbG2&QWPdBvkR6R$ zYcr0L5azQUP`{b`C_dMa7EMN&$(1!#qUqFKuv*UxWA-!m zAg62cj47}7V{PFWc2@Gl3m;7a?7@q>^@A%e!R zMPfVJ^rML3bB=hDIsG8{u3x=kljzPUb|2K!LG=u4nWM{ego7mRS#0qAF>UGD=#!bo zZr#aAvm1Mg39Dqg})xxo_FFUCy&5d=aExWzLN)a3-F)cTWop=s**tctY!P{~Vbn9Ac{>2+r zQe^C@JcW_$2h-U!x*(Uzju8T^m17yKNn3)j?l|~j$Cg7zeglSo98e-<=4{quFiw_x zc1Wd2WwsVIc53!Szexr-@vT}0&T9;s(28RyF0}|8OIg5*ckkDO4FdYgrPUWCW;FVj zOJC6a$E!brFER^;<%W%%A-Ld0qOxVH+M9UtA=d-)SLZv2U4k*n@cW@VO@t%^^*ppr z!D^E0v{u6_;O9g>4ny6-&Q|*O^zn3&JN9_HsZ~N4$!F=2}dkkgch*FL4SFGC-wY=)2+6UcNQ_T zRyhveS01p7+)7q*DvTN5l=C8Ik%j%UJk;$nCsm=&EdKs*|C^awZ=KV*!oZvqFzyV3H2$khxV5qbn_?nkF^2bS z(H@ohdQl4+$&Cy(7FKFBlz5^fObA2?@m^a^qO$@(CZ!{gRGzae=EV7w&3@D3^V z8t{H#7k>F6qtA>j@Y@X~Bu~k)GLC~muTg6?*31q0#B(kHVD9gG3>`_7E^MpmG>hjX zewv5rNDwSG8!03{9;M)ww%219bUOZU;+svpdwATo9t~zKY=Ar6_CK33WcnV8`cUBu z3)=Jvnz>LA+CKSwzs@I1HeiYr!Rexd9jqe|PRxbu%25>ZA?~;!CiL-CC>o;?L_|@1 zy+(u0Se4jC=uTdO`e>^B+(M&W8reQ#6zw5$Lp_40I6&$rkYOwlNxa5kH`?SXRa*Dw z-Bsq|Du|mZ6QwlBo=}jnH>Qnk9VfHoh`nXAU%BxLSRJ3k2*vQaqY@;-B&iAY-M`te zstKgnsDDR*3`pi#aL>E&bp(7Z<=XBY*Z$~cmBSdWpoie@QNfA9fGSHE2VF{ku`UFM z2p7q}FoI$eiX3ZK3CkmsiEjATJ5WSy!)(Bmx(MBI1d)i_>$&YY(YHzbgC~6h1^Si! z_CfA1&|V5Vw)MISM95nkh7))1azUuVNkbemRni`YepZwHmBB3FSv z8wy}H+>9#1IDb~vJ!l=ggvjg^@d&TN1pt>W1e5`S&%d>xS1!2GRv{e!h86HjM|7Ec zAgFkd##*xAMGR&!4hfexFN5n+UdaxDEjkpmCK~KFv+RpBbS~W>fZk?9Cdq8i zvtTbnu^f+pey?U=2cF=P)bbrhC3BKoZZC})TU~Bf0$mW`giI6kAxFa@x1HlGE`9!4 z4bl{`0ZW?ow}fijblcYxiFke}9TS`@8IlOMuOcvhYC6uu#tAQ}zpCy*fiv7_opF&~zwq{!c^0~jQPF1b4}HPoXKS-8wng?Q5&gczewg@fni3tLgJgn>BUFcqQdBO z8jL1<5hfkv?k{^if3E$!gq#{LCn`RTE(j{f`-8n8?d)!axD00$%tAB$)A3`Cv2Z^N z#3yGozR!<4E!vBSH@8UWG0PRf_j;n;Y9r_zK^<|0jINeC6q%M$o)=v0!PEjS{8eT# zy-p2A-Up~!gC)fV3+HhuHh?ZTqLnQ^X#j^#MMHS}(3+CK) za%u0gNS%akaGbIlr>3`Tsl!g`<`N%u`nn?iUI-7)2Sc#n<)idd#SLgpe6ypWzu^6; zugpt0I_^4vuhf`kOxna}?{8c)E6$MQYN<=iy)5$^jGg#U_`CUWq)Eg`_A>e;QHfN+ z=4Rud#Z;u52)TLVWcwiVD;_ls`(Y}J2QVKqWjJNvh9Q$!LCFr_X{DEiyunW$KONI_ zgH!wdnr$!Va&g#*Fdgt2Jp?mloGIc4XW5Xp?8Cj0K1*C)(d%H~CsXnz=0f$F?D&5S zlT)xeIy*Uwp_35Ah)Yb*dGqzRIXkIN$8o61%?-PK_tQ;eVbJ|*n;C5l)lL?lpt(SX zr$Ja=WYX&zcPqBMTssW#Z_B*tmm(5X#(=&xPxev7JD!43Rh1sfH89jhe0Oc?s&8(J* zYTIqR*-N2~A1zkB z;Qbq5Y<5DKbgLQzg1K`^X<=y2bt|wvXujH*^w{ndhBE6n0>UtW!hv8Ya|BTxA6fw8 zdd!+l$DI%4239GEsssi*@$WT28{>4McsXkXFRr6q2$U!u-e!QkF%gqE^k9-{689Co z8ZXg$-pOx`PbNTfS?|by1TP(L{9U(V<-%(zIc_{l@OtEFzI1>0k!bLf&aFV7tF^a1 zN*lax=-wQeN)zPD9ei(L_@no z(Ou0t6m@6=c1TsG4!c~;fFzsut>1aAi(HA9V}Qgjzy#(A*xo zD}T>*8=B*eI-+Vu4b!5Rc@b&UP~)kbm)F84sUG;UWUV;+?%16(QGG5ve=0Nh>SuxW z;Pgr;mewinA|8F-D#?(<%RQK2obaf8v?KcqtH@*H!_dW>kr$w9%20BT>l%&s@PfH~ z)f@Ql@*W&cY$I!_Cy2WgXM8RKW}4q@)axljx<4bkcy!s`-A>&5G4!qe8^iyD*U`Jq znr&wN{ozA6yUc@^iWZUyxag4 zciWzPQX4}hQ`Xa3E7#Q0P1x9`?|2>?EqYHkT z7jQPkFyq5f{Lc*tpxb<}^V)es?x_1mQ@-X$`lx4T&xi%V(nEQ2%dv^Ba zB+a^t!*%!SI`rx}rB%rOJl(_V)A8&p=e?E^Xrrw#f05Q=&w%`?YXax022DGb6?y$V z;~_?w+zCsLa)@O4RGl)dvCn~3Y%4y90} z{^*#m<#Fmsjzs2=O-PQ57!vzT4_&0eT8BlC8^uh9`T|yrb zSDAc8(L1QOv?(;0IUCS2@^SU2Lj|>}*g&#xR~M(B<)uUauhL$b6YoNs_R(<`fMzcLCBn^IJ)y10nYKY0%#Yn?=Nb z?zx@Jp$!h%QT|X|t06n_So$#6C=CnB8C%;(#g69b#I9J zK$3Ifs3wIkbjB!BqW*pAO!{<^ZDcCmUQ#O!CX{g!JL;OjLT}zpw{qf0uqIU_auNxB z>3l;qburj~ad%O5Rq5-Y}~@6N3Vz;5Dg9xS(@@?5HbSG zh6cmY<^YL$NMPehsXJu${;gdsBw+bfVK0*Oebjjh*>W zr*Jl*BQk?{;Jni^sASXpuAg3}wRrZ`nPXkgYq8TV=h)q)Jpl4b^P*cxZ$bY&1iPm& zItu8GWo?KRX;|;40jGegGH*L;nX>e;7Ileze`lT)V=DpZzl;X7h z1jylNw=#R$kK;rM!U6q>@$q*vzT(on(MQF}KUx&OhjT$jAHtB1k9s zomXrC{|nx)8KLL*|4!yfJh9xy`M-^tdG%Q`VE=oS zJ{xo92j=1>&8>#NmqUF{#%9~j#-bEp&7P8Vt>&!%4_)sZoJsWU{l=PTGO_baY}>YN zPOOP1wrv{|+qP{_Y&%b$-2C2i-#Yi4s{2P*S9k61>h9hB>9y8g>${h|DL%jb&lnxa z_$Xo&I2f2KBpBHDuNa-Mf`Y27f{>_?u&kJYl)SjYR3e`Ogb-@T@igQQcyUj>pULr& zMA)^eigm3Pr5ayJvdS5L4A+_C#wi4Z|s|NlG>hnh}*x$Jm&N znMpkHS7BS=3sA%ENkQ;P%Ss^jz}3r$_s0gkCAD#`xh0yZC#ASXVJpacDbWZ2Ekldw z|3_$bWLC_z|4%}_sN;Z0d=Ei9K|9l?G@GYT-^4~s#5g`(bNX5&@HH-gK-BU%^Cqy* z?PWb(s@pQ=rsakqtOLa7A!(-~Dsy!G1L1K@hx|IB5~j^eb?M}dI*n@&`6Z9HVKs^u z_TXe?sfMrNFhpXnRt~9z9|@y|V9Wu(DZ;Yyr)H+H>nfIFnkx(Dj=L*jFBPg>h z!Qg1cH^TMMAnH6A<-fab<9ILFI5t1tGrz0ej}?|2X3l^GrtqP=*6gdIONU zl->!->Eq%7KA$)S$Lo$;gGy3mi4{CKE7>FI_n)~=2+(Du4J@b|5`16MrNT)+aPx|G!CVeoG_ef@p&0pO?(tIiSODa?-d z%-+>)#{e!`$H-42+p>l*2(Y{TlcWI{M5ozU@6k)boGzcYSm0}?`I^3sGc8j}W?`I{?h@0+F#H*TF4T)-NT@6xrS)J$;VtDiO8$gmK11qf zERg}Ly&E>zXQO2-Bh-gjNEygmzqDF;(;^BL=c*`G2Wjj}Ml(s1u_`aeh*Dtbe8qQ0 zJLDx!)j=cmuL##(@TvPe_^GQ#yxZ-dQJBVBv&ERjP``H!G!x_x=Kj4XT}XcRNicqikGLiw1y%7j6Hz2-5k4!V8U zS!m9#gwGl`uy(RG;ZARxTiJV;voK(GcsQp%Y7zcz+tH?D5KfM~$$yyWY+v?xf6zbX z@AIs4Gyi6T|I^;bOiTykC_z&ng;#HgaH*8JaXH?Jm2>w5Hcb@bBMqdhdg}e_oDs$FxYO?M=LkWmzQO{^;G~G=5|VX% zGA=)W;#_53`oHgp7;P1y{j+hpKf&kT%kuo5SqRQJ0}Bcf6ey*8Jch+_6hd?^8L7F3>etS!Hcg_gB}7(b*DLxAb+;Cwo7T_rtU!!rxj1QfZtWepZNBf-G)6Bk%ATt}uoj z*P|`=9NFG^3k<^{|K2(F3*5jMh>={RB#;?z4Epo`t-tQuDpe!f@zONu5b~ z+fy$2cu0CLE z6=x61)9=1572DG=lw!iIP8~iubks9&E$e&L~ zU%r0Erzl`x@LyDyvU4{3{oBRC`7(9K?uP{Wa4d-q!lI%Ybuo20;+l9FnRMr9ih z*P|?!=a~HDb{hxuL>$R=PTno%96B$gciDjE5 z_7gU`Sm%Z1S3AJiMnLe%Pm0vX47Dmb8rv}M5ufH>p97te9bqgcj+wG(SELHH4pS~u zx?gqxYvL--wP*T*f{6__;DIgqhvjm?M}&7~bND4&CE)E2ACNi(IgD}KVC6RFYJ+3n zGn+UPF?EkrC3#VhY_nXwnuRety=wMG^MXACL}uC0g#JA3)Uf%z!OYjJMrYUKxT6~3LgmVc2fR8O)Mv&+!`ggPPqyE*-8?o*jV1j({q#DM=^$9OSPYN5hQE+W8xsaog= zi(^V(fPTV(FA+|6eh^=)+@GWqH6$+wG_M1lQAyFnl}NRPln+UzW&9~vamfza&ha36 zARwr}d@nPVpHmGr! z#V>o9N>%Xt&?!e7-*h}+{lF^CfpiS`7_0aYM=G4~f?00kAc+ir?yYaV^~l)f`g)6X z;YeiK4oo3th*wVj$oPd0o*4j{e&!^2jex-mu8+|H_!^9x;Qcww!aE|AvOFR5ui|+^ zA8(;ZIDRnF`kcPkZo`&6Uy!>+WNISI0W!eIN{kcT*7TZJM&X!a_4@)>h>x|lr)UUyHj=U}t*ju<*Kv9(}-yxSDMTCBcI ztVHP$*To$Bzm~pdo;PdYFt2>DPQCV9ZwKdM9<>7yo~2J3{!w|_4k3ojAG+#8{7*bY z&BzzXf8n7X2@LFO1tuydbeSq-{X+?LgkoK+Sac|m@{i=0Hk6!2%bKlcS>9zIz}PYZ z4$Zk?Ey*w=g%qL9c6304G_4# zl@4ui1f41c@L4z#CaC^}X`l?IM;=p!UjSE<&d zisBI=nZ%H$&-exv$R*rJ?cu1dnI_7@ozuoP9l2u@bzGcaTJu7s6Z-It%1BWeb|E|n z8>%o9FT7n4)2Z~C>_We^Y3e=5@QS=S{TB?1Zk9sLY9g(5i^q1U!!6VnSqeZn7EIE= z47ENSRrQ(6qUvy3IA)7f%_Y{G3NuRxYBsfR?qLT+Dsl+NBu|Y8S2EO?Dk;d2SzXdVbt*Xl$*`SQ_zmJULv6CRX^K za=8KA;|y?OeYH91fygDNA=QU(b~CoE9d?;>)jH7a`^_&`U|KFnsR7q`x{G-9PVcB>=U{t+__p%_chHQ`Y_S4&9`;fP=96ClM@jI%=Ib7iDe`q+8X}HzV1k$zR z?(^Je=8mDzxsP#(&_>=4xG#%7A#YyikC7)ZyW6KfcrP%p)C^XSAe?&69l0A{Q?HZV zRO4;=HhFFEwuA?}ZO-S@WF>$}V+s2vuJocuILJd6t6%}Rh5YFYnf)mPQIyCa)r}Te z-l*mA{G$CN4FA}Hl#H%K!#H<+&U_+)KW{QSl&OzGw}*JQD-j~d{&^0fdR1HC*ohgT^u~;g6Dx{`KbgVlx zNd>BSDjzQr7><_3$^SKkg*?W`Co61R5QelkO21 z+a~rMl9W{DkCa#;glQ8Ok}tV?FiCcZt3V6@vgITuJuMJx+|5+ZY99A{Rwig~EdOg- zK~$W5cJ7(kG_T(7{`Rbq-wmSCPa4)HKY%IYE4T4@_0L#&w&0dA=Q1#8k%*#yE;skC z(Qe`Mh*!qG+b9qPzV2IIWD8ZFX>+UQ(-P7Nq@hE5Q0|%$@`Em3NIN?ADp#-PpmLAW zEf*(T`e*x?_B0A5LfOyeY8NLe3ahK93G!?IcnrO@$OF9d__n{x*&i+c)z!iNNI~BD zxU>oMnZ$HBv_GbIb-ly`Enf5Rkbm5YYQ5u>6s6r!d3zbk0^fj$oot`$mzKrsp9 zL?9Q)@>wmHjnS}5><({O$3ncTB0t1`IbeA z(;PCPg(e_5aw<&GmtF%1Q+t%O%7R-bsw2e-c+e zq>KJ7yXPhr^QYAEAC|h@Rb_2<7dL2;{)B{Y$wXY0i;P_Hh7SteCFvtWSW z#z<}wKI#11Uniu0a}Ko@5i5GJ{Lc!?X=>ZoRhQeP;k6uX>3Os_iH~{GD3Dw%lUiY3 z*z!nZH+p4eM;mO_S>=G}RZAS1sL7hd^{D*_jBVobRnfZiSdCFGKS*pFtL{@qk$28m zLr-E1g7q-&Vwj?|6oacY&)>AoRUy?wnOWH*#1Ku|=$M66v{Iuq>1T6c=i8z1EU&cA zK^G!49HS)Ecw!>jkxlZi(U#gRMj9ikr=&HZQI9DGYHsrkLE&y0jp`OUK-?1fIsEmE zVQqdJY@Ieyn}fV{$#W=iv{H{LacgpM^)qp0Ciib-B|%DK#e@a3RLfsJWx-TgRH<2e zO+Fjrcrr{{Vjw>n`1gOi8Q9On_llj`eou!mz;q8!kzhjg-lDYttfM|y0 zw%J^!ixKt;XB`IZIzSQENX$pJnV~}8|3&*hS=i0>UzjKOqCMjOrM;-Vt&ydj(|@4< z6;JaUDgGXd21Tn0CQQ{P7uQSDyuVTqxhhWykz9_hsfzKUB_@|h4KKM?w~KYNuQ-8J zH5fpI>Y^q(_&n9L#i}_<{jENXZYJAvI-TX1&m6eWaXfH4qh$Bsdi!tkhr#scDd)YY zdqNK0ly4T_2a+ijt1zCIK!5Qz+*a)fWi!%; z&kH^JfLyl$^A7Zi`6ZgYry)mPI{`m}Q?IL58ItZM0UNG$Shp0!pZhLNoyVW)cL4q`RMAs^r5)- zIybFc$pqRr^X%^y>ix)tE##i|10TqYm&-BSG+G?%h3J1~-+Yue<~0|{4yXs32v0#3 z(>im%GEZD-CW(SJzgKf8I=o>J22v`1Z%{@3iU`{1iTfcpVCdFD@W)c>8DjkEk~A37 zg{&3Z6=Upj_~&SM6i&kC+3KE4_p6?+`aX@kI!D_9*Av;Srq&bw_;k{YRIMGrom6mv z>yWMmt3}g$Z}_IDI_mcer9 z{M0%==;nas`DAZ^)Fq{8kkW*m!!~gdN^+h9d!#R`i~O&VK$GClbSI)(>AGfPzGqV$ zVng-529-m?YxO&V)*j@?VuQK@v<}%X=fZFfd_Sinu{arveBcZ=nmt8EL-o{c)~4F=V+ zF=*<>2Eu%ck7{I^wxxFLcf<`zYuC^@`J#~q$^6WAU0kzLUR%Qp-^VRawX)P(&cCFl=WRRcy1Ay?TKK=a zIvalm)|OX?SH-!$IpUo$r!n8)&hTG1FH;Pxl|iSuQ0)KoWaO=V7Bq{7HFmG{%+Z%Q<%UaS{fl)EWV(Bmv|dJMe|L92!tvng!p zaYC@8_94JpEOWGd8U&y*infl9#9KUfSyT~b2(eoM>p@^eSzz0RwrIS>rO9x7hga5N z$wbA_B-SGQLcCm|mbFNsb%46*mT4keQPsWkgiH656wEEm|3UoYXzd_Gja&Uwgtoc* ze-KZ!b`pse#iqk##~SZKf@TfziK}=9VUxsba!J7DRP}3?m^@}%(MoG$iGy6K3#Nm+ zoH|i`hUNLM-W2cQ!~iKvhVuD3W{)_!ITvmtTX++@jc9X*C_C=xyZ!Jw+$^!(u??GD zVam23_4jSzFnBxGR-lIP%BeMqc#CFaC9KjTZKQf1HVqQxRMrxuD0~?xV^rHI$*2h} zd74Evj2O;fm7WRPuPfH#Z zaEJB+2L{$J@?Va#qNUws)`lIv7;4A{$plqeAsC5#3~#t#_|%^nn(riB;pph_fG||$ zAj8=B;C<0%p*sRBUT}r}pALOwD1yxDzb9OhXnu+T4OXV*XV%w7J7V_YZY_S9xBn`s zsHgyy|Gj#uxh8P=xAT#HaQ1T9kBlYpd8oOX&l?d-dfYR)o&BmaljEXum37{R)UsFI z&X!%(A(pJ~w$iAlF;<}CN;l`(ozC)H$x&L5#OI-BRzYq1UT^Oey*RxweZm)6&AI7uR{1XVY=72T?KyK&oiqJ4ZOP%L zqtuG+=X6X5=^AEf=wSZj0;mG3Cj6-nl_#T>P?}xACu-mY^En{KJgAwVw4h zw?;8vXk_ZEEr*kVA1p7z8U0D=bvv4pl%Zl`p0=HkahWu>ZMK@K{&#O7`m4 z*YyoM=Hzt^Uuo~9K1isoWQb%?G?w;oGFU(@jeKl4T`VM3JNF{G^C(aF<#p~S)%7KN zDGPjR38PTC)o+tM)w$MJUqjE|q3zo6{x}?gE-tqGq-i^TGKAM}D^B(PM#Yo3{kEMP>v*mNEa*8*6DY|cvcO8TM>6~{S8FIoiP=V7 zFMKhZ^D=wO?r;LSqMwUaQcBOxO~Wr2ViSEIsyXEx^z4W-28y4kBFDT_HpBfo`l;q` zt$iPktW59SG^~u5V%L^$t&D$ee5khNy_b?9fA5Y6Fj&L8)1Xk;9$fRTK#Bb*wIBYe zlvD44tE{o_vO6Z}9oIOa!k$PfDuUvW#=D;^k|fOSsvr-6#q4%`FqPkddIDmbJMME2 zoDkxG-NJV!I)t0~H|{_{J{!F^m-fDEY;cn(nBwi@$;wJW-wZDGZ3?=2VH|_n^cR!cS1{P&3PC6Bw*uQ57-*7P(b; zmj^4%m;%@pl3{RNh!{McjpPSouFFZwq9-Iyw`_7pPoxrzy&uK&diWlrqsdu* z8SUex=vs&A-+kyVZ((eWgt1C-iVL1x+vt4bK{O7no@cfa(kV@_LC>T$_oiDeRsu%! zFt1dN!v=1qqBzIBTM`kBb*b`G8>*NSCIt96xbjBqz)ia5!2Qv{)zxzLRY-%0RYe1X zOI5is1Ot}%!pAx8siP-0#_qMaG&(=#{ty~*|MBW;E8EME9Ru=W6C zPh-v?usvY!o>^OLi#{e1M1zQ$8sy`IBA$Xt_GX<@U#(MmUVOZt&pA6Suh+EqD?6biez~e_X>%^l!gpJn9HZnZDp9$#-dl z8-~{DH4cA_Ges!*)z<2Jxx?IMa(gX9AR-V*(!2iInf%eGK!`7}zRNkAPgWyRXf-og zrC4fyg+-Zm&yng(dl6+-K~^Vg6anJDx0qLa_wjC}zKb|uC`>~-wG^YhT#AuA5X_O%HLz_ zC2@-dLUQ^skuLAZM~<_VUxby186egh zn`WOsp&bX1Y(F!bVp50yc23OK*U1?|l@udG^dZ)O5ozBq4sVn-4$moWhi3prKQMPX z4=G7Wq{K4uG#9s@%tgG=SjmK#YtAb^cx@o4aF+j#t!VeHoZ^jQIQi*SSCX(k_qW8| zc(ts$eK$miL}xIhtDsQxkCtxZA`E47RseYD-NaVWVrazS=Rk-7YCl;Luu2ihD0@Dor6Sr!`@^hzZnlqUzXLoAj2{ zplJ{G3mx!b79vwJ)al9_*1(p6wNt6?aNBJ&MeS+!ruj$o}a_hZqCr>3f6Wy}Bo z4Up#}?N-4Ie=_y;@03|_)+SxNaP0U_5v_Uefx|Dg=q?^nd_r-HL`t}OrV;Im!dbdc zGy7Y4L7W~wlK*@_34fFqgN-SN6G_g5`^Xc`m7N3YL)G#uS9J|^G!ptl$=Iz#CPN0l zWG&&;2YSs0tYIypf<~mB@Z0;a-U+)96a0|A1poVqx!}H?)uUsf%%KwvGQA*pS!w}y zZQu2YN^2217YXl-l^E0J(CtHj#8ha0H5q5nVsS`6fd3|zr44J4W^i6a{3J#ePWT$_ zvpRPt2yw(+d;Y@3)G9ad))tZxPMYuW@#Kh*S}94%t!RR?Yp*!b+Q6LiE5Xz7#8J2g zo0+WGG@{B0p;!ofE36`P4lG_AOm>%Yu-?ODzT++U4!a?&Gt&PV)XTBpMotaHTi0Jh35KX&sU%-cucG~LXGI0& z%Tyivui?g#SjeM!R67*7WQ6oTQgOs7w`@MU(B%qJ$klzukJ);5m6cKV{J*vD9 zBElSGJ0yE!VP!p^QC*zs+Qq7HV&m1W^v7RSdFD^P(^;?k9f|Cqi(>IEyz6;L7kMAW zbiy#gL6yR%^YpExAR^5jpW4y(=3fkzBGwbX6*+9O-5{l>uXNx9emhImXbt>H+6x(d zzHGy=34X>}*K_}F;?xY+V=m_IMhjUy(Ta4i5mm*r{-Y&ld0F>4Zc>Z?mG#WOOSoI2 zE%<`32(Zrghs0TmcA=(&3-9U2X7|Uq4TGiSaJ!m^2u*|Q8nx?+m4#|RW()NCOq$6d zk*g7oC;RT9W}$^Zfm!SmsLNw;GqW?Eji4s>LWb5rm|nP|c`KyeH1_hl6I;GZ_A=7L zrs;A@rkbnll^D}nD86=Td{tZgr<(+~oy3MFXtI7IWgQX|;=7-_W@EK&IznS5FHK z!YaT+^}Sj)k_T*LK|=-1b9F;SglM38SQc%Kk=AG!j6h!as!aQ2ZpDv>iDYBOc8syC18u4Fj*cwx~Sat91(F2C*p$$hX=s zG;}u<7gU;K3+Vj^@nnix&XiSw^1+-QmIsWTU|o9wV&B)8C3%pf178r${=~H!qvpCC z*z0o1jkRl(1s(5TuaV1&!j}ZTbp8Nu=S|PXyAIH-B9?EPZ#ldYqCJM&lSzV~_2vjW zLqe$pX?hfs1k1FZ{K%FYDML!x>Wp?a zbqfTlQ8LtE{7l_9J}X>1pvq$z-eCe#`AMndVptNHNQY6$3<8^Zdc5HTVmc*Q?#Sop z!fFEJNfupDDo}%6S}bpRj!(t>S`PB67fS>y#P9b1)u4AGwjg@@a~I^6<^;8)A}Lvz z7AxWAWu_$f5n2jz^Z6CZ3c&}UId94GR3@%xW_s?Er$IfpnJNpop!$9@n`Cwge954B zKGqcpK2Tb-EtdFmLzlCt79sYYF0)7N79@uDqUI^tWu*|>k4rGfLG@uM$FlwWx=hhc z@3il=)j9lLO8&N8tFX^N3Y?tY`JYUfckN35%0B=j{#yQ1xvOGnV`*YXX84a|$5j!+JW*P}y4(|**zQYwlS9^59jey`BvDvw zEv#J@C;boGZjnZcpU^&^Ig_R~=08Si0W>z&zy7p$w3l~OfYkKv?;ou(KM5%MTksST z=(=>@Rh~^{9&6Z2B^qEyy++B5bvymA9A|uU6SV39Oje?LF3Is`a~Q z)N5hfnvPC)8n5ZB&w6UDT{N^tSj$ls4Jc>4wKv zHJ!t)`kqdAcJ)iI>HZ{BS|9K}p4Iigm*6!R8Z4|gs)@h*Cx~x0T7o<#`gqJ$*zFqF zjS?GU)({VohBdEE2-L9~0?^H8ym7XXUQBC%lN-+$LvcEuDaHbsx*Hb`dAa51i>2X` zsmvE%F$C!@ji0I&R`N6z7BeBmD721Ij$`Od6=Z~FGyrwXp-kb{&gg#bP_WD-^1r!7 z-snmQi*icT?Vtrp)Aaw7R~Etkz#4BeW)T{@yZ1WgH^dO`L+h4T_en5p6O<8eZVxS@ zRgLrHzL*@*8_#Nz!EQMbwcrOYeMZx}exP5_JjxQ8;trxY2{`T<=Uba6V#P{ybGO-W zL;eg3lG_MobmZmrLbt}qS?RmurA#hmBhaW>@?B!`^8Xpeg2x%rn&`y@ra}LEm+}o> zU@vBGkZW{sVtTfeDT*no^F&Z6MBp^4OVIKMs^SFzjuISw9~r$Q3pUd*NEZ)k5Eyve za}@W_#m!yP{s20Sn9pFhYi?X;*jEhP5$p_Ve!x0>qyCL9)>i z6HkIo@$n-8g9h*Y4fk7z#H|ESEg!pmLnHAO7@O4{kn>A$&7nB~?EM9c|3>%W+!$}t z{rwH@(0%z^kpdEq)9qWeVXj`Y{e@$ZbwXbH#*+C@5HX$U;qT;xYW@3r3YQ z4ky2p;v+mv%w-h%gqVjvGv(YK2*+BW245TD>+^^A<3(X+(V!~B!VEo03s7R{io_9z z#LAtljik!Q;ru54At>j7MLZNTZ@mMC)vNI)Xii|-GbS>2O-D~AS6)(9HcpE`s-rwv zWQ@|<*vReE_$||hif`3FEVg%c!hDw@Gz`)JT=&op_5%+z-ljf7fv%lZk&~43&@|hf}^UQ1StQT@kk#yDeB~*yn98+;-4jc zlX58(V%#FrW$LxTrfIU8OKlFQH{{wi?tcnsEQ% zA6&W6NWX2~cZi?OXj|@(J7I&uWY6kx04yzu|G|sLVjvAJ&sLRwA(O5{oQZsK{a#wu zh6M8{zTPzLrj*FT=Ojk}Li%s?BY)+e{eRK_?Tg`}mgb5UMowm;HXi@K?ElYk5mFFX z+XoO}aMsxWm6#$ddeNoRU^e1N-Yk@uBpc2ul7hh+nC};cjF12>pSy6pjHnC)9w!_N z2LU0tB{-Dc4I%f0w1t#l_|k`R&xqo4$8e*NmRktl%X00bdLo5PleIIh(zU!z!%|Vd z{5G8rfD+B!n4Wy2reSayQ#%*%*}u;`_5HlleZ7nYonwJ;db~iNLn{nG7Ld>B!c21R z=QG3SzLP+*f&PY9y@$7x=e?Bt`#)ou~$YvXDJr{mxYHDHXTdr-+JC-FnYH=IK!AABJ=*ZMbhQFIk zU}vq9wumCL;%;Li6Vj-QzNd$_XJ-u`{&3>%-R#xJ<)xcKH8gX7DtT)A$PFZQ5Wze8 z5#iO*!#^*A3_66ie4j5hPz-oJ*!c6|<>I7}(cbp@+Ncm3;9vj}!2k1`*5Db6 z>&;oqNBmko1avi~Akpt7@fM+f4G){t#L(6LaB2@fYY*C4XCkN&0EO1!aj0JopJ!@t zd`=wM;&h)gzqD{%hm-IoT$@8SarACty=VmO3L5TUmc0+e5o963!JO3td6E=rM$IMW zv+)KLVEY}J5i4EKoIZDc-AjBnIU~Yo`J@mXnx_@B0!?0(8|AoKq}OJT!G`@I8}@U+nVj5up!qj?9U=#x5)EuWka}$p}dWk@?kk$ zn;e14TXg4YOaLl$qo48mkf-A5ZUo|?yU}^MXX0+ZZnul9iwAA;#K2K&mFA|Ra64f~ zKczcg0Zu_97|-Zo$3IzbKKoRqnL6GfuHu0xQ}QBjF^HCKmy*mSu^bfG(~Za)9RPMGtp^Xvoqskf z(0~VDV#DVHB8ZQCpfjle3FkIuG|_|`u#=&OM3;Zz&m8XCyJ>CFNZqsU+v4o{b@nO9 zU(!FilWOd|&M5x;O|K5w_EJ{lretDXgB0aKw~)#i21&?#cFAQ9&gS;;-+RvNz^_;_ zftMDFT!96}Q@lO+3p7h0e3!kwZIkPAO9k_jVCZ7BJwJ3gN_n}kvj!_I{VKmr<$k`Mf30EIv1YngYMsto zr)#W$PL@U=cHyv>*}EKzcg^l@S`!yBacGm`pU^y_n7v8RO!uPvB0j5$GfeR!s##Hr z;Svh$L=z*}yA*eyoC~cFr=OJCoD)GC4FL?m26@H!irlH|?G%HH$>(O%iO{>X7O}VF zDU?vSP9Be~oT6?z^#&G(7dHSXojRt7Mx)qiWS(kry&AFSv=i)=2p-}dDw~ZsF*^+R z2^KkxMp!L131)J`G_%d51%6xTtRaBY4r=f9q5j-nv;0?S07u7R z)*Ck*N5D9kmSc3}L@e}t#CRcj&@vtJ(hRILaZ@{CywNy#XQ7}ac`?D!WX#{9m~V(J z*5KZ~@9qNacnq|5t-Gtef&ayptdy(WqZGPmAN@4FCo00bp7^{TpUD8b`u5l%{HR$* zB&q3cdEeQ(_Tyhr^Yxg4J)-YBP5iqisG8)~6L7pykaCBjTO&nu6;Aqa@O0z}xNHU@ zj;$PC=eg_n^e~Nfw!MCPefW{iEr8z8PrB34&8^ce2FrIx0EHk2Mgz#=LrAw zpKZK{hT^Js&sX#+nPmMl`ug=L?c==ntB62<`2NSMn}N9IgSBtTTE-VIAD+#$~wrAws&_St#x3gE@aLULp?vtS~JvmJ(7)L z{_YyM;6$bmdTzWo&N9(TZxT5h2+dXhL-{E_ij`a&));)XqBlv# zjlhsYbKNL7645UxhSyP|;G?eSCtC~y@E!~F8axx1mHTP$3q-lIz2C$-oY+D76!_e6 zZD`!mXNCNQ@Zh_3hpL*!)p8snSi$4&} zH95$(&X(*W9cWfS3jA}r@aggrfl-J&RIHFoj_{Po%rA^8H2ZXRtswAhDzNM^63 zpxWp*91TEs0^K(_+S_7*UCac*0q|%P7Or?{NH__kM@aW1@4oE;V?6b~_uJ`{2~X~j z2Ex2i)!2xDqoE)VS+r)A!=9AWsRqWNE>fF_Z9-khv6E(CNK+O${zBAL&-0l~YhLP>}{$SsEW0-+x#WwTB25}S)Mtm47P~U4|5C7tCwsde0Y(osF znO3~{foxxk;Rfi#?7)Q^;LIMdBKq?F?uMJWeld$|i#h9)2E&2J<&NqCVxO7CA_C8=uDF5Kpt`j9%xl>V(qMZxE5x7%f}e^`+nD z-!qZ_d2@WVl72mlnv4%U&>)rT$yCmD3#*7?U}wZ6`8Dym;>14LZ|c31h!gzyyxcR@ z=Jr|t?pbkwuV)GUkq+viF?&mYyr=Ja< zRqSgdDoWUqQVXOH)*7Sk4iX)QuhK3=TiDPT&xcR3Ehe3uva$bkT&^lzCIpL z2(MDL-3>|O)fIH>b1ZQ2Mh%0RWyS5yCo9uWxkimxquo78UoqP3l@rPnvuYm-^^W?{ z7Yws&zG@+n$hkJ$_@@+T>6_`?G6V+hA@ zd5%Cz!EqfnkFrLvx0Rmt(2u~$b5i{_{OVbF>({#lSR`!ic3f_wI^+a6<#!}Q0f`Z; z%n?mNL!jbtXo+J|#FSzddaT1-*}$k? zM^3L5IZ^!jv)5sNuMj?W$pHM`&j516O|cnp=e>#y&M+Q;BYpr@ye9Olcqx_f5-*Miiq5d`k~e0DSx9+xUQn(J=t zK>FxSrRQ#YAJX`elXhc$_egPp@k1&aEB!twRf((t$NEY5=4cc;ijP z;uF7>M6sIR2vWUTF8}tT`dhWAX2mugm;8y7Gx!m075RT7#4$`{$aBmlG%SGnlj-=;K zYV(x;-Mj9pJlXz5WM3zV8C(fmG}aIaHI-STIoamZ8i4dc{gIUSOVbZ2H-d#f2C!5y zF#3mf1N%rd+v2G!>!c7|hG42Y*DiidKN0TDJ6+$4)+x)7by3VsszrxL0Lg{X-eul6sC+fB}EzsW*50Fjj}BZT|I zlWkV-2l&G0)D^M3q0sf@ps(l43*B6n$!97BRBzbgXY(Ryk9#v#z}Ga+zAfawEdDP6 z&PNRa#M$)K#3$p7SUN(p|Fi>Qeg_O2xd0Y9aXkmJSP7R6?asc{hcwB=u~q5?R2p5P znIc)I5?gCR3hmc&bD82te}otzrD${$TeG%9X%)_qo%G&B(+e%vy296ou%WUdS0o;e z%(c!lR+g_yqi$mqskac^K?rGX0e%_InDWr=qGMzpOcem9tH?*0oAR9a0TYW~Cn{Mc z``Q$owXmArbhvo$o9;bZ8+ocN=a*H%qchcrvnBDTBuX?R(F;&Do65fbu7x=tJc_$2 zILlc(4fGE3T4k)Y%1!p)!Z1a#As!zwMY8$2Xu}RDEyxYB|Jx4NT6Irppx5gBKkb47 zqCI3$L|@Y?{r_{1|8H}u1y@9PsA$M@T5Sn3LJq`>TSnpkeOBJD%iaGDCp@*swx-Ld z7RL4wYby5n$5u{&ETj7L@%mo|AV@oZ$ilMZEn+Sl#opNxBzE6Q-z68LVt#x>(2Hb%_9gj*-s2 zN+$Z4Efr=vW!bQ_e_)$6O^wo_Rj6t+*uKGm`PW1b(-Ok3!MU!8TSXyqF?~|ANd8Zw zWVTVTFGg1Z)Y#w54RWW6vJxbdsHb)Dzj5qQ$|Sbf#lq{1(!q^JNeGq$lo<0NO4LPi zh3JIBQGxLFDqQr-2>GSWEd}+x=0aswEj2Yh+Frrm2&C?J~=TM3e;1XWeeaY@9sOB6y2P%pDl1;+bV_KgZ2VXrx(6`D>%Id;@sg=?&>OGw&@4DK@1V=BKUa>}{s6geM?ow=|#Cy|m#zdWKpjyPw+6g-5(;-V@w1 zB|MKs|H(br$XD4K_caaNSv;qVlPN^|G(HR>DgxIc!MjX}l9%A9bNY;1S2r06oC8wJ z9NM*74iMpU2+vjfXV)wTS)Y|-J9pM&n{8IARb4f2M>fojiulq;lW0}yyA>-NMH6UO z5?(iN2a1tO?PVB9Tgd_j&jkXwf5^Eo6qvDadrIlC6V+Xl`MR;xOINaBLUBjh3gF82L;r9 zqeixj1YWsli7i-(I1=9bq=Jeb<`qlfhOl;>p}m%@5z^48^EK`&O#^X21PLc>$CTOp z8;S|u#OZkK0A}22yIe+1R-Vct zRv05#vp?iax#Aw+$5!BG26GH;x{xN-<_skRGx{ozKZ|=YKRHY1sr5u@>Ro=G_7gw~ zAnda3FMTAw=?cQ!!dDJ&8j=ys*T7KH!<3LkvAPpUsadz$zewIF6p5NLL6)z~FL5Em zZq6FoM4VT$_`wbLs7s4^6)#s5E3R1=%O}+jyLW-}M)r8o`##an>i;PUx@R^CK$hkg zYEv_9H^ze?L1C2LB9Q7FmjqCNv7k-sJz`jKv04AH^W8e|zIwnw053-&D@--yNI2sY zlrr4iS-a`nd7=*0s|F@O-&Zxs6}Yb-d{t_090!td?f*+g#fRW-W<}xxVJ6j#rhjVm zFgb9$Uz5{h{o>yUQVaO~P;*%|Bn2z@X3Y+&1=0-85AHDMm03UKpLa0pr=WFb3@q>R z--NWRs#m8)viEs+^L_144E5}2KK_1HZ3>$LR+I0A4_38ctG?X?!{##NhmtH()4^C7 zMAff9c<2PJFTMwS3~o9>+i!8{4=yyo$Ox2Ut8xw0bD^)S>s%;&Jfs@1{_rY^Ez(R7 zOvWezEKz?Nw&!vWqFfX9J*h@hX7Gz`%@`cDsa^~)H35EgWQ|WJI^a4jz?GrW595Pn zQ6}=I3VAWXZ0r@=n?1u}L9p2Ls-UnA(*;4Q&NWcN zho|`>8W26(?tMWkZ?Hd1<3IgWp~ka-_F-9Uc1II$>FVhXSk1O3zHpeCHyFbo zGO+BBnnx2kNz2^+W}Le>;sa6R#cje(Jgk z2|Tj^qsqPpuX+77lPqz+ctYieD^q_>I7;%OANGd{RmednW@|VZJ7y$65rns<6byhW zck{ngIaGHd2`9S!hcbiK#ei*VjB)>M*~E1wwm!hJgD-zsc8QY(`D4akMge=D@&V7^ zILMxJz~~d$J-^J+6P00Sw;rpm)q&sH2XA1Pf#h%)a{06Ta&+fX z`pYG`4Lo!759!V#+9g-nJL&u)L65^?&HX%YI!3=STWQg3TElk5dz*)w&bGWF`bToD zIj<<7DOuRGxzJ~Ma@s&!mb6}5Da6|R@NFek>=I+?N6rT4)p?9}C&{xp1X_F+R`ABI zvNS)uF1t8*erCii_&7xvw+C_Wq@;hp>A6epQ28OaqB!-9|FUPm>+)QZ3tkWPrm6$? zGz*SGj}br)0MZV52|d_*E_3CnPVC2%)U=+E0-gpLa65L20aIPnZ}Wl6U$rWP}_3kY+8a@Ql6Zw03-)n@32%m!>e#3Z$UHdvAsq0NLFCL| zBqP_Kts%ci=$a;Fh}C12_3N)?ZX4fLeGom+bePBekGYf=>Bpm}TIIpHpjSLs{2cSw z+j_YzYw-gM*6EyZua9ipZswy7Uq;L=5??ow4K1vkom3KhF2E_T6*{V9bBnRz3=&H| zDUN^JJeP=Zt(MmAr9Ce9(ryh2`?@K;G#?P+W>*f+d{hbi+~>L)rv9w9$=R6=XN*Lr z%V&$(6-&J+&+@Ug&dTDCr{YuEmv8r!y@qPChLc#NMpo*NXgyLRDVj*Z^PelE2rJrjdsV(Yl?OnDl z!Y`(1;^5(KTCP+nupCH+31NKhL}t=JDzGJ@SUR;s23f2%{W zn%6aeQ+n^(wrX3#u(Y16D{_Sg} zK>aulQ)2#&|C!xKPMdQTg}(J9c5e3S)3PnQV8##JR8(oZ%x70-ltM}D&CR=)wat4K zN3i)|Af<&UxHcWY5cwKoA{A4`uR*ov~9*@>dV6iFcD6 zzJ4M_rjSRH261&HSypmfhwA8EbK#Ydv!ce1GM6FBVSmB%8niS;M1rhvdH;tUzY8-fl5hXRGvaPeNSY9Pl=vBRDqaZ zaMur{+eD7pnBnQdEDL9DisiRiv=%b_Ky88Pnsg%uQ3ZJGX(uytu`9x~b1)l*&6BsA z=W`I)g~M)?ntBmEx!e1l;~O#(E{?QHyr*-y!gW ziuJwFC%w5VH&0)Y66+yX(2M0 z@bY5ibFH{=5gQ~t<>|oLQFH84WI$#ZQ&KK3l}!&qDLfddyi{eXY%fA7@~Hm^#{h#A zV6=DyVKSRZFe!qN+*mFt#;Ky-Is}V=IvHn+L_j!sW1cou8wVIL zSb=K3Y{6`r3x`LEek6Doq=9BR}ol>unk>VY|0|731Q!Ma?<2>7wY~*bs=%bK{$(I4Pz% znIke@{NZWS<02c|LFcz=!}^VT?_-8Pswr&d0`!{T*Ta&_pU2q;LRL85iir+%;VqnS zzW5Q|RAe`Kq(KK686p06^LPFvvV&hs;pU@s&3g3gBwoL=e}*oeY5Oekyu^^GdGNa^ z+>L*cF^NzA74uV*BG70Z{uZgYYDauUOm|F}tzx&e{ckzqXUf-LCiu0x1 z`5oLk$WnTiO23Z@4m^Qbi$rsaPek-6?7AHOZ!Kf7|!?w@qP*MzUYI@xHpk!tQoOKuK z;vG+97hD)2s=TO|nfi3c8zbx&?9=^*WFhqu(w1V3+ak4%yO{Al!m{yusn4gP8p{N^ ztSW~^-%^)H2zS;_W{~fH%(it-)53h?f_EW6h}J67B$-NJ?G@N6(hLxZTTZ{6bTtiogWAbs_;uB773Vwi|gP6CH0?{NtoSdDu01=@M*0hdIeDa#CK3ef_ZMqE2xwfY?C2%>o0 zdq2&)1qYpIz-`)|fMj05X=R3?V%QbjEA$#{opF5Cqc?p1@ zzgt3#F3Az#=i_uy!ThY3k(xqh?tJPaAkY0(>?;{U_%WwqyPIz;VE*vQ>P07oCX$dhgU3$x6TkJh zVit-gkmyx|eLN$Qe)X8!dKQ+#Ih#4#U4n^lQ2vcf^Qhh7ubEm%tDhDpGm#Q+d1TUL zj@qGqa}352Uxau+Ix}S-L2l_Ez@grxNzuQ8{nP3tL>#h80w))G9SZd5UQp1MsdJvSsg~J~0ghT37`m_E^$>7U4o^;t! zW&A3bJWLwzsfgE0%>`QaL+x%0F7+cz=Ugf13?Xdh$+syS#IR-r<5Yf1{qQ}ps-Ev; zBNUH_PPSaDCmwK64;JiO{RHSWaS23e{dZ!6vx;uXRQ~&O^!+Lb%c1bfEX*Y&FI^<; zq}=B3B|=ucGZ?BPgdWaSMSD}HVKF#v+yxRiYn|85urJFCwB_AyDfQJS=OWz~G|psO zoayteq^EGyL^Lv@&JYH7xx_TJtG`0fOa1gV5$|C9GNI4sj(_{nA2(x_$2pH950xZ7 z{Y_Y$SDt*KXIuoln=#Q2^|H=@(#s@+u>x&cYA{_+>Ro}et^Fs*o~tVQ;xW91ZQqcU zrETi7u1Lwil9&e#=3f6i)yRW3zNg`H1x=u|d@|U>y8o z^!ZNHdS}o_piA`UhnFl zyNlDD(YO93bL_VveY?bczWpZrH!GKE3g2v0NH)WCaQFF2H|AAaF=wW>Nya>jj1FQy zZ_d7b5MfS;`2NhJbiCYsvkOSz$onAY6({>XHWuRKHaxWZPy zy1p&om6?lt?!-~>EuU3imOYMUZ9(;T#q~|kZu*maPJ945$ zT{LmQW+hqHRa2*OQB&u2nTNKNaqqExg-KlV{>W3fvzkUvAS=Y6BK%x{9iGPX*xx+8 z@VcyzWK`U*hJ1{YF}5ONH}`#K381H_fzO-Y+O`~VOgmDB ze|<+CeJ)VXv>JFc#KzcJ6~=S*(TA?5t5xQ>>HT+x=#Pg9B~5QmBW}kU!)%{4*1&q< z#-YtdrIY|aC8D}BErS`Bo)tCFb@>6SfB+efRb<#PRfm4Wz&~}4rL~kJ1l~}se|P_Y zkUA8eMLfFJd!fKWGEUyEo_T0e{)%!AXxRJHw&uC0PNn- z%ib)(2DhgRH-MkfrXTEP5O?*f4FOCIEH20WN?1M*&sp?qI_Lt0(dmLJF7!o=_NL_A zW&shcpaZftM*e)E6vA)s=QFPIQI@ZviPGu(n?7u`Lmi6za2@Q25RnfhH)=R@<*bil z@;q^<4}@|hib`{1tO%p6qf(pm9fbJ_2k3JCswwKhv$Y{psId8|b_o9#^qoZ} z>BF8{Mtx|)s*#~PTn7~;_3~#r_jbVlm8r(zst9y&3B;>(HhXw|jd82rys1|nad9_B zH1l{Xx6$P_cKrbe+~FHICvO0R9M1{%=?HCPBW%^q#)Kfd5{0&2>fTQvI3jbL|!!Q{umi7abJ^cPzBVK)+3CDGES4unD9F&5ULdj zf}jv$I106iXRd8)GQ%V$FdN93xKT_URQn{=UfJr8ZC-&S7=5WWDxUYv?;bu@$= zv*rM8^|8gj@jtwD)*|5{9U)4P>Eq?nCD>;&BU&;yfn&?DvWksH8G{RJzZIU;qhdt3 z0-@Hkwd_qFEi-L77ji6C$wMbihY_HgFr=wlJEz_E2Ag1g!b_A|z@2yPyTP#7N9QeQ zb`z96#9F;iRtm#1mt$cFC&h%b^H4AgRP!z>XFCY1qyP}u0)PM*`}0-zZ1io3n@BX^ zy}*GjQ+if{IiX)yY&T!Et9eM&x0KSj`)H;f;+Uux>dI|mn5>^7%C7P5Ta9-)jb(jI zws=jXK9gm{>9EH~sPl8-^=Z>&CnqCSgY-?HCsBp=&rNBVGB$n}8GS&z0gEj+K%;;uYg0 zu0c>h9@!~gLdqU>W(^n~U&IqgvM{o_sFaLcQ#FraQ9lG0V-(O^S99U;>Bly*QiznU z^Jmo`E#FQY9RWVN>6$p2I6kUCoZTI2_AIyMD6eljzT!PTV^<1uSTm82JZ7>o)N#|q z+-ZBCj=P{cKhSbkM?K_{;?mpFhFT*iB;d;7a($VqF|)HxY;^755L0{C(lWSs0eq-= z>#Q1`ADf%IkXvLMMkw`h)Zh7qYuD5Cw zwy8k~7oRZ9K8vq!%sYdyc~j@f4lUa?4B^Q^zX8cW@Ehf6T6R7A+-n!9w5N9n_XTDB zsusn1K0oE!y0{DijWLYAqdsjPke15E?XcRa8elfZprN_l&i3{Z{`~GN#plc7j~BY0 zo_1kNx?)7bC1@_TQh`e;z9E;G+avEC>DiBMm{P941PX(Nk+<_MLRg#7N3C*0M+ zxyt2aD9V7Wg9kBd!)w~XK+duwEG(+g|9SGuVc9q5r|F)WKKxjD3wyT|Up*9`3B_*^ zrA)(AAugSQ-BxK3EB_UD@m3t_ z`FR%Eq+U|aWK8)q#{lvBpZKYEqpwiJzF}KP-O`-DquIY8wOmCD5Sc2K2AhHP!^}n- zu?=OnSd%^wW5Law*M~qKV8xZowLO4ZZaj<`~57=|n#FqH;Iwpf4Pvh^0s<!pYEu?@n!NQgi^K-Qi1qs8s-=l*KVS`RDEk{2Mq+F$9qbK7Q)Uays7k@TM&7+ zPcz2l_c*s484&B?4(MyjX~SmPr#*&?XAjVz39%^rD8QX!GB6}rO4@vq8LQvhMaKyU zk#$o=ZJ)iO)Zn4pCy}Zz)^fHku?(y2b$tDUtW2O%NdzCK|9VOrd+YqXMA-W?eZfERO1B-?;O;xpPTf2 z_X?$+xRYA5O5xBcQh2!|uA^X^7Jo?OUkq*$s{*!H);o&PT2V;Pj++$r_U7dYqGyh8 zEOm+QR}D`>Q!^X2+t*{nPbggKBv?P|Bj#?>HrMm9zFm|jYo{71tXP&a9&AGlAov#U zhuudyX5~o7J+eIrIU4xw)D{z~oS{$=+QTHOl&@8Y5|g2s$V(k{_)~L&fV1<9?{;NU z-|&9P7)N=#5G|IZaA0gC zL+otK#D5~!>-FlwFk)z+yy{I_BSzL}7E!9uCL2bANXQEkNLKjSsw6NI|Har|BkVlg z^0;WZ3Aq>JzB0kR!icHxY4+j9)?W|w5~S5AV~odBS>eTB#wIv*agNOtqb79KdAzcP ze64HC@NM1R;!?H_^Ak-*ck`!(#ak}sk+Sv-Z(qE7Y=ez+JE9YjjX9^1GN+HiIHl|< zwNhD{QR1G1#556Cxmi~=Syykft`?~o{frw{CwV0|o(4yglGK@3F*Q~d4<}8QRF?=w z?*acxX1IXhIzouJNaZBqn=*#?RhP|d)@>vceFJD{4>Sh2>e5_^+|;<*WpK4%NhOIaz%Qq%}r+;BrjJ8`b8?*fyqWE&hh~wDqCg?}md}AZ`xUkXNn3^lHxh8I@B)k@%6Aam1{2 zdis2v1U@~Ktqh;Tt-8xp*b3>``q9x|DMwHzAy!P{b#lGT+-gC&sd9eV*U}3A(X}h^ zC7OP7b0K-QEOXi=!I4mnN`1f6-32>TS!gPfnB`9rj&jXI2{zVOhB?#HHNHooy)uWj zCq)M59pu|}6ox)>dg#t|ROYv{MC#=QR;k-5drQy#K4X3f7tWd$KI|1fvbLo3JV4Hy zND>Jh=UFQ*1?!Rj!o9~LBj2ovj$fT9kL=mNw7_9VJ$x^TyoNuaM^~&zmn}*_Bv>H8 zd@XF;-d?MGIowaLyh(B<=0eCM7Bu|K650C2w$uSn$q;_*Ix$z;wJ>V!`!v6pwxL0^ zHgNy)=!;!Sok^7)b0HF>ca2C)DtqsgMw|5Q7a@Nx)=$68(w}Mx#D_A=@s>exQA4`> zY6j!|>iH}t@1-PMJMG$;zL*GhpVwP|S&4{6OixM&!lX>H&pb7^u%YSE{+;;_`i;1V zd=+OIQOP&vxZatVf{uP;ubiKAW&H=~E};(^7-{ z%cEX>n`P}xjSTSqdG;oumu#y=37E~_BrKagmNNfA2I|{>Em$& zVl2;v)nzmxe9WJOJgK#9RTJ&|J&0C*dWToXz1-^#afw;T+M($GEM=Rzfu3g;mi zoJo{I&4W0NCVjzCY^EJ1&t%nHSs|am>~-}rDMtBP=7Y`tCz13QHlOuKWW0>3111F& zvadJqs%j1cpcG!?nIZ7759UGYOjl~ujyPZk<8?}Knh!kR>}HC1bKKt~DIT&@9C8De zrqOIL)VOZM+GB}?_hd|Q(Dr=s#V|Cu+PMZwiy5zxoDbd6ci|fxR=#&INyM6wXfIs~ z9l((<^}z+BeG4iNVOD4ir?lb@Q%62h=JOaK!8cPHs1qyWkHoUnT3BjD#v5=W2b`)c z#~9}|aKl);SAhLIANlESElZLjEGS^Pi%N;U83r!g*AL&k%j`ah=yC~rsXjXr660vW zHFd-(>D?{3*%O`jc^4c8*HJjPbz1YDqB16sBs@45OqY}g8?~&Qd}WO;05UROB=}U= zDYj_}CL6*jb97d8JeLD`WsU+;4x|4v4B057Mah~!$iJQNTd5r#ncP=PDd7 zb&TC|QX*$hU)o^CeA|>xGOFeF=73SGT_v{0gnsQFzf%S_$j)n7U85)vZf zgh@tdjV3C3wB}oRm=D>c*7=r7h|pPhU%6h^81c$DZkD}F+V`K9A-b`7?q*(&3(An`&2lNhs;+;_PDMJRRX^ZR^_$kp1{{@nH%J0&Ra zeltt;(q%6V+-Ar*?4l4BbKyp2Lsu)pY^c}Dg}?PD%hGnD=KFI+At=fzZqk{SJQI57 zO8iFUSllVvAUo3`0BjJxT9%!}W<7S_Hfzo!PpbUe_vQIl@;pWn(btiTXH;596Y|42 zM2L9WtBMV{gqksodbPvG)}LhZ2R8@QY`}NkCbY$zxXwK(Hm(}hE}RI}EQ~H=RFag$ zDOCnhwe=HIiyZeQR3;pVyblB?+_7(T`G$L?VD^`Jg zWR4xo8GO%+C)QK^%5^#D_%b)wB~WnZ5!8B#zP8Xuo(Myf-*vEn*W9aI@Mj?m{VXA$EN$N@<1jb*t^DrpmTH(nmn$DDU`8!3OBe`Ot)HS+>VO1BSc84gsf&-*=*uzUUY#`y22YvrN=wCAU5NKt?t8r|4A{4cr)8((sXevH~?4ji9+ zn^)-X#VvaK!H%87_6Xu$8*goWT%`PAsvE6wsuK!#_kT>wl1+GI%9fHigRl9=gxiVv zzvr8EzBo0+ZArJZu|6`cvzN4fqhOmK9PfRawJL_Tp3+7(x@)?AU_MiPUs1AI3mQ6# zw(g_qJw9h5P!VPo2Y8-ygtLwl5DlkR{9>@^s#ge?dnx*49(aX#dGv8dwUOw@*@dss zd=@$d!Aup^1#Rj?3m&hAgC|O>KW8~lw@4uCYP^zksphNt+!c+SrTpq6|3RTc6n3k51R;K&GLfK<3ed;c-I|$^A8b?>&4;cw(ZJi%)G$v4+-EYys5U#UKR26R zCuyGWxZ7&wB)vAKm?^m<9tr4}DCoJ1{Yc@VF?xb$d)wFehQv<)WJP@sRuNy^x>q>{ zUHjs|Z~#ZZ7q=GLZAikpx3ZR)fQj#Hl5&xc1HK7G-oH{bLI3#SHcU2#|DSCjmTidL zzwteEW1K9MIKDi~-^3yEK60f*vLl<{j5LYG%giD1udj-)v7VpdH-1uad-X{p;gbSn zflzBLNv5yw=lu%-+y0%ajZBW}587N5KQ%F}_+2@wQ^1|`LyGyQyejanj%?T3U@sM} zh^=5cJ-xX-30Yk8Z#CEtIKMa-3?Hh|c*bxB=o#sAduDDqKR&>6D;Sm*e|Yf2Tyw0~ z=k+7)j^}y8=k~y(n4CAfZu!`3iSzxlHaxqZH=m>ig)-y2IxYH@BA1*--Q(C=xXzMi zPPSZGTjuDcbDxmz#GRmYvQui(Z9wXL&*$yysz7UdQ1g(tZ}yeob($;twR#L|fPlp( z<<;!%vKGN1*VTxtQ~B~o@^EQUWn(?CEPTbtdN?aPMBc$mxs=W}rk2Rf6NYQ>R6hR~ zU+V%^Gq-oy_6_ceLy4KL^6L6P>90<9Nm02ml;;9TMhYE<0|TYa8wQ_?w=g7b%iPvf zhlZC++_)FAJ4c(^0?g{6`Q1I!acd7(ye=-81=j|R+>>UqpXDWe&XQv8)hi%2Ss_ws z@_?mZJ4V+$)QNc)&@$96xw~~o9y9;MgD@fzsi>{pnn0$eov>7MY5zvGb+%g4crUbB zaulXr)17c(reIDZ=%vfDg!hgb#Fj;h=2R_L@Rfln zz&yv6D4gT8y&zpk=_}`8k8-&+`=?$5-^&Oe)BY^H+|haE_xY!7<=5v=kb-EOUyUlN zNwzUFmy*KiZnFE_(zCKUioH6RyKeUlGEh=ac5hzzPA}WBg4y;I1?XZ-_UdVG!NpO^ zoFKwEG(wPs6H@g(w(k+T<|k_JN(?Uf3p$>T56J8!9Y{lwA75?c-e`Zv3oo;yijg+m-0_?}>a>p8Xl+J07nbs>ABhk9j zDduMRClbd3!595zRo1oE8OM8OvY%<0u+MNU)xNEYsbdRqzgHdnAn&01$?3DU>bKS1 z=byUWOnJ3vh=`NC423O;2$C1N@lMYz^#m!SCzGChow z4n=$qRLZ^1aZ_#t^mV+b0L28jkxxxeA(#%67QZ9iI%U>_Gp)!E)2nc{)dw4u^4XBp$2I8;E*>hUoa=h2tf`mBDy32Lr32W< zDz{Gyd$rd}0{4n~iaYHvw<`xG-do1q+r8IbxY*3^BD^X-;B+>Xt2=*}j_agtGIhpV zb=x5u9UBF9D5igczjSJD{iTPC6j3jCnwD3OC1l}zd%P35bBS8<$}|PNX?8NB59bQT zLBR#5%#{-HRcjLp_5 zoGG%dk3wq20vii`H?#_6m(SUc;m^M=blhR5w57&~(koxoqZx3PvY*+ZlU{!HmIJn~ zhS%kZT#!Ez@>~o%YyZre8)bQGeRN#UVM4f@X>lt4dK~boD3OJ!MXAJOI*VOvasI$c)_xcSuuH=P(N!70j}5ajQ|O3M+0ZbKH~&?CW20fH0LJ4rH3rj)7**r`{-p2E1M1g=jwQ5+YdEkkM#*b?zkX-y^&?M) zM~UuD0X}k4;OED|`Zf=_z(i`)Trr`n4mm|?<|P2)Qyr~)m}tUk01oZV?g^4&eQLPI zHt|y%YIthiD?R7yUN+TOLQlns87V$$UXJhtsZePY`MT}P)!ieXkYRFKdClNb~8L|qU;EY)J_sEpEu>KZ8CRBc`6c!u$! zuj0y{#H+@#U12ASCin{=yaeQ-e1Zs8nU_UnJ z5QdOv#`3MGnke4y91ld3MM>%i?_!hk9vjS+-U%4;UZKCwivi>eI37>{47G%Jp?i~( zK*z^N(#lCjKyy9eUFzQCFwktud&LU$07Q;C9y~ruZ`cpQi{2>T^86Ocu?l zA-oIRo1_7HJU$8-|4enCrVjK=+ME18FPx4CKQ8O_jhef)f`DHqwsTo|!OU{CI2%DD;WG7ZehX=1O1j2IAQ`O1~k297ZPu30ON}^ursV zJEajtQcf?g6iO6g@>8-<6(1PY*y7c~OLkIf`PK(f>5c%axkxtfsDmey! ze)S?u2ZkT`HRO1-w6ZNQC*YL#sMOzQ4m<&t-9v{$B%)AVC3z8GJX4`RP4gSWqeO>I zkMDbCex=vy<+0Hfq{)sg$i0bA!Fv-co#0S{tAsE_Sxk-z{b^r9JUSx`15GY%SkxYk zDw&64L=c!W&vG#t5E6ie%Sit{At@?+G}+NVrYl1X2U`ttQRXZtKJdM4D1n&`$*qfb zP=cbw3E|0kya%;EHE8JrEL^qk)m`Y%&I_@WLE zSSJ1Jr2ob6yDxfMC4Xw-T7(c7(wZ5d$$<>cix{9UllW${hx$%b07^L@3QE~^nKTuH ziZqoiCS;RThQYx+-V0bY_81bPfOUb%sr<+CiBoVUOa5i4|5{uRnia|*gi`&(k2`WH zFScPpp4#Vy2jZ-j!wsD|%`w3mA_59<*fo)<2xTv2NH9?X+6+w0!{VOZiu==N$V7k* zlcN8Onupk`M^Fj|MK5+C5-5CvJtpSy7vr?mrl(r2Ks8{$QN+>>5F|J&yhd|>_> z4=Vq*aREC05xx(`ibK&OOa7mG1)#7}FXX^K?e?-z>;O!{JkTDZLC%$0!-OvD5X{odC_(^rMP*1^ zMPSl`(}zF6 z5fMvLLW^2#H8?t<7zbVY{ST?kX=mDMG(6$8;`v`tkNHjdUPoLJ{xQLLt<61}N{puzIGv$-*^L+mL8lhEz6( zp&%{laxdrC$4FhlFukl?Tu5F+2d7*%-RC~DZYp1|t@;n|fnV6r zOQ?SJWB6kiABEQ-b%!xpw(a`b>iTQaSH7*S?H+uTPwJD-x!AhNd8NhmR#ul^D|+H) z?%pCI>$q@#J+sk^)8ReeC$uPY6r$mJ(MP|Q4!tgn{vHZ8&L(Y>^amp*VPJ@fKO~7R zHIGI)6DFaY2Y{jG%K?U&bt)8jM^vpsDZ!ltcoDCuOwWqtcuR@z@&CI_?Z&v*xboq(+gpzy>CfzI&MgP7XVOUl0T5b4?inT;!a4OGDuIx% zB0>*@NepbR*no{vL6^7YcoG3dm#{ zoSjIl<04Xcd}!@r=mytUY`ul@wKe{pc!tx(8XDrjQwuYyib7xnjv1u#(iAcjf$HvW z3{o=REpi?()Fu1F?u5dzYKQumGo#gpTN04P<$bP{-yNAPLB`x0#Z4MC?9bYz{gi&kRJU2wE8!H->0Yi1%Mm?NcbIs zHGmQTII9KnL*q1lI)^i`pUJ@h8xyzti~URB=B@ubo9uoIq8h$8M%KHt6n0HJ(btwu(qDZ)T?D*BKv zfU7-=^Y=Jhe_9KYM>zG{^|!1s#r40k2Hn(~e`O6qaP(+sKhQ3ZK>>2A=_S)P6<#_A z(LiS3V7qa4Sgte%u**-M{{Mkfk4B%K1WX#s|Mzrh`k1-{K*6BmBas0K@VrU+uXOb* z&(Vq|V1W{&R@i?rr4uE9l1pUMJ`G?_=6|QmKS409D6Cq=&tAc zRnz`#$`?msc`I0BR1^0RJ5BUGU?-lMupelsk7yTk1_5RFu^lp=Pz+hZ^j%_L^<@T5 z{aOmZ;qP}C{)m(?bwjECd%ZlYtq09Y;~@#tJ$s?j}pn~{!*#6ylpgqg@JY2;mxDx8WQ1avCAH%}m?|6fc z#wJvR;m82e-U@e^fELxEYc4O{Htyo(77XcZHhXZgU0PQWvW zQQKSS0<@DQhayu~02n8eY|KV>5(eBmOe6d_ShEtqNpx`RTHU7oubade0DPp=1cFJ@ z9t;fmdihZCYf3uY3^`|Rx(M?%&TYOueSL=yKnzD7Kt=%4(g$GFXaf^NvYvnPE(!ph zNE=>38^m2<)Pi6X=`R>12{5Ozgal2=PAb*eYG8Fj*=(A;+OXy#v9%rTKF)y6P+ofK z@oGX^FS3b5Aepq-i=j8yw;wE+?HyYBc*8=!GpU?k_X?vQt<(U3pvs>q=i2AcR$hu8 zDyJX5mE0Tdl(TTB5d@xBI7H7^%ZbP)Bc373>U$8&D#5=cM9R|~3NPzvfTUBN z22Y}W5rB+Zm2K+JT$IKai9P2;#OSh^)s)!4k7UCllf33fRmz*VTXc$2q))`Cx}7yw z5DxCa;P=^sq4z+eDGH*f0pZ2#L^Upi2tF+c}Y)x!^kim-MtCP%kcwqE~KHe3PQ)5fVjmJJy{@i(DPg zY|UO)VZ~^7luEcgz*1?y>XyhwZX$yp$3}%64cUX@t`AHnwVkfRIft(gVFHIArkPE> zb_~0jy$BK)1#^aOm{*fVnGI*lHLn4WR(U$oK0TS3hR_n2y%A>s{Tn{4DTA72rA_=- zOT79^8yy!*b|V{lUrs(>UQd@S>>j85{M1@K@lLN6{;|5p-ONq$ZsC>fnQb=8M>$ja z4I5)0@#qqj-Ny0C@msN!UQt1y)VFkY#=H7sADfpe!_6t0!zD~o!8$iky^RyG3c!u19Kz?VB)In#08=S22~agt8hvXIPBxgS zgV-PqU_+^v(g+6j|9&fsWHRc#nAw$mHlGj~YMCR{YFP~c%=QuJrPT~j_sL==1JzMy zcZWU@>~Tg4jc)tpI-kh=>J`l9$6aGkOe|Rinu^R`<2swRXqOl$jv1r^`I!VF;Mt=K)E3BN$U$#e}0KA zG?OArZg~F)suMFnI{m{a2bgNXC>THEEfE0gaNSG)s&>(GKPdiCU<68->A)089}gNV zjXx~0r{k~(l%}6OVkqDWE4n}uMwS|XL-tUK`tAA~gd((=`CkwU1NmPNY6u-|O9KsX zM-(F~25^uxqCO|ri_epu5gDV^>5$z|gG&b$o2b`(VE&e7L1jf?I^)y;DF3q#>reeK zr9aDgzq0K^MXEL6Z%D>MP2|Duzm6OYyl6nzf1Fr;b@&DP*nr3ZY*dl-cU=6)RJ;Tn zZ$VJZ$_LQuzbjk6Rur2vsq2U-Xw`TH{KHeA8T| zwAf=ndN@!(W*ne?`h}+cyCNlx`@hZ>d{aUHI$QiU{DWq3bhM=ZtVl(%>pl-70kp)= z`1nxHon961Fdmh!gJ-}195(Nk3LOWWknvsRp34ykJYd8ZA%8{F!=X=w@9!n7@yA02 zP?3K)6X37`!Skn6{|3b$jQp_j!-4aifu;CwVfyF72g>FTXB2I6P?-D!iv8_O`mk%= zfNJ@RGJmfg{|($eh6QfRk4mTMVB7(vrisTOsB=O2t9%|=DdYwe3QLe5&wHQU;ZX7i z9#RhAYJ!jdYs)$Wg9Em#e;qk~t?|bdA;IS!r13Yc9(O7rbpASJOF^UltB5WU2a~6d z0*bI1mWK$d9tRwTERWAuai`lMd!t%YK?ZE>9DM?yVlQC%ze$joVflApVC_E~dH!Ci z4gLGRL&$R#f2bdO3P4t!f}g28sj^o_$e&j+YW_N=FAsqtqCT>0 z$vrFsL#7^i@^+iS6j0#*$9;xCl~DRjIE@AN`MoL{jx;km2){y41HynR zp~J5#Aua%Ksg8ri0ay#8cXK^%`gv==_*;9IM-6Wh#lY=e=I)?*|A65VCV*&t`^}*_ zqnITmlb579Fxs1_#+y1F&U5GoTg)NA;?gVIKBQ_v zE7+nhgUXaT9e@aY2l?Sk#}KEQw8(J+=EFwtTD9cpM=mqzK(%o{k@g@C8P!rM9Ze>P z|86#pudxUKZ%Q=bVKcUQa?${TyxMdv#G7SxLt;ve|0JRC2Q8%2_->S{CT=noH7ct6Z2E zs)LwejH@9d_&Y-rVXT{w&QEFa0cDKPG8ftivzzQi*nVj;Y*O2YK`5s#44ojwSPtRj z=H~T=uV56l3kB8ErUN39r^DZVsi=fwDXIj9poaxeE77rwfKfb3yt_|ff8?)kTpy=O zS>#O9ou^7|k)@%W0_TKZ-_Ry!>6C_*Whp8^2j&8%tc9*GBMBI{F@#oI3q7^enYE~K zf%U%cvm(**ajLg!el{obQK*OeGrCG!6PYsYwD_SiIccN80!<=s2<7QM;3tsyo;?MK zB;a%sy%gS*sOB+j4UJk@WR%TTG!DlI90XXkd+Y6qyvumnIc;W=r@fC-D>qp?oYQ+L zT#2f{iyN$fZ-L|M1Ex!zrh`@Ht8tctwMW$p8Rd^}0L1ZX{b|h0=rGvq?2{TnkWn4^ zby)0?VyJK_Vu~O&Sf1(8k4*I%<>WzBpq0w`A-paYhUdaGMfJnCrluU%f8kvW_?a4_ z1*foH@vv9CSe`!L=;n>2DgRyIRi+N~HreOsXD(yJ4Mh#T`M{q)u%w9UVFgH5z){n< z1QqmUR*0va+NfAu8WUaJ8WNiT2QUI_G(y-@;o)oTe_8lp{h6X#oT?TRx|V?}Ptwpg ztLsb}!NbfO!3WO)*EyrZ10P4>Px|_v!b!A~>72QvSO?=~2pk{Tq1!&EO)vM4(I29; z;FF$ik0zdBQopotC&Cn6m5GgZ8BHW!jSkCeN+i~iTL2gtiXv!F6^Rm_>ZDDwDgaj- zTAP|AI9o5K)c?ocTZd)UeEY+S(jcWG-6%?@Al=;|-Eos5ol+9gof6V5At0p)(s|Qe zN;guX_|6UH^L?K4J?FjNbAH$PUGE>a&dlC>_N-ZJ)~xmUZ1%3zkkq_NO$f73t}Smc z#Y7!=;qP*C*+HL5VxpyYrX*8tIJnVzm-EDB^~_7mR2Ib23!nVc(!V?y!#uNfM`Rzu%OXFbP|0%jF(Fi?j_^$p3La3WIZ5vTN$zt2Z%Y~Jz!S>ya!KnjV%jgh~R1* z>1S3KodR-!E$f2tK!=>uBjB)rbU8A2+sv1OM$oT+tKl4FOBUcd&>^yIFe>BX#Kk6E z*z+T-kQGI6Lo{tzKOjh!Js$;NI{p|1$RE%l2uu>q^XubrmQ2~;CQ4U9UUYsEAaGz~ zV6~&T7<2(CjCkFlg*Pt1ZmhDB$Px#Ave?fTPJ-l+)BgB#l`)7UJZPWlQ^GFUVY8MB zD;9TF$dw*=bj(~$)#}fzi){eK|4e!Jy+#fSR1i%ig_0;5JUa5qUo=0h@EO%L@SuVL z&K(iEU3whpiR-AkdsS#4?r6qspo2~9jGS@JhV z{2Ueheh$bYg7HE3AdA4qx;D-CM_VqU z<_osnYT<9NdQ_%!39xZM7cf)BtjkJ*p^`nG{~TogmG1cXh~OgFMg8^o$PbOUe1CLd zX&3W1CMv87auKis9^tpR6^6Eg+)6PB;n(9kp;3tM{BOY$4Ke=nL?iANEI?(722Ue& zfX8{7|KK}-Fn_Sx-+6Xc7!CB3UO+idaxZ8lz${*5?tnXi5m6tm{ULXb`USTBJ?9=+ z;s@va1p@ef!B5VL^88UARr0?)PjL=9n}FpD;`GeolYh)@e!>CXV^qll;C376f2K)) zZ~%y@qq?3qQ`|nKJ&km%A&Yx_6X7BksbIVy2Q6RlwOp`K|B#d1*8dN45@FnbpOX}1 zdHqXH(rb@Qa+Scg<%BM7!xv+)y30PLPW$r zMLS1P3>m1>{z)wKJ zc%QNAYzjPS_4nM9Wy*gDoLnLO7vSUvKlGEvS)IG_FWeI_BGTW}M2Z{S{Iq|W5_}PS zKOaumX|m0*=Nv;D*nz^>z~=ID&1si@T&=0xpAxK3qbgrRPK^dQsxuM0yl0Y>Uw zAR<4Lbu#Sl&#GOxE8Dq#sT^N{Dot|sN1o$GGR+bh&E^sq%!bD zUI)*Im?GItVpj_A>z-$Ms_RbL&rsfljFgTurqO|iOQsp)=>U(Rtw@X-oELw`+o&2O zfnB+$+VMVYw9mIlUcP+fdd`%H48rnA4%!63b1)a924}~oQ@y?d9uYy>R1ShKA9h=CZAmIVYR#gB_5i9q`Vpb2598s>eP-4{Gwu&N%uS!l<(?U=$ zU__ATq?#>H!n!-RtvnlD<|}5SW_AAp>R+RLGsZps{e!Dt7f-!}Qrb(Nj7D5~(XB?FP*^ru@|cAP)6* zW%uS)j&zB(wKgQ3+4hRUoah~?>hasv+8*H6Ve6oq6)rvx*1o(ws|DNyN>&BZh}4YnxfRliN5OD(NRFCk8Wqjf{gknzBrGkO5^IzdfRv1MFO`w{fA^u zzEwrcRCF}5M@)~o(>}Oq|r1E|a$-$n5OhL{YQ6r#mI-sL1Jp(R0DRes0MD z1K@KH;Wtt;vET!I$9Xi5#b4Us=;f|#J*o`e%nEp+ZYs5kf%Z%?0DeJ?xh9O8=&K-H-8*`9ugXjEiDqALAoDq)hZxnDLRxYO z&`1wkrE%oDJQ(eIFOm``==#dLhCR<-mbf!Xi%4$5Kng6%_WZqa&awj1+ZFBc8c zF;5kDjXvcCBC)=?{#Ah1bNsF9O9mWS5gpDM4S&LA@1_WPoUjSFq(_962=AV3t7F#D zWgL`cHHghvnxtS!OFSn$^QSr5qwd5ojC(^JR1>vm#o9YmA$=>Yv)*Wfs^l)K(wA`Q z9n!ugjBUYBjGWPi7=xbp(e$xBVUw9v@z(F2Cyr+>*^iH4mir>lj9|9gW!{{TTGoGD zJEz|nrs16ZJYZE)R!$A-3Y9^#W8{`b#vRCL5rSB4D z5Vi9)vy^l_4(h4#I|Sr!Zk2N%k|#Mv(?2(MOQRbr+np%dVCCVn*q?tHn&l?kG%{L^ zf9pz(XWfmrX(SU4Rp`S8#LwP6A)915GJ<|x&9akE0geW(F zS<~YV3s=Z1miWPeY3iYqx0=b-7SYl%&eO3sbBZ5!PbQ0-J2c&dJ_vrVK`PDD03B9l zYE8%3E+(ycjVsm@8cK0P@7vMzLK4=SiD_X6C5xby&el%sfmoSXsu%Z85%wR>6=5!w zr5B+~()Jt54Ms1Oy*1GxL@hU{wqjmsy2p}i2`RXD^8-A`kpVGkeJhutKw*tZi`}Wc zYOviYZE&E=@fR36r^_4|6@Lo>_=;SIe1p za8>t}YtbFz(TXh}LRc88`ijP^c;I;wCQYT3v=0+;r>25md$@)=OqjxMvY#(xfwPSf zjs`zDDZSVT?A7dTHaQI%l_|(VJ zN7G#=szLB>13d6{x_e{MyK|-Nb<3AsnkM7$4h^l7t$NsmpT1e$=%`u|)|JIZXMgWc z!ho6ZmZw^MnbO|6;^TGu%JHEuErz<56)sJ6pHV(DRgYIKRiSrHd8bWUhJ&k?*w;D6 zAQhW%I5l0X`KNk5#ACeplKHkfrz|G{0XovJ){*P67a@V`&EAC)pWip#R(N6M`Q=MR zxUE(&!IzzyOTD2-A7(u`FMo^eIj%qu9S;8*g|LwWqT$zbm`sDPqs(t_L zm2kGnE7KYqTTS{;Ft|r7F<6rwkLL0&uBa{9+-kDDXs;wLsYAT|m1pN+1y4VI?G~}t z=C*fR(x&&!*W{I^ea#>?EUDc6pjYL11wJ@qx%CG)z$4xIzS{kRy$EIOJ^cC3;@_2n( zUUx1@@Dk4HV|5#+^8w%NxqbN2d}ixhVA>?PjjmUP)91r{$eOkzSew|FvyU9k6ztjL z4yQKZWIk)!Gary5!m~pSpK}Jrsg+>k#5?Kx$=!g9z=nLL8F;9A#IwwaD38XFL90KyD*V`)?z2dx)&8URs%EZ#jd&$tn#}y9`=Bpng zCvk=_p!cj7FW;g+_5VbIUY(9nzkOlAJK}xj6|!J4Q`b>Hoa0bzq?T zR^#TC8<&p!M{N@lQOCcfQtD2X2WaJmuRM5)D85SSNg8zgiFPnaaTo5jwjj5}H~9${ z%CrRO1jMygaF^Y@&Q5K`b$cpypquidfQ8r`cZ1=? zVV6E)Ny?W#h)Fik&lQBq(<0Qt+h#wM#+tdotn4*iO)yLCYJiikGS{mq{C3@7!bEH+ zE4JwIbPzi#l)zvltiBYz^*;7$1Bt*8Nj7-~Cv~ID^$Gf<5%|1u-B1mR0cVky61?nR zoNv`eAgRHrA#7%dUyfuk!)HrHLt#(GM^3RYWh5GSA;7Vhha#_*=WF-mcxz^}Fo!qF zaBmKKyR&t@2UC@=vKV9Sy)?q=IJ#ECo^%j>mwH@&ind_NEcle&XN4E3a@68P6tA+l za?B&SnnuVS1WQELuIdC2A-@4{y15j{DN=gh#ff}_9dG8H1`$;VRv07x_pkKGTr#_r zP5$c7Z}ix&N7162)46*EYEae1bJ!H2W!vU zm`7Ka61+s#61>6BM`SIeTx9J|2ke77csAgJIv$f*&N9}S7vIjA$Wo)Sf)+-xuc}CV z|Fn*xSm~gbm)^&@De4EGik>7Fb$FLbW`+^xLi>^1!W}#Cv)Qu5SSK)jOC%p!gRcdF zZ{>`HR&!?dx33n9Oz2IEnEN{5DvE{Qyp(%%69USY&_}ez35p1^WaF#oR4)y8vxd6Y;_QiKG6BycbJ-s~giC$rr0eUiaOLvG zB`VY!p>a-|fkvJ<0i|~W!$ma zc-EGm3pe)OF;rqUlA(o%cB_Bl&&fbBj#u&M*|=X-UDeUtRV~I7+o;UN7f&+5UDZeY z%p)Uuj1`SBT=*kqKbe|tLe!Og#Nu+H`n7%6++!lV;ef~Kh6V_MV$5v(O;|cOw^BRb z8pPbPG4#!`eTP^6mbl4>=cF_7VdOQ%4dVsU{rhp&Wpg!*_vt@3n|h^6#&37M$yt00 zg$BT(-tH#Bz(>{esYqYH|C%nhDYUpL#Yw%n>RD2mlMW{_c$HNaVz{C9ja!lh$$ucYK>66M@lf-oS^YIr2Vt%+Lhk-pikQjoAS$M(7&QeAr@t}If*G-QJGvTrg!z0DF0|8dm@Yt-sf z(%5p%;Vah?cLkry6O(h#KnsW|=$LrZxboKliRs723&q6ghgkbcpCOrlD ztk}Ci+=`h)xG0lAXvxjWOO(&Pq`DTGY~p6k#28!>7CSP&&vj)`-JNa4Fn)oeF1bCN z1UXd~m6>&81D*e!p(b4S=dxRrGag_1*T=>E0@w*-<$_*v=!FGi>-#oN5C#_X&_qrW ze!CkVG_JI#A$Q!eWkmH-f(U7)x$8I0dWgXL*@Cjr2*lA&O$4dW$9yH0-3episaw-3z(iQpBi;S@BL>p!<6R zaneKmEYiPTK$>Hl_43w$dU|hlpuHioPX)n*A*H`#eh95sV{^yO(u?P__j@9U|&xgSEKi9l^eh@z*# z9kqI$H?LU~?GkF(y#p*(v2{B^vHhnzO{5(Csa$xD{Fg{sQ=m){1}8!CeiR|$PdSlp z%lY4a)6Z#I>>CjR3Ag{jja{9SG)^btrFh9!fZRSh8n6k**l50&a=|RrPJKhnT zo~%^vw3nlj#e z=N@+vy^)i!#>)dvDpbdttTlYsaUOSTIfQbEB%|CRc_5^~byj9i=x@;Zwu*&SD=@v= zHuF}%Bz1Bvsw}sB_Z1btXYb1%n-!Yld_?Y-e{GJV|7?I#zE+Q%Z49E=yAPyJIPyI{5xplzWFM(svw8v@IN%!TYkJ)kjsHch@GZ z8I7}>kOu->hIGPeUPV6g+7wj#izEFJf3HKlpy)dHQ_{m+&>BH4qEQkR!5yIZkg&x zk{J%qA>Z?|CVPCflmvHq)SISbglx$DTu8*IL3N173)irGh*LM)tWso3*<2$qy%KlU zeU>wO2wuDcEH956yv@n!p;U+?!yX3qNLsJn98-a^9C5C2We4Qh@NOa;~V zL*20wXI6&n^ldQXaSvN@BUeK`1|Bo#%xCOAJ-^IQw;^Q%-C9v+?EJz*cc48{}uu`vu?em6d7MY{{%7i7I37m~brRe|LPM>2FfJ>f%{WrS*#Z`rB( z;k3_Z<_$*cX#F>mM@6j-9QWgRnXSw%xhSAj24tHW70XVsN+flB&Jrk9r}Femmhj)i z)bJ*|*XP=-4ZSNH7R~PBneH8=a@LJ18BP#qK>DU0q@5`lPR4U^567TOD{&TowHq3o zc*A4<&F8(5#kZ8`hLN*PrBmD*D^R)8F>dnP#7CAkX0NwofYOQGV6I#@#a0 zT4Hv$Lo2&i3U_Ie)Uw}*2Sar0W8SxK>3BqRZO}v=n%5%PjpQ6&?=a9U#O*kM04b=( zf}6}_hJA^XRrjAzUS(8KmTtZ}J|iV2v)RxsEsAO~nL;Nt7EQt6Bsei6WvVza)79Nl znH%pdRDA?ZOs_g(W|7!jHD*+>+RkQCx7s!~c{efGr3ZzbyZ}4-!>io*rmKg1Yysld zUiMeSEUwwU6SeqOBS3+K2j&+JR-PwEx(UjHBB1O|j)VisKEj|(MvjCD$|E(!U%QGP z?~^e;T&_CoQ(vt*WT+VFIokeax-IjT?m@|3|2<7+V#e0i`?t`r8rvKVr388M>w-w} zHL5#OA#{K!MqMiOla->O2;yUjo_XXnrK*2ux=(v}?;g zILw!j^&j0LrhcpWq`0DQJhwn~^I()y>i#V5>3XqS-*n;O=R(!5ug)ecwg=_wblm-C z4i*frGlZ9BxHKH24X?j%ThSrb4cHsrD-Vq=okpve87&;*Qst>(iF5RSSs_}?I(ze3 z(j0_)(M-g6E1hih5KmnlVr?H0>o$TPxbZ^&u6$>;J#>YdPg3@z>fP&`NZOs%!jP<& zo-tb3U742BW=~r1gNjI~;yd^55OfrgWJmUXR(Om|7hWC-{j7j5Q49`^fI~r>gVtf8 zRN@*ZOD+2S{4^Jj~ z7@ZwF3TG(`pAiRxv>2hY`a;ZA`Nr42{#lczM#kBk`R*3z!j1~@dvwoPYdbn$TA;VQ z7=q8oUpp&fReZ=NNgre-GoewlZPTFK84eXU`g-TJa-7(>xXIT$q4p!;QBr0uF`+Rd z;E*{uR0%s|ndWF`N$b$x$zjUj%U*wMAR!b`BpEV8_?8rnq48m@S;SLZ@K=^P?q$LQ z>BtPczLek`nim3?I__C}J-H0I?&>HTurX%s(e+TAR0y3cU5f&P4{sUGaJNI0_^6pA zwy-YW_&Lh&T${dXCr?m2aknhff&5k(c@o~&J|;gf6R-6URaH%j?yMf+H^OzGnT1MZ zCKsXAjquZUUi+xY^<90FNtLf2Z7ViXNm4`}WPVUoCpM-?Jm{06cn)gV8laYakjWaQ z0j8q(qXIj&=DmG-3idcIGuS;YRA_%^mM~4=77)mOfD~1V^W!mE!OlW3)iVSc=UqHX zm};@meRdWoVr_W8r0f~fy!3nRXyQ5oE;SFY^`soX5c$luwZ4}JO?O2;)?{@k%#m4MtQ4V!H$71h?F+?z971sy) zpsZqpSj^94yGzB0lCO((WS4VyiZZXK#fx%*8dW8?jR`n47`dbOU->Wx^Zw1ey+B&UxvEt@cVKs^>i3*?HslCZz|lk_aT4j8*x8Zoz@y~T0*})DdHEmxOL44A zJQjfzQ6(@XR@Rq5{YKV8iAl^-tV&MY)V zx$zYOd0n2=Nt`VHw2|@HD+B`t;JTm^6i>ktiy9lrDt_t)9FW5AF7$~G{wmC&_5-g; zQw$vH5D*^%bEsn)iB5QBWkUk@fcLxbFPUIH9izR2i4u&U{;-q0%~bv=aGeUQX77Ug zNRfdupP6C$;gaOL@6Rv)#fzFhk?-XF?ou27kdNmsJ{CiIV~rjC*Q@zw?Y)K%ph7ep%rW;z*q@>S|Mb7d>7<2mWN-ZDRNJCvrZ03l z{Ox~gSz1z7sV-@YUhEl8{psjHUr=B|oV>n_U~5=?A#gM9apx|cGR%`+M^6Hqq&RGo zB*(ux|6c6wtot$N$1X*^pYF3G?bjyxn?}=G?Nr8f^#e)Q!nR$?u@LU5S_|&?N~;k* zJ9;j=xOuEA^^L%MG@u*P_GV9s%~gJJ)yx(RQOW(95=em-18=5V{qf7j$S!fLR7?T#FSHGQgh?4#8YIh0H-QTG=N( z^M|vYdh)&)>Xb~ZLxmWL0w}yjkum+GS4o(CuerD1@9B)x_63iBppoy8Ee_ocC=!6< zHS$+HAX~Htl?+9XcC^qnp;n&oE;5T1B@$+#=bs~p(4|obI)?(f`?GnC+TbGDSXWh4 zKw95|oGrQT&|Ylvr2}50%&@W`V~wVycCkOlAxM!01Uwqpv;DasSh_kndh3(zQ3C!e zPrj@TQdZ1y5t-*}Vi5ai5~}Ess%8-GoPG?tB<*FMcM4*ee9)S3m~Kg#>lq{JvnJL- zna=|vn*y*#i#{tbBU@l}ibBEVlqH@q(t8uW<&kfBHZ@r^*F^S8@2EyK3q_*QI}lAh4A>n@(;XmI#IEq9~;#K8i~rxIL}OlKE&K;pbN z&C_Av6+PMmQ4m9FugPfAdGPT z9ss=_5K!Gx|IG(`#-!|7J+-651U@~W-!kI6Uz0t8_5$QnpTv$>{+2@ChMS=)g}`p4~MFkY#V7XDXU_htN#i08W- zhV3+0CKb+u1MS&!&s%F>JR?@|u;RZE;T8TF;c=|TfRIiY7Sb7mkj@Ae(wTw~!Ve}q z1RTnO9kKw2S`Hx`ZLNxk#qM^FEEju>eS1NR8BOqSQ4k30?t--&^g;kf#~nceY&eR- zzNF{7N&v@yHo`-!&UD{2xmDl!O;f({k?9rSS#3~7WKclBH6!e)p$gcvW42x7iP znwWE*DE#zKyKGS9*-lI;j2wU9n-JKJBSf%;d~X8ZqX>LY%snv|bio}mmX)^wA%jJP zd7(ql8aDq%>;D1|0Bee^$1y=#ld-lZ5F#n5Y>NQtqM)tMA*gQn?#N_f|vY zD87DLFvON8PSa3^bOiT_3pN}lu*S5FiVHU!hbRvPhf9dMxQE&gRWr9huZ(;#e{?d! z?Thc;P9BsYfXZuxKm+7g6!gUef^qGT1ylO|5csCV6?E(!vP@P;qYq0`4Ap&&=%rqT zq)OH>DRhWT(gQIF6ng&}Ua^GT@*Nos{5G;ow$%(PGawX6&?dW$m#Ec(3$C83= zF*wwf)IHBxgw=RVX+vpbG+4sdldj2VaGBZ5@!T#+6kD(uy6o20C(aEy6J8n__E{A{GlPD6i)^m;(r5K*&f|Ky`-1rsx;(STgpJtVh|J(Q&PlyKmxZ z1}}7XkW$8)!wTu)F0tTZg{-Cz@EoMnm=FhrkHFM;p|)b!)(J%aL$>WNEKxu}YIGne zwgQm!29PvL0j5+oCmuEWMV2UHaWFj7i!BtGikL}+57MO`_1l^o zvRg=Uq2$$wj=P2*lnaLJT9so$SNVVy64WQo2h8*;Y>^2x?EmAEt4zHYzl$e@r`=3{_3822+J)O|948lv@3 zD(R5p-&&>EcdK-e{-3c*T?(S&%=VSWPvxQBBW8l2^aKsIzB^Y7N% z+)wihBlzV{62q7>s($k*W#2u@fxDp$3V4GB1X54*%_8Ul%)p3_z8&67l)xXuBMaBEWRq9f}AN2D5@FuzxSRk%a1gnl3 z4=g|EfNP0Xt0^T<1Z+*GBLJ6Skj@4P>n4Q6im>+NxMFK+3p}Gsd74yB;UMJD_T*DuLhbev3}uIl)$THQ^;yN zQ_8G2MzZ2uv_>P6Dz|`FF_yIiE)#gAX#t8|;Qkf+Muv)>vgb>zABTJz1TLQ+1c(}Y z|ACCmY9(zzMoicp1kiymY~hDM@qanY?8f}_Es!<}4PSXq$OO|HI2&|U@wWlp#S#|h z{&Eg@eY@UbFj(MJAkZW`u%S`ZB)PL2}=W?eX@ zV=atj4N}%OuZKX4Ci(SFVoN2WF$E`psj!v#B&j7f1;)jdLBwV>93Ca{&`q8_N|jHN z!uBB3C~A~ACiE(Z-$Yumv+|7^ECPQLSHsL;CSJA$!EE3LU{>YSe6HVVVR0HxeK1W2CsP1d;l(ftAEt;x;A$aJ@s_1Zh zl9|b0?r?sbL;*8kPc!@YPRMmwTxtN~Qq~c^xZhDOF-R5&)3pRayq;AFW=|0YfV~0$ zn89gfvY{bzlxicV?XP@f=@NoI2veaVzO(=r`9}cH<3E*a0xXZ`<#V^+L-hX&{u%^5 z0(c$@45KS6me52!GI?IkXYgN*&KDT}|LFYRfIoj9ofCB)^*hD@q5we4w*P(Log!TU z$KsdYGpmu#`mVsx>}!A@xQ2g`DFY~@&GcvPs3;3Sk$+08F!=tniB-Ul#ERq}5~~;+ zi0s{2jVqDbLjXL!9co?9yrFTW><&OaSFjh+^w1=7*GM<=?^Uu81ny<Od>F@7hNM0fv$?@f1G*-Bk*f~M>e=*2PGrMm@fu>qC z<+t=avE=^s?FY}#!?`n#p%RQlvIW{C?O7zRh$7LTS0OR5bV~WPjyo*J-<%C<8SSsu zaW_gz3J0L-gi^)L2^r({_hLEuoV@fh#=8z#`LBJAk~EUUT1~~0k{*cX!K=Fp z3z#>&0&yf`d1W~k<>==^h%!~sRpe}M#0n*kP)T0IbnZfFAlJjT)HSSZoi;Qd`usJb z+_xWuGi(k3F&&Tr7%B6_H4w~f#8k0@YW|`Opkhe$?&P!O^b872Z#Fy-2)TwZ&}z{QWe8t5Rv{F z#Q~K3HzH5rA4#rr$b2n5)~46`f~EAorXG8X&N%YdcKJ`RIgI7nS^5jh^^bwh+>b!# z|2hsm!@ZPI7*l0k>&emeA)YNO-6_s^&v(_ReCKJ%P-fc0*T_AZPskt4nlWD0{u;Ca zO~AW1`Ay^Vtb857Fas;h96cy536|GBv8JQQ23aSo3Ro2bJUZ@LDl8nIdXh|j@<8hD zzaZA#@t??RQ0c!?Kwhh=#-_hWAhV_bSVqk(`}%Rr=x;O-nZ*xyE=2?2sIY`nzJS*# zMHW<)3jnC906^7cTTUZ%k2N8aJU|6)NoWBqHW6U4wL<`lMTi#?wNMmas!b`Pf94=# z&aogzt5GMUqP7M?i_5p5g}jQ{yN+LGpIzXQ+JiBzqHRNLn!kZ|05yP)qfAfqUtn3z zzysvp2I!T$>*C-K=qLB4ipJi;-=lT_A30~eyx890Yc;ayz|LG?ySXLPStn)=O=24=%xe$`dvU21il4u9s)k)91Qw!e~Ty6iB0$u6t|`LSp@j_Z=mw~ z%rt`0t%=a%$TjYX{~6&z?f)$zIm+?x)aEm|U#Mx#YZOD>6Uo#UUH%J14mVkZ4Cln#$I4>(OyIDl5-n?-nG;AKnfUp8f>k$Uu#%4iGySqzYF zOOT)Z4pst$^3xbQYd#E)bZz|KL<9Ugf+JDXM#bt4Ys0tA?%uC^?=vvE)Pe--w8vcX z&Y&k>K14sreZMmQxuZ|L(mm&FIX;_@k8<{*tLt*T)?rqqootxR{&P>AZu<5&!3Tr7 zvpj{*YHS$$=bIcoe;3CLzZL z$xI8+tXczagN86zgMRY3;?yk{#P!g!b`lNI-JS>4n^Sa!ZO`|wf1JgnMc#9!~uw>ZJu3B}yNZ#Y62LJSfS5;+(@l~xSHRWyrRnuOEP9x@T z8(1tj=B8q`t-h2VHQI?ez6$lh4xMz`iq#C`kibKY?I}In>J(L#c)d@(xRxqk$aJ?^ zx9r3|8b`Ulc6-#^e9mFHF|zcVzvyl5Pi#vmllP`IeAiPyrkW7$H}HfFbsw>Roby`E znX;UNbatTL^Dqici6&FMYG<?jU4I_Ae!y<} z!K0xEk5kXaPLy|SG9{AW5Htnd+Zy5@keOlLqRzpZ48bzBWD#{Q>$zq7p53qo2Q-L* zhA%IBENQIKLYrI-S3*=&_aZRQMAUhDyS|a&40!qIJ(f|Y(swj0M1K563oh0S2ggWd zY6)_EIlX`S{Xt>O$m}P->cRzfx#$lQEeG5E$9`@1G%Q_;AeX~5Eqf!4kNuH6pGP4Q z(YrE}R7r>xtlI2hbv&aNcVrz8myYf=vQU{~XM^?*S;YGA#*jwZm%Vsd#wmlT)RPi_ z4KY#X>egK{ougKwlT8G|vc}FlDU7KBp6Nh}RL#&Dikj&_785nNj13&qn6UW!()C)T zddxNPA&speS;eJH6b|ba-Qshf@mZiXpvkBNG-bn@HujuL+s3b=+J=IeU^8gJ84bh| z)1nal@(46Eg9cR4aC_0OuvJWGW=Yxr_$C9Gr+Nm~&q6*Zl!Jmn5hzT+TIlxah+tz$1AZl; zUAIzr^@XL;$9TJ3L#h*v&|v{t)p}_T&d9_fb`lMl$l&;&HW%Yt*rDXRe)B1?Ip`yY zE1i3-beIh?oJI@wO4em7kWLyrH8lN$Sf%^Cw^dS~M>q7Zt&{N@oGhPySo$)(rxUaW ziO(JEMJ-La9w)%r`ZWl$6`dx4cH4=#h@jCDg7o-Z>qg=y^3dCBxlg+HKD7z=rzWw> zf9pd%;UWDdcB=A?&wYUYK6YtPUTT)MgXi+*0iSNtgmd|s*ujMJ)W7@8Dyc@KTh1bC} zZ6iUK>|3`}$g6JrZ92+0Es;=at~l0xMvf5b+>agr)kai=Cf`@$7ryj|=d5@-2glLry=;8b%eVtzLJ+ zjECCZO<&e;6zFSq z_f16;x$#e{iu{8@Y;s`RRysCk`3e+zB~fs%9XI&MlO z<+^iEq%9Vlt0#v^G_{HZJ7I|FGRDExfedhT2uC=;)n`Q>99%OgA1p?g%<}M9<8$}Wh!?yP>*~{>bQzGDos7IzdNJ6 zDEn4Q)Eurla67&ZPHwomtTp$=$|uj)&-LqtJg7KSOU3w}TU)T|)Hj-cd)JHec>9Iz z(aK<)PPp7F$Ke@r0y~WCWYM&{p$l=NrXyIc$tqv#4op;VZ5q^vyEJW79X^dDt9UdF zo0RFlz;|EoK@f(kM-RNpN`Q%M#!iaePm6~vNwSsPJXYM+*uYCCKV7Yc@*dK|U?5-K z9M3g%JH=V`>B&32@Z8Bo#{5*Du2Na1H|_Hj!Gk4*)dAJSMb%Ev zo!#S)zj_hgzICLL%XI%?a=rR3H5>foI^D0K?2e=Y3&aW8xji-*Q(5DrHUy`6$WJt}iRZoYxXE-6HBg(Z=~Rq$ zmsr~#E+f@2nO+;C2Dg~DFv!_rV=4qcrK6+-74XX^c&8%A;y0lpFF(El*Og@(Tkq56tf{QiRPm%uy~!7+?w&L4VmW= zyT+L)ffAdkYtDdwX)-Ip2P=vx_T4J1sAN_t%TPuk(G7Fv9&9%o>57)dOM|o->Io(U zC(7T3(1SDzeA;H=mEkc4E#+_fNoyDQ1g!c+r#``zzrigHbR1tWrw`LH7|Wtnd%?vBia<4db}}LrTzgAbNcH=G zhJmuryOTvic^RPsyOfK}Xc&DJjPQpzzjp!aaR}Ch&3PAX+g5?4u=`m2<)lFS7w0F% z5nc#BY{fe9WIM88ZrABD5SH~1VJmcJ@E2SIHfxxTjE6|)>Pt+Fhi+xoV(HjR>LQDN zij@x%)P=lo&-U*)*c++u8V**n-bzSS}*PPeW9~n3i#TeHs5XHP15Y=5?ry9JYfcXAg z)sF0|eh3bhP}(vbW)G>XTImWuN#i6cMeQ7Q!4sw)_Hw921-9su3Ni($VF9K_pw{}1 zX^-$|m}V6n;}7(AQ6*s3QwBpv&EvY0GY-=j?0)*11%KCLA8b%R zdj$4%K1NE}hK|7BZa*DCpT3sU?PJ1qW>DkNdx+BEV!RL%=EbKz)bJr z_CKmr0q3JpcOVEooOR3kn@%T-d^=}p>_~?N*xs;@Fhe3rjiI-Qy0Qe4T;`t{G#y28 zXjO#b_YQ})my3+(3m?t2a7c_i@F|*8IHJDmym4zS-wlT$w4hEb zZeTUGotf*_>S$uLLW!tR%zAvtpc*-9lb6JssO0)6d^W~mGk60Q(&BB@;w`l(;~hAIwT`ht63<6(6Bj-4Hqc3P?$=BU z#Hm}yp<4`H!vTAOAZedrqG-@(;T+h8U{oP-n-%U!v`G+m+^VLa5AYFnV!(Q?0_$-! z0zSeVyvdDsVAfK6Nbw*Ds07%FoYA?Rc#xkjsWlutm?Kt6Yj*~|U+7(Mf!rssRMOg6 z3Et@{pPy79HGG@-+!B6PKR2KUT`Ay=++_n!;k*m8$n%bYMm`H)C=n=RIa07O~mcRB`>-Dca0^@~AP|hxYegjx_<%qpS?eBX0F=XH) zU^g)O;Uj(u{$rSh))l-=rG;DxYdE{I6|G<0Ci4W|Rw)>UtcfB{!i!X4d1MDcvp>hS z+h`2Yi_u|EyWz0OIULDr2?Mu5p6{6*WS&twAiNuHC48+UZaGpx78lOo(W)Rn+gjk> z6~*2WcR9`CqepT{saxj4{*gC1pxQH7FI}@mg+H(vt?UI|tCr>}fwq4!rx1=eznc`q zp)|51ZU4$x(D8B!bj4>vh1&cXU9`WUi^Lq6F8J9KR;Cs8Sr4APb%zhj-mG#fRc@;);WdI4V8Y2*%b z3aK`4azG?LYT0RNfc< z0M-TYFy|c?NX1^gu&awI%)|Wb5iD^qigOS1cRltg{@x={7^qg0QOf?MM=1Qi_6SV$ zT!L5L=QlX7zNsc_{M#OZA;E@R{z3ly28Gs{17~jGf7fX;mA4Dsdor5PMCXn)u<@_e z_rj|UFa&Ngn`4@OmHgn;P~e7hgYDp6WGUSc>>uUKASbWkXAf{Xf?d5t))!68ko&5^TvJ!9YfT6udu3vHM|a+4F?Co_-*KaU;QFGz*71h0 zLuMu&4t7@yYo4~T0rrqIBYZn?asu0k3O-Mqnilb)3izpNEwpSslj?HLd?xiIGt?!{ zbV)ihlxg-n5-qC;st(0_Em0wC(u;;iR*+~C^pz3pR>~|ON|BbXK>zAyrE0rs!%k_Q z|4a%*6Cfbb0?Gyf$wCw`5D;?2Uu;`7=kADXUPMLfbDdW~jZqT%Jj2CFAV8o8mIsXL z{2EyG>x_TPWfj|wV|<|V^DZjI&pQVD)O)J)ZR>lL?272G9)XgZQtBbnD-CBwlQlPlI zyR|@}6xZO;LUFg?P~4@s6`1?#+UK7A ze~YX_@IMczI~Jro1K%Uc|9>m~zr{?@Zqj$oOy7Ka{yWTwLV&q4fT2!Sqncl1QWrcU z|0Cu5oSxRPA~V}D?>96%lk4EPj6<=};vLplvr6MI_I?!7x;MCtmMjaVGvt|+X+Q3b z7F36hIfOBY>yn4Rup6N>?rIPH&u=Wbufrb?FVln5iQhi27F1@i35WA8Hbq$AQXSVd zFp%d(@9y<_hsvIC)+YNPS-@7(0lS)nA^bX`R0Nt)(>}$)|*Ay@?N5#@Al>K?9j5=uQJ* zfIe%lF1Fi_HY9*mwR%Xs``We*CyLL=?uhlpKJ)c83=$w9K& zmFC`QFv##@-d1<=YxdH;O@DJo)tcMcFt{(}3J$c`SiPNy5^M9FOxv`+ zc6HoXe&_>cjPH>>oV^w?xs%Bj%A$X7?J99nw0E#B@o-yrLmQAY&NFD^TJvHbU?pmj z8#kG}bG}i3Gq)HoX|NQ{1ZE~{)lh^qd%4K$Q|eHKUT9yjZ0{!S?rDn&edseu0B>EF zdYq?hUZ}~r_#9N$g?1>sTzuVY?pxPBGRS|IkR9OC(SXi7y>OARIf4CTRO{N}_n4wO zF)P;lpyzn`&@rsy6=MR^(yypa3YrEzG5nK}L7iyRz&bQm(F&M zfSrYAqk>*y8Xi;LiKR!rJ~J2TD||#`axwHcPXe0|*-Z^-Uu9kG>K_R-+(g3g>rec? zRh}ONCFbcm_V*Gey{3M}AF;O*;aQdFM_G810QG7t<{9j}o=o`{U_tpze-ufiKakMZ zm2>rUr=(6fprHQIEBlSoL}awnk+L%+9~Ph$&_fMDy?RL9-k-lZ%Dh?BJX(9&OXj;; z)VuZrGVi+jhtuFU zaAB;E2fgPs<|e^T;(1RZ&mhblxN>&h#ydDihO_veK z+F4xAZrx58Sxa77Fp^)HvVYICvM$k_&~_W#!?6^*Hj8-<@z zS>>pcJ|5q`JUk7!o!bY5!0wZz{N~F896Ski-}2T?KFt394NQm^UprTEa4-}`n}B}) z=z!4$xZQTano8{bK=&%Ov%|BTns-86iT;U`;!pv{S@w09X3}D|8cWZ|kFV869d%3l zkkO-+7%p1A#35SI;%b)V;UOb|kC$%kgMx{h>Wg6UkS80S{RdikHRaY{zoEug!1qxf zRMU||2#AysqZ)p zA)Y~%j_(v9PF#E2D4|6(&y1TskDX|2rcUFHJhSQn~!NQjmX+gQbO?1mR*wP{`GABn{-m{ssG} ztOu|w&hL73vD36{1oc}U^G*2-NQpm|14ZC%i9D=o)BPYkZ#Q`dOvzhpLUth0iXVZi zPdM;G7?5fr-|Zv62dkSN5D1{SFL;$u_MYm)wb zaMq+-LBxEyOFMUkVs{n(Qw(iyW8iFNIgbN1Fz1Evwj$^Rb0z(iAr`^r%IE;r(wt1&A;KMp+ao*Cy~X*kR;7$>Mp(%m?fHl zaX+e`@m>kNtG4e9dir9Ce`WM~hmDLF$?$VI-ky&LgolT!%4A!LDj2-iTZs9&B6ddC z__`(-K&q6Omya0;kjnYsA8gXi8o}GiH>31UXA0RRXPCxI-e+rAYM(~$-fS8*V&;SS z{do)wUerO|L?QG&6|O@5BNR@`D0k`aSl@pN)!0=ONpd1R0elX z%lGcf_r%8l(w&NNqk1Bu%bH7g-A1Bpa9z5Fr{kPSTi|johY#-2oBipJ4_y0rJ{Urg z0r!_a7Kfgp7{iAD;?5LAAdWyh@eDc)$SIi5r4$t_$6*-rB)F1rkOOk7* zl~TDI^%6!mG{O`b5#N1n$;PxUQ|m-ia3$r?WOtZLWoip1Ulm{$!<|M=MKo>Zm)c~u z&y89sEG9>kdUwii%%^cbm;_yBYz6BfZyH2n@Rou=4^0)Xn4B{fdC087A`-b`Cz7a7a=%OF z0dM(LRDcpY%z(Hf>Z1P0AyfiDLzqW+81a)Unnr}SxhrPKH2(dKUP**IwwT`GtNaD3 zP^KAmz3J{+VPYzbqVYhPo63WBA!YWZj?3jqX|4*yj@<+lzN+nmFK^AMontq-i4$h& zP>66d;7dn68zefE_W4_hY;0cbzItZrRwQykkU6$56Hg>JA;ie5sS? zB;Wc|k{VPW45clMthKyH*^4uaU+=&QCNciT_KHDPwLYeVZ_1A%#VWiss@=+W3!z?} z(_E|Z>2*MR5UB(zCpwTWx$8Jk;DGP#lv>9pafKz~%a z^Zw>}x(%HPyCZ2waQ1V28Llw^F={D@>|@yz;H#t+oU}1czzp&_;;<` zo~B|e`nLT;Q&gU(Ya1D2lUgxz?fNb_)@TcqyYGi&6Y~C`ga&Ki$5!B;R8FvsQJsnw zEV?OKYe%^bmyeTsvnvcIDuS{>igfSqEvAVhu`B8$ak$H_4r(YZ#?b?Izpsd8&<`0V z%v-3@+R03^i}W4;z^%LIZG_*c-pC&f)-mx(C61^- zd%(F_uVXsqLx1|rq_8YWM=jbo(l_~LHET~*<}Q{e{0pjXzACdTQKK9pH&NlUcXg~P!daSaJC+#Hdoa|BiF;#;#r^)g*#duhRqtoDT;h>IFmu;P z5KVWdfCqQMVGYg^Yg^0@pR@oYhv_8|y>=Z62Q5j~&l;wZ(7HqP-B&|J`8~ISBp!Y> zNhmxzYL;(@<8}K-poTCsfa=PBw&|wVFuusuP@qi2F6Le*> zPR_-rEaFuf*{+<%(B-APP_HrcMNB~9o9R5CH~U36qi%JE8Po)P-UYx5|1)EsRZ8{< zy{TiI3UcWfldh_FUPv9t9$J<0W5g{i9#RvLXUvNgn?)^%_y1`{=Hr5)zWgnO(KKCE zZ*)N1Zwn0tSdZDJP(M@Z0ct`*(z%bGabb9=aRI1QfgI`1pYza#R6>}jpgT6*t(c>b zh}J$V6t*QmD{5pQO`Zj;miI7#MpDisQ2e#`n}D8){@4Eg?(HI0DvxtR7Ih$(+G%1) zdC}Q;p)L`wbeu0n$iNJ_Om)5|5w;$Fcb*>gw9V4}437J{2*6p+-@myfQ+@DaJEj^e zO%R5Z+q;tAUOJ5!kmU?I5k)holoWczPH<9ZBt`|nFo{Vk@j2}U4i{c>=Wls*CRXzj z`ZwVV^95Z1JGApYvjgD08xJuN(-wv?1)>&efhhAm6uVlcV^)qv#^Xs&gICD^29sTm zdz1L2qnoUU_@>ze;t@vlM6SnE`|`WBuL{*1P>;%nUWk4gIEIqRa95k4Vm65BLn1CV zQg#yK9epWp*B@y!=1!Q#6VwQ3#V!x5BAZehqN_D{7lPvB#N;M25?G^SdVu2}jMcL| zno%<)#aX(1vd0$`aN3O`Q74%{Ag*g2sw3Um$6a zIMVrgWw{bk>-$d9jIxGMFK?9f&ob%4f~U?h1dU4ON$ zqWnZ`x8bXM$Me`xLf^Um_;{SBN)@n*CR z-U!NFTU;=arbef+M67;X5O)5NmX$wC5ngbp zuEN;;2l_ST)1nQg&#ofNqu9pbkF#sJ4dN3Tb|0j~N+Zm0rSnMG>*pXEq>B*ZT2Q_= zdxn6XK%#S?0wUAuQxf&bL-Ac#SWed7Ypj|DNh3eiHD=1{8_Q{WCQEsB9Lb9CG79N< zQ;b73+-)=|Gg29gtttv$jEa<@aw48TGD9>XE0M7_f0cA{*?r|bnYzOdDGpUm2k^um z^b7^cdni#Ag4?2o{v@Q*RV75ndlltse~nq=xcw2Y_3h25Kh|Ge2a<^M*AZ2({ecU3 z>Gl0rmeXv_t3|A;7l|ivZ>P-nD_lOwqx&c?YW0P)a<37~SaR(2?Z?p``0pPpTyN;z zn@*!fdY>uw0q3Ol)5)m5|@6bu7?bQ2SP{ zzf=WKFf2*UYf!@U?l82_J@9ur9 zlsJXLPE5S1kx7Rt2)Tu77U+s*a@d$J3l`8~e&5SULI}@w*edYb*$~F_OHZw@ge{yS1u8FEP>1*1Aw2zLl5ok>p;u zt6I;q=H;E8;awUvkLPeg!ymkD*LrtCQi*(@xB8iqUn3D!s*QLEYQ(@HU?VywH#b(? zq8*marv0YqI$%xI@lr{weFVY#a&0k96$x4!oo1d=B~dNZ$GU@Sb>O{?Uc>h#YgLKy zMPEXtK7#6qo0_j&?`B3u)MAc$NrxqNDxgXr6NI$_8pO45%+X>B4KV7F?9D$XsO#85uF)C%`yJL9q^V9 z)hO^5EtCBXz#5CDWlr}SxjX6#u~(zAO7B25lV|jf1%R|8-;TvvL>LK@UzV?2bAsQ# zg}ElC@Di5W<3MmjtwVQuxh2zW#kIt@ojYfI7h~$`JpMXd_rdE5A{2#5h#TszPAU=f zOyw^t4FGBR3!d7)+Z^cNw$xm@=o*QlC=?6G5P;(Qz8%diyMS*_XA?r6;5#Q=2}M2_ zZTw5sv-*`Na;cGZxRLJ$p#|{r7m-#^m7l>4o-o1ktNmc>=%v8-{j$?n;yFsf{jN#eG;(gzT<#C+~NO*Pl z?Z*n`=~XaUf(*T*{3iZ=Sek@cB^eu`gM<9gUHvZ|V1>B;0Q!~e9O}ObLULr$*ZKn1 zF*-KNNFHC|*ez4d^6eAoi=9#s1I>hTJ1l^t62UQ)7Kkmob@XI{ zsHJFeYCOZlUr(c&baTe(z(ZV}H<3DoMt)V5olljKsSkJbFzxbsp;oOkovQ7-SsTYE z{uGKf>0Sve&2|EkFDFk>67l#bc-j&%nd*;Go<@b()Z`xK*xZaoN*xQ>cxj-Utbe=j zzf09wCOeTt%;$JPF~}LU2rS-p9;~yDKYwJZE{}1AGnZQco^l+Tn2sp=Szk2Y+-%6{ zWWtZJA&u8q)Mf=eg;>Y5^ZAuPy#F3@T>iM)|LmGi@;*Z>63>=&x1ekQc`-kjgc1!> zNFn;?r($bE=f)a`V`vL-LA+gcJ}wh_`)hx&kf~-i+Mgv~7GRo&RDXqiw|_}-*WKM@ zs&`1tjddqda9VR7$Leu{^X%{=L+Q)u(sRx8`cJ>}6cctIJr+|zC(;suJDAIGo# zag_D7^X|KfI)~6$&&k~qGtdPTZ0bv0ivrh(bQzzVmzKt%FjCL{+No?Q_u%Aj{ED~ zAnkWnp=aEhV*7_9zlvwaQ#QWF*XBFqIt<7z5syBks05$_#p$TsWnLPp3Uy-swbYME zI*T$d8O(QI3W24fX=LBNBbL!Jt;UoH4bZ5J_BB5gxS_t9Vbk=>kLY5|05-$pB(C;U zyTz0tX}P~?d_u@`-L-9C3SSd7z;eKwo8-Ou!X_svq9@8F>h6sM#YAh`$hT`;s9Clz z7z;9_@h|e$!S(>}3tJmR((Bs|pN>BAI}CR8v2y~5ME!X;f>C09XxPWsXPv8IrPO*f zW5VJ=B~Xwz6Z(A1RkNekzEKSv7)wCx0v(t<`JqGcWaCwv*wB49^f8*|vvF{a+Npa1 z=4JiDa{(s5pxeEwF5r~4NR&vdWN0KIKDMq_W_?eB`}B)heAV+C{I-e9XQ%YFHiLWf zX{ygIkK*eeWqx}`xk-^QA4CNwJkS%_)luRruB%qH7hJ zouScm0FkK0)zL zBri+RUChDLHFV}us5-6H*zP44vB)<)xY=; zyQW3vf?$o8D6W1e*2Bf#1z-dIXA{7e1x)=(1y&@@3NKo$+g@CTxgV8PC;BJD*!3V0 zE753<3FUc--xcirdr{X#SwcpCt=w|d?*v;uQkgAi{ykx+u`^*0$NDH@i#m%=72TSO zgC0!VQ-qyQr7GcX`6`&=O-x^a7c+9bjYvoME8!OAzq9B{{>+J>v>PJ{lGV2@Cayum zxNLus69bR$S{;f*WP=QGse^Pzf8W}(H|s@`2&DaEQ1er=5ZU(`cjaASSRnqV=e&+? zQXF&nw&~*~uvn|Ba8*pt6ha{=kRnZMbLol2U!3FBi~3oia*g^~2NBeO8ZXM zJ_-}JnH)Mx66f<$&kQ~}$8VHwI@%r+6v1z9hStrQD1COqC$%xf@`Hc-C@DtEPdF_o zjwIw!{yGF>H1??6P>8^M;MUu zWPv)kKe$8EB|-H!-g7gx*|tMni9x}PuN;OLYV%4SqII1A`PVe(5xNsFhQ{@y{8%$A z-%JY)#*)jHjv%-Q1kmm21FCBIi8$`YA=H^(X=IM+@cOTgL>C)+2I7Y7*4K-r7Bmjv zH4=x~P3`-Fw+?%T>w{rOfMZ8@kVRC`yy3c1@$CkGL?;)ufXc?Y+}ku*DKPM|VlE|o z;XZ1@HqGiUpb(@ULn-9rpqq8-CBm@qhqAf(bkq0N*P!e|V{i9& z2WACQ^ceTi5cCJu3Kgzr|CUSFevG*|D&ch4KdW^A&yrMEO5&%%)mYspf4GXth<41I zBKvUzRMei9N{+&)*SW&=*=WtnTK!@F@B7nMF%ZNCS6 zs@Wgq9MRqxqPy%i_l7fm!RII*u$f}^VM8}$$S^y^6%YP(tn*|c2(Cus&4O$7Jk6@i zwfP>Fjc&q!-qUVtVr??s)+1i%c)tWM$QFdDzli&tE$ixb-r+$6US!3)ZwfNbsyty4 z27krOoAOlXEg8Xk(ga2nZ4*`Zn-Tk>SqNf$z_;xs2>1ML2#cw{3aBd+_&dA?Q@Y_8hD&uK@mTctfyrmjrCi$X8Wc@PUUD8a9lWBzZ0%fFx_Fx3GaN62h2|8%A#_pPNwjY*T= z_rxlyYG75PN|^y3PAMemeFXt?JQ{ln)8XQ#*&xz8WRiZiCA0yP49U=lypzw>llcyw zhDzYKpM-)h()@#!`pePT*TzFe%UdbSlq+xNo_1QTA{1mb*(=MXWy9a#7X84l;HmHv zeHTJLBumT|dTT4Xt5F4vW~3pgd!tO=w46vq_tZ5&JCd=$`;!*Z-J~G-9@K{cbrvCM z97Oct=t@eb+c&?J)#BZoLKwWAJo`h-F!;^nmv3SAHEZ9flhSSeO>S=`yv<3%Sg;x5 ztwuE$acw@O5V;=Sebh6AXBgep5l-w&{bV*vd?hJ%y9!nVd=(YUfsTkho>xAO)V&6KF@C`W*MkRWJNQu>G5c$PIcxR>`yxvjR7QiKN zpXJgAACZ*3C-j%60x9)WQyU4GgpObD&0tYom};;790v``JHxU1?O&9zuK~q745dLA zUqtqc;zYnzSTCJ=F^bgSiT%yoIML(g7NIO4JuyGkEtrvy`{|I%^0GcYK%tXJka4uy~VH z@}W`B#*=V7-R#Ex!A+j$LQRUWoCX@7xNp?=!2)x8!HB!mUx^E4INd_KRpYJ? zCkZKeMy`BPc1x1slHCJm{kI+-_f!5>6{ZS+{*mf=3v|n15J_jVWNbP$o1rhyc-nTC&?p1ds(YKwxE}h{bkZWFiNl6 zU^wN8jo~8sqr9_fkwPAHdST+PxPF0lxjXz?!{FBT%>IOut)ZrFir^acR!|Uf1gvC> zU9I^+_`QpmeVM_VfDg;?hsewP=E$L{s=% z^i<%EMy8G3V#auN`R7GxN$5h`pk-kWDW*nugEcF0l?TmV{_E>-vz|@F5NRwvx}*< zT}iW7pAPsIznl8G5bsE_7!IvF0Gob5$VRt3fe&oIV!yw7Ec((S=4`bJE#dvz8mpeO z)&<=^+W5Qva`cHC*onQbB-HJ9z?cDQb1#)CEnYVR*%6NcYkokKjKDGpe!Gm+9!HD= zPeMEa^Na&hwIA(4r;Kv9%UOMQ99gEhj=>M}S*8Jg#AEmN!4LOBnUlaK?0v5FK4?kS z*g^XD8MDdFKIjnRKy{Yj-{j`_rQ#;=x0R*NE2}KLdBo5;V}tj&y|$^=Zp$)y_@TaT z+TuOfz{9=s(#v~d{A&!}J!s~>0~h^n4}6F^dMD$!SPk21sP*3iZ&^$}rsm}e{;h`T z&uY#Lz`FiB4dRy2x=RInu8`{vz|&qajzE@=?%|T(?I-_iW(W?X(gvsNnhe~{7PUPp zSdFmWS5SdHE!okhZJdHsW+5lZFVEd>uzfdJ`Vln94OZ#qrzU#cas-V&O7<+>AuQQx z`n3~ZvU5?qLsq&2PmkNoiIYl>gR@YB`KUpx)L?OHupsqjYTRaeobM5|;|S_{1f4&E zq8>pnW+8dSJ6y#(i^V%aB|GuOJ57i?WW|9p;_85mWgw1c7}XIp*9|u8<`?jI5>dL7 z{!6_RaZ5VHR+FMH>25HkBWR}^Y~BsF=LQ>agOMFUzaK$&j-ZGF=edksQ2NVK9yYcq zGJjizRV7L;1J{In67!Sse+i8UTu$L`YzNC~x0lOqs`JdbZ5v}XhlF}I9UERjaseqd z+Y*OfY$&XvkgeX&vn-zqkId`{<5P@MsNZv-8-Y5cS@#jyY@sevnE!mS5p1XR`Q(vW zK?K!i{?64TNpUK-3w}#pDD8+dvCoxUf35M5Jmch1N?zih6+Z%1_|w1C?k6DlXpPhE zjTMi5B4M_sH0A5#wWhae7Vc?vY{N{maIdo?q3soj9&bu$QQxdCzP6)qR^FNF|J|mh z^D8TxW~(mGT29x*J-3mfOY)yvvlWf3Qjm>u8ywC%q=YF<~QN2N)v zer~F~BjR2uzL?clraNc}hd*=#Yxx$tw!;fDoBMf=dcy6x<#VLa43P+*ooA zP>;?@@9^*=yEB;5GXE|JQrJM`^L?zswxa6>yJk+`+-org{cXD?J$k<#80TJk}niq6Fk^bS(ZAY zb4H$*dDTX$to6(+u5Bxndf}lt|EXq`Hw#JY-g5MkL_z2Anjnae+A2V4?^CjHjZ`5r zmf(z7)gkM{scj*R-5&3Xg|=-_8;DsTK%15#oe6|5s?Y8?ap_%)-E7xVxps2tuNe5T zd$|{L@WVO_1LR`WEw`8QGUlC9Cw`CNC ze<=CPJ08WzYuc&XU+%9QR-A5edkW$!1}nrPIB3#d{a{pj^{{#TFl*&P`l8(o`O81x zA>Y|tqFovTTxcy3u!3EEwGhjOKitN)*8&68@qvJbF1E|5x(Ll`Q;@T^7lug!Hu zMQlwfI$H}f$nscn+4s?}ly-kS4iJtZ`%h9>W4U(9EpqRVnhr z;XzYG$%HvCZhy#e;b6#hmmO9Ls7|;ILCAg$#H95wPpU*xCBYghm+OO zu!BNqO@=A+uEMY2bkslcz1J6B!*Ocd{!b)zlzzsqe^J(bXBYB1)}aoN*qWg&ij4GpP1sN5v8nyUa#%fo9fPLQH>6%c@rwrfEx{& zjf*$cq_tuAq;HP4`wKtYM>0S6%(!1E#vEnMUjqF(c;dWBuIJ795}J@?W>E>HcwHS5|3SvkBKN> z!&$utHk0!0_9z_f*VQw4JC%DJ1Q%O$ig6IoaJ3K0XV3)wRRx<~6j%sm*-2NWTE!g( zB|-SoxjT4cxKeA49E3S9HrB*qDF$vUC&23l;-%ccnbv7RD?eFtuP*T{FkAnQ=db@< z7sBQE&OlaYAXKxEEW~F9vVH`;br~aDT`aCLSoK?x%mgqG!vIlSyuF*u>6i*Tu`eGc zZ?aBrzLR~Jm)`%dm(W1l*sK0nsWPRqB-Q09yCsQL-1e*g;v?}+H1V#+gkTovX%Ki{0puRB0p+`@bQZk%Q8zbiD!*0ptN6!1T)=C1m=yf3$j5c`+suZ*x& zH<<1bl=uibdjzdNf;!GXfTcT9>Vtq!g8;QbP+A;ZD^A4yB6*lBHv+)^`{|%B9o;>| z58@!w{>m7fAP?4)ZTfrJ`F(w=r!CzXbdvv8=GI3FnV&Ei&`I}clZijeF}~I2`r_ky z(?I)X7U;ccIJ;l|*`Pwy*0Ta2syNn}>m$|j3-j#rrRZ1sq5&|@SGeDCn%WG44kg_o zYST~}lT|EXwf6puWry)=xiiz(>KL&PzE*28E1DVq?~2r0h(k9N(Fy~3ye2j0pB1>u zpdDoH!i={=$ubIzSY7wB z;LQCv376E%BUZ;%2CJPX?_$&e(-%UJY?x=L^DNGG2ni%jT%9}#dz;r# zBkJ!*s7T{SpRyfN$_Wfbr-X{a{)IpGAaQnqM|zwTaOkuCm`tz4=JEzi=E-tVK5c!A zVfd}44N|JXdn)Q5Go-*}7vbQNeSSF+;(qD=6a)6uLi^PAJYK{rx8h~A&YcBNLwzcp zftZR0d=q^+auZLA8=P?lzjY;;=4!`XlI&Em7BXv)|9t)|zE= zkpB?fP$@gL0s4KR{{75C45q1V>VR~Wsp*Enra{u@+ATHJPZoWM+qfQY*GBDOG(Lg-8%YP80IGmUN<4uskOh|7)lB2)cX(&3}81?Ns9Cl9m7$d9W2D-l||HdYmVkzXZQ~VebL_ zi~d3}=e*NKTcwIE^x8dCiUxJ+py2a|qD}h#IE`Lq@)sko&^d4@=7(I#-d~X~9 zk@+bqPIaGr^)V6jNr10fkS`0KcXX9!h>f>SXJ|1XhSswmKg(ksz;IQ3(%*(YH#7Bs4fq$r7qq4n?h;T8X&IFe$g4G*loJS+`z)6#es!~8sOoiq3=3^7)fSHal zYji_%t4|?US~-)}?OC0RNnT|hSNf#V^i8xI|8p!qY0k+(inHspmWA*0Tg?2qi1$(m z8VELs^WHTwR-9PBn%+lqFDffPVPO0-eeJ$I>@wcdG`7`3pW?TDMA!G)LDY0y_KZkU zPM#HjV%`!HQq!@iql{xGHDIzCoPdqJ?s?e>SX zv-5%{<*G+LgeqnX){f`2f7u3xm3Pt_564yMDtnkYv>_&0g`bp9h2{B82s^64n@Cte z#2Tc6U~Nax`x%JgZU*LIz)=Bv~AIOcP0=k{$=nUmsG7$%5luKXe$&{_Cc_RFPSP;C)2>2cX;693)0A2jKt}VfHSmL6NWg?jFU_R8fp1Nnw zzt;E|IWZ{KLc95H%M{b2>jIT;?R~&#b3ic+ljTRi9*F}~f~7RZ+I=rOI@R?ZLS);@ zR(`GJUF;zU`p)t=HEo5~E-Oi`(8aZwiAG5XXH~sIWkIoLq_E31msFV8EN*!>8;{w3PK~QF#R7#u_<6w3Sfija#y_uNkMYB8`O|rkd`SQ{}6dPhA zqcW70;QAGZ@KZ!O!C^S&Uy&O&ALlG|LhvEgDFkcmpS+4!_$%O5+l+SxMd~(3%L>u1 zoI8n!YAr?by8Ul?w-RQLxs6LpROaT#RP4he7g4`W*h}NHlOAvNRsjh4f%C-ais!1AZrPiMPlif;oz2 z&==axW_tO3Ubrfk-bXX|i~Wf-knMwf|iV)B!}Pcf?+Lk){GIgUh9 z(-0`_&7NO(ky6pa70)f&w#a`ZEiE3XX5l!QzJJAI1+!YDy^I}qL}RUPr>2zT7Q0XemBLwM0}a5kQ!uNV8? zzMZ~4L%ac>(K_?c`SRqx<9L1LV+GB7`c7GzP2Wu87x|4SBN|ykk$0Vq_pgvWO#%ed z`SFZJ6d)*N({Chn@(N?0op?hXf z^gy9s-{wm`k|fNTuCCMv!Mrtsw*1#o3wOFhk&Ud1S$&9(DjLl>9a)_DL;+uFsFm;s zeY+V6Hh(-${l1fjknlzs#>QHt-1n;2`n+<4In`00R@~a@sDYVr^=|E~)WDp$r`-(V z!B;=hh_;)RQcS{x@1hBDx2Nu{w$&I+PLcPb$aoLcmmESA6L3j69KQbQhw>QqR2({XMZ-!4c+yW`Ekg)(t3Gfy_}3$^p$8ndoN7M|pt z4>{GNG zd2|MkEoWC-j=bmW?WlC^p_`{06fo)K#6hg!SG04&S_SFY^=d;_N+NdI#xAMNDhrWd zXOfT_m`4pQgD5@6I@br@k4<{oYhHvQ({P z*_lk^C3E#qr2zTU)$OOs6g1<0o*3m)GqU`Ah(KXQ{aBg#JPkUw<#dR4KC} zW+C;n5G~P80cwEiAhFAszO>87qa*>+rHR~UQQS2)zIM}K*X_w*>>3pmv(U`AM@$YI zNp`T@8#69|EOxb=+%TPqU+5E%*;ZbG)Z-SCfKFqfwhnb)iOv=FbqvimU zfv51V7Fwy*fL$nNaA<(Q49C^^nF?@TG9NPVj95e|REPh31DMa>? z{>832uJgzq8n3>9D_6rShvSi^4@+6YYblVXhPpzQ z9bdtgtDM<^yQq7%yv`o+ERa9W@9Iy3)gK2Pl?OdbcG_nDS5z=Whzffd*9GEo%>FHD z1u_Tr@r30q%Th$@q}h>};|bm}-v4e>nlmewNrhj{D|~h^l|SYDSMYk*qU0s&PX7OI0G( zXhI6W-|A)hoF=@wxI%Zhlz!yV_GeuuoAK}DIs~aq5wKb-u0U{Ut4|a{EOrR-KwooU zbFT3Jol=SYKJv(AmvZ~eQoNBBw~0{n#i`-WqA#=mBNa@}K$K=75qlY$X~lISR^OGh zHkf}$Ns8Dxa6LCe>)%y9|7fmh3Ek$b^y;=wmiz&dl^nE5h$Bk!icWXPrC+DYEuohB z0ruKDaFL0y#1{`z3eYg$#+iryodpSJ@zKt*LK;OMw^6mQ>?!j+V(;GRDPaB+7wUi|v7k`09A%*+5Y>xa! zILb3Z$fcS?^)-&%O6>aZV-{5|TTU=iZ_cj3$%w??4TrC`4u<& zFQ{OnYaQE<>-r{{558rfV5Q}{=C%l0j^0~=t0QJ3peAq~i5Sj`{Qycy{I>4*y^4oz z=dnmNuW{@u{J1A;FPZMRkwP`ohp!swpeOpX7EtjhI?ex+RqQ8n>SBlYi3{=Ubj&!a zg^1%#WJfm4+-E(y7Pox;>wRy1(gwLyC{$^&z(z7b_TSSrppxXi z@0?p8hK zp?Pcp?26=spQSfUkESrWYPjFEK zM#Jwt?k%IVvF?+1XQ!QBK1)a?@F9d!Ct$+k5=~-WGl4{Y0$pBjQkAy00b_xRhy$&3uIw)p4*Dk6xGo_k ztqs>NoAHM0D^w21IssNrD|bCK=^=P^vIluyzpSGo%p|(SfT~z)(NM!qN6WG*alq4c^|2w#rLFy! zzS|Z@@7magL!(XmXI|poAo*D4HK_&PfFYDG&-W=ytaCkz6C2Q4px#7`uTDPb%i?)D zrCvFud-%t9t8T)n@pY;re#dvCZo-N2I^}!&u7)OX?2iR#rB~MC(Zz!XiKo~Qi82vQ zQen1WAUr^kg0_!PovClPmK88{o{4Pi9r2@8-v4Gytw7(eo0p(}ff=t>QC*ll_1lC= zmf#=nPYplX+S?vy?&WAuNXch>fNrWD+0s2#9iRBu8~fH|&L_C-)}cWRQR|<1Uo$U? zM@bxvjq|P>_T`4YIsvA1>Rjq9nJWk?9VMWqV&#H)<0LlTdS>HTo=;0D?jHCKX5G5i ztMfS`7TNyq>YTX)vn z1=kkoST0`sbf@II!a#FVPY)upW4ZhL!@0$Q%Rh%hqhk6<4UlLFDJpt$A!cJoXpozv zqiA-o*sHugX{${V+jeWnbJ_R;G2ibFI~eK{v@A@k4JJQz@4U6{8Xdx<9d8}t3 z0zAEoQ(PjCnBGg{2fdjA%|9Znnag23zRS*;YOAqL&)n&(Ac*$nAY7|VE%2V#AL)3y zmS?#*BH3~2!v5Xl=L>Bi{z^07K_v`7+CkNIAsa3p>0(NG}EP)Ioj*{oY~uo{9X(l)?zR@(8=)2)n{a`5Xk|_SES1pA$Om_%34(!X$8T zKjcE(W4rfHdn{ zc%b1)&$^AAgV&L9bu~?#&A2b1U_XNpxGY0L=-DNURmu;Ej&e*ao7rf_T(q{T#eyThOzQu-FrJX*vFd6TL+gH5u5f1 zxSKWR;wv8)Dw5KZ$haS+2@!`FE(!kfev{=^uF)l;~C=jk*1KKQxD5QvW@RQKcZ5m>8oC-bRUyA%9U8#A%x= zIGjHA!hi#X3!2rcrXb-*Zab}`^jGUcl1aJ{5YJWv^#|LwkLRd^t3R z9UcYUG0clUnOacR3x=V=@hij6i(G8I=6AEhEZem|1T#CA zT(*p-!f|5#sTWAZg8iY^9_c*WDKhCey#0IYw})Jt$WK#ToLa8I*h2w~*2xZ+D!U_J z6|x4YcwGZ#`8j}*ap&I(>}%_ZgGl7WT#!THn!?NWEbIsWG_VGFO4E^GMT*&0yifZ@ zP_#8{7gaQv8`dAQ(Oe##HKxHhSZ$$XA`cp>c*;5MY&f1c zf2zo$sm(1-o94-uY@be=C3A8bdxyC&J}=6jLE?zKYEu7bDlu3Rwx><}9uOD5&oKUq zF%Fi&CqKML`m$j@j2i=NF|Nrh*+uP`^NuFqcMCM<#qWy|y(mOAVxsty7J$Ly7eLQ6 zA{k1LJW6}p09w-~zvbFD=(g_e(yvj{3DYMtvG+Awg0MQ9=hv!NADb5((EZ4)LNS>s zIrq%Q6ODe*f&b@<_)xu&I))n1t6)Zqkg!V#TI(vCJp?{qXPWGX6@y+dKmaf z7u^Zm2|fNE8EBOYP>XTD#MHM433jsQxVB#too*9Rf2cW(&(ztiM9vwAZs*}GFf|=S zppMVF;HvE`HaF)0iKM>tsVXN<-SCN+4~n!oQ2mOaJpE&T=5#Gta=#g&)hT_pR@#26 zN1xM_DiZOB#}N^plBZd@Sjm0& z?=`n$m+gi7r66DAbc=huS_p9sDYK|>^wNHz&9v~{__UPQL}v3(64_L;Q{u_ofp9Nn z^XAFtI8vf2{lEAg^cMn1nd%3(IDbL7xpjZ|fmKQKvNoKbNW5UPls;bzWTO^dK7})q z;f)YQxqLqw47De>@1N3~J7Sm{W7D7o--j%Ldk-o=z_>>Rit*Zqc zvSuh~GPjZ}+bysbdGe4JZg!*v)B=~hozY7Kop@#kBF%NN2w&VcFZ5eVEHzMf=P~?5 zWnVOAigLJq#qX=p&pvVWBUh}rKRy){Co3V6BET!V`>+%QAQK1;0ym;Us>KO0(Co)jC zH?S^(U%gA0kC12HrYm|L>0qU_`~lyPWwyjKdjk=K9!Q6>{}$Eg`*^&N(ong}+591>O?!cGV^ z_W8glE^L_ZJ6wN;vGg@2OL}s+bOKErJE!&u2Pga#_-Ada_6UAnP7tuUW-`J8TSH)f z8bdGny^;zX8`JO?x=a( z0{8DLi6SOQ6nn_u&p|(JvoS(FSxBE^7h;uLv?4?06=j-g0k<8zXPL+7RXxP5(i?N2 z*j2DIyUBYF8M%@^orYse3X9B)oUCQvUGIu7)DvrNzW`I)6++4Rk9JX-V`ErocYkgl z>0G)&iJf`=>o1zR+h&L~`1$TrH-&V^IqUQzaTUy{N+HO-8pS{eozMeRP>eUZ0?3uJ z(Kjl(8&TBJ3Mr!qiQWG!9FeA#W{xCd0IJ`Nl;IeB@KTq?qHy_8fl~DGE^OboD~qt# zc@~8r17Z)KAA^WL=R$1%y|~KV*H4v1a+H7ed7qKwQye9`BjhTX(Q7NIZ1kP}eZcv}@}SQQ0-cPlV=W}2s|nR5sR5se zK5H#HS?=?sd=|MH?QSo01t9X?c|0GpoVg+jnz=&ihG@kQ1-Wbrby54HGCfuPiJXhz zr!CJWAdz&29SX9&gpGgC>hnvC+OiNykT0I>XF9fh=(H-iVNa@JA}sn;s+6O!62Fmy zG+^0C$-?cI%$#He+zxkp;>v?U_GtSvq>WS3>(^uK23|LNJ=9ufT^ z_eBk_V%N$1@Yh-kv@AFY!fzGD0)p<0cmmj6W~xvO^67W`_Ybb`IFGpLnvSaarGEQ) zG8&SW6YpwgUIkiz-r{`1oh3yaB@$6FYudWkZ|fgQJ!=lruY#l3k^Hh#TIKN)@&UO< zC5)5ky?fr_t@O`g-u(a`rl&3Xuq|87yOM4|TFJUihX$hp-d5GWNe94lgJM9zY+dyg zLYi^;tW)LHEARn5&EKHZMB~^K^Z-ipS1WC+zEVsxuAQxBQu)y;wYdV~u^nyM2w~&7 zbrdZ}SgsWLG1m)USJs|VKdl?of#u<{!%sVI<6H#mA$w6QeC~R8C*~!uV^H@tY+a-wPfXcT)iQNh}M!X599$ zHKadbE|yLe^Y{L&6u)gBhJ#mFht`VL{GIg^3lF+TFK?v_xeN{GVx1-(>WD6*jDPG9 zsaB|j2lWdIK|;6&n=k6{^4C;?^xIf>U_J}+_bQb9*^={xg|IQX&a+AevhVd{sO(i9 zn{K*y=1^j(!!>hNNq2?A0SL4V)&b&+>Ol-Y>bmT>+62h8BWOIyl_mse9)|$@G)t$d zuCUWY%Vx_#4_0Y6Rafk(mJY{`B{ve5(FTd2<&PA!cO+(%WA8|!i$UIetdpp_&@iU< zWtvDmsFFl&8$))~<&Kn~`019i)>JS8T}lD%S--VVFdQSrjW+jlVq58I`?+PTFDb8I zz~|MwlJO4|p!|=jZUJVW;;r)Krf2=Zj*zbKE=CZgNZs!tmJ0A^RT?XC`!KCg#=6$o z%;-sVT+O^xi%Yus6yG79WcpT@PrH%Gj>8{u7igjOe~j7jo^RUhZfs6fE{%B{3;E2v z3)UpQsLIzQioeZ-qi=cZY=aqmf-c+4)v@mg)oxsPjuM6z)>Xo0F_AV6j8!_A)5_3gb2{<(}4UCw;|1gEV6;kAr?Uw=o0c#ejn zn!i`hj3sCsQJYXg>P3o80@K32fkA*tM{gQtHm^y~9<4K3`>(~P&vlbNDTCHw_GXCp zHx9b7B64q6P(Xo)Lh6+an_;c~7R^}c;j>0p4s{Dl=?cMtu4y1a_?FlYD>rp(Uj=Lbx?{bFU*Jz`PLdF3LHyqPB4 zmMe+l^fFFHky5($tRtKD=b53K1Xx1%kmY;BLJa+AHHE`w z61YvR;lb<+Y!AHDrxG{V4?yAc+%n$p;?Gx!Ui3!4SK6y=4;E{}r!|!<`LXk3O(ks8E~Yrk-EodtfRI(V$h zC%&+{-(09bSA+@C6~FTELGk;(LzXCkdoe8JOab2tq0Z=7^9v+_foh^CEM(vUz20W_ z9n${!qb!xZvSvLtd|5P!sl$h9uRY{^#EAEr4OB=f!H8Mdg8lExBG{D8itaZ4odZe7 z^zw-IZNhz5?&IM~O#iCmsbxlY$^a|3@d##_ZKXlX1X=Z#ja2B%%8R)R7IEWoqqRp zc~?Y=nj^1_!O|AQu&o4dT|P})K{XRAo$D@ z(?=K#)dNEFpUDH!=6opYTEYh7PErGxc1Q>297BU)Y6ePqwG5Zy-~Vj>(Xw|^ph@i> z`dZkqU<&)3#$$3X?0v}dJ7nK8C)%c3?(p|gak)wplUw>wgEI-U7)5q>HTJoY@IEk} z@^^|{qI%ytr;X|j{<0=%aIk7`EUs(WV+jU!%0V`bs#>xMEfdiARtG$Lo|E+zA>%I>Nd;S33bTvt3Y7}x}c2`J^4zZ%-EBsYJ7t3gyPyr(cW z;0g2Ji#~}>TKF2SHEE8|YJ_r1PfrjQ0dp!L_1z0hN^j#GRm%q}an@+M`&c@DiZ@n{g9FTmt(jqc6S~)LXOp z-TkQxKwq<`@);EOL5B0Cd7gX-2xB4dkQlyWf9<+3R%OlqO3viJ7b=!}$P!(8yv5(C z|2mAU`oI^zI@?R3fspg}sqQY05FIQmr!}wkDylu-Tktcmjo?^VDO#8)=gQq{?166= z`{9e&_Cgsr>Wel~dEQ%zjxg~andlQ>Dw^tR!;*HWH;r}uYa@eg3U z*!!nyG&NlGvLHh)yjyl@I%D`c9G(9X=O^)SyH=zsOkYxGp7H%9jtArU5zfWW&&AJN z19S4v+`Wr>(aUr5-7}Mhno{#d&7e}N`Dt}0z9-vh9-oS2&HPe$g~1(HbZ_A-`~tpL z>E~N_w{)XvJ??kr&UvaKH6BuH?&_Pj6_GImNgf8MrlZE0U~ExFXS*BE0AR z#dzWTZ1)Ub1p|ld=g+^hVc`3J^L%1*_~v^EhHCspUPx#uK$e@!qy}C4KMb$V2ZW2m zckYS0$OAZZ`A(e}l`ub|VtQu4VMfF+z{S;n#j*zIMA9)W$zbPLOcZ(gY!6r|O6!RRquQS^w z^C1Z@8LzwZ+G5ndaS~XTuK0Sb*{f9_wOWn^ZvWc2_vfcO%DBj+?U(?Djt&Gd^Ch4; z>4vWY7jwPud}IFM&^R~zS`9Y!{mahfeB^71u-WkyOO%n@gxHVCeCA(fEXmhnZy|)g zeiDz_`3Y(TLN;Uf#;dNoQ(1U12S=sv47vjod5#bnbyJC)O_j%G8+~UDhJXjX%sLN> zS*5-Q>>5v)*E(wrvZ)2rOFe4)vq_80^JwKp2m>q~vbrdP#<_a7C?6w=obI7JG>MTc zEMKp^VK$DM-|8l@F!Ohhy*_RhqVE#qgxX#AUE}V*ga+t8igpBT8He%do0+q^ ze=q&p7NJp!g?t&dWIjQSiDIQ`yNQ5Nx>mFKo{{VYZxJ4=$-4JgTkfYC?e!?{W)M&u zjEAis*4Tl>Afik#@n~pU$*}uk@$Du>U(`*I_FL}9EJyL+YaxmQ(hdR&LRSve$-G1-EST#UQGxubC=useYCOuH&RnwuXmFg zZ@hjmj-m!t2BBNif(*n1V6fVwZJ^p+4abg^U!~1zYH~^!BlIUX6-LtDDqjCna8L6D zyQGrFQ*`4Lzl_=b2m)GJfPVK+%xr1)t6hsei&UF_j8JX%aRQOgRUeC}=_-Oy=P+5Z zo?^lj4ehQmw3w9r@Lp3?DWiAoD*PRG#H+j#sXwLO3Xh5x(e~kr`6I#@k7h44;ghWi zg@|L3k?&_%*=9DF|KMnaGe6F}t-tt@e@Db4Tor3V#L3ZgxHN9Q1(tq570Q>b^v|-N zEC=)<(GDb-1U5S+^1ka7i$8t*+dNJQLXAHc{9sSk58>+aikFiieX8HC6a6(VBdOUb@igUP?3#+nQ`|qxjC{7SIv(LJzYqB?cGa zsxHaI_0n51A=v@3!o$>IdM{BR5ZzlU_`v|-A*JJop3zgQqQE6ZMR!=(41zU*9wCb$ z4N|I(6^qq_)}c$d_Nd=LV$`9@PBNr21u4_a1PPC%oNb2?gZI$t-L!Y%xGx3_FDv!C z@&qBMAv4+gKADOZfvGTcAC(yS{y+u+S2ov44-g_MmX!A>SY(BGfz%K{^7sp4I*H-t zxtyKq;3Y&N3;HbI9@gDYelj>nc((=&@ep$P#cwRct0H1e|G^=N7da=N?Y0REjavxR zfcU&u*SGxg`2%VmrZH|P*96w};2Op>oP8KQa{?lw)Hw`}*T;8L7~2yn=bhLTDj#C7 z>|(M6PLSf{J+CoxrBys>D;cba=yD=7L1l94rXf3heLcIS>|XqsnAd&eO&KGaLFnOI z5#C7TSHZq_R1Gsg3=dr~Vu>^`fk-@{-%!d^qGbtcAuF{&RrIVMK*-8=Psb>-(=SJy zajNp5YpiCoou2T9XVwzS1KAfU+xcr3u1aRdf6sW~MqZbhb^OAfKGU^Rtfplvhs?Bf zpy)=T2|xq9#O}rcN6oRC+ZJMi&u7vMcizQ12JHAWLgIHDfN1&O8!42RK90Vu_*eXk zf}D+@^yb=Tb@itNt_>gu%e^!UVMlY8^V=Y)-S7ONG*+I&WZnkK7>1=_e2Fog4Ejt5 zp}?3M^jBBz(O4Bt@@K=sy_Dq>k#;9ilviAFMvlN}`8vmJo zEcy#p>)hK_MJ;av>I8nzd7e|VCi#JHWN_agjcPT$Mb+%g1F|bhGnli-XK*49qQV;x z$w!U-NgeMW7W1A}h7x-c!;l2d#~Ft)J-o1Tc?qrikIA&wzt#DNC@-7E0*$BiQ!PoR z$I`?m>-_J_#Y7iTyFb#Tw(?%u9;E%q(nIYlzeMh zOf-{ML;c}{8Q(i~0f=CcNQyLH9Fc;s3aNxdDX#`N|C@tD6`P}_4?<&*tNjxJ%PQ(h9Vg2&Q|$4-%T-Q%+WmYpDrz;VkJ__vvbN)!e>vilD$U=%SZuL2 z*c(-sPJmG=*Uc8E`QUO3q=xTTclCT`FINm=P9m|6HUjlXg$|Nldiyk63iwRRA}tNc zT+B`e`EAQ)b& zyg#Ztd47%2{#*D-Q*5{vLSmIH8#ZGRDPj zcyG)aV`_tK0vQNiXA+sPrG~M9m%5r>?y?7Q{9LWlGAsTp>zT=qxcc2o$!;Z0o;0n3?i2!3kBs-yoJi2pHX(pk#WUQ_3%hB82m~4b?`R5 z0zv^^d5j%Lk=bF1_P=&YULEWB4c$o;D=tZEKRjQiMhn10zbroxz+93sGa8w!uyLG| z*zC(y`2w?pINq6B$Lt142ZZdVj1wP!C0s1+E|o7)t&&w)gEQ{^%b}sn4|-oN3`{th zcRn<9chs7>mX5OV9m9X-;Ou9MPsm$z;h676HFhGJmj(XhuDF42Kai75_e*j$qLUAu zv?*bhCwD1EYYQ_F$_K|{P^fv z=JCDHk}1;Xl_AYVi8_X`YMaxVl#(|L){Xk|9C%Q3^c~L_?a+CG9}q4=j&NCy+PIhW z*X0V{_<50Bi_++oH@X3ub;E}a#jcw5w1v-y#_GLYN(p|ff{g!s>e8TScToXrq!=FCz&9s8!D zHa0EmHT6P4bzg-CL}jVJRw*CMN33zqN%)f-+k9XeyYpf&eyuq=Vb~o8RP(Bnt z2X&kCww5y27{l47!t*}1t`dK#oxyga*+}VYI{K}Leg2Jd@HYU6lT&ajsWZbg=TIV4 zv7Hc+YB(NM^=SV?(Egjeu@V?al}5hF=9dUtB$V(Eofr!!yB0Wr^up;z^nUX+l+f!^ zJZ|7?7- z&E#cZt}@2)IbufOv@}7&l{IVCa0;9jx$P)m@TSepH~lc9nQ!Os`B7KKu^e_i*#JXfQ?gkNGjo z-^k2$you!cVX@GLJ^iTBCF<&!bMIHfa@Nb5;fb(0U-(pnUX7m-rsm0J0x}-KxEXVU zY5cRi*L%8j_j)C~n3FCc;2G`^vucAFDysA{%-9&D^L9Y)*W{1G>6UR~P3YB7+um}p z97^adDQUv-bobUh0=8V$-N^c}rk)zbE#HRYCEi8YyuVz|49kV@5+LX`yZA|)Es;Zr z#uXtzW0}n1pIBp=m6;SG$ZMlkQa0-K9#y^eyLEhtoklDlg$$%FRa@j6xhGUh5i8Yf ztwUArOJl{9Ts6)KD#Er~{Tmb@kPG)QNOt--w1g#pa;Ppe95J_6tqzmn{=!>+W#PQ; zQe67paE$OEtH98jA6;+MCv(1Km#6F>_UA8P7=iqz*hiM#s6Tcnbp@v)R{leSlWcR_V^LlD51*r6q7ZzSld4M69Xm&J{JWKaIDCfE0CvEgOoH6 zEmt$=Q`3GePO<)h?)^z2g_iNgg$EU@b%w?VbVu%UmS7n@q=yQ%bCoR zgPi(YoYes4LAV1Hq@nQkCtQ*^kvkKVp91{&Gx{g_< zfur<%Tt;%_EsG`FG}_yhPXB7R6Wydj3j+zmIRRz989wCSrEFe{6n3WgYz`?RJ&f-; z+nvDoA*_RmkerVLQ`J{0X+1TwZNP_cMHBVo7=WK%X~}6fAQ9Q#{y=Xu z%sW|t5s^^;E5AsriS0zgO~vO?qYIWP+<6c>RbCSmS4xK{#3%uUnCj1gZJIj(QlD?$JR~B;-|CzmpGwIZGa?769dMB7T)6qs z&4O;82j;vCx)b$Q6^N8|&aqYdodr+Ze<}pFg*XMJ)CbQ-t$%AcSU)6K$P~T7w`z#K zfrqv2jkb;FGw;5!60ADN?6*B~2$J5!$F(3W;W%?3AyXv!7?yEVP>&7%sN{tWX7~%# za`YkOU4Vu_h?-L4befH!_EMrzc5^CMN|eklfTGh2ai5`g2P?`|xHcNda`e59rcF`Ui^94Aqk4Mf10UEpeqlSgETkCjpS?i*4IPKK}q|m zyhzo$&XjyI$V~^?LXUD#rGmb2BzF*#t>GHti9NN0wL!$jkwjI znB82)HY@@xX%|iS*Id8fOS|UD09(TJ^tc;Y=4Ns1p|2HgU5a1G;!n>iPU}f{)ow`T zPK0H7g6Ai1f5jtV!Uf1e9sw4Zf0O_@txDQw((34k?sYN}feLue{46BRQM(&}=vpIf zZkbQ|YcBP@B?MCsjUk*tKmQo3;150O_9?eP8k}TiG(}Nz*nB98XWN0EVg-TXyIE$K zFM}U7WFRHM{emcA;eAT#-|>}yYhw**U1ng6nq;MSOP>%V<@K2i{ct(|$v0x?%{-9f zN{``EffCe*d?h3QTXW&c9;D%`d!vcMm^DRwq#RL28bqE~1BkY=VvouTMCvSUny6&7 z)tKEwiMB=Wk68h5M{?LQ1Q6`Ax?WtfA+NLl%k7wn11$9E*sq93h^n{D;^{AK(-=ty zesKBCD9SV@hnJtz>t=g{lQhd|?LVU#B_K4XdCqSzm*c>yyUI~xOmy;O9j`+BiMu!V zCt>UX4I^QX?n|~ZJp*4ExyeU*+YGJv$`(|1(czC-45^6NKYep!F8fS?9h$+`KmH{>vZP+F*~4pS4c?ml;`j#){)gih{BN|17eQ z?04wHmYg)bTIj>h9E#TRt!IIVJToWz+2>EXxOoODUZO?FQ`y`*&_IgkduA1{o&tp( zx~!|i1U~HLU=@_QwUTqdLfB;x`U$$eA8`s6G27R-(TA8^x*t7nBkKHZ7|m9r6`hYCft!sdk-;#hr; z{;vG4$Go&Ple;stbF{Q)mb8yp^o&7G6}m(j6**`TL&L!xvPM?cXwhMPyB!J}7G-*9 zz8x^f9C1GJ}Clm~13$h0USxKKXqZ8U#i`8bE;nFT-$;h&D<#jZx zn~nf?)YdA+Of!VlP4EpGgBy+2O(U}{SK$M=zrRNY|81u zf4f%obzdlNF}&$WU8S2q{l|I2?dTBWg_wFhtwig8^kcE1Moov`0TItO#a;;6KK<8Q+&4t$Y`$YdL*^! z<8_~@6kFlLUC1URE59!tGJNwp^*o>>-1z0OKS!)Q|S;W({Unto5ghumLA~A=E z72iDqjMOc{Sw=UPdGcb-AsF79CpGyqXDLn`_{qjc`Ucy!HYYypFCUGIqmM329s zk48u(xNP#}jp|2?7Bu^R2|V%}V_s_uR-Ad%?>?$uQS}uzQu5qY(?Hfhe_`=iEoYU~ zZ=RZA>fXQUKPVUCh3jN~2rz5^@i{3FKS5!ZSJyZpastKiu4=D)T5ezq&ES_truBW8X|0D!jFMuj2=JJeTD|jsdm~y{Y|2pZVs~~otpKR!fl2!T(&4F)vW6s zaa|f+&aKt0K0)+b$Qltxp=wxx!K(b3t~&%uy(2i2m zjSnd+Mn6beRGe$*#24WHE3Q@8P!160_kZPPLlH}#0B0C@Ea5Tx&4b|Qlb9+vKKw4! z2;3A%TYyi~U;@-eyehC%p7M^foIsimRNxz10|i&v=flu|{**mT^B}nSxF<=!jS6Bh z$QB-09~NBZU&!WIGKz@C9ri#B<8F^qHAUW#RnTY=t++?tP=SqlU z$WGiXN3E*k=X`c-j}P=g4+CA7H|#7dKIvwWn~sm((fD}q-5C)*Q=N^Ma*O;hu>Tki z*ZHeS=!tkJraINibFUIfz;oa@|KCi>9+PFr?Na~FSni^$5k18HzNBr0q_A{D#3>(Usl(jW@i;d1FW!LaxX!xK8H9H%^A^)Qh#T4P+#e@ zmhrtR6DjJDtn}%k^R;^nH^=69hWnLjjP4?MUsIVfgxU;Zj0QBGh;~>C>o%zrNV7)j zWBKVTy9Y8I2*$sB^Y`BQ290~^qoAk%t?^WZb1??+lPI04yz)*9=J84Sp?K+h6wBG! zbYUJcGaIAch~G_TCQL@qh2QOfilVVbtxkr8G+MMFCmZ!*csNHb4XF;zdDc0YM7G82 zl|Ja0W&N6+Ij#5*qF)bPjud~KbasZ71(!~y?bR~B8*JmCYz5P=Cl!0i>>9Q)4mARq ziP_kRm2{49hkS|NDK+)aZ04g_b3Rw3XX3HSut8kHOe&fEQg2>3ttyY=T5mPbTrj;4 z@jxj#7rpXmC|?uADvv>PSDq@C?A!sZ?}T&WHiJxK$w)j;^ZaErW>(no z%AQ)Wa-aL?uG68J{@7U;;2rI+l2CpcXsK?T`RBpLI*xkCVQvY;eJN{9E4Ual1-9Y= z{mEiS34%w@f;CnQ)jJr7@QJ)O9&X`_Kgz1#YjFVnoDmz7qsZ=4N$e-#r44`C6^Xmw zr3=L|i9=9COCPigVh?nu8(UX|Gl*YFMf@LphID*VV0*PTKVhKFJ4uNiFN!XpG|PB$FKY>&h5E2Dm_ zqEV?C73#+)cISfWe-0Z;uqAozLi!??!UdCG)Bo)##eRqd7#qwUL+=Z)#@!Y9=woO> z#`_X=`JPrZ%NdVnXB$laFU6jWXD6lzIUjvBbnBEsg+DpVS=}A?Mxix6>l}V2Gyruq zwD)p46icK>10%TUBiS-vX&>F;8)M!i`S6pC(qAvJ=AvrVvMg#p$EOi8pry5 zy{;#}aJIF&KeB(N>g9R|!K{hBH;1c5n+Rx_GnskqW#_;Qwp)8SS1hg5JwFCkr;o!j z0YE!Aapi6=Pnft8dpyQ@rfMawm0>t5`u&!ZyrtfcrCO24N|IIt7h@BoArXARi#xKY z?KDDOKdcL7G==HRnhxw>#qfayn6mr45*EKrf&SDLEYNw90H0|pF4i{40Uvf72yP*2%W^9#5>avi)aS*7bVW(Z*i3ykVI z+#QQ^{ufcAG*G@`!$0K}?zAcR;^5qxtkIjkiYH0+mC8`XFC$twUZVCul_Yr5?jF+fDNbfnU)Q!zpFm^7`Z!#W~I@sc`zmkEr~hE~k#{JYTa zu1uJ7({m&t@qDvuP*o0s&W4-KE?bczkQWsI)zs=cC-pwU9xI;FEewq;4Tf{iyvrkl zJ%9iC1#(<;{~BK}EO$etw#*ypr1;xS%CQ4^ttXm8Jpc1wYnfw9s%vve`Hh*Rdc_SV z;ETklqhAQ$B~~lDzg^lP2hv(~ zIc3Ona#@RN?h*=#$y3^>Qa`8!`&Xk*vZIaO6Fv|hRwGZcV~pM-KgV89p-i%)kKQjm zLa8W|bx2V79|O{49h5-?%8&+sxP-9(l;l5ruNZt;hp_L9jxuvyu5rTLU)a$=a7g>{ znrTN?2sys6V5kBb;5tA*)B~m+&C8mOnPWjQ~=sqvzw>34j+{bAlQ)^V3MF4d-Cs1Bj*laLNO-j@j${O3Hj)k%oAJIiS? zC(2?+yR$#GG|4&_uNn&1Gh>eq_LDiXo_;ydGqb>0wD3Sadnq0x;-MOA$%!iUrW~>= zkGG{((80xNPB*IbZrXAlb>`bi4OhFAQEL5{>ziO^hO2}=>zj+$VZ zaVe?lvLe2Vz((gbR%~!_?Gc@WmPAN~>w*t7n4tZl+mgcwKlpJ+SA3!dmF6^zeU)j> zB#$q8tm|`v?^e7m%MrV;wYFv^pxnL%W1z1BFfu`bQzkIgDac`Ut{d3W<{@0eQ zJd#lCcxRilSNS$bl1<^`%=(~z$8m@i0b4wdW8T$R+#VNd7)m9)VOL3#)>wSrHv>uT zKV1HLXCdKFjn=;opIrBfsmN%E$#`hXxBn9FU;pR<}mADK_O8sHzQJLlX6nw|B^0Jld0aM>eA%?qu#rIXZ}s2cSPV@)?YhE)Pi+?ikh>4VL3t zC5Qr46u3h5cpwL$90ib%B)g9%VbNgDb*sJcRPCbH;N~WyTBWegK=;FuOmKzft~;FQAA*8=NqLU zP;mCGvs&V0`-D|am8y8^d>5z-ZNOU`b-wHW00VM`!9y6>pz14X#oFC}AO(J&>`g{L zxOta1@$q2XG5>4o`Vtnr`9=Z4xCg%tUR|Kz%zrJ2$cyJ5{MW)MLjgJXttnh}%-gr7 z2-OeYDn>-D7`gwi1zfm!+_x51V8P$s>Vqc97I*{LuweK%PzVdocpDA@Sn&QE#SP{f z@Fs;k%(c%O;DEVCcms?u*9LDqXfW48Z(s%%jQQ5YH&}4O8!(5Pf4%%q9xLPu&$k|r zpj7li{-aoM>qQ=8LC^mIWkJu*e~0BlGDLkjJlzV* zbngfEwP3-tz3PM?)gyd@1S2wMHJ`0mD=wbcGuo^T<-iNzi9=FR?cAEj_ppryQw?rq zY^nny->sylTHP8?bMoAMWN*H2a^=*L&Tv&)(dTvv<*IJvR@9ypPA(2Hb#XDB(Wicq zp3*;v(KMKj>YS@gr>R`W8|4g{r8z!Z0goI1A(9JF1G=k%SMpBD*SPX*g;M<;g zi~JBQ22<4TQZRTngS8WHh=dd!4vxltfIp99GtM3#T`Ilb?IP$X=tRwYuF`!T;%AoS zSPD~0!->94MfFaoXKRd@qdRBr9r*{?`n|{Y92g3~J4{rVWyrJMWFRJXAmM-5PiHca zf-JFOFcvG2%tZbe?G78}?i`A@_K(o+%wW7!k$(0}tmeaS7fZdnaabhB2~2>NYt#Fx z{y)0jGAhm{Xb@#^4emC$TW}xT-QAtw7Id)S5@2xG!Gk-2APEF_cXtvXKoYp~=G$|3 z_s9O2b6TpZtGnu{>FR2Mli)$L+_t|200P|p0X4(l%(DzgU>mHdX+F8cE7uawFEDRq z&gnP)c)O^w`7sVX2eu0ywqb2NeYwAjbljYmg=dmYn^5hqe`oI_(et%rr2*=WP|<|v zA2x|jUA5%FQl@{eUxzb9+x18`lpr;zSXte~Mb|T{@6>ePG+1!K-zy|%sKsjjw z>eSCZmM?SJEL{=!EnUWa4%#D6%N*<=1K7WX6v_)xuNz=uCogal{xi=m!{OLxiJYss zsv~mz8uagijJ9M<@wMo$>E>u<7I!!!ufY7a+SEo9W|luho>T8OLk))EEz-9P@iIs_V8_p{hn2(Yb4RW%uh%^z6zO2=V~i$w<73v?NGqV#$243OF62~jBt z_K!*S67{UtwHx_wM365I7DeN?y+1lDxR)EJ3C(^h)G*R7dI-X%nGGw4>aMywW8Jf~ zja{cYJ_hjVE9-YnMf$p+LnC?gTP3#`zrKzNAK%4UUzst0t@2hSh<$)x5jZGY2tT`L zbr*>2OhIGze;@wE^R27bYLE9ALoLC@_%IXOp+pxe50M|39qgE?_YkXH;xTDr8FPv% zTcC9D9$sQLk<)3U$qn4aQTxlu&p(>XSn&A~XTfw|$lg0qK_D&gO&*<=0uh;A?M&=q zv^+bRG@S%6iDW^OjsmOGM&K*q2YKyjr>Or86D1$a9wm=iEVzd02E|QgT;?&kH350O zTTwjgTBC>)X`W#=iI%_M-LM5#HSUldMA7V|%_- zuKwt&;}0vy_z2wwHaDeJZsJpvRaOE!G@b%8!Nn*ELOaS5=JP7(qkhJ8 z+Daa4yzZ3gJQ166bOtfL_7?ZZXAPDxZN>NLFz-?OgO?!WZEs*OM$f*%s54WFMOJQH zg52%<%IPpEpW%3WLnTzlV`F&Q{4<^LyKAh%Z8S0b>QvZ$GfBnz9y&K1wt-uu0}5zT zSH>!-IPm=qAHs~Va~YkEp1qq4%u5t&QD1(ckG}%3|Cj_B(^0ods}99BCqqIdU@oS; zJI5@^Zy1{bL0s=|r0bG)PXvAVkU40{_6IVug9S!?wdM}eb##LTN7<;zhMY;`Cq1Lb zEw~;fnVDZ3V~#!xebF3zT`T3KO55A6%6vx)&Ce~>uCU{~gKC_DUYK}Ox82FV)o(sN zH;DkXPWl|vS7v8gaxDL1ey$VkSIUFSrV$g&-vZVBoG@uNFX6L6(r{SbQ?mA4t-nz} zQTN8boyLD6`-4sVM>213+o9?yvG|8{ys}uZPqu_G|hXjl}QWKo~~)e;AxQG}*{OiSAa2ztWm~VkERo zhkjdF+`NWzyyTF4`NVK?T(Glu&?Dz*PPG85U-iz{t0e?2m0*z~4C%V)A(&jwemJEP%)yb^1w#C8OExFhtkgw)s+W^B&K*cki z8P1ZxkF34{BEABTDM1PKW=O@yR5)E7<2#oR`F}M&;j304l z7ctT z3pRm}T0!H4{3U_MP7%MRis%jTMubz`hG4GO{*_>TzQZHtd{aHf1oQpL9DilceQ} z|K~1ou#`tY?Oz=unT;!Kt#H(kJ|lcie8@BP8tQ$z4+D~|%MHSe^_p|G&R?yBN`Dv007$V@AF98Zfz7%!2pOZ8H2wPAW)2X14 zs>1WJd#YBf4$`#u<@2c}_LXX((#vr#CZLYh;@e93S~@)EqxJp^!I3_d%ohyG))4rb zBZ99RY0#7F3!~9>Ex8NBNJjVb8j_Cf(s-Y*>l}_hw}`vnr~f@=XJUGJ<#^ntV}4Z$ z`ji)^Ody7lH-Y1P^IEwRc3u4EmEGqz(@PlE%PYgJ-qy*Yk+iIH{*s9mkh+d=waZ?oODp=8HSV(&GMhtMQ-o zorNm;oeH)PuzK}K)t6JDnC9|=Y&k&XDFw4d+N@`4NgA8bZ)uOr-qf1!`5eqbq9TY6V|lgR z6RYqME&Kmf?7=FqaPtdDB3cg249$)%xcELf?u&E~Ind+r*N*PRf2YbQ6YFFnsk-Gb zonhIEOv5m~J9ZtZRZM2rMPxL`7YR15sR)rjlfZfQv4<(g$z1f6Dws$Sm zSnLtg{WRU{dndXrT!FnGFtr!h)1h*W%I7*>V>fb6Gr0sFGMi_pCyq%Z$Bds0^#t9! z7`N$}V^sZamnyKnzqS(ew92bum=YrX@wqh>>Zq_nH z$To6Wb3?J0KR4?+D(f%gvbWlrU6Le_HrPxqQp-q1^SuxWgdYj=6=p1Gpu>aE4Q8kq zY2r9m#5nIDG+0gZJtqmI5uG&*7PAPHIvlaoZNS%kfdRBdjJv=9Teb%x-CG8qtzK#F759Td)b|lPovu*ZBU=rrfN*(9HE=i$MMn<2RPN5}fo)u!som z;CE$M8$f6sS>pIZ#P}JEf~lqvF9{*0>DrGJOnJNf;mI`ywiZj9bsV zMMnbRLN|Ya(F&HbgY|L>UzZVvha_cZ&CPm@&Z-lkC9I&C1MAOf#5l|j=QBVjQ049L zr4C_C3lQlZA(l0NEcWu@W+g{w{fbo99A4}N!z@FBbPYo5xS;tk%)p589GC=PGewv& zgIW8ZFqV^xy`;EVw~2K72@=OABgPqEgRY|4B}M{qM`txaD*J&Yb;t%oqKBGNCyp;8 zLCF7TlFrC@>jkHo!L{;#pBN5a+`)W1@1e$7Ise})I08Vm*(zm*Bwv6etyf^zcd z6D#^GI-9j(R&|a^831F}uMgXDCf%Vq=xZ zgy6GZ>y>xoTw{d9FO7K?3(l(yLQ;y4!HCUrxAe~F0+#9IeDTCu6T0!<%oPEF_F;1^ z`dkd`tAf=>i6=umo2Gpv_wLw z)mBO$@gOfb4IP2Ciu;Ik!BT+(aHW=X4ZKXll9~wb3m;W|MfSH?HzVerLCDNT5XF)N zkRpBN(Fr3?t$7q;1h2%c-kFnJi8mv9ngNzzABF1Oja^@BD|jlK!KezKT`}s0!5R_* zI-ZBzt-;{NtN~QpbUch z-H@22VR<=xaw9uQR7KPfc7z}3zwrQp(%a6ocb}x#0#1^~fxZnWp*VL<;+k(yY}77X zfT!?SzW(Asgg+ygsh@A$RiHkrM53tok#{Zyk$KB%a}oOHzKr1AR8o zLv2Ag-N|qOn>S=^E|&P=Q@N^Z$+|Rq40^$tGCsb0b|MoB;gRS%P;bVJ1iI11blph= zTHAxf&Sn!LO6pR>M)HKHat^HeeTAL&Y!Ch-!>+HyQl#YRA{LoMYfVIiwP%@HQk}KA z@tG*+O1}^d(ktlw3?|cvVzp&36hx?V9dHQ~-k%!|+i_O#(L=WVWTWr85zIlgZ@8|| zH)XB;w(IK5qdd>-E?ZwD?xzdxMx=7IwrZpD zu%yB1klKZYP0$Hp3d-NxgsQHv6MXErDvLJa4+$^j(+972yke7=-n@Tj$y3vxlI@;r zc-JdM3&^O1HBlYK9PaE6m8MaJR0H_+kE zSHI;O8?XZ~l0n3Rc0|PGF@oVYriQ~rTJ?UFBXkDS#N;&C$hghFdXd1C(md*KOH*kJ zL!ITdV_VH>zs0n&$LkoY0Ob_PlrlkjoxSF{PJKUpoTr7?zABq;L4QVtUIN3fe)_S6 zS;@gPZ;Q0nFZT?U+A+kNN;6_a_zf*3Ts$9BL*gi7gDut=Of6U$jZ|(ozA`8!{!Sxz zkiN~Ibjj4`$lUmyMz5prim0NGwD436PSfw~AJ#p)DHT;p>K~Tfcupe<_kwVQ=p9Ew z3pE=a#rY|IOvy`Dre8Oi2;Unf8Dc383Y|Nyu-Vt?GkJAjq>-#gKmYK)H)v^fZaQ!y zrq2-xQG!Obn5DLUSw(`em-XfX9^bPCqu}1K9qoy#CtN4x63RuGURM!t6{3W<-+wTg zqbYXGBcM`Cqyj5Rt1b(RU^wolcZxn&QLT8xMR~`-h}X<@JKyxw-zwkPudCXex!3jU zfA{OR_W#wdsSu?(^yREgkFi{$M!HB6+{>5h(hS6X%wXkOO4LB|4;=%3cUzpvfnAgFP?GdUq&eVsA zSmuQpEKMDRGWQ;rYFv9x0as8MTaV@wr>Z|fc*t%88*1HOZcO-+clu`{7Wyb(i~vim zu&|nqtmX_qbR;EVxg?DQ;f!D4tq7fLCbib>j*GA0qAwIOG8NZ#8HPW60lz?+Ana|z zv+N69@j1@#;$R7+J!(JNi_10vF5~lbC}uHPyDa!H2IV@It(%VFJGf1iGg;q@w)rM5 zLgPi-$eV`Y*NX~fpPE}Y60P?xjV>FfGI7xSGLZ88#WoGz&GEC7NPHiMOl1~-9THt! zWNHfeaaM=b;LS*oW|b<>e(z|xY2Tq?GM>9R88?GI&RHwxBVxm2<0evhuGt?|U*Izu zMKaAMno*?3^y7z(u^ap8obLvmzH8-PC5Ey4ta7Hn-0GZlt-ib28`XuHEvyh)x}fdZ zCpe1-vW`r}>`ww6|M6o#kR4-*oLKA6YiZo-yPzu&ZX)Bdx}-TDrHI3Sc(yr{?!;6D zow~JhV{AvL{`3x7n?2PYUfWok&0p)Uqe??zFh5_i>r-DC$?3*A4+xZ~2O8f0;chm$ zzbAab4Rlxbm8`e8R{7ewkVnFER*~tcd>k2fJWqF?PYVsfmPM(v^%mahWtBuKv=ew7j8=rfEe9&4&)0oy1en7~ABmm(FfBP%DD3Tf8Gd51JnDZp@}r$RKCz z16Ggd9a0Z)P4FW50>e+LmDlgxrebYhJvqlbpl4}!w&FSh%GUGj$hzpy!)G!HnKGMr zi>XK8ZD5UMGss(5BoZf$K^Sn+q+8HJ^1W(dY(7CKX{Vb}ZeGM-SvYTgIAQkIPJ&0S z8EvN1u#cgVp_34$ zXeXb&@VJnTH13XZi{5*v;{`LI>_gS91#v{YGhO?$7O1;?QmzO1iaS0PUDu2x#Vu-W zj}D)mytA?P&8XsO60xbx4l*uaFW{;zFQG$>t(WDYs5(Opr*g|6tUoyyasQIi*CT#* zzqLFT7Rp*+Re(DpGw~JWV*6o?|Pw}=!oAzl8F6pO$3@38(CJ9Hs$SXUjq}RAHY>o~mw0-pH_xao4{(de(Q`gn? z1coSb(&>lb$aJ(MX3V)WF`7MPFl^|{=2Tk=HT+#SWhASh;k5C=ii1*ael&N=P`#Nk zQeW=wYjHyyX)CE&K})`j!REqdhT|IQnJb!9hf?FxTV3~Bi({4LYiCzqC(S074^vqc zdrK{?m@{H+`P_n9PW5v4`kX$a?8FpQ9^^cTTL31?eX@)G>7(!KEe~_9Qh}%O80K1bB8nh&U)9Ctsk*t5DMn8%i8gBipj@S9gFKg}h_h)(bb3b$hP9)G#wS+zUl%(No>1&pWrT=TWjY*m`#jU>EDBmrN*G z>?$^Cs~T*WG)HMqhOc(|r04Fd4(h;CTcnh8A9J2>9aC|rrzIPS5#ktPP`2d1hw&kh zjo!k1Uh|1JurM51W_l4tW*Whj`_8u)u^x{f*|5+OR1#`IVJ!JY(Fir^Yq9pa z_l@39EJPq%U*JRMuiYN14t)1>Qvtcd1LF5#A@&`qw~qv$Gi@fbpG@OWQGEQQ?s+0G zvdq6UAX|CcpoiYP{)qy8^4WE$0NdJl)#dIas_{%qT}JkPNilWmM9JfUL6mw}gor}7 z#Mpz<;JVVLTNG6C?z!$K!{m>|xr3N5ZvnK6Z|8P0yo4V@^tT$gaSi4DMaG~u;@!fo z_gTVv7GVp95}JL)B9y1v)Yod^=8S%x#eqTjQzuZa7=MJ_5H`)eMwFUghoJixam~JI z?qWlepx$OG&at@iwdlF+6*+i-D9{~ zC_4PCk43Xj5?l9rNd5i{gu&%ysH^4>Ra4)% zmEF^(>~C;tt6WRYn{#GNrzS$KNW;f!1J(*2_c0ZYnbYUO;t^*P-#VjIq!DYYV-Ovt zR)o_Mt6;UMC611fltT*xB69wIwl!uhOMo5ZetQCvMlJbE`m%6SW!xtl5l;j+yIT6l zq#MT&d8kitf5)!$Wd;@3RhN1u*}8ZLDakEqgkQ^2I$354=}eG?np?emUD+`GM4^XK zuXIs`6GKntjrcKK?HzBtq?&+eyuaS(WrI_)jP^ja4~Kdm2zBEtjK0_N$$9m!Iewee zb8Iicd~6Ri>FccQl9#^+C~#3CgLeLSLLlphM2@Pz(+_(WG5kCsrH<5dO!3q?l_T0f zf`MUTZZ8IuxU>qArv*pLV3Wlmk}yr|{W%?W5-q}hJh~>-6|LT0W)_m-ARq6MYNfUc zO!kJYUKHd91KI3w>aMroV6EPIFwe(^az2fQtcG$CC@8)@TPyft5KTKIJ)k^@K`gM} zh_#?eUc>~SX!9x5oVH+={==6kgHnfy?XLLu8Etpb^;oI(h^ZEV@L$Fc5N(4HY*hdU z%Z1?LTxLJ`w^MMd(r`}&Ou!ASeDH-E80MO9?4y0N^pCr+0VfiIu6`og#)_t*A%7zQ z9B34R2MUGGzS$z!@&XQMG(g*i5Xg18_`7 z?dXN3EQ1X#gEt82AT&<-mP4`ZkA@c%pBa&cX4zQjehrx$;7m05ipeECe%UqU;HOxm z)>ZzB73z(*5@7V{Ru?EBK^~74nnSh{p!Mn26)2#OHG=%v0@t+{xbkR%BajBW$W&eI zgy_9TG=qw7()KX=RUz?4-^|wyT!ObKlU@72G$MPB5&gODdHjLbk)okG;+;YI9>0{S zy^mFRv$S^MJ$|+BT-{3?B^L)c_2^;wE1+F%wR#Yb6lpQK(H{Df_L5fTQ$WbV=`gde zF}NeSXWAsa8ch95n{gI*PfjUp!1?OYcZp8nctBwdl)2g)+IX>oK%$?)k+*)~o*(fN zeWT^{y3x;7kiTglrH+ULcHJ)cV!9^ay42u|=J0XcbeVt#xs(aWZ|^Jl78D8u z%NBxnnk*IU`ZnRZl;MoJ5EZ9RL?&`)qHiHuit$Zv<%OlyN>xdmna6r?D~6WEKZHx7 zAq#lefyZ@|$UyOpwqWjNCoex8B>w^ZGkCvHUM@bVbj8g1i7a-)U+)e+c-X?WV$fsy z^ZuECQFJenwW#}ej~8O4<`U--bu@)|;f{kFrH#BL@Z3xZSTY~gZ@>hPp>vgSpw#pb z4F5(Ro`N4f?#8eNawK@_BohPMuQ_9puwpGxaYP|t)Duk(Yw!jG_(B`LT(+rxw~Tg# z^G<>Z7yO`1@V8VTO}|;U>XTJw-cP-=Y2&7^qCB93&M>U1Hbisux}GC`)eQEL&eFkv z4xAr_yF5#&bdr$?)yLz~$-jlH_$s0Ja&XaPL(m#! z>7s(c`0{vf1*`b26M3*Kgq^Wt_r5Et87*@8YONGtO;sRGDKQ8k>~CsD1RhcTAy+k%R|a}I@9ACOf5Ly-n>Au z_e3lo*a8YnWYgwzkemLfsK5t`M+ql66-1ibH~ZMET1U=GKgUl79KqL0ykiW%qcN% zImyt~;#@D;T#9bTh0BN1-W$?h@J-aYayO_)w{itp1tcz%H;^chCf1@Q632Y~Haeq} zY(kP)L`cGgm4Zt^u^vbq>8Tff8-GL6H7zve88O%yKM>#>kjQV^GK4t_K^k=#va!v( zp#Qp$H3~r-ZT#@n&_-lPBYS8dOpfG{yns7bxMp#{W?smhB4ux}<%+}v=rqQp^)Ltb zBo;J(HYc@`9Qwi`Ur~rV=^NwXef!5%t7FxHfU7#UcH#4%Y5=b$xa&KXD#5F3e6se2 z`e$VXuQ<`7$81JK-@S$Ma{V`Dnd;=d)NfV)=yBhg2r~M}-Vy+8Xxl2kUm>|+R6YH{ zN8UGw-`{j2DGLyn{<%AKU*NJbNMPSfd!$xmcpoML zH9qE_O$Z>zk>a_7UmqUVGQ4hOcv?vx?m_IcIKyPfh;0dg+i8MW{cFu`1q^N0nC=SuYK-}9Zh~hFmuQ!I^QYF=n#ZGJ!-hyGi7ky?fr#5L zhQ3*cmRLQff$ZI)li=cXM9f&42H*-*0EuTE42&bikuj6{r1cJc4-sKc6JVXqhAL2_ zCYA35qM!w_AEAG-s!yuXqfSsabp(mAUaVpo;C!MbOxSvdxv)@ya0x*krh^~eZ2$%| zFSZK1aRaG{fJdDXH}i6zT|j?~z(Q+;QAM1Ad4StgIL~}GR7tZt1LWaI_~Ez);2)pa zAgovv#MrKXX$8z!JEYhuGpQTYzxR=|TJJG7WzW*8WBDUgDIAqY{qVhBA2Z4i=*MHj z$1jhhbT}B6uO97>!AD7HTEVz+`FWRLlB2I{WKf@|)k%{0-Vo1yyAO^T%-MzyuYSyh zAGVwzTBEK#j~CMtn@5JnCk*Bd$8RrWuwp+~qe50u{?Q6OsB9~T*iYtF1dcUghIcBU zdUBnx!WJ+}I*BzIR1U2B#>}e9%k?;y()4rr-819!wz&{I8)=67KGk-v-e1V}tg5i$ z=H4^Tv$Hrs68;yhy`wB#sf}6;Pp&gox1}ug;dhrSOL-e*%ERye4;VoM7z~&hqY=$pONPDn@e$)W<(8vKZBGk_(eusdPRT1)H+{z1&Othf%(1%;%-CD_ z;kPg~0P`Vv)&iOu;F{vuQ2j#duV}sxvZD2pB$)M@A;q?dM}QJ`^KMP+IFWlhw2>6b z=a(n7`A1Gy*|QiNWoLLUdd|Evi8z)I*Bf+`g*Nkf zI2jK*OYleC#%>uRZ_}ld^8$uZ_v0Eb5EkHFb(ZtdaSsbO_Fp)TLFrIrLZjF{m&%jX z>%}{jEY5dGp%BK=P6%R*sHmsnwED|}W{;X?o}z~~O&TpH8n?I6TVu#J9~rBh<~Yrk z+jyXWvPWkvc}!qjWqLn%RC#K)TcAWN*2Ww0=iVLLsew{p;lCb-(jk&nwK% z9EXPOBjR{Xb38UUmGzECJ)KePzn~pgBOe=v|8!-_Pijqr`KF1)q=`dFqYee!f%D3+ zSBfsC9S~!Q#UcjuCAY4!hEU+8+_pkk|ck#!c-*2Ec)ulP2nvhb<#f|f_|?)L3@De7nzRYQ5U2%Su#O`qGW!Vm^Su?uk=!`QzMPGN_` zK2tit;9o^tK822EayYfwEpc=6CFXK{dWt+F(HbK&KSi=v-tc5cYX~DP==E z&~3X#vBOVUGyKalpBn9+St>SE+^bx?(TQlMRL6DmU4)aHq>+e3ur_8k5T2+!F2!!{ z#SURF0AT$S4+oIz?VWYhJ6PLZ@j-V>K-=o zFcSQ5Xaf+Mh=PMnj$=UpeB*&MIAo%uBxVQ7=K`sEAq_TVRsvtFK>17{RbQmRNJkx< z*SElaAc*1u-8z=IAYdCTWB|^-LXWVCQe$WW_HCyXym}+X=D@5lzJU&o3JHz_8ctPD zgr#UxsVq#u>SiG zB!rogD1IcKMX-<&xO0tgSToH#`n~2mEB9n0 zGgbvD*1a=ghcwRD`D})Q2{sx;KsP_6!AZ~N%O?sHoGNl015}*tOU_tt7%*aNQ3jZ~ z08VGMR4X6J_d~2h#s~I(N3baicw;LBNK6iVB?4V>0@4ay1>>a`4bgixEQ8Zz>z1*v z{J%KTDlK7QGhi7b?5iQ~=Se4Hi&$M`Qha{LEkSee_z7QLP?!kmIabs!Gd|N;wN1Up z`-&U$4jp;#2J@4`T7I}B8L~BOIzvUuJZI|+FjaS#V&+%VAW6K&Pz#+!v+3Y_GK#zv z55H{-jlR7`-tU3mH~EXc{~dW>6n;MlmO4k?4?`La7izBg%VIHonkmt5$KB7t{pQku zWSgJlm5!Tpsge63AC5QruZcTaqRKs6q73HfApE`uQ(|jxAU=mrlf|v?bA@1v2ECp- zM`LDUxtIOtL2iEc|OcyG!jhn1wN=8|_SKH2p=Fe|QDANuOgcU!&wB zciFrAy;i--vB6R3k0y;}X>GUHifg3v6K}=A4L2SWqdW;C5n*?GB{{x2`MJtn;?P@d zh$`Dc=!=!k&@ia9()V3CKK{bZh7kp}2dkm9-w*ZLhCv-sV)K@! zs;KYl@JBtQr`FT8X{PxxG4Z6g=3rJC?FlpT)|02xbw6qrbv1BrvRRj_-wXCYNxedq zp~aFjhw$rBjFzbd>fci#3SQ-N&lU;MP?b!-pWbT3&!ClR%7Sjqj_xNU*yzg&QWKMv z`F-sFM5sN2>vzv7bi% zOuzk1Kj)141<{%dDo0SAx}zkYqXoWWl@G?HZ&W4|kGdl<-TLTmk=$@L_as{V`?H;6 zS^Oeqb6La5I3ET=%kb(i1|o)h4GXa)b&fM{@Ex)1V;-`ih`1xl%!Z2142ui2urC|Y zI7}u7nl}fE%@m8pd#Tp8agEz^!)M*>X5J7w9xuoQVVBrlVkG(zNBT*HKb!`DnGFZ9~8r`=_>hn;!^ro z!#j;xZw6v`%z(U)5@kG%KjrhqQ5t7S|5a!)!M$?vrxbA3uP%sz;|90eY0>TKo(M=#ISTb|mYl!4=l1LUBndA}=N7WwrF`kTG9eZ^eb@24gai9j zXFK{2qMEd}S&0Rk+VfO^{cUlBkI5${SumfmK6?`rcO<3= zgIBhUrDAPbzHBb0BZ$RBF3icKjcAK@^~F{&3M;H;QS{?g1w05AK8dfUi}x4QHqvh0 zxa>JEB~zKLt@^eHH2HZ<^1uVUDt_kc@%JGfhE2q&0DHunNIa|H$2K@m1Ai$BS5P7z zFm&AvhZi%}7b&&}HfQ&BeeUj|gJlt8gJ9Vb*c6@w!MYsI^D`SN)mmPJ&@9-{1RO9! zh`2sb3EOl8T*3oV!K`bUj}DFlDUJ&|PD)pVrC={h>`%;CTzJ_F^oZkCH7QeD&;~O| z>=Zp>Jb*-$lOO1Y11$7J+Wo3-Y6l8d0|!hIMp27;765Mb0DIT4nfpo{IacgBQY^8V z)MFF=_FG^BKJeuf{Yy$g=Lon{9~?0G51|%tO9-{l4W zwiYS&wL4;GJ}WPx^E=p3K4ASCR=e_k-oY-+9VEs`e{1D0wc!A&1A;I&B3~TCntAgh zLZ;OiZi@k)G`NdDlW8`QZlQptBsiYd9$+9=Y!MpHP&dXNDT1{Ez`E%wB47*rSOM20 z>o3)73%Xzdu`in8G?L=`iD+0K4@Iz4C!HNc950@qm0$ViVLD&NTtQW5$9yk=LYV4Jt+ycg^^nWlQ4l2&p2ZtSg~bt#FU$6IJM+BVK`|k&>rBD05Fse5 zX?#dcp8>aWG;w`qQktaLW`|6t$~FUz}YZQ5tbhOZ|)GxRTA322Ig^Xz0pW!)cC4;}=s z7=p9+|Eb6fct!D_mk86TI6za$|9FXhd&&sb2!K6V1Dw!{;Wp%9M}Rdf5-;huhm2qy z0@&mGS1yPZdyg2)`#*XIQ&e2wlqYOUqu5FuH5tw}A#lq3KegSk2L&sDI|u$T5(Bt> z2iQ~jr-c@zID!~BSuk(ahAGqm6s!X7-1rC72)I=P?6Ll90|9wh5HYs#9}pD}&q)%IttxIL6Pui9sQ`qJKdz_{L-mggFz?AENt+%*=`cEI?n zBrPu-QPM(MkL(pU4QKr;9+FLuo|kw3!^hk^xmQg3$EU?yEe~_j@6QcmMEUwBOx(qd zQ*;gB$VYlMCe|t?Ni^7QLWHlbC#I+f8bj=Ibdi1&`a?_E4+>X=7>~-4a>vfV6g(>| zTiIXX>`vfd*t%@bZ;*r)*c1q^TMq$#CkN)C1JC`bzr`be`-PZ_)q`!zfN&rMuuZ>0 zFq(v0m4YLk4*|N60{zi}CO*{Pm=O@uRo*GIUrD55Vc`@u{UC5%zd~4@fD@L_ex&Ti zwpBqm*eWb{@`C}q!%E#o2ln`(q1s#C4W9JOn~I-ss2a4wo`FL9^+cy&5hZ{=MBAZl z4!c}{_IO<^H^Ehqt9`S#?vYVb;kIc+aMdXX8)_;oCd!3Ntq=ekxA!bvW4MKBc@(?< zDX!K1$!6NU z`DM}eZ$p+aobfjz1aj*h;R!$QS{5SF)}%{&i;O?2O^XNN>V+%_VHC+iNktctkPTbv zx&y}FmJEWcC~RG0WtL-V4}&mX7%7Y=n6|}M{JabH^2BKCje^rh47~pT-)ttF^(`dB z6r`qv?tVtPT%QohaB?+3Tj|qYq$yxOoqH*)vraC@+UWATr@Efogn&!YF#8fIUQ_PY zqB?NurY>nFmwJAq&+fZGS~HJ-G%Va?EOW&scQ1Q;&p6~eLfY__w1IuRn8AC8iEjtn zb(K*^0NFH~Bi@4lz`SWu=T9l({3(CUo*=WgXn-q4NrYP?3tv>lto zi#V)s!#ABHo=YI&ul7gFvPbmv-5-0HTsfFrL=KNVV>iKC$eYYn@Pr|ykCZ0uyv*da z%2om)J`KUESyl$y`Pcq>Pi71VXE=)z3^D{J$7y+)gKBI8!SibMKVAz?AFLucd5MnZ zXyEBH;vg7$5&%irS&P%|CE7lm){F6V2w~|n|`$}_+19YFF#Y>ekac~3v0ZLF3$2H@m)luxQxcNOwV`y?*JxO zE$Y3E@4ms0)%a7gRc#~w=Kzro8*Ix38^VX_XHx0`3xY6EtowOOEZ8avJ ztCUi8$AoLfai1h*Wrgp|i-}!_k_U z#XjzgsoOCn{AHPEEIA%-ry5KcrvES}%&~ErII>~1FWl@{$kow_CGGV1BN4pD9v{X} z{FW2OKefj?A8!+Fe7adIx}W|jiE{0|{PLPS4>9SlI6(7vSw!bnvV$u_E{o+TjC~&{y zkEi0hT`wpD6Up9^v;O##rd(9kn%oBz9$<^x!+s`18jRf=tn%4V`1377tee@q(_ITH z5Vx1&oBSoF52V0g#6JI(85Oa_5XKL4-=mL3ndZv~YeCt~tOECO2@&b+GBYxWYw6OP z;8Owl0}DD{l=DX(ShXb=D$-x-5tCm$=%7eb6Oafady#^ zo}n1X)v4XBt8`5y@>1ZJtZh7*z9k+PP^VU6E0G|7>guSRyg98aULo6P>zBMhSGs|% zd2NQxPrLIM?w;sgvq$ct4QW5*)6V=@zxK8Rn`v{ShC!aAa*mzZ>?ov8zM;s0!-b&n zY(YK{>GZY9pW((IYx$LUICI6nEy|y*J&K)PYD^tfJFaa_Rse04zKKhZV9~G!S_&X5cpzfp@GD~|6{AVNx(Q5R$itq6r0=Qven$5z4pz| z>ETU)?L8SwdfOWf0SECyk>!>ToDrWMb1W(|E`~l?Q0iNPQmAtCh!-pnA#XPsvP($ ztV8Eh->B4`>Mvd@;3D1X*})et=$t6KFJVidko1xH_{QjJ^<8Pe`lzBZahPbjpnk>$>n=c?On}QNduve|LA*nexhdS-HBtK~)}6Dsv>O8H zF8?B^XrZjhr@o^pJaN~hBwSytzTD0|4v*Y%ag>>V8|1P~cOaliSN4IhTOR8|r&?j? z$PS^e@#EoG^|z`n+#*k?p+u=5I0+!j9i26kTYB_$P}}zj{fea zK)$*)&C|s0wi%ED2cEKPF+N$@LNG#sc1r3xX!1di+gnMT%nc#O_nwICWKB*w4$H>| zM#R0?1dWWQ{LD*=Phi8U2PNi(fpL~(JS6rPSu%PW^X4F}!Ggpo!ieV6Hcpss{ zFX!R)6H$_r&}7<6sQ!J#HLSqzC*rni{0`3~esC8{1QWl;O;;sq;gZ-OlM1eCV6Q-d znQltqWZvb6K4q24+M!N=49;+yjmFYw7F72?uad;slf7<^DrWqDOkH(c zQ}6dz1S#om1*KD3QY8cgq?-`}(j6m)Oc5oek?!tp7zjvCK)OawN(Lha4EWv8_pjgU zwbypR72j7VCawiz~h){0h zZvPdu6KiB8$w*C5_p4Jro-8`AUzqxB0pty*V}0g;YZQ^G8<#ZBY(rG?PQ7lHft6n2 zASGX_g;hC2=51X^GroM>X8QnZ)xaOEi!H-l`AOy#aMe^ut#mtGi~7Ym=imGc^A+9| zXN}3G_Tlp)ynu36lMLxf!=)76_sDM6>Sw%)ons8X(Io=k$%{mK=?QN0(-uDmJ;LSL z<>p+I;&^0Sxsvi^6evW@Ya<%Lr(4Zr#+-sEA14=+l~naOv?pLZmQeNhWKWO|ltMxj zkCQ(wt5@HQetsa5F<0P#w)fpJEBZ5?@}=2Bc7K7sh^eV27gT2XJ}dB|c`(cMNaP{RGIrO31W9fmm6=fKY{vtoHRl+Dnm z_v3T^w<5)pN;13mhJKvAnQ<@ix|ugjt<1|3ECsmIHA_69y`Ht~sxn#c<=reseo*s~2vtBjw&?NB`21~O=Cney zQnSP`Zjk{#{ABb+o;>4n`)oym!1pTMko(Dc1W`-+UugPLX7NBjxH1@M@#iWcoQ0!j z#Wu{ITEy(Nnf=!n_7F;5R$5nSb&1F!F%_uh68E=Hj2t>{6V3yV1V3C1&Ja*GH zzD`}+0&}A&xWLx)fdH`+7I;`?`Ag6qMe{-wf$DLpI*Ght&D+$FTvo>n@h4qQR8Lv| zyXjzqfvCBxVajL1HL?DI#F+RGU!pGU)Y8_A83eQ4qm@L>J9UAJ3=;hV8NkIP;zg+c zXu^l5TR#jJ$(T5FwBGrRL_?lrH1A06joo*#KX?Dv61j1PYeZ$9_h;c=)F)i&Inz*U zs<*^-X8)~ldXEk9A*%3OpZ(d_`7uX!o#jIEZECl!T0+xddh|jEt8|9=dR!(A@nnkV z(<@1p{LFtQpttRG12S{}O{$AeLQ3eLpHCe=$h}HA0_Y9AK%{F41h4&fwb)U~Q*E9K4+xsA$QAC}6EViQ-H*w86dqR+L9<{y zmM+CxgYv|C;9D^H^Mo$-GhszLd1kU&KA)26)M6Az}xCpX&0%EN|b?dXq-JT!6Runl9ZA(Mx?iD+$u}OaX_z2x^ zx*Dp}{M&0FJQiKGpr8(HmGbx}Sui_0%MchD@Pj+ z6R!Kk?@Ca#eSM@BRi;2dT*QvIwU`_IM(E6a$g^kIDg+yDME=ZFr7OA0&fbZ-(;mKl z#w8RUXjQ%ahZ;4!IB%FFqV25gc6{TeyPMtbtQ>+N0OG}(_+J3^54X- z(R7-ko>54vE3r~s8R06Nes!Vb+#t1)6VWemZgy<_?yOUyXs?6KM3l2a)#<~Sa?$FERBbzpnQN#jAG>et3??5$yEB)ciZVmNP@*tEatVDJ( zBVzLROJOaX=GyYV!_ZXXRX$8P!VG#uc&mq^g4uO4x>Im&o07bCa(LpQ=DPP}>3Q^+ zT@G}Rg&rzI1Y~$xD5oC}ppmmcIl*Y;#LR$y(-EG)@!Ku`dj(y=m84oWt!+WPgRS5J z5qMkywFq+<7)nPh&yCUOZQMI2Fd914s(azXz9|OHw#KdYxt2L=HGwk)*eq~H4~l1r z>YoO}WN;<%)45r>rF2pEVBeq-z}VVd)4pawClx}C{r0JAKGbaF8_;z1@-23>{>A4F z{ASds?K$adXI^s_c`hK>UKP2zN3&Ah`qvGhCL^Egjr>jhQ%KE+o{jvW(!c%Oj02#b znZFK*dZEJEWgcN|Mv_m_{mmhu&1qF=4v}AqlRt&IrUwg*1dk7DM&QQLnuUmke!}&q zS=RGtM1(H7CH z5~0PZUw%-h6D&8FsqQPAExwZd5kK}-^?E0z$S3Y@eUxA2C#B;7DAzs8{eP(`g=d?> z0{)M0@=CFBs8A!663i^ATQ6pV){bYDx%jV_e}wSb6W&yU?56>IQ#6U(8vD({0&~>{ zJ34{zOl1s>T#rt{^CJFanaDC1o-v`XBgS$)W(Cj7_>()L%1$YF=9KI*v+sa5-TcWx zz&CU|J&_|%0&fdd>|9KE75IwlqlEAD^auCBCW_6sFuO8Y$+u!ZqByRA-%jF(VMWGHiX?2)(uCYkkgLZ~$9=PXD|`VrMpH$dOd?<)^AjAsja^jCh##+cFS3>I+J6rd<7^ z5prYdxO_v1X&r%uO$kiKQo_;;IScXWq#T5E8gh zJhG1xEGQU{oB}?8GpB7&@%MMkgqdA>hK76<{g;ehLlX;`;FBIR>3xl})v5VgeVnC= zqPi}f7f7=r@7pFNk~O{<*A2lW(W1G_P3>d4sioITXr*JK)NtSHT1v2e@-NX6rR)Or zt;?{Jq{(6Du1p#!!6(wPhrd~p3fAF)^CwrYW?(7KH4hhOhg%$LxV0IyKxnU6XJYTG z;#6-_t%;VdOz`ZHg^&a5#DxYD3)oYFA8JpzC8`C+t|dlH*0N5j!CWw#9s3({3^FNs;~zU>dzdX9@|9N3Y7_m$gF^8@kwjDq`UmAv z?|h0BSrZro(d=Y+3FFHadZsbp?Jd*z?nL;?t5|CJgQeqg2GZfsnon|p>NVUqALLX6 zZ#xln>I-k-8SHn^^O$!Oh|{44!{CBcL$b}&kOEKXKQh4uf(eg&Ss5++N_J5(!7R5& zXE7%?*>{iD{tjqa6K`ItXm4*FpklDMQ|nCImrdR7Ns?Zd-IHKxTk|^i(=BS#JN>8j zrt|QR34G7l6&_e>#HW7ekBAt&9j5P@zO`7*M1*Wt9tB<1B}RB*KP8GS`E@CSRC{)| zhMlWw0=?5g+l{c$UJ4qFaPUw#4r>@BdZ2*85M3E-2sPC zO^cNf%oVSN;(%xVwTCl|W~)e0027Lyx4$FDW+0H;!?()t8iM9;BST10BtGDp;WICi9y;g8aRh^4l9G@s#57qwjk4%~pRp2Mtr~i3zC2kSO#MV}7 z!P>kdJ1y%1`6DP)!qzl8x?uiCkj#v->00~ZEgAe+G6a4aCoO%41{zg;c;=MqaI?yi zc4l!>DwGcV*jLgCUzD~{Yh3y8g!?L+=OOB`#hhrCWEfZ-^O}tM`Zan>Bv=o{4|D%d zI{LiiN)gD@{lyb|LmG1( z4+fZaqx*giAnv-K0dg-2I;|8^kB|a%gCT-{&ssAORy52~4UJ}5rHKMpBf zk5+^tF!XDpbFtlx4d!)*LL>~jW-vl7cXZE^w(-ccvZcy}nD_1Ez3wWX-H zmjqqZNJSo7)JVbpP(F}$9#~U;-idfczHXyzfKZgTt(^sFlOx9Clr2&@7}hRE`WMXk zdC8W5W=<-OYt9c`8psAz@6a|nVAILSqvZdVvi1Ey{z(8RpsuvDZZJ-HCCT>Lvhg2f zJ6j#Dh!7!G3elmT`50dCqcyrtT@(>)6t9OX;0K}zdd+7erta|>7##~9FbwkLwR?tI?G;+h`koNTRS-X%p;N#F61WgIDN@Ba6;?lKWN(tp0TsgN9JQFQ^@ zRM>V@=DXF)J~NxbmdLKsW#1*uU4-22eqp}ez0xk4JAtsA=d?o~DnwgYaKx=gozRo& zx$JObNLq(1cY}CuoaBy*kX?8+1|!^ZcQ~xW(AepFb{?#o*9>3>reKB5c%Y*>B7#6i zAu2#e6*z#7I&5W4V~&nRPyC)=K~#p+6dEM9fc96jnK|(hhdo2^zw_g(o>TCZPnmNZ z<_a9{N8aAf@4?g|3I&%d2Nl}Iq2CwBZ_Vf7iu3g$lGg>#IzK-R<^FQn?Eic<8oD5- zIdpY)ZQBZIjKcmVYqw!-TO7j31PGpdn0G5aeB~?WT;=jpSbJ6BT+6`WlJM8{fUv?h zY(6I9S-_iqRx2-jd&W$ zcS4$J9l&AUQ~f~*Qo1%bY^$JnB5wk@B|JeZyt|?=x_bw|-UBPZ*m&$h<;aw7^DQTt z{$O~5B&@74mkyP$uK3DnEpW^Hb+9I6W+z*$$30Xf3 zAI%853R-`mRn<(XJ=Op@A{`4fn)U+92^D!mj9(xVJPL+dnIRzx3PQSDgH&OUIP7O@ zh%pSIWY-LQd9n(pqHf=ZP4GZvcJvAGu*S{Y_5rqs?uRu|#*z;?5X}qF`mz(1@rZd=ob`Kk4stuo_arqC+BCd_AQ& zA+R3@uHlaB5KN`(*){I+DN357O8q=DipMx(Ts9AHRc7taJE7M~&GC%IM%yJne~Gf+ z{!xllgSPP6)n{K^Mq?NPz9~oK+|M}4@nj2($eCPsz}y}?Y|Ed<&LqYLsD!Llw*{zV zJ2X7rWC)W{m?OSs7_KHi(D~@D)3_HVp0>y*{QCG~cnA`CF1^o^QzoMzNzn3{$)w$) zdr9ol?P7SdLy;9^RP>gjQxjagcPR|J(-~P0lt+ke9LqJP$#!>I&X06s2&FrHDy@7g zt+sc~hKN#*<7L=2SmKLfZ=Ms2)i;9cay(09zwB>Yn^)aDPyGcqwvhkn1CvQ|_>%2F zv|5y85_miE3MEQAsP$voOLi!hhClSnY8`ZYabsuV5*c$bo`r_@@6=drSEcx47&KyY zChPQyIqFYMV)*eU%+5%z84ldB9-x0^=vLjp&$3^O8H5fvwfA%mugtCIj}*4v zQ_Y-k9HXld?`I&=Fzxj=zn~|PY#pE5G~Q*>7XKZoj^M85$!U#3=t3hRHwK~m1l2fi z_Id;~LhRFCRHL0YBe0gdd;Zz4O)PjZ2C%5_TBfgs1crAHgarbFu-^^oth+T(&tZvp zcZDyoFHv+*f8y20tzsv&oCPDZTfa8aE4kYJi6Po3XK2mWjcI5Pb*Cyy1=jRELVTg! zMKhLg?&nO4TmH4fdZ-G@2&zDX6?x3#W|*>ZY#llL?<+U!)5r?~OTmCh#O!~n0_k_3 z3x17@LgAI_4`9grRl(=W5~vYDHt+mvl) zAe(icCn9Tf78$*9WiB*!K?nh%_Mz@y-S{y~upGiwb}0}z1@C=`AHP{nL*zdCtMHmo zKr*1)xJSAf05&l`t~*VzAvop1`;e>DD)_?s75}H^jflfDChOZD2r^uFsm?+%e=<6< z3~Q3cMh=+iV~okGc4fgbZwFXB|4F6J-pY!$J68U1%VmGgf!9L0Oim}Jli-|E1kp(g z+yE&b_vd#@b5o+(Mq=&ONNoLFcSrkVLBZqiZZ4>oO+6qnsWT%dUM3;~l1j(QI+;AY zL4@bz!F4L0+x65n+{Kt`?ry=z1|>UpST?j~j@yq%iZtm79gn~1*Olnbpg~-4}FT;RF1>G1$atLk^Ve@@mqf}mv z<1aRm7Rq%M+!Hj(lh7`_)EXUZqsviiS=t6;erpOhD-W+N?lnQRX#wV_p4y#I&u3_s zMcMNUcQpquVBPc08+in6<+;r9@GUL%`xt%B+KFfm^q;)R*kOf_dP6ilik<4K|FR0S z<{@(Z(9)@&<6d)Go=f9*bQC_9kX7AT1&lnTS+UYo_^xB z@(n3012^uS<}BTcEgl*90hd&sVWRp%`Z8TLq3#mKdOx!&Jy@gb`VnkXXMPH7;XsLMK=u@+*qyK@?dH|nxwAnk}|$H6HrM=0uY*k+837)>lb=4mn( z$~}lp6Yjz9P^!uR(W0@!_Wraz-vY;xxH)rwFB=h?9}Cako0xj0(dsT;A6e;0gXH|1 zD~BGcUv{?2Sisy!_^-H- zF1F%U_7T+U(cn&%u}$2I-@#642tnurU@0dOnOT#WE${J1{(aWY7^!L5!Pq5qk#s0F z^XpGVRQ9;w54Pk9aF5q)T;SdE@B?;4UkN6PIdUNghC{i=IFIA0Hzn+ok5L-F!iB+M z8%oEFPz&5tT5M_|HVm-@t-EK$vkSavuso7i z^LxD1!G+1Z6C48@B_SiuPv7rONIp`4uIY^Y&jRDd6e9Ye{sfj>0W?<5f&Rs|o7^?u z3xk;?#kr8g*_{)=hNXRd_af_heo+?6j1SxHr6gZ(m%dTi%qARNvABsUa=vh6*~3s$ zR`#r(F6Z)dEttA(I}drUo3b~poafjzS{%yi)phegBM7n!KycbjE{rBDQNuW2i8gOm za9W76wxGeOVWoyV0ig)NY zXyD)+>Qbk1C3{0mHDH$X%iH>!tWoMO?+VFumYQ@-(+e|JHHI=shtEXvEKkZ{;Iorc z{&7 zW}^7Bd0SGafg(HZ!?T$JV?!xJSS^tqHe$4NU$8ZJjE&m%Hd#1_Z>(j@igX!KN3kPw zUz`27+!HQcOS91New({c4cyTk91^&eVSoW+FCKTN6u@Fu5%F_W-R;Cp%T4^7Ufga~ z@|!!s%F+#tY*Jx$cCF70Ux6V~?YD0m^6$h0Lk0I6lMveg2!Ctdvs#l$ws-jihM#m& zn=Pa=kxzR_l8*hXT1z%Nrj9$!y>(_b+VjNETuZCRVr^o0!IQK<6`c@DYI~PCdwO)- zN^w8@yWmQm&gh{9xczkD1t?=kdFaw~(_N78BA_#)piA4?MHnI(9s15a=WH;pv-_QI z?T^y*wP_Gz8pa$9w$x#5DcRBq9v_tkV5ETz%Lzwm>9upOKDHU>tPY+JtPXxUp=V7V^5_nZ^53$xtU{XMu}#(}7)^lwUZz6Hc(#oKAw8e(TAv0m zx$iXYJ4`P9>OgPzAZKf3gOEW?Ebh}11uhXSbz1>UEEhlh0+0Buj1h)#oS=tn#J2WHBTD7@n7Q;bIK|G;8I}n)gbTl< z<#8(jbr4%h$MLA*&^GagJlP}*uk`xSrE?ow;^@?L@Qd#Iu6uuGhrk{2uupYsI~n}R zkB7o{dGzQ9eZhsockQw}t@LR3@Jnv%+Ys=W5RENFB`uD2r&V@mE>h&qBrT3&r(}Xx z0ND~H((`O=h{(H1cIN^(78)BOMDuNngz5vu(yZkz)7E(7E~jnAvOc46VLhos%MAO; zVd8czCHz8}TtpCER_|1su?hmfo*CVqZ&GA4ucAjU_FWpl47`Q${WPnn20KX(NEMhx zZuv(8>S03H7#f%mL3c3-L=*h7HplB$2M#B$u1Vt9nM`miM~iklMo`h)k3vE;b{)*ci{*)?h!Ti8-fh4h8!DfW#riyjp#`}2?Kl@ zH3WuuIXL``WH0(*TVQFVu}XeOt3@(wvuQO9^y1 z8>}Hbzt6ur?P3%S)?SxY_V56Y$taW4K6eo{6#qQbsE_y zjCr2Rf3*8$4(B(jb=k+JRUdwuc3y8)8;6UZx&{_pZ=Kjo-O9H)dksDe&0(I~&kw+d zy__yB(g~)2$~e%~M0K=@Rg`w!m$A&*9^LG2q zYGVNpiRhXBh!!+wTACwsQ+cOo)^O^=N0B#KOfaLO&*bap9x?wM?`RU|9jZiGxJ;dI4Hn|BFa-xiE)7Kak7`IP&D&1 zqO~wAUbwpFT>aXSxZYZU&}7cUv2axXwZ#2wPIO0JV+h?s{aFCyMfMn zlNpcfIkA9#P*s=!wPjbUN4I!@GCGD?<~{CU-)OGDQj9T|^Urg>ogd-go^%i<$oV0- zc%b)X3C^?KMlIs-AsO1=I@;udFE6PURyoBFstk$lnv(lM7sAJWIQi%F@Ead02{*d> zEM;TXEr+iOVIU%TPCzOgjQ9m68rB zz&v_sTZSw%>Qhh(9GP|9$>{k9nc9I&DW*j{susYSn_a1y*(LIJy6C9XM?mUTD2a9$ zhYet_df(K8P>IhIFtH;B&tO=$j+b(J2Kty;7BzO&a82uUq(@5^yNhtNt2PmnM7<>( z{xH7Y!S!v95HHrMwU)0It7DfO_HtyKXiYRXyl<|5w^?>BkhO&1x+zBSi0O|6u0nVI zEJOok2l#f^sDlkqzA(D`4IxDPhrR3PWe=u9B7wd?uF)nLFZZSpPI@TJRYRuS zAPI9}`2k82Mn&ph{stW6<+$W*EcbiT!CB`&Sh&0!OImC)qQ6T-+YCOL3^9ylmMj73Gy-`Iul?us_O269{1Q&F4 z`4{PLPKv*~PveqcqKmjTFa&Z6|@#ta5X3Ig2(M_E-nDJ3VKk zvTSV1^?K<$vNr4=$%ch}p+Ry9|K=BZx#b_(PU@?(-cH)YlUZZYGwoQIS(pFSE7mfD zmY+Acb(3wjk+_@J$Ju`AwAsWpqH%oCL9l!L3wx#D{c4`pa>NMK;(kNBFKcaK0aHzp zZxk>)zN#&OrN834XPJ{V%RQ`Bc@whjYr^!0+*X+kDbk4{IK1`Mn7qi~NQJfd9EI=6 z#WL1NJZ<&?@5-p(KVORJbcX$MXC~+Bi+X%O8cbF=Fbvp&-m008Xsb>wx%@JAU2T_& z8(B!C?#D=XxH8rkV@GQoo-q%sVhqaaDIqSOt~Lm6Mr$X-AZ=n^$5iBjPpea*@A_XU z=rqsKy!hmu{Tonhnmfu?8tPn)@JXEed;Dnb$r-Y_KBZuatDm*~D@O|b9_J$SkfE7U zCWH9=k=XX-t4)dR5XIdwVvKm?5uLf#56xvEE7>lU$PGoGPt*%;bY;m^i7-^+v|ESR zvRl`HP2zcDsmHHuDB&N5dXaGSp`#m9j4G4nL+EY!6)AKHf000@`unJB!Ns)e&l;3Z zmIPxVy8=pPY6ha4yMWXEgm2wnvh{&m!?=zS>eV+N3Jjr7OBQju>-48zz|#XYi8M6T z`^M>g+v!!-Bk)CkE?5#ss7h^Rz0~DtD^K~$n|Hpu3!ztq|6V#KVLZvF1_-7D*r%)ZxjDKP{G4= zlQ&M8-bFOdqMfM~4nN!o)3m{jj<0VDb1v2H*LZ3uyci9VuYmt@nI(eG?&2#_a3z z0?itjD^Y;ROII6qPCiPdZi$(gGp=yQ#-*%SuSsN~@Cax4QqbU97DHi4WU)s#??kEO zu>ddgZ_f6D*Vdmn8#Tj+lEwsz-vm$NIuNpQN<9#+Fj=F>sJ0i#X@+BoWDe$$D+Yzp zZ7;v_R*0tIT&vYwsnr0Rl7ZojQS({+9`}*}>g|J(!Pp_~UZ3$~my_hG>Rn!5>|t0^ zwj`6X=67>_O#4RP<%plo{|4=$sK;|f(c1b&XVmuTksfXw72xf|{SOM0UYYw76~-Z# z4@qhc!$tH~`cl;o7;>MHY-9W2jk*||u@UcU z4~p%hMqQ~AE)rL!RS9~77a9T~VF+a?;Ju>gG)Bq7(0C=x>lfum>X7OikbvvmCCxhT z(CwW${8~Nl;??nZw(^(x;aZU4TtPsn!tOnVT}6f6#|pa|kSPktl#7C6Y>wER_KW8k zpL^jaR*7Lb1&hsiHL)viZe}Yc+`IO%vn3PmA=5nuAo*2}AY+Hf9n}`!Wgf@?4eWasdT~=SWuA*M8 zO707yrkij_F6~LTDjx=$cgl()>2t)0u)~_Dpi1+`n)yuBS(6S zHOxLYv$@x>Txp-!jjHek^!lPV7xDd5+bi3##0`!$<51k_Sz`{d;$^rtx~==s-)YgX z3CHS7@yJx)*6vrlK5mAps1Yp%9$`@HIQ&dNVK-P|cL_3e2${lzOocfk<*cc%gR7{!8oe-^Wt3#{*c~L9^MiEI`PW$ zcPPIuvKy{Rb9_;MP{zLPH($xa@S@*}x@Mb;1eJ($oWp`O-^cvNS7CO$XTb5b+N!#* z6%fM7nJr*krdzI`M{=5IkO$|O(aD>B_%MRFmG{;yq%e=>#Z8(pD17f9882^Vv&*}Q z@^YTcqxYS8gjy>AvoF=8dC9}2_uK4;3%(*VxqaIDLT3ft;2)-OsU;02vP-=VJJ%m4 zptv1~g$mODG~YDW{BbdGuT;aO73{S1v~KpEd%SJ7M-u+WA5*gU-onW0 zGO4GgM*p;$;jj#9eLT+Lx-(T8wqDDtn>vy9xmU2R=?c$^8whCz$+mU)o8$+|>BWX>NQWc)*s@*;O<#XVjlPK^=w}AU429>> z%a_}xjBOagvE(bdubA_|*`iX^*;%EA&iZwxu*ryG^wiX{Ryk$@`rtuKFbzht;^MCl z2bxdflT?iCBjw*72xAhMT;j5fbd#$}ZktQ>u&=jC_zy#~bIu9bXo;h@zY9jjULnUv zM}J8r+Zk#CVQ7Zs)E{ev%^uI_q;&`{KCGmOaDw0viRLR>YrzH^mvtITQ9!8JMSfpR zZlZ+dWoXv=uO)sRrOQy}oTB3LQN_RlpMNgegf8v??ifqEOeZYEcyq64O1%SO>Ikr^ z0+L%m{qZwlMvBz6TQl|<$Gf;bD#0zQ>UY;{r6ZDJj6bBOAzx3~JLwQtPVdycL(J2i zAp>F8kLLcCH#3cmH&i6^#$Vgaj|hK2W=S3}9X#~?Dcr62XzqT2!C<<2FMV@NJWH;! zG_~HZ_uOgBnAv*x(L;FmSO&p z^#t$In8P%Fp>!sXUfA2qu)H!-=x0^@-ruQ^_2aIIt&sH3X$r*PGRrtYURm$lIUIeu z7x)bbd#zVYW0S%%8ck^=X@{|M?4eh}{T#cr2&3f{+n&8P@g8HPkzehH6*0;OQCrasUG&B*$%H1`rvel}O9Vwf7n5EO%oI`!BW}vVe2bn@c zrilL&>P;5|i}+=+lT6hAc$u3o38fa-QjO90Lyix45;Ok-G=2nZyv2q@YzFQStMaTP zb4!D_(mg1FDr!Gli?W4M>F+_G824!bxfRNdv4yb_-r@@HTR-M0xI|B-!)scfPfiBA zIet1CeCf*-AbPt0g?(PM-qk@iW}pX#^58@)1pd%l-+uf0-IML__a4N6q1M#GVzK>I z1HymokG?p^4|?2_-BP*CWpcWtC#`9pJn?wGGM#b0pMfj4-&5n-_{9~Ll zqO9+V1EVJ|^3uBA4nR@SoX%DwM=@4g%Y(xDXL#~~H3hn+>W7X0KU&@=Zs2 zyZ6?|if6>yG4%SQZg_uEkG{FZX?>aHNk@VU3`iytgACc!- zg+I56J%S2f;FwGED{ff{K1RE2tL7&uRJKLo&r=pI-_co}+2?9&CkSaZjMTI`arKu| z?PPuG<${B>2A_r{KB#_F@>G$s8~2J~wX)2#!J5zq>ZIb?joZxhb8Y-F-N2`3VH4bm zhovn6l&!J?_aOt%%DZue$(u!fhlmxwlqU8_=AFD=zR>pWvF=~4dDna6;qcYpKIPih zQ1an&B{lias_e%T+Qd+R!fo`u(cq zo7|kBMY`J)$Us+v7V(VeVVUMa#`DSLfdpz+Z3j4I;p+4!R33FXn2xnc%Eo@ zoM;FfqZ17;5@%6~v!{u(CyBG?iL=LvvuBC3=)_q#C!o`s_V6KYaa;H*+5Qos0u}-D zEu4h7y$^=!t&I0+hwiE>hpUDY7jX8P=wsxDw7t1g`LJ*g>rFFi9r=!IcT@d<@UWL* z$rb0-S{Lwj=`}xW$13wreVHCqNfotaa~d*u#DPWwIORDjjv|DQDq?SOclNi5GKwpH zT%PM7L-!6}z2%M|UYh|Jp}*agn?~n$&5-Db6iq4oaGU#RL493gZ~P!CV3pWeL(?dT zti;1rX{XR8TID^f-0EvupU+mx9#k+_z(f0w7jX7JhQWye3|j|941J9oL3mEZ{CHl~ zgAc|ET_SY5pXO`qu6kph%F>OIdP)Lwd$}6kcW*Th)z4-9Kt=n2mN7;S`ug+_K5VuO zr605*b3vkopBd&J|yPf9CYi&ZY`CV0OX#PrSgdEmoR#+(oCe~9$`AIuQCc6n3` zC}k2U;I>^gp7kCYQyu>y(+)XqWbr!v!1NIm$W!INbdH%LzPw()8|Ic_h0E%>?dW8R z+B4j;6`FjxNHA`PRe0|8*XVS9%Y7-TqTDI-TE{Wk#A}gD{hcUH+1oaiUkzRYaF?z1 zFLPr_oB`;+VGANG7fhFGt32WA$;+1<3jAMXPJ|wq(S8j|FoEcDD0IJOw0&9{%+>nu z@>70a9va`OuPD>~kL2v1p9dAS1y;o#w-bqF4~ESFbudX%pg0qR!NpB$u;$!M9m0`6HggO>BYNKD_K7J688jn`wdw|^Q{o()IQ zpx-L&GC`(HAXAbzg^Trl>-xQ*;g}sqCEm(7;L*no2!$t@x z=lS^;y}PNXchzHObZLAJllYnu4%9fRsk}fgF?TMh2N5l9T%#FZ4u6{d8qn_5V&*&> znOko6%LkwfErg~*DPekdsmFw4o>%zYGgWR^E=7id5W*2@)fn@UOTP_F*wgriR-1qc zq0Zng9s}I~hPrqXO&>v{`Pz8jfTPc^QcL{+RW{R~iC$f;sXr;y5bhwrd+;x}_LG_; z$+d6SWm?`??8}^=IhNR^V`ipeEQBvy!KnxpQAI6Zw)$R>!nif7hG(`mSoE~ROOvJ; zo21t&aitqKURL`Ol@8`xGI{%f^DTZdUKD zt4~|+#3LnXktkDZIbV=0Y$)P#iH zWAN!IMa`M=07mY5Fxieh^20|-{&XiKouz?yB`3IgUx=2_rKtB$?FxvW*L)7dzi~Nq z*4fNH0`lc7icM}}A|3Z@1j;A1`&A$g0k^6Ao6`%JLMHjkr?#ks;`=tPw>_m$djh*C1#s6O*r@*LKDZ}rp3hmZR~ zpXav&Km4bmYUDCkN0j{#Q%hbPX2c}0IIdktA1@4sR7df7okbK9vm zkLJ7h9Or%S`&g>*-D6B45cn+J>vD8e_NzUx*~AnMV09fbf%eaPAZaBI?ie-qE49$$ zM4b3UfJfY~)s8S~p=XJ!XNk8dn&tXDgrEleCo_`=H2vu-cx@Opv5pmqPVPx$P?g!o zS8f55)Dv?>AQG26)N9^MQl|IiS=yJ*fM5Yba+CYGnshOL6yf8jOwgh2gKiw6nm>ao z+)l{bu$L`9>n#1@FI%&`AbM^0qteF_YCBKTVosi-@pXTb;jPgGYomY|V1jNQObTKj zT0_*0lh(w2QLg(p7y}8_B(IfgYEo zWDu4(Wp+W9vl-pV0PI>#bFj~w!!iZh?}L1&WOW@X zeubZhWs_nyAN@3Wxl)qQGLnC%v9bKZHSm%qNQ`BAwDn!l2(-J1F0 zu~D1Fje-Ho$r<;l;MCi7Y`1puw!p!<3H7bg8AfGT1UrY zK0$I+;Q+L|@k?P*lWzZ%=}U1}UDIL@jj26+dm|kdL!vkP4he=+garZc#ztVhF1!lu zs4rU&dcR&1{YNL0*UwqfQ+9Xk>=s$&ED98h-SGxT-mVA#_=o7wvR}!fqkJyh7+YFH z_kHIsnt%TG~M4ewBJ;i%Vwzj*$^ck-c0E82zI1ZmLzT@8+ zE&VqIDAes5Ld6~s|I06;ebTW4HQZZ{veU0}jL!I=8MuqPNW`Mxl7dtWe5q*Z8>k>4 zs3hwh4o{e~>eo^Cu=O{-rdC}Z#E!m1b@JO;~C*B;XOrt=LqW( zD?iLkxd%YDg0Z-MsuTePzh52Bq{YNT_y@u6TwhWhs+;QzA6_YD|Cq1O&M7e>G#%4Z z8r?enU{Fr|buHQ_BGZ0ZYB~Cp=8`Zi(c(|^r5V@l{pf`@%?<$opnS;}emASW-W(>N ze|=|~hpp3__nf&1OZ}M|cfboKqhe-nEkUqCIqo%BPWOLkZ4{W7TcN_xm`!A6_d0jv z!Itu$YTuot{AinR_^C0DNW8Ty z5~;{2;2z6=Tsv)EE6H>h3lt685b0wqo90fm%FHm?7EVyxto2Ht6?W))hU_{%r#=y9 zn9ln#Z$8Bhk_)$ELs)@V$>zBqPQNO60_u)xJEmRK6}JMXH>s3moUhOwjt-k8dI43{ zqk_@WIAyVy=J<63y4@Da`mMEHLIz))EfFl_o~(dj#_Nr5CE9|G9>Ex@i+0e1;}( z&em#0wds(oBK9&)EI1Rv4?G>FN;pBA$tU&NqaI-m)x#~hZ;#(}XK*BvXtud?l+OAG zL!-)(^^zoBrZC_Er9@SvdSLW(31@9%v~=x@APE=WscltBi|m$FE!VJao;9hP@yC@} z9zn+fzoyQcTZ^o14IvuNBF9+M2eI3Z_COrqE?>eL7jA*=w9X3rjH$PL$HeEAXIKr)({Rilj;|lFb(YX%3-JkNu1$E48Zb3!m6L?nQ1eBq($P(n z1cUfyy7OB-ZnrKv4T(UT)P9`M&O#0MJpG<@u7EHgCI?Au$sQ1kF!(P@hbN#30GLyW zv+>hdY}p(O{;r5LOP-FH=&sLk|Kn)Qe4g>WL~_t3-M*N@{<&@JJ#lv{GUebe{@D^z z$))JB72y#WRDKE6d-{K6R8nq*b*6d&rhck&7RRRCeWYv z)0ebP*TIE)5p)hucZ1{d#v9@iCsJ?beJpKUbJ#qy!Ju!N$TOVtAH23X;GBq%om${? zG(2RdaY!Eht}tZBg8UrZzdMYk=gM00b!8QdU2>=nS#S`PUUV4zd?+fJx8R^Mb|`8@ zaUi-GasGn|b|~8Nd)`5idjYAM>0|lXZCXYeek6T3AcNt?fMp=4cu3_xD;t?q{|iSM^DB?G?u9XOXFdZdK&>MBhmU zHKT{zLJzUWj|a4NMsq8=%~^m!dG%01b&^Q@RQsF z+(Kq(<1sx^t25LwGHi@i{ zgtW91zm&bI{og!Z^IrNmb(aX!i`R$vxvO%hF){i0QOgald)dtc5%{0LVgWL}hsQx6 zl=OW&fz*s!3U|MLHk=0^SCCv|R&U3wi^sx{J{>WA4}+Kd%yz$?ij)p1wfYU|_Pvif zGTM!E@@yD>ub=9osi+RB8?fIKux}o6r#k+blJ@ZrL{Z7}QSXI3#-7RD4l%Ze6pE)E zSW8!I&7WlQVsWdVZS`Ru5~n1Q!+q-#MRu`uik~5O4{RLtF-=y9J@?CV)zHGa8E&p- zM!mKuBzbVd+jGUkCp|OAY~nu8#Y8FiR|h_y{zJ=5nkiS`HkmhkwD~`pzBxX!-+RAX zW7=+QY;D`_)?3@Qt!=xtwQZ-iZQHhO+t}~CKfl*+{<&vPa!+zH_a>R-Ix z)s$lr*D__@Wb|sL>tQA&hn@ zYe<%HasA&76qfXV>e7qw^KX3lvMd*#da8#>^tjMA0~q-4>pvQr829zj^@9bl20v%y zic`Tm{;z=!rHtWsJZXYkm^X@CJ~^&V%iIz98=_z}075{&g-!oFUT)pEN{BoKu1 zhXymGs915xDRRi%V{neFi|aVRoEyMGh4M>0Ssf!NOLa>AA?bp@*=v*p1%g(ik$I$- zkL01G^=-KfDz;o4e;mSN3W<;3XPx8J`6E_Ea`g< z(~5-!m|s~N!!GldnC}}li)bwZlR+`@*J`UomvW8AT6F5<7KYsNla}sKwxRo09Ii$+ zXDa&{_%;YJ@A*%k3+-GRv(6;P58)%+yH8$u`LkHl578@vtGuTeP0n44(f8pc%egNO zzV2GWMCaWDPqhOaJ{<3hNx?JKcP2{nR54ziIT#Q*Kg_;*^y=va%r^E*B*ytsUQecH ztLg=7u@tLMnrA7S|9T5RlWkXOWe|*PsBKR%=*l6cYvYk-luIO8U|aLLme^PRg$s z&rAdcK$to;IqI(e(I?MO*saXGmcEUYgik>oeDPrE_;l~v*j_cn-4BjWh69}zM!ApV zz#%_X3Y}q#my#XPO@F6&I_hfA=R7r)KD@?#e5Kn4E17?y^T7qBti-DErj*)@y_juJ zx63O!l=s%j%)VZENo)Opu3!pcakjmP#9{Nu5?MozaNDc+Qa6bjG3J*sQ<72mY?d(gCB{a zgHumw&H~!cgCoHPg5k2oa$7+EU~I@#+=Jo{B81DHkA~rK#W$j2sTvdzlgmpx5E98B zg-{!+vkBF=w3Ypt&@C^f7ea37k3CetD(_q0CN@eY%sZsj&MVxWkI53No!ei~N|?Vg zO1AFmp%rx$2W@N1yM!S@C&oATKclEc<1C4=S8P~6)Sz_do0$LXjfCl+ zi+y9}q3Wjy9C}I{yP%%%{$}??$9{~)$G+X>0(ix==7FTJ;e{V6mtEPFfi-5p$;B}i zq){EqAf-MS>uF>ZLBbke5J;wx6#tT;qf zJeukI&3>G{-do|Y!i0p!Z!h48Dq)}L_d(`kOcy`j)J4_Hb>ZertIEt0R$K^{*Y6j|~7AAg1 zcMp!j@jI{SqM=@98i8h26eJ&zW%e+LZy0gAdH21m{!Kw^CZcLFdVWxuZA(=y9Fq~q z<~cT^Q!=AegS~3|4kC_BnD#~kI_SnS$UVb!Co7{r(XY8m2_EH{6m?#AnI>_l+h2aM z4Y{E2X~jktCT~_j#xE@e$&ahXve0r*K1TkUv}R#r#u5RAgVnMiCF3M;e=$YR<4L!{ z+|BfwE96Qu-;A_Y5+nq;Fukn9(eR^1k94&N9fV-XkN=IuGGT-)+{#P3ydR`j!W%oW zf{>{r>3M7-?cHE&;9t+M)^Z_J)7TX~SjS%l1sgSTBgGuVbGHI}QfXa>_)St8-aM%~ zODc0074`5Yfop5ELoT5`=#`|`OgSlr7xWxm1J1k zOh|*C5xy+tH8LT_y~%695Jx^!gD?mTeidlRs6l48HIIe`Dl{ddKQk7@>K|OGjygrr zQh$Bgd8>vVBP*Qg!;VVff}L^Xud&hgVgPN|fGFTAL$PNRN!rvP6}-845n$7gl_-yQ ze(SPrv5ml%B^oQb`f0S5gPMo4W?v8>z^JH<+tq0g~GT^Ay@4#vtJH(ZCNi_7# z%*;&#AB`^(_sOeAQsg5NAyj(CmIILfa2cNz1Jw2Amxoq zMkXXPYM=xb?FT#1nKjC}DAOZSLLPsDS{vyS|A@OI9h=^l$WGm2xkfGsb^nJn!~Nm7 zumaGqT&OJ4hE)?XWPAXpYP)Y-Dz3sc$XC7ugu>x~RSp0OnWx6_&j_zmYaZiTL4 z`o~OeCs->lzrIAIa*9XTUrb|YOdDH7*0uo;09M%RG~L-P9;s^*nyy_Nw9AhZOcp2O zhp_INe~z<3NTTf-OZLm;S~T^4_}eqv?29prkuGW71!r%fY+4i(SvB-xIdl)Erk|o6$|AY4Bw~KJcPwrJtYzM zr#vl`7_5hRkXghni(fJ%WQy2$TJ%0zE(gusno``@)Bzn(DQs+DUcyFk^c3D{`114( z!Xz)|+XPUnX1l&qei(cha$@)dAlJ*i{ZJLqf6t9*aF|J?f=L5E$b&nRMf_w;B+w%+{M^D8*#q{S&IW-+;V}dtz&tDWE$GIfv?toZSB!wI|F}4vaY`uzshSsU%w?qNdzSI7(q*f2Mcaze%2k2=ijq#oi$ zO>Oh}eNKAYeO;v=wNQh^utwD!o<8eEA&NH8^DRpm$8LO=Y1)!N6xwZbvjRTNf>K?v zbTcY$zSI09I9@>>90!pT!l!V|>{1}x>1sfYC}P%D-DlzmM*+?+md=vA1=4Dt>KkZf zt1yOP@vr@51c&c#Cv%uYttEVo={u_KI`@k^EQL!(IxtPBP&zL&&R+FH5Z4%i@*Bl5 z1+neJop(+c<5&c{n$NjFcJ7`0L(X&XHNQ%Elkwue)`@mxT%dg|QPB4KT9$_IR8B>o zV6aPy`hvmpAeY_BY!pu~S4;Fw%n&O-xICg)o@8nXcYiGF0kpz!wM(FxH3_O)w0C|1 z|G&CQ=|-=+U}x#6RvZ`eia=KDsrE_m39!sC$AzO%iyC0yJd?8|fK))mXy|(@)+F5> z6UzWjSvcEVcjK|^L=|u=ugBe_%~1X61<0HiDWcpbUMHF(%ePfely$QCw-u#I*SZ-q zuP9;;s!;KmIoEg{gTLJ0Kkln`P0@$`!1$t#w4nmREU8h+RM-{!Ou#)QHJE6tIaT*f zY6wy<@i+=@UfdzXpw1_wa&Nv&r|$5Z+{+E>ia2Jpl>T=`xAU?|5%XQg?m>5*$=Uk! zLa1f9Hnes5hSMTr@!Qx3XWRI>4~Ncdm!O0w!)cm%R-~qEs_ot1^8BC`%1=1AN z>12(zX>%wZdI$&f3sLm#kc1gIiEE0SVrQ)R-4lNu>z^^-D0~B&otmGal6YYc`*;>w ztfeDPRbzmg`K|?p`I`q-+6Fv2{>vvBU%cN02@b?Reb3#fnC+2BusdOqb%8 zq8K-mI}H5fRn7Rdrb=ryW8PQTrca-?SdJkei+3?PGRT%M_oU4)zUK>$IqaL)`_h*G zbJd~URmKJ78+^O}3<30B3Gb*8g+iON<~^+ao1*wu)aRJsN!v}4ja#I2)~B>Jvb}JQ z_gC6%Mra^#{uFKfYzX@@Ku4ApXxzOxpCMTG7$xlei!Dal8VU6H&jwb(8TB@)I=KXM zlFuuSX#tOYbv5tkqr(N$4d%=x^!-Yq$F+dTTQcX7j&BuREB22MhftFGem`&lRlI*G z@T{BvhCt=gKm3L^z7xc)+WKy@d4vG%hX{DF^!yl5l@7tLi?}E88^=x~*LS=yVr(Y% zHA6qX&Do>7uWs1mi!e1T!Vv}4OgSTEA#iBsLxN5IC+&YV3lFTyH5B$BeOo{egXv35 z_G4|m8n8fbr0rZF81$FWDm+7WQMd>M$J-e;p-)fYE>N!*g(|EtLrIkgIH$?A^eMD@ zgKuot9p-j|-m<`(wvEt@&|fq&b~$qCWJ?^| z$Xr>~8^aqnYAiwTyAgBfw+SvDog9TO(Djimo@@F$)$mg$6od0T?kw-%Z|-m?!yBCn zWK#o`F3Qljf?RdbiYWrVbp{I?Rsrf$$l3`-e8n;&mA%{JHiVXqvSlM)Z)>maU321h zA>H@-*H5g10LFJ2Kxb`5!HI~FeLT)W#39c1$&m}`t5~-BU5ge!<8a}T{z;CYUkT|S zdZ6>Ua0OJ}b^@e4bC47U3Yo{n6XlEMJsQz8PP2S4Iis3=Oagm=R%Yd_`4rXgI; zdaH$lj2!TSMCcmD=_nlTkGB<(q(`#O%XH9*explPHV>(<56bchC|c%sS|Ssd*H`Ct zl-DbEXac|aReGOQx3kzVSY)8&h{f|mJ%h6qe{J39EZVSkhAgGS29t4F7JiBSFH z3JPmo2uo)(PbVqg6T~!JuwW>VPo3E1nvqOnoppHRTG09q_iHjSexbw7 zfj_DN87eVaf0FGd{*=pL>jOP1p`>IM(BL<)d}%{xh~1I_;M8Bq4LxEzew0eIT#xf{ zWVHK4)gQ;9km9Uerdi7o4T|4{yxOxbUf8ooXN-j3LBz2z`EvAKc6+1hl9IDu!fRnO zz=X|vaVrF!t2~<{o**7J80Cp*hdt~ZJ9vK~g_gLTeoXJf7~f+*do$y6*2<*PBZ76b z(mZ9X)qBa`lDNH2GJ{^H`r_|0{6t+|3?ay(`U<+EY}9Zjc5G~{)KVa*}qjt8=d%2x@uj|XY4-@T+?u;yi?oVZL;G4;Fyf~lgH-?f#;jLVIXET>@ry*yl zt6#LggPBZgEA8ZY>F46Vb8ijC~xGm;xA>pJKjOV zGeq2N3Dn!ihO%+FIp_@*DigZw79s`3^@*B`GC2VxET<~!pkW|AXa`_r^DWl3Yk9k3 zbbqwpr<~e=`jXP?Cw-QLbmw#Xl0%O_>sNpc@Tc)xnHLO37Yx}B(SuUzPUrE?@12A1A5*d}$8THi~ zzeWk_`N#(Qg*tZ#ossD|O==mP>Qg-TDw{jRLcK9ODXA%2JJth>|DX${!;`WkUzxl`e6sI zuyMVFP$&H%oG`FG>J51C6~9L_TvKOL<(z4}j~Yp9(Lq0M4TTAmU*dN;o#Bt#QwOUz z?!H~9J6iRM^mI#GiTLQP(3KC|;#X|E8ihV#wyO7qijFRHA}<0mcpb-XL%I~lS#-)^ z=Ce;~`{=PP@o%%xajPxhh{yg~htAV{kFqCIjQf|ln&dvmFh4%#2J7M>Rd9sUt88io{%%jFPoeZd|ozqnx@`;&NQs)|QA zsm+cpy60$DRds_)y+tyz8()m?F_7s8s~+LuNqc__1S3>9dMu72Tjk6Hv*bKs;5SFx za^-Y?&AL|th=Z^Te>{F9pe>>xL2h9Ai)INna&X&d|CayxFMyho49x(y6c<}#>OE|4 zQ;}kajcVy0MiXp0_c#8We2`4DV(|}jW$=JCeRM`m`F`D%&>b$sVdwLfr9fwlPPI!7^u4^n>JsdTleH z|2G7&7Y8^Z>iKmPR|!;o{(&{D#2D)1c&hwdxnj97{j1Y-qYG`Wn?j4FY$%kLEMx6v zeql3g%;7hvAJ2NJ{?NfahyxmRIRZ;}hMK6P(D;R|X93nXd`0{PI{&auCQTAO_!E7D z3#1b#yG;TNgn>3rDV+9EMrgMjOw6kpHaBQMCg6!@ImLQm`;DBNE&6dvk{8{mRTD8q7F+oDJiGJmyM_h- zvh@w&FVpdKLASo1jgybrAq*i0*6$fHJn2F1^^0g5zD7%}sV5I`tdTzIa_7(=O~G%x zotdCxktsQ+XhFXq+0-%Qp174*tRttWrM*j{?DK$7fJ^s%mWk1>0kL*(JBVP4$!6(C z-Gh>4)hqol-NJuD--q?RUTs#R+>LSPdkV@^6FhZ;z2>ac9ZwlPs*Lzp5YP}MY0IGi z6Uvrjxr)h4c&y7o`0aa%nV4UQmX`OMFj}W2G~E)8`n@Jvu&Rxb>t?B3(3_hQv}-B7 zr|Oco!8F?1{G3%BrAx{~=425Ai%kga2z_?f9N!0So7aVw?TV9Y&Fm($F%zN(8B4Un z?LsEF%0~)yb9VM5?(9MgnH+SGhyczbhX_Eice{{YCf}gAu|i~A1qN#e6ccP|CN1o! zclLw6^fJ7b18VNY1A5p=FXCw0R_fKRaQgQ3(D|O*n%M9`XEzU;TQjZC-w?g+_^BJ#^hFI}V2#Zi zGJMx3G+dC@F)V`3t>4KQC4eDa6T1DQ{Enkt&ko*T?3B)MXC^XCl*CfkcC8d zCqiB9syvn==oq`nRRCrCdE&hRN!stopb2Z}xw13WI6nagt>J+|ZhY*COuCLGJMa}Y zcea;j9NIAmI+vLd3Vn|rY?SqgX5N|)6C1$;ykk$eO!Y`$oGpD(4*qrEZn9Uu*lJir z$|XRw*tmIIlh6SeVQuGAh+AC@ECk-9ifxI%FNv==4M9xnwzv}Rl>qP}KRkUF3M>zq zV4gc~eqL-qpBs$h6)zR)li9_XnwZ_aJ;ax5= zx{;{5r%wQrf>#aGZ@^qJ6o&_4*Wo^}fi{K}J#%{Kjw{;M=HkZ9dni-!N;vaXqwA~f z;$XXo2=Onx%ck!5;P~_~|8c?W90BM_6qFi{H~J!c94q*XmZi^LF9k-~`QGRu+U9t2 zOStLAx6w@Efqal zwwTFbh3`H^7WEfYD@s`=I5BlIViHsd+{zY3V$*|qOOtUet);mjSKEhhg?gjM;o!4| zv!N6MNly$*==jqpzZRv4Oo}LzP24(3vckK4j>(y6fg=x4L6w1o1+F-4EV6x zEUVHliKU#+dFWjPD%OpV6xw1Ucim14d%=#y;UF|)2hlSD`XTB^xYdI*>L)b(qK#J( z{B@QYj(klD0hZ7IxtY)#qhYt zgOxsO$Bjr)+Odex;80&G$X>)!{HTIOITQ;64F>a8N$Lo1@JjnGhWq{n2&RkUvk?x4 z^?_puLs8>>gIMPc2WO&7+HmLn8Y(`dAO7PC!OX_W{fm!j)Mvd z%r?Pd_dgv8;|vH!;!-HbYi7fX@tsPA_@44VB4RV7H1!Y<`~1h{_ha{OGrY{13DEss zruKNv@BMiSU+p2~@6^j^%kUL$*04ys`G1>shjKJfdpvC9`iBLsdbgYhTAT{U0ghpw z_*2!^&5h?F_47GUdvKL<@^oCU@@t$Y^QNQXPT zfdqk!@n-5f)ROf?TTN^&CNkAg|5n13RWR;{=J|VEixukZ_5{hX_IW4RAFV234#tDA zCJ2=k7=|gFa;u!XyIUucSqze9GthQ?2YjHS!wVmJIj_$5rNFx~ey4A~l9&!{Cr;@n zj+e2wGJTADq|&BIC)fR+7cspMdp7#R@JaySHru+7SY5;ZS-+0(oxM61JJR1pQMTvL z2=}%b&FB5qy*i~t-l5fI)$e4hHSB)^#!(6)TTr%9rE#GmIHgGds~YR+;2(s4(7kEz zvgWV|PoT^j#EuT=pT*}o=FV=Qq>@-36EVj!+Sz%@(}q}a7u2j+rEUw7J3lwDcTE6Z z9Q{v<2mzNUVdG@^Q{NXXFt56D&^TabSagh2gstii6N_m)grcHmDiYX%#&zQa@m-L9S9=b_7&AkMO_2gtuduNt(e{LOMGw$rk9dRprspa3VG{aL` zgrq`4gY7Ob>K&w7j2Ce77?_LjjeZ-g2zzQ`?IQrjdKAe{s_+nZ(TuXe4f(`UU7|(t zjWPX-p9q8YSxwuETV)brXZXX0${k8%~UeON~(CW>%+ zE3JfT!<{|UYlvp`CG+=v9-Ja`I>@5=BXjhqS%FL0CT&f0#NrbpR15LZx z+`I5r*I2f2*RcG~cjkk9!l4?_kKnJdiu?|388R`$qApLQ&!EmKh0jwG#^*axn?x(x zk=+NNl`RxWcO@kS2qc<{JiSPLm&rzNUy9d0(jOPH<@NtSF)*WH_55+-?BU~>v5tU7 z8FM*ED9sU7X*!X*lw3Jc`*&<&%Nnw0`y~RN2k!#a=O6jvcGF$20W4~vwZ!q?5T5gv zhVJt4d9;JgoWChqKP@)wr>8BE@77n5uMyKD;59mJr<*bFcC+}Rz^5`sm%_4$Qgo3+ zBRNGGme@?nCzH6|ov6q>S0z7unvN!pkr4EvmzX^^c^n!8w-D9&L|Ql{QKaKqj!B3h z_|5#L5{`6p05FcZNMr+#rRq@4%gH5^|G9ek+^gn0h+ry%Tb+-a-o1OP^kDQ}KmDvPON8dt1A(qk8 z4iu48N8;yk-@>B2@Amk+f>XbU_kS)q?tQ*A$jTN5iQfxH4j^};Aa&u_Mwx=oRk5=h z0f4Ls+3l4mgRssiPrfig=&VjFkOj`n0 zrL0*5JHO%gz+P-Z47OqDS4>>_0PaA?j664ork-zGe-5~`3l#dBvz#guyBp4><{r5| zIo=^H%-2Dbk39G z_S}~V&L&o0-l1-~FU|wEb+-xBS>alod&`)~N{0mS#tv{Q~1>C7A6J z@%&tQzSO&TZ|CN|esB`VPIT@{VMRW^ALHJ!SX!!hdt>+c|0wZRT=thS%U-tZW z=&2ZWMUOuEGJ1}CnBKh5i zkmuORL8IOj{DkFC%51k2q_No>bAB67-`q2U@kVus#HG>k`-r=w-3NBYIat1Gc?I_@QHVJZ8(*rfjT3=xDRmLb5T^uxlRD*NF zs$c$2*=$$Hb(`$$ea{}@A1H=5F3OVSzcVmPqnZ~$+f4n12R?fnM}lRC&4&MnznpZ( zI7Un?GSZ>51M15kE>}BLd(L%dnI};i|6MI)y+iuyziGq9Bb1;+3b#QBO*6_S?$kbgP*j7NRCpv> z2V}UUT8l$(X-3-BYS(z0%G?mGf_(F>W8@#lDWt@jM$>IeYdh&o(M28gpr5W$lvpUO zP7;fU5nSsjw-$D;M!1t`*%P?HQJEk~MS{R4gP?F)evx$OAcF|u0=Gwndg`2otB4uh;GOvE~^Hg#4vpf zAU=I^^NEa@Gju6+CktwR`b8dkO?Bw&B9O6JCCwBgrk_n65I3K`k3t*A?<0 znLU;d94L>(s@cZE^PKY_ZONgYXu(?qn3&zyB&5he1)1)b|PP?X>&BQT3GdCuO zVPayki}VZe8XoI zhRy*{h*)UIOc9nT+WyvB-(TbNAH4k9+Pd)rMUgOh8qGZOpaKJL@o|Ep%cg3GO zN}}CqlEpQ#QmJmEYV~cJf3B3xoG>j`WX$?_EcevVO!3hGi6fDjd&e+R>l_z3<>{}q z?O*yzakFaI5_R;Y}Er~Q`kN==>7Lh&POBJ8yh`MV*r6&-W|=2#bk%BBYj zH+(&=6^AY(Z%P74AVm|k`oRbt#_Eds(Sajw6RWwXS#`&_4eGikCiuz6Z8v$yL0D9H zB3Esu4IOa=w_PqbmzT35khDaz-A%1Rz50`jkZ_PGnk&>wJSHm~^Wh>3B{2ZrmkOBw zX%1Z<<^zsjHoJBrtgBFoW%p~mPy6~P2XjB(5LE};8l1q!E!>-=!jQ*nrYqv7jlmd6 zW*`T1k*Q#Aww5T7&=|pwD9B4nDg1CAuJa=|7(~|9*VxC%bxZryqRXRAgp(ESS4Rtb zmHkZ3<2_0LvW=Jw&E8auZvAF7z`h3W<%xl8 zM6YR#I_G5HGSz?ZTVG{Qv(3h?YgLYjv)QrbS3#KcVfG97NHlgO!NsUu^&o8xDvdXN zp89hY=+Ifsejd!+&0b8TKe4Jc%wJP6D99}#NGuwMfl640xx7C6KTY^Eb^3GzqMzc% zdZn_IZq>+NP#QGqopc_6yt8!XcW5~;XA<+d4cM_s-3{f*QN@CRj^0Ocx*?%8H_LEVy=HKSJuZ6dAeitTx|PXg074KVnO`nFmtn9c$aL>)dPd? zZ6wTwqVLzyuNG2I^qB8M@LfAJV39fFt10gSyW>NAQ?e(dF4gf4cKuktHy$#sFyx;% zTw?4eXC{hmWuIEn-X*|Os^-HyOOVpATv@EL&fMWfA*gi6vP0CG+~4Fx)=~>*^Xp1R zn1f`TbchcK8*X{Zf{)Se0T@Gd$G?8U&=n9auZN-++-kg~hY_|4es2Yw=xHthCw>RB z5tS{`#sj%khr_9092X-Hg1z^NmYE&10By`z-0zaHttO+>TGv5F0c#R|eEJT%$9OPw z2R}<>-6RGAT7=FNERwzvCe8h zU^;!D4a!v9*L7ruI2v=zEwcitz`pBtjd;R3l$?{l$@+kyUi~T1;UuVWU=_$)kwhr- z=@DP_y;;fq)s8So4|W}E!BAY6j8u{HtFk;0CP;KYE(;v&Y+;Ip3U6REw z(wnIY1r*G#O5jmME4Zk;%YR7yRzbB_qL>`nU8h6SjY#s)8hSv zb^I!ZmOgx+-O0i=sySLajSar}O&r{&VkU6Ph|(RiGPHQI^-FjZ#QRrc zV7s_xnV@n&NIjU5y%hrlpt%80*h>7eK;L_}$*7hbB#`-~ zY4^@ZPUEvStYTPf#kx$Rp^6l$qv>Slhm`C>S(Ch4w?TCMcXoBlM>*C{@om*(wtL8B z)E{~lB*S*p#Bs2P zJjWlQ*Um~LEkDPp+va2Ve4%_p*ozJ28aW6t1-B1N@hxL6A*G^jB1I*P?HCXVMX|kp z(6NB8&lGwJeBXptlyXp3q|4R?M-(DQElr9*{n2cZHfupX{pv*J4KJtnM9rY19DXzC z(**gBynN;PM#0I-lwA1`Sh6_9Aw4i(7=dH+Rj^iljYA)bWmRvL0X8gi2>LfC39vI% zHXcig5*f{Jy;PCyJj%h6TWkZEV>%kSp&LlJy|Fdu9GpeCsOqR+VU_n4jt_ReyMCvL zfFqha>nUE@1nuhZ6>=LmX*QOE56I{xYI{8=5AEx6#9e{jc&rot_URrJZpPs#WsD7rgAEsx8~<-@s1G6vU71;7P$~YM*nrQ zYG@!^U6ZkKq49I`PDy4@AHEPGA?9gb`tzoLHWcD>7WbyreL|i5K(s(QtW*70JX^C{fyY#l!{qLShz~8qW3I%u z0Y$48x3|MGw+-f9)7gcvR#3-^(K|TQWf2;JJ73y!D6BwUsx}lO#s^D?Nrt6A_%44S z=eMKI!*H92l%(!MGKl?Kz;>*gEi*!Z!?2A_(kh;<+GTa=4`lB)mS2P|rm6Mx$F#++ zheuU*DV6tHGf#VBS@XVjZu@q`R|Q8Q@P6aJ@vQQGq&$Z5i5>l$P^m2|49oz*|JyT> zd%o$($T-Wmd=YAAURSML{|?4KI5^)_KUa~0^J`ZZYD9;s8{a!ukdZ_9^T zNx)PSCut2+Wbp!JFt0e1K};A_QxN4*(MJU4ar>#4f=LdY^18g{h@UePJB&D+-)&_g z07uNa#!r(x4HQNfgi+5?K~hnQ&wJa~r2Evx`u+t~1N_}zCS?$paOLs?F5{bqT#k9m zN|aly^kL?-`CKVUefmg7I>|h(Y{;Xt>p*zrar{KmG^NZ8ui)wRwCUV*QNq)xf>%lc zVTN>@j^A8;vWp1K>D{Z;v-(`7veaf0=c0~4`jXO|VrhXq;*#v!8@I{)jEa&x5F+pN z*ef>SNr7CKJ7Xc`%vdShq89q@9xXahRuy5d>@|E#?r;Lu1Em>K(5!RPnBjGOI?uCqiY8X>OK6xD=;12E}5iTBDF(*Y;u8o8q??J zO_Iv+1r|j;U!G@eT|3pOJqS6^gJ5K*+&XX?p^FTJJk9%i(RSFK6r#dl+O3m!?7$Gk zL(L&~$2#!(n_A+*A9A6&l-zdZa4ubBvOCWwT3EeOpZu~{@7_oylaow@xZE1<0NUhO zl(g5t%Rqhf4rvfdK89FV^^R5`2xyS5C1oc#u!<|vWt&$OpP?Q)V!wXxONAt6H$ZG* zUS3@^yDW)6xjdS&@XnOl$d2}>^z*Ou+r01GM#Y6;1h7Vhce{a!ki`g7-!l+2Hm}Y@ z$1gc^$Ir&ctjOav`NcybPXf-~`SyRSWpb*oI-@$$RmcypzX*|J&ptOK!aQe+zr^jZ z2D%%FRZuJm%1$soyyn-HqZG7f`}wQE+jJnq{8 zhs?s#p?XOGHFtBw4R7GN6eXhEV;%iH>*}uoEtS1PNYJrcN{_^mZt4|Q+XKVfxf{NSd)2#{E25H&KUJ`Y_ zet85+o8F-xVy78y6ABF?W%9;%Xob$W>DeXbG{u?!lT)%!mXcPRoc;1#E zU|%L=Q)SLqy@q2JJFU?RD)JO>-lNf{j9JG1XX?p@Y*r8SCP`!1UxU8yT_$FqJgUgQ zA5lslv;7d9r7g{P8dpe~vi$cUmMwGWBIK8cobyHT=epKwlL-n7*pnEieMBGuN zQBcOynGZ1-Py*e53I8=w#@K1teinOkn+Kif)vdFLQ` z>OWc9FRxsQyYcY9^4SGvQCwV-=XmX$1H_G?U*S5YSaJ~`Qrc8TFWobTZua}>p%NA zui;D5a=)f*$P~Rv!wn1%rNr7iQu~*(VMD|}!>2&-#z%*b$A7}@Kf@U;$WSQ4ACDmo zD+Eyv%D%+(Ey!lE@T6QENy8Z|$z}_q$=WL7#ZovEPGmqL=#k1>_&6O0RcpST_8v_a z9-`2z=qdR>f4kOx=9S}0xw!h}HEgwmo)X~UGN@{?xXYj>tN*YD-QE>1#>tj&G9-cO z+X)ic6Hf3z+SYinZP1TRA5HeA2D-Or3uMS1RA3V*TXDQtGe~R)Wy=R;vnHF(1ZDFh z4ZpG?n?(kd=1v;!xAHU38zkC;w4e;JAkiPB-T0XYgDdr5z@xO5{z7Tk z$B!}@NzPD*cDP&h|r8x9plk0NGS$Vu3Kka;Ib(Er<6Gcp;z3` z`>=>}yz|YooA~`zC>qJiHE$1@@c%FU@uU@$e$SMq7j`7>>#V@*PK>_l{T#_uO9)aJ zH9qhCb2o?MBcj+TpC<9X^~a$I()d)J=JgM5!GHF8YYf&8MSF#!uj72>G-Mek1!8e; zy>^avBbv|Q&34cw?s+F-g-E?EGS*GL1cM4PN|n_YyElRooZ1{aba4C-(Dd1NluTWL z4?7xcb6p(T-wv+7!)qKF+D~Za+@zC7=W3Dif}5PXWJMpc9Hbh|z@e#riJ@xNm|{aBh^?oWFmRk_Rzvi}43GO1>A zyh8+XKD*E4<7{E;_luc1+y{4d55#4o8&lV!Cb)el+^^PKBf;*jO!5}ZoBz5{d+x~F z^9)H1)D01bnjMbci)*`#a#2#2Fx|KRJQ%`1#U0`elp`ke??*R^3y}Y#fJEpyo9jqa zI1(Z66uTJ@tglT#Ld9p`q7Xl_e>K_S38nK>zwzzO zqGpM_8|BL>h=muRf1&NM!u+Q%kmg4InE*nc;4B{L0F9^kd7g@5$3M{(P(h5@HoXW? zj3^&4g@WXLQ1O^qb&4HW^=K~#~-F0^0Gj!E~egCFWXGJ+zv?uQ&F`Rsw;Y= zZ^+UK>f;MvgQaiqdXYdJ5}=7pn`~tNfEO_kE1X;VtP4S-_mV*t^@S?Chwzy76uoy} zsHhF{z&l6$0~NobfU*=ar2zJ(rA!`WXBPDvIDH8YzfF> z4!eMg1CaxvBtzlLG^s(`Z-7D&Y}~DQMm5(H4B?@+t*Mbn4hyAG8N8frg;YN%DG z5Bs5Xkb?II!I%8T7dnN3k5?z~hIe7n?DLA$lMO~(@!OsPV&V=l>&I~F-zu(rX@lV^ ze(QMFDqRK74-aAxT7$_*ka42Z!P))b(g4whJ(QQyhSuMWpQ%jJu`4N2H(PYz_F<{Q7r^o2ER&h%lpRvlw`8}sQ zA!!OC)bO01y-HD1oti64EsrR)1tna?ORq5sp8g^yNS1ChA_-^#NAmNe%M1>v@7>Xc|n!&&YQPcKt&Zv2Z_h+}38+%{e^h;CR2)qcZg30k5+INSg8Slx7fWz=cV`I>i(7CA!DVrGm&M)P-Q5=V zyWhRP?w{>5UA5ISU45#1rsQE({<>0il?TS6yG^)>I6Gx*moaon$nD_I_NoQ~Zt2?f zH5pjx_7H?iAPk^WUp!z7-q25b>0F)r6* z+UmX@-OphlS#>e$e&TWTsLY^cSF zs4z$5Dhl_m1n0$+tGsL`5l9|}Wmq5ck)J`fsTisu6r@mw;-M@w7~eD44vOT9xLuI| zvcjCxnWp{%+i4W6-u^MQipl)FbT`Fhw;c37i>4RwSy2H4O@ z&sYl>xs-Bu>6Ut;809g@Gxh-1!3xuj`=tyxkzFL6e$_<&Bkl7ylf?VuJm54?m@YK# z6;y-uEc7*fC6&If`Vg$9#m z13^}>W-buqAv9=Eg7O&7#$jxtmLfcGG_obWb?#zDvb3$^ZYGfZv>Y*FxG!vh1oVHWbi3=+k9jWzl5-Gx}8zl=W#nyFIcm=0bywvw<(= zu%{epx2R6EL91YemEATE2t*9Uu!p_t2sZL8ObKpOpgh8q(t$5|mmoR6U%Arr3zwWR z>Lxk^7AyZ4UOo6NQJ~wjn*-YB8GBKN^7w3IY9Ps9OLtts_6TJ5Rm6s_8SSo9kCn!8 z?@_@H0m2ZG%)U2cx(AK>KVIF4-Suq%nC>(mrVaF(SkniIg&V)9JrBN7!A|lXg(6Ns zU*5P0$|Se>yGp81w1;3;D0?glY&=~bw#ZYP&@zV!!GB<`lNC-8G&)S;t1Y&gi9{NQ zUE#m51CGo@Ovq4B#G9tjV9Y|`i@4CB!hGP1Dy)HB&3MLwVfwVVr51rLFWH=)4^7|)1jtgjk2wDLssO-^XAqa4M`3nFukzC z{`*^KuoBj94dbeeioAH=32@b)Wof!tPnoDmInSY253S800s#tEw-0oB=;m!hKKtVSEUM?R_5#_NS4D z8(Ipdoy%k*?1vq^B>7H2VlEX*G z(Y{17U!Vk-*lngguO6WSd%X>XpI&%6goNSUSNs@6>V?#bAN6$Tcul%0IyH7=_GrMt z0~n0QeO~^9;St&b6ou;IR!f8y0bU6)E8FDz!v}VpwB1?f}0!8}<)A={eG2Y4MonJvumXg>Sd>B@cpOok9Lb+wb z>ipUVnuM8_4%OCn`bB-IlLpr!BHk;wkA0KMxmohN+5%5i%lS~vC<%HEham3J&)Pji z;OlL+#`fI@;g91=dvRP|rk|DP8q+44=`~%VV%KyE&CZ%r0+(H}Fw%63Pb!~*AP zYlCB90eXF{DnRSBK1(iwMX)|pwHjjofJRVJ*`FQ$icT8t5iQn`$x;HTv7y_Y75y8| zb)=fQ)Nas_sm8W679TszX%dE|zNVrwi6?CHG|Sj2GsNJG48AGzX&$IKsyoSNhzNxiyQyRV=3gaC zuEDA+7Z~Gb;u#nbd$Y?fK7*y4(TxntZnT_3N%UHn`M(W-tn>-{iQ%)G?S%g5AAfg@ zQ|-DY!KYI{G)vAIRuXZkRJ2zpP0m4YZxI-_O#&=<^d~h(Y4fd12wi}w*(Dlck}6Nj zWZ9~eM?-vb;w27A*UxtgbKu7K^o_D-?ymSz=-}mNYm3=M?<>7OmcdmCUgXp zs7udd%a{lfy|?-E)r@_IJb>Ia;A?f~ll6F%z#Q##d%YIaEat2I==X#|nJ>pAzNun6 zF=$#`(DaWjaHMwjXhTJq22;Jyv$4(ZvN0-?h@4e%(6|ZNk~~cbJ~>@1*{*1~gipN$xM$v(8y&Tf60+ z3-Bwo2GH7$HKd)*Rb+J_J)_k;NwYIX4QUlI%y=rbFMH~NR(@HZD73u)o1Lf1t7@lh zQpmL~ZN_3e`?G9);;LYmFiaP&6?~QmgOH4!NPg~%{M4I_;?mJTa$TbdW$v=$?@A5` zP-mc0O=AamMi$#(O>yS+$e|?-Zy?9h%K7MM@_Qj4;7ER%)^!WYyRIGyNF52m9sSG| z=S9Y&n%VE#!jN6A2w4QxP?F0nyco@7B0FDgK;Cp~B~OAZP}>xF_0tJ4=gJJzH{W1* zhzB2dvS3UOi^zC{>(I|>B7tqlC zK0jX@UFwOT8tzM{?g7=KQ-%zeN0aj9jbtH?5XP8`HNj2cBhsf5nrI^3Nq5nmakAtS zf=$cHmtRW&wcZt^2}?*;H57}0%kVM>4L+VjB|aZo9vlC&Uh$$pvh3<{?VQA=zK^Wb ze*X12itImn;K9FeC%244m)6w!);kV$5wqSWv93>K=ve=aa2NdymuIlSLaOxOF6nXn zYdtP!%C?o$Y21WIz z;7hy5?Re3_KaP(nT3j7)Mo7f5D38VfsSX|6Qo~cmgf4}mRd)n|R*Gb=Z%?->DQ8>O z=@Dd~T9gJg<94~b9qY-r0!t(<@oWNIRs;=VJ|>Qq+RsQPny#!hTXno;FdOEw`5y&)hQQFBSOmPYes1_?PW~q>1s3^;`^%f zE`cI>*d#%@2-d(156<4-pT&YWIC%>_6S7QY3R1ZH){eh(&YPO}h%LRg9>XL2n$(dT zzd^x&YMkuS7*lk!R#7H+yx{=x=CNFyZ09ZY_iDXst;-J}CymmhA2uLD!04KFG_v|; zBTuw^pEk3`?Ao^|-0h{GmxGQDh`azi$6dB}Oz{_`0KzfQ=*VnJ8Y*W`;)O zI)wQxrA9c6zB)iHrfoIL2;SbEaer@53kpQ zAvxED=7!QXSW_*-lIdJ#?`z$e1x1T#lpT(j&V34U+$!?+Pb5xs21{yw33C2fOP9Rt z#nVofzBNVAc%j&pEt#?8=P}b&1vTREmKYPNQj#;r!%&?>y5_S&;*ty9<*~H(s%@Hr zKc?1w5~zo)Sy$P)HC5yuczdz>x5ng!>TRIFGlLq^3?uPpcC#Cyc!!(UOV#kMu>f%J zQPKh*P5*}&3a1kU`{eI6!hUu?>Z96wF24)U_ZT!|&D-sOave-aJvF3LbaS6|6f7Yj zNMp;Xk{iyJ!ZbjnJWiGJbr`mAsI2~M7~|0KlEnN=Ny~d(fwqI?-&>)sqbT|M$xS3Z z&3x$YGNz9~Lc&FXM(eMtwbz*K=Ny&tNv5F|x!qSGIDUGrA#1E#{&0TQii|7dbzrX3eu_5i8=mob!!fwW#Ea&?1Alk#)z|J3 zZ0ov_YC;>8ANA47udx*;lgoxhfX3~H%-Z?9UXkN%s(#>!H3$pkXSdEZ|Q$dP6Ca&0)R z05QK@Fn!1BLpzi`*hwfc1E|6tzq86aDeBwpv8F2Axl>bD76zeoB}|e)7O4T2RjrVW zvyMdMt_4D;n9Vt|Q+eWaCSHA@OxLI2cg|G+7e|(LaGIsAI(~Bof1x_JQoQ-1Xfy@Y z{-2A7hXCt0wYf`GSc&2tL;!I*cDm%rDxptS8f2=f0CAPHqLLMks<>T48h&0SqP;j7 zhCER4l=+oc4(QfZjx>Pwe$eFbkNj#md4q2z9=T|c2@SZy@D?!eW$XDh0PpgMO{$87 zgo?iQr%S8urFd5lq8ouNl5JhXp{WEUy+6Xw%%^_g&PDS-@^>sSq>D;e*on(~r78P! z0AXtF)yy2D;S|F*MbqK|;1j~rIrA*@mHtAtrd_(+aA_e%B6F3?8*_eGe(+mhrJJ+sKan@xyK( z;hNQ;BbA|{2T>D_VOypkwnAaHbKs@VGyEFWl(XrKy4))+0DI1On3VpSpzqVi)#g8L zto{x$;n@FW%Ef z_9YYwfcJ>`5FhH70SfgYgOU=~&hISE3KqyCMR6_2?A3P#xO=$TRpma-S(sMq2ZNnv z&7BmbJ6e0KP#Ep3MF|jj%5bRT*IKXb3}ZKV9pnEE>->k6v03NZv@YrtWPPZbVx5pb zo8gduoCZIv_GYyKaMu#}emm22nJO9EigZ7Jb4WFvWhel=BN%T8DEw~DW0+~Kho5qd z-Vw~_XqJYjjSk%#mJ=r3BiC2H{0E-GC+6Ut_ z(awTNIhZ80S0_YAd`}WPz_OMJ0I+aJLFrxmeAA-w^7JMWm%t z+LO(!y48oKrKyw82uhyLcugS?VIlvO?_QEZ8H}t{47U__THWuX^1hksm%CtEz(@5T zq6kmd{;MEB#mkxpE&nY{L35_5@+kk0Oiz#D_P(V<2I$enm=7XGrTotO11Kf*`u+U+ zAFF$o$Yz{lLeew37Z>NKHXyk5U0=hZvF?=>n1!{&(^pm*qKDg_&`}_nH?xv5#oyw9 zyOT*QU=MHm?-P=RJBh2!gjHrq>dfpFgSE`ym7#E;^5e*1Qrym#bkys2Eju)R%EeQN z?dNCfFACMZ+h*d$-%AVACHp%VuD9VmK*+-=H@+>tikHq?wuMnSD5#Wl56kJu(7Azid57V(4DD$u6}=cG_?|Jw77b_Obv3d1ka5Nf7qvS zc~25`#YHu|d@NFZOZ&+TU#$Fyx#p`7{+1R1CQkp}1m67FrGQp}m0Wml&1$Gi-#We2 zY#%GH^Y17sWFUto+b)8&uxu8CgDocEOlze*b8D-q#zTgfxf*~6;^fT!%_Ut2h*2J6 zT;ECZ@ykHBcmEQ%Z+-%eJiF~8JBF&I#b!^mKt`O-$0&*Ht}3Qp!8PX-(PO@=#-lUa zt3J~9{O0$Czc-N`8WYmO{q!;&x%Lk|va9>WigM07K3Rvn1?kPlZJJ1Fs2z4V9B?-N znCupwTHfL%xQ&b{vk=!Y_eF|Bk7Tt~UMS0lio}(a_}&DWw!Te?{T+XcqdUqf6mgwI zvXphMD{4;aLC~+J*lbsQ!{Q}nd(jS%SrUp3O2RClNKy$rDykabVEEAX@O6pFz}8~F zbTHiG7rPtk9p0)g@Ka|_J#uEe$*0c6+(RE$3PM${Ls0W@g_W`!>io+RaLg;f0-||c zuC{WEw4sbibtsP6)lSn7m-fdyEj8($-QPmE`E-5@Y(0QJ|x2kYM_6BZgc8 zC0Wha#$ECnok91r??f-sOfX*}XE@a)nkxa9X*nL8t1NU;Y2jFFA{O_=jkIy-xEvUB zLVD_0K%Ju&@AjY2dCtgpU6o07H6Iq=yQ+xDxd^iYdv`K&mmuS}{A9Wh71qj{Tdw(%d}izx8pOM#c63K{ z)RbSmA!8m)4^sh!<0VpgkR8mf$)BYXsRK9Il=j^9a2_*DzDPzkNdUbB?G!bL zz@ym1*1!W;lI=MHRv9%i{YvbALT&6pF4bgoMrPV){VprcyrYnOAcx9^ZcXi zg)$FU9Sa63O0=rpb{?=|-u)PXsQN%v&Aq^zN{XkL)nG*0K4T)k6!tf)G(N3Uw}^Xu z#-4-@cdD`#vaPM*1r`fSoTtE$(utEdiMeZwfO`ZU?UexUN>$-jSG$YLIvKs5>3vK4 zG+F+4B@wL&wfJA7Hi?&}94kh?vwVV|A4OA ztzQuuuryDfOk9VB4&Iv{;Kd{*yTr-R*DEeBZ;=c}|NNXu-E8C*Vk<&)*Dc=eBQJ8N zd|`#q0%4naxoM~#|K<1gIl#Um<-cd5N%~ekO8`QYa1upbB|_9p4n^IGzxq*Y{je+Z zq$SINVk^4k7#f#tVMs>u!Wc+L@a(gvDYv=aO4@Y*@MTV)BzJIub7u4o%~r~+`H`3_ zB0cIKM|9Pq(ogQ%%7VyT;%l{Qhx`H`2Hs!YeI7m;0^&-8-36176*yv4r)jJ4pmWc8vO-!+B)X@1K(Q^9Z3{6oPx_S~y5(mt|S z9Ao8Wf0)GU&zTEpVl==S`vql2FN7cAdYxduw3PFmYb^Nt=r2+(13YuP18C`YYYhuU zFXrHsgbU(Ex%t##LDeLgV6E050|q}QGr06p^i5rz4+l^1ya0@Wq*yTyUf`AMFa4{t z2%O6ErO#n0eeYCgwLBVB^s;BzNJVY>$;)7LlXf+d>q;c)2YVxH6}KK zaxpe3G(K3!!=-y50p%I|t}(YBI3A@#^49)#JA-efueSjD?IxUGUV;hg%i1TmlybZ9 zk6}o|)$>E$9&)@sa<#ufw84DEFo@Q)@3QX2fnPxa!72H#>%WZ5r(Sf7M(<$2S&{i-pAf<)%$5^?w+sme zVzKk|9bNs_A2pkV4X^n>v~r(C&pr1zo<+9mIeuE?N~EIS+VBe||G*PJJihqgg5%0? zjsI=)gnXCrO?ppc8_Pjk?c>dv=87NFkO9F{>83!yJL5ye<_01$ z+8Y%$eS2Z&1{@00X$;AkWQ1^gV3PuS^ph%C)=E*|+IDMjyW6 zw2#4Cd!f*7>6w#l26k0i6K<7irNbkGz+*mQp1@`|V!oHwV0K_W`xI|7^2Q&CV5M{C z`f)1TRbiz@>&0O-aV%#(nK?~@8OCW;V7J6H+HbqWWDTa!xpSvqi_Oco)4J!Ltz&tb zs5Pt2%i(OWO}z86_&D%wV}E@3b*I{__V>M=R#A1LzNz2pY7%E7^fMzao7nV3X{ z24*j`{#jcc*UtY^Z9F-L?Ya-7tnU4@#Y)epwJcDArZs+sjOtq0nkTo^@p|l~wm9_^ zR9wtaD2>i~n@}=V!HPz)TEswp^pHX{`c9|c!ZZrPsH*s&a)wrwjq)xi7H!CVl$e z&I0ZzB;L7rk$fbV3;Fz0ZTRVfH>C^d0^Y{x!9{-GgO`uRBSv=QqYN`Q7VRv@_?iH; z`T5p(wXLLfwXYyKxs{%<|LIEZnfc_U4FK*Cq3_>V;U6)8#N%BjO}7fz7U%1E3S7AE zwg|07kH2KnM;wVQ`JDT#x$}LxNHJuJ){QUqxF`4E1w*$1SLcm)=TctxJKN8)#1=ER zqps-T>h)5B)5kXs9p2x{gJ_r0-gBNOj^F;7_4YjqG7$g%;oQnQQ<0eO+w5Z`Y2(_; zTf0iJ#v(rskly>+sO$SNq$W1x$knlcTedM`Cb3tHqt>>G*SDB*k0*&=vrYFM``}QT zCX1NEdgzgj3L_2Du5%D({Ow{MY9u^av_;OmOqXGCV}ym3)@~TB69u5!-(uT5zBSt3 zBgoUvvCjY>I6XG@H9;bpUUYMHqXqkZ9bh#Jnra>V<^zxTGW74!_U&o#kL<0lf59?~ z7x6i)8Q%jyn_ni3bu(F6I##q;=stD*+cO&BPkK+B*h^5z!wv4^wiL`j#9NoVMRIH| zjOD9jfy#FoZ^Ff;71KoFPLK2?xv=>Do7htz-hs+(L$x#ZYA)`=xcdK?WsEQy+hRA# zg2+vNva`JZ)Lk#D)s^RGEoN`fns0`<3MUN^?m$_4_v{2l%r@YthMW_Cp^r*0&F=KAf!W0M}&62eGz?dcl`7$OG8ps@46;hXr>^1XMgYQR-ZBlUgb1o2k|95k;;AvgEyR<$lB~!4_%`+fHbo54kA{1r^ z>=hw(M0rb#@)Kov1#X@&3$T6^)=t5+MQ2fH!54^-;TULs)lr?1sWnTsa+D&$+%|JP z|8YETElZ1w{C^#1@wGEf>PCRWCrtzNqsDg#j8%Dx6kwTnr?c$pX+GyYbapdJW-~1y zbTK%I_a?89`KaYpctD%=w{50Y_1qXhj|p!MCVF$TGmmN-MEYt)R!d1of{&QI zyswSE{$Y2cd=EvvDg)`iE3oT}Fbg#2)3 z6Wg+I9mERgoyegzG{braLvAXAVH_%g7&-+l%dlkqt>H2Hf`fJMi zYw(qK0gBHXCX>9iKuzV#+r4afcdu)=n~MnAz22FB2l*GjnEAs__`yb@bCCfZ2Ou}J}_DTkEJMI=u!)s2;-Zw z9Yp}cmd=hpYdfyTnnEOsunfchxTk$&(olp;&t=9Dz;pL7=@+Jl3L6Mu-}&wf(LIx! zPNxCV%GDl%@gabBl1P~IZvey&-lzvq89Z8cvU=HH&H#b@f+Ax-%MY6iB2F<}@YAkF z>;XE>N0$9x9BwLn*}-jKhW>RU@#&hz=~_YUsf3%`wK4CPB=0*PMsV9Rp~*#@@r8X<4Is6_6GMCl*1w)I zvEE>ODx`lZ1gSl}O$@u!yIXqB1>I$8Mz&$}u9LzBglGmivA}qRQzzCj!mID$tM4Pn zYXJIR84^~0FWFRvytaOr>ZWWtQnzg0+diQcq=CUldoEsL-L1w+t|oyYgcp zfS^z>CZ2J#d#7+dWwgnhw;s2)bX zB7DI8598YrCr*oq*5!V39+x@a@w&Xxv;o2PwZQjLrc|m4_R4sU;iFbc9S$Iw0K#X+ zfx45cnn;lM0C4hRN%qsVW~4MMu}MVJ(%+Up-#p6W!8ZIwXehGHCGfnwLGLd?7W@J|E9 zC?1I)8685WlJ+V`-+V*^Tm9R1bOjuUYO#hK2q>;ef+th@hs=wCWUUJ7>GYg+ z(EJXO^`AHY_++qISyOB2K8Q>ha=*mpcnI9qf47Kji=j{qp1J6rK%ZwE|3R`HGOzPV z5uCMINaren5pBa?rra9ki-HY+ohdG;s_aV|CPZ}65zuUf^(NQjM*3(A2aG`}Z$ty*B{=<-6VoxmAu4*lGXG2ju3YlV&OBw8&9@4t?lLK$S<7039XZl_?Z`9u; z92wCTxtDn7imQ!VoN+x$w7=mt>QU+{4c~gc}qcX00VYJ zY3rwBxarqRPJA7^g791XDc*S8OyoMp|L7B9g46#EPso22xfGQKW~=HWOdvDaQU-wM zu{ef?US^ygt7xtx>^}~Ju=BPgQVe`4uU3}P0A1dhFHV}j#Z-R2v1-RVgH)g#d-0jN z-WrmH-Wda_8A4^SyDwfs*=|=|pW}XJN9AHUH|bhyC@@jZX(w;#Q3d@p?XTiN*sQP>>n2=#LYSoh3wCym*rvXHVd> zR3pEx^m!FrHou0SV5^^Q+OuqofRwNLR>C;=*QiIn=H@Fm zc{-<5Mfoc8YE&p|G`eunx3ILgcRH$-I@g9puNH8{vy(Y2aZqt`^Y-GVPOpmLS^*n* zB&V=k4kEj-7r$fW#S@6Imf7%&H2vtV&hb?1Z()?Vhi|Kpot50&nN{tPl%=ZnbY??Y zeQI7|`JK%jv9WA<{m{k6o|G3xh(hPae@LAX`=f5VWII2!uT@*qf+S};#?QQ!^s7Xma{JXeeP!36!SGqToe44LA!N1yh! zM??13>+|vDjH~m$!SmT>z&PTq{`af1aadxb*;2+*TlEUQTg_jg|+$9l{^+v z_iOV9(|V< z4FZe3cnR-iv9of~0b6A{>wp=hwbR?C7rXf5Id;pd16^v0U=$yjv8A}>p{SFQo;G|a zD@!vg$hES$gFWQszOl`G$gc7B5p3w~L&$G$RVVzsuLG_msud=7oqvinh-9_*wnz0k z6B&5YO?L3cf_>)kezG}#|J%n`n*nXmysrgr%-Nh1i)MVHN**pIiq?9KwRw#R$%MDGW!e)>*||L>K9!n`Dj&3d|6Kl@3Iy43jC7wj2zaxY z-S*~hl^?_EiVkdFDqFev@Y}jQg^X^5FJ*Y8capU)X`OHi(&+>E)&Gl9FLw`*Zi#q7;hA=T1#K2gbWTdSzqWziGBRpU948W zR(rpJjLwX&gKxPEG$jV9!P zArjsBj)ROE!P8H_*N-C~yR8~=^7lbT)%zIND?{sQ7|GLA6QIvV_M9{S9i(`F)-|eq zccd`?-y;k{m^lnObt3EcF^2Fl7DS_|dOR{(H&tgcwwKp>?%`5>98GAKq`NE1E42mLecj~dfW8Z724{CVcmP>S-1@%-JCEGIFwOb507sfd-V$+CUt)ho;Xt|H0{cf%b+wSS?pSSl2b zQYFhhetk`L&MjuDW~xYY2KWY`C(@eYE+#6dpF-o=&}^V z{{COD)I-mkXPh=y0^-8l+Y`^1k|x_!+^tFAe+)Q()Fld~A}8@^{|&pzFy4elvm^jm zvx;rpl8-zudJWQe#pgV+a7ZtiY~30I!f{+ED4os-D6ksZH_nNB4~TZ!VjtS;d%RP# zLfuc@SC*&ZzcMC-<}!Q2a-eS{6)Q(MM1*U?+-;;VXr%Wh#8`4>y>*YPrK4){{$BI3 zW?~PfDL)#2IUuR^-{{0=p+%Q~9DD06FOAQ$BowCi&$2Skc@$pzjBuj97d%s8P%@|2 zW_4yUB6iR{Jo9}qaAwjQSF<+IC|>03`7eIw?E*2ZO2Gc5&MUdR2go5vVHZ&J8tgpN z#&V=$8LRx*PAXaSw?uUv`;V~8LOY)>Hn(=_iAHdfFc0{|D=fDIe_;YQ20*;|6;g!@D#AD+fkuZaUWl$JfD!uS{AcPL@>WMwFsd< z&J0*0|CJH2BqffAUQplD{KcO91nW$)%_iF$!?NwG8uyevvUVjR+2~L1wSDV~TSS1O z*em=nQ>7R1-!`G};dR^`{j9ww+!w?APaj!P2Q+>kBJ?5_#x=3>%fvJ-r2)K+`XoR& zM-PO=pR+owcfj7?&VLZAr`lvJMV5Y~O!A3n&U2O#PY#qau(~GdlBj7n_+LJ2(`H7% z0^ELec;o@0`5bd;wJjVEeei|WgR9YKXpokdt43Z}=J24S+JZQKRtuRO4gFmivfXYN zet_jAIVJXQ-nAFe-X;Af-{| z2*8iLG4LX|g~!)oMCVMPiGC(APZRQZsr73T;Bs8NkvZnPh#TS?&(9Ho%wQq(fs1Jh zC#hYBT!GAw9hjNX;=w?BG#Es+jU}}<81YuZJ{CDa*T7-lVhDDo?GwEHw`>Qg6o-9$XIDxstTB|IXT#CjW?@{nsL6P< z@sP#x)#L{b5~BP&CS1e4FAmBP@7X!bB}{Rw2Hh0O%f??#MW>mrdZV#Gb*N z-6~Efq5@>evWSxG-@i4<3+)E4%=vnU*THC06tj_G40})s#X<&>V#pXL=DsYoRt>&y{vBmaVhbz zDnw$t#iOKTUIgT1G83^=_J+41{ghqVadx8-w58-^0I}cbHgl8ir+eZl@VzC!JXNWr zHyKimUVd>VZ*g>oe%}YD(hGlkFz=b^ro1B!Euwquc&od_&^n%U8F3MG(X1VB-CKAB zl+2NrT;t5$QH6Vm1J%ZA-xkC8iB-k&p~3YhkQR*tWD1-VJVG+~X}o&7pE>Qgu0J%7 zl^;c~9FX^mJ+$F}7mI8*w=a#k2MzU z{xVyg^5f4XRNsZcj+paCVhVAg8hQ&;=HFG=9# z*PeB8&sXx(B~;TbLh8vX7gtC7y z&yOH_-Ld6@B(V_swUL_Zo6BGG$v^EkqaM0@az_BQ2oZ#Bz6}G?DxlE5fPeH6S*G}02JIgv7{pPa8FVU#)m7A>rzWBOd9(?_Ncq;gJV0f}lh`ZjU z6nX3tlvrJ0jW)U+5ZAg*B(`~JTb${ENRONeggK0>=lx>eHYr1kei+NUGldsf6=y!$ zL8p`9Xtydj`8vt|_0l5R_idPy zmID3nolD&&aS!|wVrDboME@BvL%=j6(4OpLgr!G?>RWnbsS1(;{!8tpcSmtb&8kaN zuduBa!^Hz9(U~0qg1!UD85!_mbM}B5i_Au|R?tSZAu)=AxW`9ej2NC$!xBi}!7x%! zMgN${C=`RaT%NEqZ>1yUdSYKx(ApTKUU%r_efj-GJnez8bvdRxuwvcP1&4=L4$ZDg z`)$cRaPFsV#y0Y6*J7E7oKBIu2t0X3Q*MSMZGD$DM}xBC^PH(|5!pw`(H{pf3KeWI z*}8$DTLSgTawwG(e5tlp3zauH-`^VJ1<^H+sc<2w`Qy0&m4rLVwT*A?FU5I0T9-Io zZAfl-6yKW?HYEdW(1eTX7?0W|F1`C+BrdtTh>?6hKjdw$`FRj=rp0Txhnj4yofa17 z*q7jpIjPJFN?6y3o8Uyj5d8;aTx_u49co-jV zFrOzngkIAe%ZF<#lpLM4NgXj28hF?~6#BVzsaCEVgsl0SDQ%#Jy{Ed3*zW>c;*}^@nV$`ng9j^W+bdX6*HIX_8Hqs} zLhTZ*QvuvhqCQc>B~#oPMM@B*q2=Xz>K-JolNJ3abZPiIfgId;mC@V$$CC9uK4hA? zIThJ=y-c*NMh~B$X|?tJl;|URnA0TM>g&c1>7Sz=E?MfweXI>saJU5mJE z^Qwn^FFk}#1y_X3Eti_#zC|=&i{Tv$LH05#Z60d?=?=G{3)9+IE-$Ce^`u<(EIl=D zI=DN6bJ`{&90#O%c@V&g*3SHpy&10f;DNvrF)EU10msiLNIm6qNIzqF!R7r~t1|p+ znpdE+6IG~gOH15f|Yyp8c&DJ<@oRS43S9LxASs$m70!vrwGgP_Oo(Zz@N0K^w@m2_|h_AJ2IdZ+pRTr+rmlt!y(#&=!+=^ zcUt=-Bv~^S=l=y6_IrEHB(p#GuT8^)+N`(@w+zL(9MFI4*izY!Z$bOrZD+PzZK5|& zjC$((T0l7ziBkOfV-eLhXdOCn?~6C&i#Hb5vpxNnLzJj-kv34M$4w*joY;d`E-TENzvRNdY9yX# zA;dCRdC5wpFupzF?nb?mgelG+s_9xz=Nt739M_;426`LfP!CaEzzAF2tX0+iGt5( z)O&(d=F7k^7&x7kSZXudRdn!Ic_luQWGCDUOFL|aK*KBvV)p(m?>AD$VVP5zMBQ9kh)#FjG7bahL2x+Etf=$+TK3WqO-WzK)Wwqv`8rMcOFy@FFQ#bv)Cg4vUfUbd4TLX zp5up8%tC$ng-+g)xl5 zHmu)xJuMq;S=v(D7EmTnlRH$%o_0c0GYW@B=N@x#ZcJ~`W(+MY&D;CnArP%!33{OmZd|l2ZiN}*Zh5TU&zBX-MVW8_F0!J`EhuA z`~)b7C(H|4{TQWsOq90jnio*ogxL=nE54%!ac>|l8q{9d z;`HAb@sg$`YEI`A-Lc5}t?^*BhnS@8Q!}4cWf);zuJ-&NqOLp;s`mT0ylq5_rLwl6 zlE_{Rl_&`bgRy1FHfAK*MyR)tB$e!2vNK~WGh<(}hLCNB8Dq^l#yHv#Q#x$yl&0ozwdmzU?2c8DLajSpv zA8g9GR&(M%PE9qX1zx1RVRP*jv^_&A0X2s`_NB6Zd^`SowUSQykRs@BELG?dK`FZ7 zefph9$3WG9?P>DBw`b-hLpC3OK9xHzZFO5!0DkUaYmNFh`TeFgum=x~6}ko2Dq^RE zcvDafzb4J9o@6L$NaVPG*RwHt^tSnmYUF`{pI7OpqCQp?nqJkSx7?1*^hKr=IL@E{ zf_bc77huw7LFzl?jAVxtBx>ndXQX?1wY1|QBGF5PMC0v}Q&GKoIrul;N!v|*Cs;m_ zNGA*`_`AhEHx2pcw*6MQ9n5;huDJC1?T2Pp!cOeDGVJA@bKU1<_5Q~TW-5wgmz4+=J1r>{b)-6`_Gby z{RIy=Z`_G!OuIB3x~EL?`a9E=JBL3`Ukr+1z0|W}%(kAWF%l$@Ul{(Nm*32!^+9iG z8XMMKX!uqz&yr}#LqWbks37w+U(xyh8rwxJNRn4}g0hW4mxSJDYI9v_*r z7MhN&XTzrS;3;kb+bF#ue-CGfsIvjY!QM-M=-Q4YEz3+xw3dhJ^oC?t1KW6w11aPn zO2$b!auBaUAn#Z$-e73d%QIF#P@ykKq2#1oZID9RN%zQ8?nt5RkcfIuU{7h`f~a%7 z$L2UNF-@_w{)+Q0^JojAFfvJ9zQzihNk3_bd4-$_;EXB9+uPtrYN`Az7-~TrEFJzLotxwX)>h$ug!lKeCrsr(B2cn6QLm zz49`T{@vD*rse1hr7oh2<%kg)Z!OirS#u0JIj5%|o8%q?(Bs#4DG2-?;RJrKKTbF1 z8XFH@8WPCm(;m z((qnoOw#h_K^X|lUT%3)_ufn!OPRPaxm>5Wi#q_99qa?+8k;X{5As6a2}xiH@;F|L zfIDl3=#S6AZ|isqX8pWYaha{^DIbZ~H?mOY&}zG?{m+|=wV><7`r?v?c&wTP~Pj1MxhYvSlV&zkgy zQc=%uwMd1SmX+r`7Mk88jtqOydsjT$Nod+bJlkAo+8TS6rZ+@bnkBrOEiJ@cafW+2 zzq#U!G3y<8&}&;-c*LX2Q?t{mGwy^rO0Eoq6@*X}9yHwh`Ez#gnp_D^#Pj7DlR)DW zp2?6TAw%UYX#o+mUTfUxqgcVSMi5|cJq5tH_$6ZN{ws5=Iw zO+~nw))}(b%O%Hz_R)+H1~OQ_9SbV8%|V#?ct%J)W2ja5DI@Dx^S z(7&@k(c{5L(Uq3@!u~(Ck3Hk>te^b@wd9sd_EA#znVB{)U2T7vOR6o=e|ViMUpo7} zj*yT+?Wi04P~o45-k|T)gFoBn^XA$a^A>i4spEwq$lgwWQmkjnsq1o@rv(hXZs2bv04}VR+AE$PYjpSd zkmRj3t8X^k7xY_Byb^#MtQKvpW$oWLkKzBtqU6Mv-KBs(@*@8&k7$_DG3@CZU77VN z3+LuDec_L6A=1>;AMKVr$!UY}Nmx{#cJ4zjJ~{0pG`B8q{~yssg!k3Zs-Pgv%) zROZ`%awZ!`h=~>dk|MehS0bc6tei6mHVJW!&4pb=$0n2eChdCE$InOoTbvs$92q%H zPuMQINs`I8Z_-{V5ssaU*%CLns(W8vtb@odH(uZtdEU|DTHwMV^39U>i0jdbk9_yNsnZet0zVq98J3CtT#{lo*Hx#3=k1jlx7!9lbA538_&aA zWe%C?TyOaNxF?T}$>9pszY-=!2}$IkAIQ8tJ)fEF?<|UqRG-!|kg-j2{SX70FN(T) zFl6;wn0l&V(y2>oUau|D9~?bZd!Ge+*qMA7(L?vwTZqh$)em-Yw2~fmzpX@pcP-bU zw(#jEnWQlp;shTKofNU;VS?!*WcqXKcEAEoe~h@FDXE49EE|h{DtK z1MhQhK4=wnuqM^|o^h#`DGn~y;_#92nJ(VY9?Hq8b7%gre3zV6$1@b3(mI?Sx@l@D z`#}dK+K*&0-dexx=(vuqN(kw>sXBPT*&MFh-RM?&FDJYB-T+3<@wS>1{ zsmwd@_E+Xex5NIs?GK(g+Fa-3<&VL@wCpgjUnP2GsXe`BubLGV-x$cmG&?wZd-d9% zpv1m#;tb%Y7=%=R#01n@ykz;uamrQgYdN;+Uc7)`kIRD*E~|+Ld1VRl+gG5UUv?Gg z$*$2ZJFfaZuxJwRQCOpSRu~?YecYYwYvtz@f*>bnlOMKXwqis#o_wg#Kd<=6LbO%F zFCl0yK-96gU2NjaX6yLs()DeE!AfJKufnB`q)1=SGVj<~%_(=Cm72)DY?f^qqLMm2 z$7`Rjwx6cb(&O$%x!Z%jwToZKBex(0NVj)YPPKHGw^he#2V1W=jwnAE+?T= zPZ3pwgy%oBP3|5aD|TK&cf0C#AU&zlH&DX&s{h!LGUtuA8rnpiq*+3gpxbe({gVo2 z7QABfY6&Tb7xucV%}bge1!Q57^b(iyrDH*1xebB(gi}8PKc8W1S(3s2%ggWh$%TMr zYo}V)A{{qY3WLKB)?}8wGHjC_;j+(4K2@ig@r&q2ZYY)1`q1)LeONoEIcuoK4r55U zkz{4f=cX3m>HNhqyc60&R94WcAfPA;&RZ>}&-EQOMDUa}Kc`g%Am-?Tx2G50*N_9}W-PT|aSVqIMHO_Uj@myXcPa|SnI3w#zyww;zvJ6PzQT*87wimN;Q%Kgm}NvK$MZyLV~B zdZX8#f*%@d;dqYZ6S%NpHI&0qQZ|&c;CR|Mb_s_p4QS_8UefE1pGnCHb8_-)=I*^W z_-1&1IxELsE-g;`W5Nt}tPZ=MQx<{xR8`ln!liUg%NM+sD6l#}q+Kv$wllInl#{UJ zPDYXB%Q{-1eMx>!3?9V5whyP`RE;*1TR{&p4Cd(Pt#{X=xl)o(%$QtCfE|YjUU(@r87K3k z$-Nf+x+hI_@eA>ml|wZ;WmB)*boB0G+zF==mMUW9S3`j~nH*a_rH6~ZZbD2fVmSFS za?UV3leVn~DK`^&H=9K6jc9({>hN>A_G1?8d>4Apcm90XmV|e>7Ms6-*~{ZvdS0ge zsw6=bmsTZf;Nk$j7S#!m6#1S7v7(fz3E_ZnL@;CQ@dw^Ifn2{62<{l|KHG3wgVS zI8o&=+T|hLl!LTH(E`geinK2~mM9SoR^lT24zd_~h7v=JpX8bfZTdMSX>{|T#Fv^m z^ZnK8NBT_`1%sL*a3AN<=f+sC`;28|BsR8^og%Bkm0IOa62i;RMD!bJWYsW4ZNwPw zI~3pze-IxUNrkR|(C1?QnYw}^P|rH@(@#b87u`ohJbwNKUoalUpuZHUYgME*tZL<9 zgvJy+l}5GW886;8T9u@K)RU(~N2iau*Dr<=J2@c*OLh;N&uqs9;R{_|OXmeSBb@xJ z1Zyp9y`wfNr|KkJFUQBoxM`Kk5X8UCz3==U(`l5ifVXvYy=JJ(Dtg5@W)Y3f$@r|# zDJv^4WABh@teMyI!57)yjS)_=aPevg3G^(8PA=_E&NJ5hA{h5LlzyP|;yq=v&(Sqv zdHCkn$dmA-1l=TpQA`wDh-@(XbkibAgQ z&7)hB@lm#>ACG$*XG}Q2+cQ)ts`6^9Q4D#l!DcISZhndK8DwddaI2{mBzhx%W&qN! zdw#VkUqIw=KU5%;_-6~H)gKyTdc(Z8rcn8hQG_Sax*LV_R`DcS#x&ZB)-&&OYF!<5 zG#GboY>hQhr?sI~^VwT5{WtGkvs1J33aUzl#*(G3Pi2e|ueKQ2Iy4!9o!zk#DVgEU z?}3U*pbbgp%TAiZ{y>XIYQ-;o-&6pbx$1TN*w{<>iFV=v$@V<*Tg0~dd zj;O=TV?-Ktv3MN5eOp#wGnCkI98%C0R=Q{|?OJ}r<@_Hb-K4O-m8h|pCS6u_c!SYB zg7-7`5!*osr>r@weNBC>@eA?Sxd%?6rHb&8{Hh9N!t}?--77cLE%KK_i9z{L_7Ap) zYN6~H$j1}jq04IM&kOUI6FkkO-;tx}W5&nbslPG@q3oK!;usd8EVkZ;1<$GN-G9)> ztg>jSbJ&kkn@atUEW(pdp6~Q}Q70 zE)e5ccb7AiFa_h4hl1e$$?;wa@8DJoSG^X7$al^&)QYBix?LZ(l!1I{72|$mP=mSl zlTdbx(CJ2**<(OYzkr)jWcEjfY16LVDE=opGG)yekAoyHWfqq=_RfYWxP|qsN zYFYOlJP}9qLT?dWruT`4)Bc5iNXcQ|*>kFQlnZZ`$I}w!VD_n$=rWq$OrAt&+V(}q z{gEAtG7WX4LoSMqy7uT=#F$N`J-g2nD}^kM?_igj@K7|rxpwXh_8mff?2B%9oqw`a zLlhi3g(S$~Pd)K^3=3_K>fDG6tD_6?i`Ik=TejOnQHD3?YPk=yYhTrPkY+r-{S;mD z@Fst`l!&~jguVB9a~SEajEBBPmaC))M)piY%uD$RyjBnO=#%VnX>*cu)mA9ua_zONT`$E$-TkDu;V)cmv*Xw)Z zL@SNwZwgZ?_U2_bbl=TZDR%s#Nqk}-s#%v4Mo94yE^Lp!c|_yRn-t9l`>LVj_~7vH zeIp*UtJ%q~WDLp4ukd1 zOSR7xQ-zdyi^(3UJ+T)gm$Dz45&AjG%kDl)t6Iu#_hzBQe1$$Vn6hQ`5_J;{y3Kf2 zQmU5rxf)q`xsN{eD^eh3QZH1HLqB~m&_ne8TjF*;5iY%ulPk2r!D$+hd+Is$MfZEU zu%X-e?=H37gp;;!yLIei9U>YV7*NXQ826Q{rJ1t`Zx1#<1aA|Wg>vVeab(U~5kg3< zRZOotb>vIC@v5wjN3Z>793nF(ZoHtVYP5~|*dlA@mYx=R?6Srz#yn3&sa-^x?jehVHaAcRG(IMS9Mad;pp&&XT^ z>UUd_c#*EEA{251Vr~(d5X33#^YXSuXh1To2*{%7QWIce1Ser@QQr?!ZgAOgqYP#d zilv<##9nqBS@o|Ho{p7PJ|4@FLcDW8bx+s?>ye@YQC1 zP9xjFRjGo$Svd`qAeI=V2kac;6GdSn(vFM21Z9;DNS1!LNHVp~FVW14xmc@onP~7X zo?j@EvqLeLH7JVCUShn6p=>|0;0RK@ZcMHjkGN8i(U2S&X&CAHTeKodxnFSKBnt)A z&BH>G+>B=asEx(529~@)^B&>zhS=VO-^ol^mLo@RD4`dL+YyU)Ypf%-8y;pBw0?E`xSDQg8v9_(MsZu)S_m(HgSKuA zebr&%(x(x9j4URyxsk|Dd+yL#91L{8siL%5%@46Kd>K_Mn0(`iR&caop?X9d&mUn^ zD4k1nd*Hz5=w}Y38oQ^Ef>XFS9$8sT<``j_l3}4Ml{HZmr;<}#l}e0-5vr>Tg>|pQ z@H}@QFFjYlJC5Cw$2&UpVX_wM%wbnj^7z>cURTvsK>fsggE8!p+c8+at0)>({C(4`5BYhhwa{y91Sc0Zj}B zqQ{Ot(picR&|MI&&}xn;ol0Np9`x2iP%c{{P{@EDP2Fy-0}3z;7yX+uh}9c1h>Z%v zmAdVwlZ)Apx>sg8v)i^a<9WBS(C!uI;3_D1la#Dy(QS+2z{hBvyyAW+7O z@XK*5fTF-yq#4;?^>)Xl}Tkg|cvnlQ0iS&%rONu*CSR1XcFQ-@4 zVe3I$ExZNQQyLg~C8J6>q4i?>c0?7i-#0M_U%`72t*n4|8rj6mVtfDwwif=aA}Wbr zaa`+U%{24Yau$b$B&+KOYUfQ&SYnKGbRq40@jV8E_V4CHXD0ZJM`IT05^9d zljg~eO&Q*_@FYfWXA6PFc(dBUXJF!U)Ievct8vbE}XKfH?=J^68OTEuw&3I z4dB2SxvQo^FFTGg*j3DRQSfaV@a02xUAf7kxdj>+$5+K7R89_krlJBUz4C%fj+-=N zP^R~0<}k*b0PUI0T!bLz%`>WFqZnyTuR8sWyCWglLSscFj&*9f^f4nu> z_s>bm)q4elSMRucV+71;iMY@)yd&cZalp5>&C-L z)kSr1zW;P!+q`yv)!g-|VvT{!up}K%)(Zd!g?oi$aJOD!K&55=y%y zyb~+3d)8_NL^0SoLwD9|$9t*RX<;P8a4zLMuKyAS)t#}>fmFkpkF>7jOw&~;@Q0BY1*16qJ%U!co zLYMWM8?3*qw8AeRf<;gmhIX59N}#lLSP4yZu!eAukO}DYOpiFhqbho#%a8 zZ@V;MKEi)%X9Pjx2v~B^p&)jz)C9%iTJ#B4j9P5iMD%Y(m z$gf|!nHdP2S}g2^#*)ZgRz=?L>kDMoZlEXBCk3{O+lTZ*!VZpkq9y+1e50{$x_aj= z9}gM9)(SNBsX)TFLzWB`4t!*II7&H+JzY)R67fKb%!zoSMd*C~)-(2CfNKFM3cTL7 zE3|0u_=(&N&+z(5S@A_fx+kGN8X>-HNYAgb@-90Q0KqSVZ#F3(7%>Uy>H`nte>Db7 zs_^<;A8JxC=${#+7*8C~P$Vv!6m1Nz1xz2jwrnVvSk`U@5iKTz5r&%2l-F9->aI(O zda+hJ>h>FWdQXPk~2*YsS{vn2_(!9kQ|5owP zcANm5r@BO!5#hc{YZO_P*gUpXyw+L*fvzrlpjXN}tf<_$W0Xn3nT7fHa+Dm*#!n05 zx$P5JtWV@<4tir=9jm>%j0{<@fX#Vh!9vd2hsa*t$=(kn2B_m;Q&aG& zwAF`-Y+N3`9(A!Ixc-6FD$XjlA{)O>SA=@Yi?!L7)~(a>Z2zsFum30@DmL{eSGH1f z(NGXSjJs<=oWY7BT%y1CjBxszCS0a8TT$7Z$=`dfrd>w;DNlx(G~ z^xXCVB>{NTo|$l&l6V@nDIxY?;LILh)1_a_hP;2+7Y*Ivhdi&Yvo9ICE63K2v-)W* zkQil%*t&;^RCNH3A>|Gb-yP3GWFES}zDw8I2e$X!Ff+4fsD*GT%Xy-g2%4C0mW1b#?zeKSJ|G~<<`PMw zN(MNmsIpVsO;1dg^hS_yFH-7xxW#7;t4I9=Xw@yJysmD=C_>jqs^>9L3U^z#t`K|b=l`ANQZ}-!4$?Fh-tWAHOrLBf0(Rlx23co{S zQ-BP+)ug$*m(rk@BGmV1K!)!e>1n?$1ghx!!>YFRQFWsM2w}juBdu63^h#4e)V)pD z+I~3*bX{>Ycde;F5$g4lVpZ$goYOW|UTTTvJ>lm5X79mJ$i{Y>qLL^5;0kQtviUK4 z!F#7=BM;7$UVBk8SI*-EpZzDqHO~GqsYh(~r&$u|po)PNJdOKadYxMQ=v31knMbu- zuQ6sPCGLrsj*TbN_~I6Y6k}HB*RlmaY8AJ%sgrKpoG5uCe^bnE<(`)}_{{@Sl%{|~ zUPNyd{+<@BwsqXVQ!R8cpP{2%n(z+pA1@F-ojY^1iZ5)RgbfrYt1~H8nvOwkiGmkEe@`t{aE%LFWcS+ryt{8)@OWR-Fl+SXj>V(m3`4>}dXtk1M zzqHQHPMxw-<4f%6@xsG{%k(3gzti_Pa?`7<^T%(-sFHfF7Pr{6G2Bs7xHIcMAwtRn zxsxjSI%YP4int!n>0kCUi_+vNgKU4hV`rjem)$DTiWoOn1m7%uJ!(FbD**l$&VoeF z)}2_RL5M%4z8b{_*k5dHe)*f$@pHA-U)x5UhwS)=o$uVwNZv(1Gh;7&l^hDa*X2_F z^z1w;@^gVeTE11xxlF3#T$5u|k%yg>H(br8Wwz@v97vSD*f7(CIMXrHSeWg{ifaW^ zwA}24Y%NlcflL#muL(|UEYGA(Wfiyl{f9HtNL)8nLN|H#LF@Kp(;Zww=%9;KY`~AK z4OcM&I~R9pP`hR4v+6%8@!AaA_uDas>3H%Xn%%+Ou%hGz5Hyl#GkjXUL zH5v>p-(=;t%9>BJwIxN^k_@a#J#^`C%si<}teef%+tn?O`k2((ls!h36nsG};Pv^v zaCys-`gqH6Cj5O`sj*KpIPMhd(M*^pfp-_gASe6IjBUC0eQ+9h`|K@}Jl17*CkVc| zf38`EC-X7FXZ--5gIBpKT}|uoy>yHMKbk-8Q8onY(s$Z;K{73|orf*)#mQ$mqf00} z&*cnm`SeY@G8o%WJyyQX^W36w-#qts)zJUQ+=x z>zW(#Uob!Rin$L?LR1qaI?=QkGJQQIGmHmjrn0!7L*NqH5AM7xEpVuHM z98V%2h6{?H&`j5%@zK5n?2E()@Art{!J{!yGehHn-&A2^=F^7arK^%pq{=u~RDPNI zq)FB)QQEW&_md5|2umBe1UtkR>)}xb6H9m`_Uus!&J4p{4_;D{ZVF8b-%IY&5C@|- z78a7)`Qfa<0~Td4%$~>KN-w-B!fQ|FLjDpM+@`m_VUUMataIRSV3ag0K}fUI$5S^L zCY$kPKb%)2AcofE=DKA7>m;oEc;DQHU&YbON#Cz}ALBbe!6xG{;Wfg!rqptI2A_^_ z|ML8O$6#@U1YGWHmv$?LL&@`&g2}EcV>txp79-;%Vwm&Z3>nlKkNn-nP=*7;?q7%3 zZ^&trU6V&xyXv%$`y{V((irD{LuCHLsGro-G5mhkUSZ;%?$9j`G(v| zQ273mqvrzdDKar3V1k7ThNi*>zPag_!Mu4QnC56)b)Xy)S|v=;JQ#=PUoSJW}9KkkH2N!Cr8TaI<&?FU0L;aLRkaXw-@gv z?BDhT4e!}{<;U&tEV-PdrQg2i5PYl145Or_fv>zxuLpClu43BKXN-j=+(-kqEcte2 zb=jWW83_xnB;RLVX-n&xO!|j;rP31}iU6tc(+9Xk`(df<;iGHcc7}Jx@cS`sdRY!^ zDtpbeNgUWz-^fIgce)vd@S2I4^&Ehi(CpUnMORiahoB3H_T23C8mavQPnzX`Lq`_w z0h^jaCcFk7QJjnbSj<%BX9LEajO{4i*jAZ#9&q6>!$EmC_N3fp0M?}*o%OqAF3!FaO%l%0N&Wyd=#F%qQ#t2E3K=KURNiN z1eThEm~=;KzHQ8ZB!QM7^cg=tsmj2`O=t6eJm=wbS`&^T+ zfbE%G%yzaxmNrlOWiXl(ShpCHq+{OVAgb2{_~ZAhMf?J>;F%$<)xd_L`+;(v&+f3W3sOqDr)fajTDvLr)O? zXf3%;``=MwR@czJuDYg&3zJ|v0Jv3c)B%^4lO#ETIsL31>T{A^*BQ9tA40o6=-(p%a6#<~EdaCo z-^ub{!n@L+&KG7HB_=w(u3ntv+*y+Eym9B51jsG_J07N;b$Ji)x#&aKz%8%noxs!` zz9tf{gN4dfq7kK++*3jPQO-UuymU|G2on+q*tKA0+F7a!yUSDg+D#RL;ilgQLs+!$ zJ4AK?U@5q}0~Y2J48Wzze^>JRfFD?9&WpQK6z4J1)6P1&iz^U?t6xwsjO8b=q{#BQ3IV+mObTbcj?sJ)&vap`_yAPT^YImBO< zbpS^Mm&bmUt~__K&j1U}SIZ2x4W7a3(4v1plqX=X*Peihmf(*uv;4%`)dSwi@lXxO zhl>^jKZy%REYFpQzWx&w|!Q2Mp7cGcp zky`uMwTdg^p+Ta%4;ikFI7G9@7vA|hhT*y&3MQ7l3yR=V<^q{%T|+E8fF*m(jfetc zg_+<5%TYaE4dN%}!Vb7t`N&IfCI_E*F1-GfG}K6fcjhgb>P9lN1-xZ~b70`@JuwGL z{yURHqz7ET|Jb1_UY?-K;&MZ2N59on#u)!hSex&k8 zfi}q9SCYx{TuS;_Xve+GV7XG?0yVK1G<<`q9c_P{^5 zB~p|1k1yJQf@hgc16uKp5ygY+$sZzD!u2Pa6Vc_4Mp$UzXM8Zna3n}y%e7Wu1nM%< z7)ziD+nSWYsHFCSId8ffux#460Bb;THNOe6?zG0l+m-ScmH-jFHR|9ba?d)g%K@q) zQj;G|1tdkSq#J=T4kEB%*fWkO=7s1p;KKG5GzwqLVR^B}{Z2mfVH3YH46+pXiL&J# zQRZ0aGP@pczXG2j2(1WyJuCtKZb}n<`nTu)l!U%vu_Mn4Uz2k{)|ZZDW~LJi2j6W= z^sRBqSCEH-!K%y*$nd7=f-iHhP-A)ajasu4`dy*fxyXE=RLguW_~Aivi0r2 zCRi%lWE_l)H}8rt<)%U%kTdE|&zl`|VDg zJNnfW%**rV5)g`mq9QB^@ne#8;JwxZ++;U+G4vTb zpqm1lC|<(QeNHCvAC$*6-`+jv!41j;V;4=EU3#zl5v{UA@6?K`nILLv3Tr@OP%n13 zcIO1Ced6N4K(dM$t|;_=l93Qo{8Y#D;{yOCDyYk`pv#zLgh;L2$$On0eennWn}mlo z1am%^xT8q`S45)7F1>M1u`mCE$_u@Nf!D8z{L$2zJ%{ib07EKjLH>ZrcZ~fV|47@* zkXy1i_&jjLIYB$^yx#pq%ahjCapj^NM&R>jrb3EanPA{hmG}O`qn8Z?bMQa;REgV3op-{W(~xVPUL6FW z@z6#xZ4#S~23ccrdc4XNMnLiP&EU8nh#X1c+1y{1987x9ib?A#{^u{#Qil{-5z}|u zr-sW_#I?*S6A>*~1BHgCeoSfVbvu|G@5MMao_iwhxUwutCm43~w&39u?66Z*Ng=2R zhBfeWa$%;HAQHZzcxr+4P>a-hp8z!l>Z41gebROm)i7lo!9V2S~u@9%d>aM zqC5F5z+~5j!aj%H!hmRtF3b1X%ZDy128e(20UzSKJX;IUt<|m~aZ{Zcu8%i9O~HV@ z$xN$WQ16-z>Ns@y?JHFg3s4CJ}%P(W64! z0-4s}D$iM)f%3xEE4gbqfSC#B@+&Iv06V#G_RT9hw)6=6)j~c?%kE%OJniE>0`^0; zURa<6$(c)u4d%L7x3BB3u`3_<{3l9A-sb7v*XXa;DsE<09{kb9FhEd-3paOs(fgIi zR4l+3`sU`^S!0>WT?S%1XmcUSb#_du3;zw^fj`?i;C$ZS1U^P^%1jHBNj4q!Nxv|C zyov_%@`7M&w34|}@c>x1P$vR`I!R9JA5TxwiMWUEa2cl}=xTF(+or}?5z34(I zAazXc{p-4wy)*@yeS}OyFfO{1Y00N!T0Rjwis)PiSdVygjU6FRbWEm(pAg0C{MzZ8&J$i*JF9Zk0JbN&qoy^HEQp(pEO zy_$%ELGpGLE?~Zm&;NhOtK7bkzMCk7n)Ro-A@LV?s%tfj)id`{Q@IRxAdTGjK#n}6 zAL{i9EL>tDIeBpyNM7UUazF*d zpq5ZIA}X1E_5Nl?KcGngiE?)H4Ja(>VtTy3Nz-E>G}nW}mnS%P#nX@IR#Jz9W+1M= z2?_EnuX4fW9S{VRFVINvXjz}^^;+;?k`O)l>RsmP<;~|1jKJ^zPQP0Jv}?!%9&dX# z`yr>P%?D*%)wp4=Az@9Nvwn%0&8BS-fmT!;YdKj_DNy z@#QRwDU;G@X2KTsrSmbd(N)>7S1!L>Rk-b)v;(YqeO!Nz);-k{xzpV&!o z_XSHNk)&H88K;shW5sS6vXGp(6$Sb1v!f zNzAH4crRdJMZYyCRs@pGei70B0=A)RS^>I(1!}Dr5EabINSOrQ=ZuLr4qaOL708?x ze7g^DUJdv*%ZCHUPx|J7#^85>08rN5)B%(i(zI=t2=3QFBnL*2x2!?!*u3`e@Vc;m znx&$^m}00FXR2$}n{y{1TKzeI4LWwSbX^GLo}t|WfmUR&eTy`_$ds}at&pa_MQ3H= zen*fA>PL2Q`+7e;6;O3xvggH^d7u|!K=dojEsY-Gw13OIV=p`I0?nRL7`t2jZOD7O zfEEWweKFx(MBl47GD$nhF>Q92JEK=FZ;qqLDlrq6{yOvtJsgN5k-jk1^FUgrs)^;_ zB~@=?z+IHlOdDJZQwK2>sbM}IBvtidnX{l6RUk4eXh?6G%uf3k6!#QRe_i{V%1$NJ zfu$c*-gyGq!==&yE(=_mPAGQChkT}NWw>nVXfA-T`nA4z!8||v)tcIm0 zbZi9Kbh67VmO8#CfUHGGM=Y1g+AOXbp_$UHzn)ia4Fs3-n_bV9=30?ZFhuWJdX~6` z?E|p24pxUiF6ID%;It75)y@j$PmYVep#!pZ zT?kNVd6fj`p z8@>v+6CQ3_5R9zP-?CWFf65pQ-vmKAQ^VU23%z~= zx>Ur6aPmh`piuaL5<~_s#q9PCKq*s_9uGzAv<<`6q5QJ}#P)LX zsw&~-ejvAPb|?hRl>i7}^DL8@Ia4`?H_rnd@1T76Z#-4}WCG;af4vVJ{R}u1zXRK} zVJaZr8Ic?L@z8bEzs;F-^_I-EW`jLD4C!%T7PQu)f4BWb6OO*CrH9YhOCLC|sdxZp zp+kvh$7%XW@2=pF=rCsK^ukvydS%N|KN!ZoW?EtnC4uiZZfOzF-g8JK77ntC7aa*O z5qBO0Rf%~^R$A9I_g`%`9kC#W^9;>L2s8*=16^_oKnT#+6!G@1l)JV59V`ek5kvwL z?aNJm%rO3lNR46EA4T0ei%Z>IT>D|FctmH1gFCCe??m~tvwf5cJGbyK2@k*S=fFI_ zLyws@rf+sqELcW_yC+c6_s;Js>5B%2U^A!vCIo+0bE9+U0aF({EVKU2pw#OIz|$E) ze;I+(%@u-itN0r~?)4_R+9gWMd&INB1W&=T2#!t^5BFbq2CfLt+WYoui)7Uc$v-L; zrP=Y&5_7vAyi>k(=zg3gLsal{(@{*D)ApmuHMET#B|mqi zmBI8n&dVQ6NlK0gTIMo^Kw(nV$&ijQo+RG^p`I7|AOn@akxX08w6o+RfLxl%E~>pl z>60}ru|+cXyS}^C|JQU=3HR^>bE)5*3+S6-fk0Ha-p>!{vV2D#k~jYgA@};-PHFl2 z0ig3I0gx$=%&eF_M}$=3|MI~CsGUker7e=k9#w9}pf`fJh|Myf$Qb#&VRj|(Y{{)@ z52&ea%S?KHGt+OVorO62SBoLeJAtZJu845*5u!9~0Bmfo${qvNHrQ>Vlk4V~dS93% z8?4m-rwVl9L7l}Adr(utK5PD3WvCZF<(hMp9 zyylx-H9IH!u>y2p&)tr8DiVpA3qAz4QcVH(Akw^a+nGID7)O6ep9M3M2T3uvnl@B| zVSV>sAUZq>z)V7=8K4;eMCDG^Bfy;Wiy0uO`sTHm6%dXMAZ@1tqDXJ$#xF%VV)S?U zL+d5hPuBgs%23Ow@F!*m%Qq8{L2#46DX=j!lb?=o;-&YU1z~d$NOCIw3@9b1+5QWg zkH-w{C=_Ae75{9)r7O`P?4)7bI1FGVdtj3> zOUB_w4;|IH#oP|OKaLCrO)-Gjb#YHXAr)+E6T35H&8!4LCm7D=y#OwO|49NpUomJ%23Y;m5a!b}XA4ny*sS%% z8rJCH1b#dRuoClT8VnA<{d^4EbH*_O{Iu#p0*j#uOX=XwVre|M)7l<1mthb~_jd*% zsemPT&f9`{M9a3K4T7GY>BED5yT$J)Q>?7H_+EC0G3L3hDbU%5TUb_r5j#SP7!C`5 z2L=Wxo6JV^px`4gA$FhJfVUJD<7`i-Hq)EVYD=#Z);Df`oBcnkz5*<&HELJI0R#~g z=@3Lg8l^*#P(Ud`kPhh>x>E-PX_4;EVd(Aw1f?4Uh7jqNl)T^O_}}~A^E?Ol%%0h? zzIVOrT`@D*6vocz{n-=k%krirhN)+VfE}s;`T(ttQeR>sDpQfu&f(orpi6$EP?wpO z<_P6cAn~3k0|i6kBvDNK1}Opi$Tggb7|>kXaQ2Y-{-xk4sDd>>eX>WTe)r6(Mu%7i zJHyGsaBLqmeiKiqA}}*HlD~dSz8-YiF#&jbgScfV-!X#iL&(8?vX@~4Hl7AuAWz!S zXXj^0?x0tp>)=>*Vlg~8hW~^K1Y-}VM=vvik!Fz1!7Y=S-#jvWx^e8#u>~BFK}MHM zZ0%Q(&rvu;Cu2D=7j2*o)HA+*8`lOmU~>^`I!svIGiQnLrcICst>I zWYX?e_TY-uLvBTId9&+>o1wT;?G|d4&&f?w4 zp5X@V8#MFCHGh2@&;V2uZ0{Y^-dFNv$?%4AbBv@#wyJ} zn!CU?#yef#2@DD6nFbLC6nc~HhDjBH5F($>t3BT%e2IaSoyDy+Gv4X`07&{Jk%vh+ z|9=N|9vv#|bJ=Tj(+J`JcMmQiV5WgD?CoH1w-vz$3A+YH6u?+vHl@5Mj!5i zrSmMhlBo=VVe>A|GUz44{>y|X1U`i2=BQlxueA|^b$T7Lp)^w`o=tuT*3knXNh+1E z#9#?+^4oskMCPb`0Ivk{yuuboU<5!<(h)>4;pR9%T-Q8)E48`|O!EbC5YEH?i*}T= z{Ym@~gzoq79G3N2+JTXoib+7BJg-kdi=^^0G!i_p-~=uAAsLWRCqmiwg|0D_ZG$G9 zS4SdfW|=b$BS*rNK&d2SNO%}|HuTUe6H0A?*t1pw-^(}e)#s-1&9mlSTOQXYSsCcc zasr>NykmT&#XH`o9}j4%|?o(Sqr>KtKd^x2Sqt5O$?~w zV|`hw!!9hakMlCs6cXI5lG1=&Md-zwrmP7U5h1Yb#}7x^&o_9d*Mm+yS~=F>TrwD_3>+ zCq8SXLF)LP)_=V{ti7~F*K20~Q{qS%9$F-{g?cCxNA$hMP$*wr>XIS85c&HZFyio) zk@gw<;uj4XXMse3bZ4xMpiIl{xR3F&mP<%&T6I}Z=A!-bvT06=63j*=fcH(CYhZU-E-2W{*v&>L_5Z}5VTw)z&xAO1Ze z705jPc3E*b&JuQ1z9{@?_T$g0@GSz_f3+i*S)pNQf?}-MAr>%K^g#1}A`=yWlg)71 zNFxxD0?7)cT4*8Q02^vBO|j`tK>gn^%}fVY*AD1a3H(b;vAS-M0-3)>DIi|}$l+!) zy(=5YAEJ&FfLe?i?*oU3s^+gB{<8Xq(ZJC3f`ox#+PL1fK?5EMhH*r#RP_j=M;Gxm!}E2>uEw`_Dgr}=QIf1@mnUg(6AQJ>4^eR1DF9Obx8PM38YW9 zp&3Gp64NUF8R+X4#997dv_N@?$vEidbr%1N05|JFOXPpy19W$8LZT8Zac}<+08-lj z0LjA*yinjDkXp9@8g4@MDF_Q!jX_wtPIsvwsltf6u-38v zv({u@zccLcvOWgQ!Q zi30MwBZ|c)f64@)^=iEf1dxZD%Fy4%At2?9zC)7*M$OX%es3d}u4gCn8&#Dz>$6Sw zr&oHC4~(6EeHlw3AA1#-+7vWudu>m`T)STXD}VG`nM0!|UX%!)9R&$XP<`2yJS*o% z{U=qtkKLhCD(`W)D2zVzi`mO)<|GPIJ&F|85UuQayo<^b*AJpvIfV;&lP zdD%1skVhb40?`~{FPIvL+TwRf(l6-63brlSqJK}_q3h4z0>opTY!9jErOdcSZG4&l zWx@jrzrZ}!!yoKGo#J=^GNM_8ru*dGcCzLgli>7#G(e3(z^oh!{l^2GgKeV66+w_a z0>L*G*hV41?DnWNRHvA+m$dk`6*V~m7K9S^2mY!+9b;iV$bE|6CwyGc4ifL7S&14GuWUf3j&2`H79zKuhY?uzIF#i>XbLhrDk{|HS z*1aLYVx$fKZ^JY@cs|LZVHySgg;zF!4>pXnCERvzcsHCMkYcg#b~u(F&}2|FcCx-b zQcP%d`{~r$K5ecFv3Dg=1%=Z+1C!@)7n8PU(PLKQ=4P4CZpc)a!THyb-Gw`y(XR)= zL4QaT@u#cG)swHhL~HQwD47>k$)Mto>d4(22u}Pkb~kaW1#W$wS^(Goq5m}GYB)c- zc%-ya<6ibey^J3!diOEPNf|yZ3HD!=(@g=#kH9ES{@EdOtGS8-$KC28Fa@W<@LN4c zst|82+b|4lk8nNz<;co^2izkEY$Y`A?Jm&(Y?) z(KMABP8?4cGbYNj?iIlAPZ9b@k;hz2H4R|6w?dMl1P1HA_ElTceU8Bs?Vr6ZU+FzN zhn-=0(X3)+{b-?TTWvv#e=NZ~Brlg#*}t(XT7IYlqfX$-FqN&&tH%E6JNOY;W1bPa zM#`U)rIVV=@&ZcM-*n$BzZ{%?l#{>fl%p+o%Fd<8Z8(X3L~RBypGi!*=#kTW{Ace$ zto(4Owa}Z%S$^Bv6P$9#1o@~Te(({xyC(Kw`*3fE+V}n?so!Tx2WEI$$bEk1GKT8$ z_0;E4l$vGd|M)U8c03@9>Ek9H?o*emM&(6YgwPvcO}E|7Uyx5a+|#F4RSpW-JNM@4 zGgFg`rJr~q(Q$_oCiM#Wk`7g1qM)RZR<-vjqG0qep5iewOM$B2-OcL+E0xTBl6yowU+&) z&Ld$wa8t#{_;a-JGV;C}9Co8FwehL1@pswka_{F>Y3drY1_d26>b|3tPb%NAQ=3^} zk~S1*CflC$bT>(er%a~(+;M8svHsC77SSQpfK+>cde7T;-Bmo}aIZdgdg$8yh8zVS zF8y!1+oMzlxqRRw6w?M3QT*y~0;>Y2#$)h(5{~-qwT*$%#w;){(>=%MJeoJY7_+|M zlKyojYnnvw1HO42*BH#41Wnw{R(pr2(_ens*C18#hqi%vYapRCw7llD z{p+nCntRC_J{i-3wzT(@^^NP)(|ZgO;v|fR*y3c$46%L0#l*{g`%SSOz-p3=lc^I{ zqHp^rmi^X_Mdi);qYf8%aTz=&ulEew3{S@^@cb!=?lzu-2` zzaEs@a=Y6phXcJTQF-%~=Nn2NMSDhU{ZpD4HH&+Htg;%qLap9Ml}Av@ZXvCU@%t9TV^q*L`nu=tY_Hg<_Iv&OMrx60%eSsRC7;dv-Gg7a z`iGo%EecUR-HCSZl`}+OOD7-s9KR1;5yD668mC>8><3jnQJ;T?oYf89A8k-w18t5Kk-4vJo_Fam* zqFRA_q;?&D<Rj${dKlH>S^-a!G4d z{E*`QMHrXL3x2vj7dw+lVtTZ0sI5zI?H}r zrTw%qFsKX))yH{=JWFp+C^+CHFb2g|E+ox`3wfJB;Jqb$!7-CoCF&^5$iAe5NHs+*e z#!b}gty$o`kI$p)_6hW~P#>DOS!!tCM(#s3q5MT7!s<)a91wPFM4$PCjA&CC?5H#r{~&ajFV2LAj^vo6yEw$w1>!(>KE@aIj)ioK$6AXa*% z6+&-9fQv^BzL8a|#(CG{1COg>r|{nSEjgkEtSde?L5o5SPqS9)_6jQp`|JA0VtE(K zBo4nhm6dPHZ=t&VbWt8$-~R~4ZIYU0`&q>2Q3xvs8$aYZ$u}mIC_|6ME*x+Z#5Lc( zD;3^6%&RG4}&vu zi3&iAX%nstEY|kabg1_s<<-KLrb$(P4nhUrZkuBA*0%A~-4t&4YD>4?M&|j7Z>W?eCxbA(8SJyX?<+W>wS& zQ&REd5ZSUXUI7#dl(cH_hmTa1kI5elc9?hQB11>XpGYeLdj7JE-=!w^fco3?k{|6u zB4GigiXyAQ$5h(x;VU$Nk&VCmUdgb{mMm4o?{s9Yea&Y6491aT=bJ|SVZ?B9EWe>5?ynMi@vuBf#odWpToG(;svr;G z>hOw8)xjcd+_dKXc-*VagH z;nVw>Vc3rysoOKR{B?1NLIMd-vB}F4#QhON?H*+=aKIvEgUIUbxe*P%NvqfQ{3>i& zE$ruS;*|J3!;25@tuoC!3|>_+C%P9`c8Lg{Eg)?^x<@!a{BVYKa(w^fZ`07!jGPy7 zK;r6)^EqlWT_av#FfS-6qad0R{g54rpAsaCHc&rZHHrdH)UXPpEg zSrbny8WtMV8=kr|9S;U!+~3vC-#pxsbl$-8R~6PCa+ebL%j$k|aIms5lCV5o)3TyI z->LiC0kyZqzDub{wyZN-F2B`ddMi?6xUTbu?#j8&rXiU?-pJ*2-?`L4ieLGUZz+MIU0x~u&#oq4vRlAe^Zq?qKj^HYlg{`>mr7DE;o+maxv zgRAv1QY-6>;yHEEDf7R~k~!-dOWXp&_Jg!!pH%_<)z(v$A0vZvDGI3z z=#{_MkEbZ4Q#57PY9hP)Ty8zwJHN`dDytKeDYV0U8W{gNDK@W`y7FvSuv_l?y7K%I z(?{)yRq&UWpRErgGb+1Pr%lvBArMsE(e4V|cL^K866 zg@)u5H+_Qn8n3>y(_vPf-2i1%?uM0nE>TUdyU7O5mDCHoKR5@B{XJ=kscuR*%?95g zLi-Tk_6%#SWGcp_qrubZ!S0OPiB9-5CDs98^vdSbsdw1({NIDE@qXpq2vsCna(OGsD_p~!%P2IRv~wQCoRiB_M3CI1 z7{a-XJCto|9zmwHh-ViBqX>f8bBEf&jM|xR4TNkH(2}7`(ELlg6%S2TZSCTe}Xl^<9N^E+|HAqFS5@6Z-@;x0& z3j0HDZbSO1od6$YuE;6UXuxH;)GOV>_p~|_TgA9SeOu3eo{ybW@}c@0QjrX1T<*c= zPY1M>@%tPKCSj{&)2znQ$vv8Wo&A!>_UjI!)B&8d~d6+?>N}$VM0s-R!qxl79Vs>N>qtLGixjvuzVx|aK&o)F^Z2=cmw6A^mu z+>e*w`b;X68T*FPFZwB_BKHY@ENe!yZ+w<~$hF4=N|Ire#)%T0?B83=b$A{%v_Dc; z`Xf6{5M~E^5jK_H?<20>6eV$A@=K}tgZeZ{T*tREI5`azeBV}(xr~Ilty*F)Y`hUf zeffv7{)WVsMl*i9QBJ1cLR49!1$fPaFf@TU2kG%sCyyTS3JbN#`_$&m7f~_3x>s;0 zm*tjmgMw`D{J#GJ<*<54*tY5@W`w=gacl_Ki>Y@3KZz&Hc`%8(#nQ zr9eTGAUr!iE#eUqv%~-ye~iafDjVOAJR4%Mw|2~n6(4Q*n{rLy|G=VFGU`t<^mSu< zYEVe2l>TUzse>mr!iF(&Fy|-!PFuUwi^n-KNYYOQCz?x;H<*3R&akp-7vPV7Fe^QYTUGqsMipod9mYKs=e0)- z=a=6kmfs%+-&DJ}=D*BOC;fQ5UWWfJGxUmDkHWVx_5SITL7vwBZ_COL)1!t795i2; z9J&#oG9DE_QLLwV!8@Sj!!>&w#$^`b96J<(t@_CJ6`vc zWHivI*!*fEa8rSi$xr1L&i)CY8Y5X}U$?iduPAd#Qrl1d!cA(WM>19X;Ik`#DAx&E z7KU|?d(?LG=KPJ@jB4Ge<>O_&<h@rBi0#|44~oLCu9+!z>`|!hP!4vh6SPQ&32s0)enZz-?fXUSP9|hLxY2m}y>|Nc@Iv9bYB6#vWgcXW zpUOvG0`cowqjZwZBm^4Ey@lpPN+Y}k2r_vKqRqFno}-k`0?L%WN1Ait_XJ3--mVJC zw>M#P54GHWD5my-VefGp9?o_@)?L(R5`y=iaYkWZ=e}vAtkC^R#`W2*BtVFgEIj|i z^h>tEHctY7N{l^rF$;I#n*~!f_rkTd**}YvnRnHTN#fOR;UJ#ijlQXLphz z(umX`3SVNv4NtW&pyc5Vzb^h;=4%9*3t5S9S&bjA!a(&R<$ZN^JlwT6!#6fMVK~A( zbv1rde-PK1y?@D$>4iMm5ciMdZLUZX{*I%|LY%ZtlpZ&xXz)$Mjrmxlh~92^W}q{24;Xx=&ZdC9&wfBodJ2yI%>h!$G}>MGAiG=K+|=|9CT0Rq;xm zZHKV0&%!NroV1@V1WLUNKFhey*&J7VzaTtLsJutpFamU$@H0!}H`WFWA7PAvbvCpA*nFlgnWb=IOs4m-}@(p#@@gc1( zQ=u)fpH^E z*UD3bbBTGABk8j4YDsuLT9LmmLwD=;ti+$6{WOujaLS>Nv-~=f0yTc*%4AYcBbEAp zMp?<*Ni$G<5fbT_cK)JYC@f)#&+M79IsFbH77U+}{&kZxy0!UZ?H%}HZzw?eWGDdw z^O^1yhl2?d-?EyspZq<>F#aeZUX~CB2XmeUyRbPO@~Tp?PvJEh9$|k|A zzSNkYeC{qTpLfnYU!}#JcHN|FHFRb)VaR&aPn4fa^Kc-Nj1RZRL*0j~f%ezWB?YuBf z4GNmbug`%e(I9O71tb)a!uKEI=_|g8(Of0c2oZ{@<}Qcb&g}P-#Hr(ch$WdJ#B_R- zidnEdDeal$(9}=KFag?WtyLi#TAJ?&(?WX>vDoW5!EmC)YYfacGOa#sk2ls}@3mm3 z3IF4zgfQfbg~>>YD#V%r2obDlktA4vJf zZpjwX+dPa5a>pmWd|7jyExxIrLpLyMtXt}7K|s^fMLju!4p zqTdc6N@Z565F!+Wzq2MZERt1s?mIhshS|(-sE_f4{}UDu(-7N8}AWIDh0! zvJyQOUBkW4u&22%+V<6LQWc_GyWdOD1qRgL~Qs% zuQ9J_iLkQ5ODm;k{+``e_9nh6jkF7hQKy+lO#Sr;8)%dkr1U#*mPUo>>l-ly+-j;7 z!!>%KM|qXtGe2(;cFg?L3FU(ihS#x)9y(nAA@2PBu>mnpj@ZytoF6^itri+h^70+lg!PXF=fyPn@mtJ2zC(VH&Wl61-=Mq}?IJeko0+oXZc+f^=M4 zk`n6w$f_%<=oc%BPFz))?4)7zvsFdkP(^DOAR@XDo3HM?ZQ-(Vc1I7hmUY6E(XA-N zYZRiZ070e(<1EM1(I$0xCv@r|SEILDm{5S=*M#Y+gQva<<*2xVCs~fLk+bey2#m^K zn;Jchs4z8H(_7N@!cK_=gqaF$8J<@cOZ6zrQKrf^GjcWzg%B;nvsztD&n~acQn4XK z&UzqcKdPdA3J@8X-#-lZoxnBEb8L(PQLngDU#Mh@`%cRaKus`5+G+8RMFN^uw5bi46#OSj@Z$^w#Zox2y@;@o4o)MDp2U$JEK$on_%@17I_QFjoA07Ka?J{K|4IE+c^f7MHIo+lI*5 zC&*c5=-s4mb4E!;P+DA$GZd<5?k+?PL5t~+g#(1yi0Uw@NKWOf>j5G!glv?wKDToA zA=rL5Hjbaorvps?MC%P{WP7D)Q$9F!buC*gH!cHd5i^-AMIkHxD}w|A&dcp8yz>y1 zZNE}I=Ok-j>@|Rcv7elxnzA?jOLj$R>WExG^@G+$4vLW{Srisp}m?dIdQdRmv0 z$s(QHA#{e8rsfYWW;sU#J8hNWHO{aci6LhJ5^8K)wojzY@u!VAW8GHfD`29)a8Eo+ z*&fYLY8)$9z_x&$kq2hTSx+Oh71d@D`;i_T%{h*rzxuhs-yGj7t8%s#+;E*IFaybH zt;+Uo(G`1qcfiLO}zTQi|s_#&RTqoNZEvU0_&_43M*ZC`3~+ z-g%D7HaJO90isV0b^$;ap<UdIxI&MW}*f&QHPmm!j{xvOPVmkay(i?l65&Ar!fK)f}sFG6$9HH zP$iFulk0@J`*2$Qoa$x~=wuO?U=he>dt@LGMdW8YIZR6Zj$@q4V}Ny19adF^7s`p> zSzYNmblqKy84=@DOtwzYXnHEYfXJKeRYj9U!c>;{=9z$PRpJau5-myAtME28NZ0wS zUe)MXZ-2x7*~3y@cj@NCrFvG0TF~GcEL;->c92IHz3XWE`z)x2F z?@aQX_)e1!#&Gn)0>X!v>QrEu1v$G4E?c70#tM$!h2FZ0f@o3KkfeT`#YIM)%Q=OM zkvZn_W^R^tp%CvPVJ|Rz&AKWa0%{TH)Y=J_qhA2QDuwI-OLl<09vY$RS(sa211Cer zM8WK_^?jT7D_|Gdz)2?vRMAub(e|3_Q(Btaq?cEJHa9wf;N%3}q4S2cJ1Lyh!w7

Js@g54OH1iLS}8@I+&Y4=(0i}9oN&X!h5Df z`Zj5D>aS_dtezY;@i2cEbd35vH8|QD*hIyBibX&XdP#jb#ND05M(9K_avirp9WEme zz)mEmRv>bkQ4u!2)7(C}G->N8juCn@|EwRp}GR?HI5I1{Xs*ih=zMDV5O0k@qxJg8dgrfNGa^mLm{mW}+eT{=Hpi z2SHZnZ-dG<;eSxM2SEjx8iI=COy)0AsvDId_ozlmsR3V2l-mN9kLR+S$gG^rh78EZ ziZ65?YMnx1289%}UFg~}IuV28qp2Ad_*Q_JRN=uYHpo&v-9;UJ7qd0+0v3ZV@PcUS zN))?5Vdbn7u(#Y~4D3Xxfv>T0_PRT|JYN{Z8HBI~%()bA(~>07kYwr`i$D$Acnq)N z>L)J<0^Z{l;C5o)lS~1hcULbi8XC`o;`3oS-lhs^H}d|?Lpfj-mlDx7a86Tf4~6^9=_<${rb<(JDno29J?{*Kz=;G-`=kk4DMYDW zFWpUNT2s#0C$w)lV(~cGz->Q}^nFv|0|7+h@{R|C%<(xO7O#XjqM{YBf)!^jWiv)< z0>mO(70vDC2}B3DGZkS#vVb6#-u^P}Gw6V@A&6#iNmto^fSmoIiZ(JrH_CG+z6U17 ztsOGQPqyNWt!$-$a2W^fGD$Q7yKLI=HvkK90B3~(BB1e>iY)@}LZPY-d#4HOW5+)* zawPQ=?nAP>c-82cuY)+;F*Dao_7nfrZOhtX=#IY`iJ4ha5no2YQJ}wA@txi+*jlP<~aUcYhBFK)RYHlikT(`|ZgzZGQ zs`$=jjX@k=)5CHkgq)=+Kv=WkA2=TWl>=w6twRTn8d?f zy?@8icSYD7zp<=xb_QH#dlM2s=I4W(htp87J@rx9#sDLX%}pOdt58!07=Amf0yd)w zV7ie~fY<`}KzYAk&d&N}AgS5Y{(YfO$6NApkKIAX3WlrnO1eyEHV& zwRdTOW&GSB*HMUpR^r{6EObYl5FegEOn!nwq_9KQwFgI1d1g&=Dt@V##DBepR7|5K zK$ce)K=e_*%Jy7oYVPFb5F{K`7pMSB(6b+b@WXPHtFo<&Ld*bb2wG9kJ(DG;-x+_f z>lIo)TeS{To^JxG67LzH0eN5??q^2i#blB9T{dn2=j?rMT1aIr4Ex)gYlQ(Ss9a1n~_Wb z4g(?lQYQ%XvItDG2%uT2GgNF$kh2`BXiNdZT@99_0Xr0qYUY?F&391m2DtR_&b5t?%7fpB|X&vsYBE~ z5G;@f5q9XvxhiN88sok_OL}4nQU&92NMpuATd^A87~O0jAW*AmZl^+k#DOApC1AJk z3RoD3qHv*J78ecm;>n{SDC8&VlK?ph(hb21SP^h_cr}Pk`K5YQ1Vz9Nk$-wi_4r$7 z#z^ZkDrb`bumF+!0K=1sz9sE$coaN$)cQ~8IVoIHz3dh^2gN3k0^A~M;9@)gL zF)^11Q6cMt4J`_kYH{uVdZUUaMnR$o#Gvw~0>DJOW~%5R6at9K zljww9MUABpu-51h5Vbca!D1Lg?)MVpFU5FPDnRc!fiME%R_hv{D*C_d638Sbu;dN> zx|%j)mpeBTfW|1Cu&Mx7AbU%|bxp3~Qclk693YtIr=gqeh6q2aO&thCh=V7q*ka$w zk#A1VKmhy>{TT;;w6q|?X9gJxe8a&MxV4QM$d2YdL)el=&JL)e=Q|M?$7djR0TTji z)@=p=4*&0lG-3Spu;3PFoo0!Wb663F7%grx$&1=%K z`O@rpj>Wfb{x6<$6_s)2m-;sVS^t768$<(yJCH0SwaVlwlU-m@64fpwy&Qm+N%X;-7ggnA`;%^2p1h;RXkB^+qg=F?i z2sJ;mE>p^oL&z9)(ZDe9DGbQbjK(o6)l&a%84!)CQ4s_;g6l(2JXnE9Ndoe*Zxyf@ z5RGp71I?8%i0~gH6-fv07AS=@L5XiM%%##h1AU)Jk|Et~V2a85B*6BqB=er%;taGzL|H@F_j;Bo%)?d$lbb zEoXrrTEJfY0*)T2fOUZEO*j!@`yCE^&K!u@b(K}sRkhA9wh+^<4X>wuE;OucVt5;ZFipIhNh=K! zZmd)LBj=vdy8W6Xb%ST$Mo8q(C#L^Q7fdHN5PPnC+iYOP*!rC~r`I`sl0YUEuH4dI z$>g-j>Rr2>BB^UJ)0m&G``%DD*i_ZM_8gw-`Ef|Nfj>h~(frL83zK)&iF-|i_H3qV zoxQ2f+_Zn2lK(rmB&3^E4RY*2-fjXk8~UqDt5Qy?()l}V`&Kq46MiO)C155yw37xB z)_VKwglf&b{9;@-N6*>FZp0joZrzOFee(f~XKZWoKQgIv9!fpk|NQKe;>DHJ0C+rM zbJtU*7MroHJwmOyfs$J_Jr#t^ruD)SoO&?H8eiG@v z^_Se@_4hPb3Q~r`44!P!cK$K6d{LvS=4V1Z-#vpv+n9NW#b0wlDmyj^DmK-<-W8f9 z?8qRkHqjIxF8GnCuxScO*lX|Y`N0*h4=cDa=T}2tkGZ0`)ZNTPIKJ57*CehhGYe*92)>>GKG5SV4Lu5)g+z=XT$>PER7R}ZQW7&e|? zZT_`q8`X4ly0`N){#o*raEnnOD@NL15KpRe$u5~)_TD^~OimbXlcSGalOw{;CnREp zLC^M>ysRYbsi4$$;492u~bl(M&;JklGIrfWYsG~+*$ldE$ zpe_H0?mG|3h^%lgEf(2(Bplf*8Ev~O^CI^Ys3-V#gA+0@FT8ax{P=u*F;SUzX8G{* z!nTI9>9lCj!uiJ$t6StXSBl|{6)3vYuE zyCZxGwLtU)`>0k--8V9}*fXQ@Q^&%+XU?RkUbkE9sc9VWp+C76MN%^gThw1ZkIE_F z6htIf$|^I=mc9FC#u2tp=rCh-TFmnJY^F?UFGhySn}WTMDWz6QU;o=_O|(aHP?e9g z3~@?J(+`Wda>k;NnY!-_!OUUS=N3$hha~#!R=c_kVIJMuol9Q3V=^`=8d2RzS0)#A zU2Kd~o_b;iZZ-v-H9dBJ713_H89ow(Z6f7jx<6JS;-4$sp>ln^c77G%*ka`&RCuj2 z1W8vM9EhLd?MDT7Ia<4szx2NSMe&pQ1H$ez+`+j5!ddI4i<45qU#I35hp6){s?!nT~cZ4wiEM3a$U8ZzIPT3~(5{`^ z@&6#i#2GDXuHU(ExTxPSuL?7aqPlj}i{2!4RoU`zCeXNL+vhqa;Gw^JY8>T$NXmt&`oZ&2N7v&t@#@Ps{7JduP00-ZM)xaZ4A^7G9tIeBHP*R6TKFbb3bU zea?Jw-g8d^%X-y(T-3(*MA(&`4_0!{fVr`HPbYGGA>jOK{g9|lpKHUk)r=F9kET$s zI-xw6DBUQ zcNFZ7^Ml*_WcS#U=xiLcp&S^y_p-s%Uh>-XM>AH;h-baoI5$q~j&lV!wbATXK^>=1 z3-FuL@^U9S#_?;Ted0jHhdS}rPSAwdF4YF6x3GGsysKNy_{AFU* z2}>0BIz~w)&`S@5mQ4xoCWa#ur&taN;Q}2LlvO&(1%!6m+|v^CZdKeouSUUKW!-Vp zO~i?|aNW^FOM|2BmgT#QF3fqKiu^L`X3OUpl>Lgivl&-dM;&M4l&=^Gt9Fj$v-X!m z1~|e?B!$BRo5zbyPKfWGvw^Uf+Asd#SAn&$zo`nsKl^sMkN>pK8*-@`^2lZVaF}t; z02{w8pvhY=+#P)2{x*9%(fZ8i2&s!^K6%S3>-m%HxzG}ye1zq4K**G3=SJ+0$70OY zRDV4m-SfD+mmNMR-IwNIGo01?zIy4E?rxOT%n#QR%yP%}lF?=hthbzXJ}Axaod6Az zvWRBw)U|~8Q)~EC29aQ2*rUHLn@H(@{f?}}yo&#T2xah3$?ACgaH z*9f+Z6eiRxPLH=DwFw>Qw1oRg__v>=*isGfvQ?FF@?&5_HHEj9^OkBZbk|ltHuOmy zMoa&_nCbmn->&X!>ydHrTdaVNmW-e14KbgY#l$Ka&L2NaDn3M-r&4D-sgVa?&+d04 zx`4g84gL$ipMGCSayal;R;~-HVcI)|>H57?FH!ET?%w*XI%|FlaelKq2WPyy-6Ff) zyCStY>^nTh_dgo<5G(NH_6{|E3yJ-O6)K#WydNFkzp*-BC7E<=TBg z^Se)}gH^xWe+j!PU`Jv`)sp#~6ty0#^`gAHLy&op`D`qT`0rzjBDz)jvxC4nrpOPu zfhw5zZmUp?oKuj9%ZD4BbmIJ9pcMNkd*w?v<--orbL@ zksJq`b~vC`YZZ37IogvcJuytxmcQz(rJ&L< z$(OH~GiPJpZ@Zo;kTp@Zar*jhx5*bTr$DYXa;cfo!QL+(LVJ@lk2f%Pj$uREpF4YO zm^V&!osi)%s>blXTBRkncRj_=TMhNnRC>*A><9OVw7BnF8hL! zJB3#RH+EE3L1Hk8n*8;^f*m2IptvN5aTzi@p0)H;Rn<^ZUkz@bj$Lu#wg+i6wgLHR zR|f+9at)FssJfbU7bolANGaNCZ3RnMrX-AsZV|}D-BaG&f17$1d~3-;x0^=gR+0E* zUX&17(#L_jUY6Slio&Q+@^|h7H04omZWAD!;@&<(>e3DzX8%pOJB5$^BHF84 zACf8 z=Un%B*5kVK#p;VF@+3Cfy0lD%ahn8Rwr-h9>(=b>h7Dk=WT;Q=#8Mwvd>RQY6hIo8 zg>zAn-l5l7e=l50%*beXv+OaYkVQQI9?fVahE|lGbSnJ+YU>i1;$p@L$#Q=&5xW^7+1cNW}jJr?j_Xxdl{F~hNqBNMTXaeW+7qFf)ZPXD&= z^9ycLe4UC;7Zq%X7x)Q2rba3shm~?En6xqvnBW;j z;WF~BNouD>@qIo=y*1}tI}~%@h|4K&2Z__Jje_?kj{lg%tfV+3`c0= z?dLQWvU%qu#eFHVvRa>rCy(MACJ6PS(0N7tdgb9_=U<~RJlFd}g3UM@eA@wmJ4k6V)6#FUn#w9Z>`m59si*=x@1!tYH!*3Bo=ofahQhG zGAeef48~=he~oq+#aM|Gd1K(cjgfqF4!eM9^}?O`XFH{GhInVG{gYWrhyMq&Kuo`> zhP3?DJizNi2ATTBZnVhLb50Aw}_5c((tLbF()0L3`-e$_y);ey$|>C}_}L5>h; zMs@T6hPqL65BE_Edho-x=%$g`>eo!ctirSBGV%1`?Ikh0cQ?rxRL zx}Ec)epAn#b(<|^c7XJW09kBets7XxhDVs9UPcjRQ$$0karhmpRN(7fLY9eZ%K^#~ zBMcBLE_y>Y5otL4fLg|ynj#d3@@hLM^pMwXq{#)^D+KEWK&mKi7c4ZT?wwIR-RtUr zCEZZgm%S3}-he<=eFxB-I(+{PYEm{c0*_q9ogCV1!1l=HpH-dk%an>pFXebrmBd3c zYd`|+&+84m%k3sh`oyYD*Ed~X&IXjSY^XZ5nkq?ZUD+2 zPPsPl9;~g_H}Ij0gg5r%Q59J~embK-eu>RTUjGLUy?FFL_oX_DMFhKLt(@Sxp&sn1 zyBq2OzX6qAd@gXf89MZ52jw_ZbV~X>s_h#xE)$g*r(RF5F4k{rLsm9SfPT;Z4rM&= zWTn8w?`{G}4htejGpV6pzRGl8Qi}7vJ`b7Q7@0Rl=H;B&A4+W?p}!d$I(tf6kfpm2 zyW z2>B8tb};Yx6ymxB7+uQyK82XkeL-m-_!OeEVakU-1(>k3kGiIO>{AGl&}2@6aWAK2mlVW97Q+uEoe#s z006-P000gE002R5VQg<_Qgm!iVQpn|&5%D&0znYP-x;U~jfItkMnWY7ffF>L0b@*T zO#IV;(c=U{6iE&!=q&tDeief8Ll7!Vh`-r*#>U>=?C!ib^JZq}bvvQoYwg8o_anJ7 zkodGaxiUU8--zv(^uk*M8k*`v9gWmiPtRD9@~}e<*h84S>I(3896!|!R;V$)NcTEW zlYL2+5nKmN9yG?+N88iBcHl-REdB|$?KsO4xvEy9rXsq+&oNa)6XM6*5wSJb+r(uK z;4R_;U)$vjbxzDwS5$e#vW#t2*nxa%`#Pl4Bb8LfTTm7Imc92brjVK25E;lBPl&64 ztjukq9Js3oP!7)LtzcF06+t=Dm9V=gN={`lRf?yUUS>`{^2V>X2^{Fo4Krfw0pAJe zAe)_8`8V8uPqInB|96_Hr45sno$(Xi_@o!$Er^z``CVP7+{fQ`OJ7h+0|XQR000O8 z4zwIaxR1YnMg#x=@)H063jhEBP-$*bbZkyxZDn)qnBQ(2MHI%5p;keb3qlC-r$Vl> zL`5`Kys@1GsTXwvqAI0TUAUo9SljC=B5|U`t?(TeJX0T_7kvQk%0|sQM7au5#P7`5 zCbM3~ZB;2$iY@QXob&C>*)!jKXOcfIo|BWG3RN0JLmq^YyL>>LGsq9{d@5s z_XN*%8OVynvMcNIKzg)xq>Ob-Hu-L0DN92l{IRhsdR^Mm=c?Wa{6Kz@P=4myq`iwT z=Gij6KHhau59ErJuLXjd7hEc4@^K6PClg70(P0eclxOj}2e zuq)yY{gxTCEjRHFRIY7Nm-IUY2ODGDbS`2G4IXV$5^B z|8?xEGP5VSW0qElz@mP~tt%Z~JLI+Nyg(##ym*ZlZ_%n^ui~HM)!W$Wv^oaANIJTB zL+l}*juZ}a`6H!F@t|;+KYH8F@Bz0?Su+XNR1ajEMCFc)#RKLad6h6bkR@WNBD^!i z86@icbP>WF1CMDmP=; zR-xa9jSB{$+cike!mK?+gbzQ{B_57^_qats5mMJK(nom2HhwTe_w#jO$q$ zn%4})Uq@x@8SZT$(-2u{UfQ31Hl{T6dW`XmUZdm4n^e?UJT2sr5zad0xQ;wl5KC=! zP#Bfc#i6LGaE7o-rL=D3v&A*W+Tk9eQfVnwvXqCvnZ2}Ds^HqAqSZ=*dHPCBx=Rod zLEJ_%H`pj=!lmkennzu8T(rlqAo+}S9uiFt#C1eW#i`Aj&C!dYPSp@iYjsF#nQCsy z*9KR^Tf@G@J*Gd;Jx#Q6?Hm=s?yv;*f!c~q1n(1wR!BWF>K_O_X2~5F3WsTCzH69i z`Dw2oV;M_ckZ3nZJ~7vH71!cFvo}1%YCPY|Hyltaa+%P-JmJ$*Mib#|$_;|L#u?*> z3CxEM<_00BN6A7|oO!Yk;Z(%JR77Dks9K21X?@weh8{_6M8{=j#8{_6J8{=jy8{_6G8{=jv z8}lOhS#mg;JZhg7ox}< zM*sk=3IYHQ0000)K~zCgNJT|dUrA0zPwky+j9u4t-|tAGV_T8!_!Zl6;%m;0OxYqe zGn^rZEJd3fk|HfqWM+o+LsCccqC|@?&kRM$P68t+iZ(zKqzKS92!fyu`Y9-aA_dwa zAA%rh3*ukNtq-t~)$$wIA{8?>+I(&{f?`HQs8RXe>8Y8&?{yHm>Vx zrLj*>Z#1sy|AwCIYh2K?8;x%@&MC#U#+sg-Xw2(8uUxMw$Fi=U(~-0WZzcotjNV)p zgyHqZ(0i%`RaWt*by{jH>VLd(PzeqS;9lJ=H5MBCbv51?(fOdRCd1Q7UCry=fskTB z*P|-*ke-Y;9)DL&{D8r2>2$3zr{_2Hzt*^_R*hQiU6$=lowf=a z$9q&I!NwuA^q|^2rXTIxE37Q)ZZTkEN$us?y#5!3o4soLyq=7ORLgoYkzlgb{t;_a zQ>QXi(94d7R{MiW6=Pye_xB1DaZNfjF`_o{n^cRTgr(3*+CHwfjte)mopy7zUr&|; zgQ5y{_aq#tq#mk=(o0zLW}rKS~Q~CP3VWjLFnz( znfhK1ErL&>#!1zGNwwb_=<~APB6$uf*Rt9-uVaTUKb6qsk{-ShFq;aeB3Evmv|bI; zI$wjN)ywL;dG*#Ibv#mfua5K`Z5`J;`p8<1 zE`f>;iAPMPLt~5Lw*~zc^#*ycKc%9dQVST_u1NN*iQHE7f2(m**VPntYTHv3buVwt zPNJ@rEu^k$3?-B{A*w>&GU_aJ#vMi#Xbw%n^vFZHMz2ECKwChYK(koZbM89ws+myQ z%|K;WL}Tc@HZoSz+6&vB)@IavT7nE)3!~2kUA?L&-m0BMbKluQn(K|Jg!T@Iy2eCv zjDC}W&L%n(hvvE*o?+d%)-a*e+%ujsl3LCV?fF=FGsrpbm!fX4{m04+nHKCM%G%1Y zGK-ajipE4kP|t+^&Enf1MnJS=MnT3ytiXdo%3=SYK%$*tGm;ZqFd8de&s>$(z8c1t zYaJdh($d!HnKO-#cmNeEIo|zA%)qXTwKdxiP^li1dZMA3~Brc zOA`N6L3mGH1Yr^=L%-ffPS$IuZ7K7P~X}A!cW$X5=Qm01e z$Xziv7u3&qi{OL_(fp{W!n^>`&>^)qvHahkAmoZ#*=kSdF5sz|JO)Se>dUnfM6}wI zN+n#h+J|(}0eK+F3x-hxWyWGZ z;4?azqXDx!PjQXk->vrz(mwgNx%s%}_t+19C{N%^e_DLr7}o1o9&LU<%Nx7y;2F&F z#*gVq#2egpu_{_^sIS%jL^y(f<2NQ?)V(uE9POfoP`LF@RKmKvwR`P<5ZEV2Sm zOYB7%KhnvFB%2TQX|%Ij+?Cd%t|x*mii4aL(nK%G{V z5nL^1;ku}fMax=aT8W_kwX)i+B_oH|Zg`#kv%f$2a`t@sE9!s`>DdVhkaY(uQg&E$SNap2g7BebJn9 zHI>U)=Tt)52IF;=_J*_?q?-MKf^XyEII zeSb-fq?wllqBBmGC0VLU!jP4g(rSNK<>v|_NsofkB)Nk2B+X%bMoyY~3tPd8Xsgc& ztAtfE!>aerYIvEVJcledr!2z65PDqY5G*m3+WINZG`yZfjp?ro>dG6!ZByF+S=~+P znNWN}r`J@!H6i|R;~D+m4*&Z^C>Uqx9oRYCLl4hrRJftzRO1^m8>R$oEP!h?B!UHx zqVn&)r)L-Sv%gP%#m|5C!yo?erC%34zEcU91 z2q%LJrdIof0KcOOyXb((VNZ_el`a>C*Qt=8V<3!jVIznSNwd;87)wy#NRmlEMtYQO z8*!$iv>+jqc7HZ)SGXg^dc?4)N1p!8uH=n-aPYH4Xyvvm{eLbbXoh2IrMB96`p1sm zD*A`=%fmd|lNZ2Kh8OZkgbY5M{EEDQLYw6U@<*MP2WPyHCGuALmjjsZ>KMj3AGqw9o^cCozACKDs_)!-DDoZ{Yqftx#|{9r zpOkE3A%>cLUSblX^rQfv4ApM6e>KkD3fa-lV|iAU^&gdI?Om#fmu`zXIa}|33jZ|$ z$O_q3yU4G*LluQ3(?7WFDrAR40?^Q1$$0-33fbOKyX`4#nZ=k9ws~YCY#&X2d0}f# zy{xb$ekkkAVrxrwj>6+5_I9M zpD>5AAGZe?fabZq!jMAhX(5?#Fu}h`1vU;S%&|8KZ`d@mLwdtRNT!)Fbz!gWnN()x zB%#3l;mL%$>|=sv>V4dCD|)(BijB=1E<<(FIHKT~jF;62p9ge66&_PVm=Q#DkH!(f zB+5rbkXfDkbtG!eoL^=OyI6_U;Nf*>G%L(@-La4!a?&-%|ETK@LRxKZTkSsy#}bUS z+J72e{ikrWu|k*1G8K-KI$FeRD>cSy#BNU^2G%n|ERRHl7$yn7yb#-U$0D$Cr;$JE zv^=<0`|m4(hz#WoAqJ5Vn#2%dR+vZFo(ajas-?0$nPj^u3d5~|wqP3iOt=ETb?Fji zl5k_w%I=3GWP*>iZhK0=E^Mei!r{7Af~$y`L|`$2hd}c|i5&#dUXjCygcNcaLBu3Q zCcRGTEzj_XF`9i1!)aKfEgg{G}1ku2c03+1%h>mk9PhhsMZ{?|%z?+9#r3U08S5!`v?4JiS> zAbv%`O}sAKS>%s8D-X_1{kKEL3h4|~m>{LZlo5C*s>0U5ZY$X!k3a%a3G+w|PBZlx&E{ zQ!g`&VmR*7rqkeQ`GpwCPRYH9Z%EC?$9_biC%{-&~7bJ0iMX5T-GngR2hU%^`&nSNxOcni@fEIcM`i|+% zMD6XMOPEH1c3=fl0i#dTP{3%`5=YB;O;5qhlJYUPvl&D%0vp#ZPl=kL$fJSN1FJTIfNefXixOET?EOH~|}mkv&17 zo@_itJ&;fqL}Gnt3B!v3)MohCJeHq z8!C;EJg@dFshiNAE$U{Aq36`P;dNK29zn9H36a%n3@g`~9&7HgwGV%H6-3YQp^)Lj z;rJ09{rN}3laGZX64al6B0TwI;rXY-lh1@>$|9}yXTy`9>^yI^zZ9c-xh z|0YPS_J0q@R0V9c|5teOzYEX*Z+P-f;rYAa$zK+p|5bQ`@e-?;t@fSpe67Mr?edTbBR3hH=DobiA(rxBhN zvZ!SxLsDL5sj1U~WkzzdW9_6WjK9IMCODoNCf(6uVo>0p4pqgg$_g5E@;w@t(dZdP z0gA4L8Uj|HN?5+{dSQ+aRxiY`z@xFxss`wKSYGB4!wN7V(svEU2Cjh#{FNb>Z+#cF zf8e5Q4B0?Y82^Le)rO@F6oqGCu%c|F+dxsc4F)U92G0!?g->CyqHH|Q6m?2OnziB) zL9T{l4)yC8=q|BEfo9>qm63LEWoouhcFguxd*cJUio)8Afekjg3&5lRl-WNZ#Ecu? zA^>D%JtoSI5Ij>znzMgi2&m7x86EMdhbQ01Wi?<1#}QZhaOZY%vk2xP3*el0Jv zD}8)Qi2_o|*j=>S>@Hewl!Mh}qu?(L1-Xdbw|T289vqB{)cU4C`>55_Ab{w{OvDFk z;Sls}oK(Z>9#(t=8$>nh{D#*%*4v2ykM8v;wiiNYXIJhwqrS#9gZa%!M=uz0sA`nL zoX06WUeP#IGIU}+^P>WZ?`mAP43PMs7}wK=U~#9eXpgXXY*7WGUgop9A38^gzp13h z1kd6*&7gfRB*a6S@WFzAwy59p~=70P2d;nFj?`DoeLr&NR|U`0rv`;;h}?;R z4J>!|2>_fKW6%|(Y2l0po397V-c&l3isK-g$+CC`B_U41w6>Axze8kS)ysJC18j{d zN{8bl%aXxqJ%3dU+!RV#q-^dNr>rIwqN6-nn#hxAmBNtYPl-Y1jG*9#Lu%mmaBkz? z`ZyK0OxO3tatL3-i*fB^ZcKBT5_nwdp`kEU%xs;2NejF(D2}92)##0470#`Avl ztf?|l&P?(j?mPg&&n6#52=chea{4!)ZLy}U{r71kv?`MoiQc2%rR+d zze45&JgpztNS z7eAg62(}<;wf{q0y92uMk{mDCTr3ZyPUav-{N#0Cm4iZ)CblGci~({^FODn!sgMs9 z{2n31csOo(f{@w%5Q3OGFSQP}*R_zQG!m#Yo<=CBj35^SS*Oz2wDR=cm7knccuiUJ zM3E1<(u1aEn)c-i|CJJb%)*c8i>3+2;ab%c@0`^XplR*yVr@b1U9T-b`>k!O{m+Ji zX*;dPZqBqVGgE{`0=Za^h#9jVO<^6tyu~)*7n!%jKiRZ|=(uq?GAA3Gb_E*}%!<)w z<7>)`x{fqBsei)DENBU_=Z_2cl$h{28Cdbl62td>O3Kd--<J7MntD*5w#ao9ptpX&ukQDS@@6N==z zthlXiT`{N72Rp#nlc1yn2>0{z4iLp*`yA8wfad`di$T-I10*sQYC0jBf`TR5%w(!e z_Rod7BaJVM!>$P`aSFykMj8~&XB)dU=F#NcddJ)c;_cJ}bCkD)^jX5d$hcc@jw;=e z;A_VmZ6x*t}(5lv7rbD9{2R&q0BfruC2KqwJd{HO5d1P{9z>zQ?}Wo`Fdma{Ak z`pr_Poo#tcsVRPUhc;NrUcTpmC!fi@Kcf3?Wq55!hoK8r`fWv=Cz@MibChsjb?JT_ z5mTxezcMU|tp4dx-Su?6p=o<}drk}!&x%)1n(qv*G5u;YLRgf+*c1cnR}y!WyOsbz zcd0O}q(U4banj0!3(wW$3c1F}>Q1ySd$O{u48bnnn#m^clwjueq1Ta;G5J< z!yOoL2Q);EO8)!Wh)G0DabheF=+G5)qJw0)B7D;k-e2_wXaN_|H5>^Yf& z@v_mqVfQD5YDj7=HGe((-llK-E~#>VHQi@u_X~`z)mRE)R7^{kR|scHOcZ zb}>~9Gkcvc!7z&cN1t95#gx^)m?{f3zM}*v=S}q}RL%Yr5hIFwW9Z7n$_%@qAzB5>Px?(XIJDnQeFyj;}Fy*QkLiU>0^L2)pHmr8+qH7S# zQe>gTchs<&6J2Ihr-)%?uYsIznahg&^K8!e4k*=}X4KRzMGPx@4K9sui6gO&oX{G< zt#oUw)zJ6GZ~dgrmJr_=-7W{eA8Qx<6HF;boD(JE70kYkVPvoK5Iu&xnBjpGX8b-2 z$?s@qI*wP5^{zXB9nV`lA1NIXJ#YVd$Dtl$H6W%&}S)zZ$y<9d{7xfQ=azFGB z$0mJTR@YRoU=x!UXyb~RiA0!HPI{E{GmrJNcQ5*G?~kIW)xhNrW&%p zp#;|gSSyXbM(^;=DuZ2o>v_x1~7U~ zIh^wn6L)UWW-FFsD`LPTyj51;q8xSD_fwL3+o6fFTBkCRZJ2H2W7a)#Wwg>gm&5OU zrUqHr>C~8g+8dImV5E(gg1(@>VPR+9bLr{J&Vy05Ll~68(|l#vETi;pK8dleJ_#=? ze=bt~SYMQ1R^u{SH)z{|*1hGuX`Z#CM)Rjc^PBf+c**&5k@h#LO%x%cHx%VnI$D*# z&BN(^PaBHm#8$Lv7`;;UE-9aal1=Ym${qXX-4Ne1hoGo+l%>eS>wRC|)}lwiC0G$J z#k9Rk&8H}@h1rm0zJ+2fifQv@^u9+cDnd@_tL$BGsBwyo$zX@DQCXbkFOzVC%VeU0 z-Rwdyuk2iu&EqAqIZxYV^)8dl25UP>X2$^(Ue=0KD`A=V3Hejbiw2R^cULFzdia_8 zWVMllNr~B~o__E%Itw$P>`Tt^w4I~De{FkM(8}s`gO}<#vJ&Nupv#&c&8?1Y`OVj-uy@Sju^#P}Zt&7Q2eqiOrF_Jydhaj>KVyr#l~wfD9#y2s{N&wJ z4PGueHYZQL%vi1tqEUN(uSpqyyL8=%yu3U9wJ~iBveokXv}D!^{TF8?JZMtdT6(W# z^WD;+onny`tm;C)cSyFPec+mG**niU_?fbL*#ykOaSu$dw1d~cb5N5i`=GWT981OXcC;TD zi`@3;FE-`vB4^nM>CpP+tHEnglGAwL&KqdLN7b0_Nv8@j*K zN%wOsJ^IZ9#QrJ0n?A-TCIVt)6>+c@_WdCae zs$jyLB%j^3a-*Y>vme{q#c6nHR9z43{uRrO1kgFl~3yE}hX_u)#)V@53mwBnHh*R5+j%muaD>MstS@hS` zCMCWLHR;$ujHmWNwJ(HxY-GYRdN#C6w>I~UCT;&E+wIf0xbWSi?Za{Dj)rVZ#^zzR zPpGHjvwjy<;B_v@PX2nlpHin=;vpWd;ZD~tPU zrtP$k-}%O;MiI7Qs~wG+xL(wR%Ir7YoKBz}+g02vZ`2EIvm}_0(?F?AaD|qE<|U>9 zC;O1$x78H5g5_5Gl+N7bZ0g6xbhZE!94`uDns>VK?T}}WK7Ipirh%p(5-9&{VzJh? zyC<8o(lYpu7L;L+E^-cDQawAJmG1RFrwXvXBDXi`DXZx%z3qLeSH?QAKs zsG70NqZ{>{jwmpOwJmAqjnLE_?YyB*wPhZB8nXEL$dj5pp54thm79ddoBANCDR%HB zTzFn|1O=tfmxRUqRu*C7OQgL~lqXK-Q|CU$%Z9iXbg_?8`4smq`zo^AuVsm280B7a zk@wI3QA5g4y}f1;Wkth3c6O9Gk?)R^n56^7vQvdOb=p*IT~};NS@-W2weFk^?5s_5 z(x4cu`Ec-N7Zu{>JS#>_H?ni1HT%>tUpzw(wspZ#ZD#`{+hPqFYV~bu3h+(!@OHG-p|DOyaTOlo1`MG zp9zxtT4^Y|Nb@^k)1)%mpOYTX76|789rX&_d+$}Bi`?K#r~09>8150(jIooi7yHs7 zrfD!4wW&JWmXtnm<5>8n^qhFwuBs(pTn5)gN;Dhes6I0mbKKrQ3I16FKI&Lzta{#j zDdqPuWma)iS7VwMA(oa>FZAv5_hsgc5S&ar-C98YWg7Z?5{#?rLk+o!tbhp1;w&luO zEivzoTpmmgrTvu3#adcs7bOlZEk|o{Xx0adTko^o{KF@aW zYxc7}MlC%bB65(ML!$XiziOFR&FA?y-DU-Cr};XyRO9nTSyryaGo@Kkb*Ax6#iH-8hDJ?oOFV5C}~rD ztd?e*lV;u4LO9-QY4%`Av+=M3rkgZlZp}s6owN5{p7C*Xq{L<=4Yb@%L-Xw%Hd{_D z(eC$b>U!l%Dz|&hg?>j-T=!WDbN zy3G|Y?l%)-BtM#c+$cYTj+G4$Q+#&p9?fN`J=2; zlMj#XcPMyev$ON;gHCf=6}GuOuLhrM3;w&!n;Vr(+b;5k)$!~mP|pY1*xH6k%^j~J zoF;KRmPiuMO7fCUwjd{0h%Wmr#@;2oGtpXcu9M&Ad zbkFqS9?E4c!IG)J?Qp-o?O=Oerr(Be-YlLU#BV!HO5>_WY3u8UWt6VJ?ax+pYXiJ;VN?n~PA4-0}5~yZQB<3-WVZk*CAHptoJWw{vgSZQdQ3 zy!n`5Eh#rEDeKwwZofP%T5bJZhw{0o^>-cY%VN$C)o0{(y^_;nFttzM;qnP=y`Fio z{)Sk+Gr4wNS=Lt`t7R~6cGkR#L-h)QPJh6-PfA?1F}?Vma;k`SlQ!eXWP^czb{A zA`IrUnz84#c~?anv7@kg{X7-{@qMtQTdh| z*R=EY-Z1BFRWRlimt54--VtwF`mp%u>Rm&wG>Y=9{;q>_d;YxBBwD@d#e48!l4!-1 zFZFjFic-!gqxZSnTK?^_j=%n{!)7A1rbG4CjcV=hLE2%dj?mT-+B!lzc!U;dS+6{C zz2RZeEb9nuc|4n+i6m5e=XIL znI{j6o>oU_i@v@(LL1Al^m?aBvw9VU_ua!I&Fb$u6y;eRp>;mUpLd!>o2d1(hfY5m zEBR7Q{apukAzx~w5s5r!SKrt1S;Ng>J96zbNm+l_!8zdJ(f#W0I`p%XNJKq)i3ikc8&bMjDBYWWHrWIIXv21ZpKD~lw|Ay7+c>E?$&5?AAb45T zXQbX)=?K>~V|7h4oR2C7ZIH81t0DNCBc1)vn)+J94QU2@1tca$U^)-?=CCP|wj_A59_iEUuD$aAXF}(hvMx*h> zJ445HBGctCtSmQHC0`U6+ur$*5!mN+wWMFOqCqO}r90rKVKqZG}?8=|7K5muy|0G1w^XakT4KT0le-0n4mi?5efDD)Q^E(!Fm4D9R~p|5 zC0#0%H>OTH5K2rtbI`7ImhFESbVL=WKXx+_?gKgglsarit6`Sa6;}dL8nN9D>s=TV zAh%{19hP;5NN+Z->ghH8jEL5f?rmxn%@w`7s&vd8T^50{H*{0GPG8XY&=h=TMimL% z2!K*<=h0eXHBbF?s?NOIM6+xO$QmusYR+F@lG9=Yh>Sg6>3c_U_mqnPi zTlIC-je4F_y-tL>QP%ZP&Z5#7!LDjeP^(2NYRxP2DZ&A6}!%a0C?uk>ndofJ?Xa$>0*0uYA)O<}x}zBeR}VAqQ!Z+9 zCBXLdND_FXsX9?YwsANG9A;WJ%b9qd&pR(jUYg~k?kP!lnY{Cw??Xa;BuJ<%a&mZl zO-US&H&o{};c+$TtTHS@1oLVWcmtO+$^ov|Bs1vZy^T{H%+u*Wycv$6M#$i7F}eDD zTnR1+0t(D6VGRsIAZpF~!Yjn2Zr-n(QyK#H>z5LR9!{%b5Cnpc3PQ=p1CSYADJLbY zD9K!?_kzw~b1hF`pDKZMLezRpqA@|OL0J;4c|jQ!gfl}CiB>}xD~B-FKZO0|5cc;E zVZ0o|c>fS4${|ej58*&LgaiFUI9LwhVE+&%%OOnWA-qvS4`)RF)1s}*fnZS_PKbCB zKgOVYM8e2FnA#=pZ4t}sdUi{x;+(4?E#rU-0n_21qJAIk(Cm`34M4G0!x3P7vIAo| zfw8J;&uL`Nv0xe98k|7+RdAsHjEJs=6X?GR4qVVDoWKQDaNvbL;RIf&f&)kN2`6ww z6`a2K!tfmUq6$u5++lD6cT~aYi$@Gj;E^gg1x`Uv%}e3)dU8VaZtyZvm~nO~qUnqb zErT_qZ=aqjhh-yI1%K==sTcKh4W*=Ruy5rEf&S0%$8Hm*>r`sx6dfn6O!!f8dab_i z&LFG13hzdRn+TlyHxtfkJb$6_Tu?EJ6;0WVr;~uY~r)xZc*srI{zTubl7rwF)DS-O}oZIrF#qYfDvS-@R``gFeOhkv* zuc_$iIrmSO7$e6^>1R~!?Y2&3P+F~NH0_}xjp7C|y6>M#S2Y@>USxXmqyzr)xp4h;9f6uq=0A5{ z=S|rt;i+Q{tp5=%^?4$7Vu@AM!{YHcE-kHLxB4|3&r7Dg72Ml1K{LA&Bwewb6kaOV zaQ5}m_sUAI^}IBqX{!nIkxs{U@q8pV9&R7eqJ@N+T$jl7 zELOT0Jno$ zG{*QAsfU?tf=aWJL#k-Y<`T?{&k0ZinIoa`>}&+;11rZYOVIm_*cR$Bz2|9NGdIEE zr85yM0G`$qc=O^h<1iBgz-Hb84DXQBF=9~I))K<~F4f9g1M{fp0jn5hZYDQ_PnR9WJ1=;z?7MNCwC zHN*h?Wr+^^2_@#2(_v4lKfHIq7Ya6$u$W|qbX^l{qaAvVId>){P5vS|ZN#SzRPeg0 z%^4M(G486afd(a@hMPWO~2GRo#bvd|K_8%2W@=An{6Q z4h5qyp9+OuRd1%_lkoOC%6>oEO>Ez%3W7<;-Cb_=bSP#y-|BxjlupjeB5z84X8lz@ z+3|KD#n%HrF|t^XGCj}@H3-${!^dkzT&7|$L&@leF0sjbO?iQ`)U{jviYM%te${m| z8p1C|X-JPT{ixk7bw8A4Qja@m(4-Pp6g7sLZUurU(l!+TdPvVK(^N#zOQz-{v7qd9 z2Cea0DpR|+&*c$2&r>6~X340TsZCXl&Q16Jy%NfGjAt=wlSHjojaN;d!UFfc&gZbU z!ngD>^Uau5X8G`H9t^`Z^55p4(GO*kB9*-ColZ;Xm!!2{?9y5i#*Dd?r{RCA%(ETs ztZYgtvLl|1Uxl5xw|qJ*QpsrO+iE)!C!H5*7v6_hNY+s*Khfry~ zWEv`Q5N1caW}Z>^5%}o{fP&9__=y1({B!|9iGm*k8(6`AX{cBC#LCJQHPg@vQ!!IH zHClOY?2AEQ0~GhLXWFIt>EsBJ(@LEcJg6jKm=bKdWL#C37~D&DfCATEsPnRg6iueFINn2@j_ZvdjO zL*eS^k-w{CNc`)8PhJfb$##T$>5LN17iCg_s#0Y*>`h(SXpTHd-EDNi*OUfyVPn0n zYtYK*jb%a}lirS!i_&6_hg8+85ju7z^wj_qV|({HGq7+(3Q`z{9|I;&lN zbp*9FWdhw19mq$wGHI7eO_%Z6ZX++_BjY6mCkFhPLUHt2R!ZbFm|x$bww+RC zPH9GDQ%6QoT0U)adpm%akF%_surXUA92mU zP?i&dUp9uKR-5sGjzpbUR|7xqiAH6sCGBGZZC3EP6W{Ft)8&l+(9(?SSODfLdQu#C z-_dTgIrw);8i^`R0O3MZAw9wu%-ps^;lCsN7KW;u=aTuVI*_I!{_>(Kuhude%yv~s z=7maJTL?%x9;fVWof(U8?;|KNsf;M7gN+DA79b);Vcf=|f(JZ0qe=y$#CTm|KkcRb z)UHAtlnoBC0!7eA_-CA&R%4)n7@>NF9}!Ep(rH`0Zn*yJ4Q{pnNDh}) z``_QK0OPC*>3B-OC2Iqj-)PIsZUwgd{9m-%dZluQbli34!{J(0(33~Qkzs6jUAMbB zx2-mj(^mUq;iyBL;0xj5o^bq;aMVGGr^0bk$0y&0Ki<{Vl;{+?cl0As_k}*crm92N z`x1Zow^aLA^$h>V33Uwi>S~aHsLam^25Al6jPgK@XY|8Pd$I9y;0E5}eNSP*BiT69 zvyz!C=(XQyh^8G%a+_6c-k@g%n^i{;0+Jp`7j%zqLpavvNX@ErRwuDk816)$Xtlx0 z@H&_##@fMb9&vQYQt}&K&vsO+y`nd*cHB|q?*dK(wGs&NgnwDDXG1dT7=tbn*zA#3 z``dtAT$!?W6&i@7$+|Vyr5HK>W(V&|ZOEdI z9Q8=g8EO$_EM3_=+#%TvQoghH{o0Bo63C8FrA<>A8P(((%nj)peTo~%5*8X_y_eOIOz{Y$lLs2x1CHWttNVn*2-=^A$e#;9ovW0(`lc_cI} zYqt6eO|`re_KMvPYB90RQtEa;pM}WI=5F)5(c>BQCdG%g2IW5!R;yJQ;AV0=ikU!8 zg>cX+B0pNoEb8Rt>=4yYT+nkhONby9*0;@yaC_K2Hikx=_;}AJKO5*7{d*dRDykHM zeb!@}8}FzXul9_Iyz`(vJQ=X6Ni9~s_PlvJ(=NM;_AVZD@6*F{f8v8oUl26Yi^#hu z;@y1tCY-Z!%}hm-Fh<{_f_u-%(9NPKg||v2c}(6$rn|Mas8=g8_CQsXg8ry})(-(j z&zi}kSxxrz<`WAuR2oAZL^8UMg{IQrRi&-60m;fzT9*icwqW7ey4J&V+BN3MiMT?X z_yY2rzJUH<(ufrmeu`~XxoSH4_T;eDh|FZw>sq;W-XPxVs|HG@Qh~c#y|0RSSy6}) znxrOy;dM5h;x&!NA<6zOk^tGGgnj2C@N**cN`WrUJ*Ti;=t45++JzFGxUN*W0D%#e3{yaJzHJ@Uq98gbh*} zZ!Kkqsq6>T17j9FeT;HKpJRpi(#XXFJmiO|AuJNr& za_UJ|FsoM1RN)7gnc%Q~i!i|fw|I^x{Bw~j?M}JrQEFlOrqq#%t%;CbU5V}HUDoeo zI!te?$iB&6qmuhX0j>BTvtJZ=!We*ux&LB8W`!{ej~<>dsTVy(O4~dosoWs{vU=u& z;&7s10%Wv@xF5EFt~XC(d_#kML@*)}GHXa&bHpnQ(l~f)mKX>yuKggiy$Ags2Q*2b z$pFbdE%_&G?M*)YlI^&{5p8d<8yC33gh_R|$$HCy`>`eW8C%Mgge|NvZ2NCb6D6%g zzb>gr&f(r|O3h_B=yx#LnIe@T?d5A#mBcY=UQP+znjv%6R0Y!RX9;#N2_>gJr>f%M zN7FiMDgbH9G5Bx5anqgy8?u}zio0x`dvY%Io*(Bqqym>lJRk-dimTC@44LwamfPjE zpN=TeJ`0UBf~fY7ck*6Xh#y*JQWS-bWv1UzS5H4h#x&2Bi@5h1lyyyQ^rl3>c#l-Q zwDl_nOeYi9(~hkX>tW4xGr+T-8U=}D2m8%dAr!ax-K81dmRQj&t~X4GrNzSj2{hG0 z$i1Klf8%6Bc{GiVcbt7nKY`-EM|5&scaaVvU5&q-hHzyTMb(MKmotU0lO@_BCy#}e zEgx)wI{y_t#0AIP6bIs(%7-99Fa!Lq*fp1F##zU^&#Glj6-lZosy3PU&4`}U({|PU z{2UKnJ!)d&V8d7IFyyTnGh`y!>Io}bhvtUjg)ncdqEbZY5vRpo=nqblL;2P1+&c>I zzukK?yzE)NKGe!*_|f6=0joF3n@wTGS8t_XQ~6f%#A5U%B(XZudA|j3dbbD(l+RWZRaxtmleVn?T4yim5VJc9M{;m{F%$$NGX$Ft?o({AaXUN1p7 zLz6_`69Pd`p0OG74rs)TD2B3-^(ll%+@N~vZxTkvZ%{Diunew?a(P=`Ucr4tF?$NF z-{l!Zmw=S$z3o`bHR!3)CJ^#Dw1$nusjDHKu~^K!9{i1EgYOGw-+dDEA0k8%LhN5h zi+fX}W?pSN#C@Ii@=>g{uCvPFWeyv1j~yU{rOm{fC&9?ZP{y0?oQVsu+l5VUk68WQ ze=|qCIxYzN%rJB($pHe7Jl!FI)F|FHot$W0p-pl?6pEIXpR(3#|6DspL%brBw}SW3 z0zX@C<4PId&Os|YZ06g_S28xHK^Bfu!wIo}zSNWE*h={*8@+2x5$r4~!QA}p>|iWI z$_E{3pCVnbFsD|m?tNDKEfU}JM`uUg!ME^>C1dZ5Ho{boh`heCxVC1FbBLSN^)Cja zs!GRvkIg#gJZ-14mOM$1T5B$JWZw_>Do5^Ucf3r_*86&y zPK+w_UC|e_&i5)Voh?~#@B-pzb~&yEfQi3l8pgt%mU4APBs0we4F{{FZODqucpps5 zeNNcPOy%r>Zyw!>Hyqacw0kZ#!D0X1hp5qdr+dazzJP6xTsQ>#sZ#2@^nvN7Tren& zd-j##QH-j1o;bB{d?rmXcZ;J<-!RvLef@_gEDuv@8W;lA=WzPcHcPHMJ1(aSdvO&A zxxdWz<`OK3BB2}CYoS;7dMe|96#bBC$6`hhuFCW&3gx&HVzuz| z3$BNcY1is8On)waKkphB?66&>y;S->z8%TNfCJ0*d!h?#FAr%x*AkuFm2_ZL#)ehr~&PnymEp3D7v^&si+jB^_ zRMd_~(RU#hP6Uc8viClv?RTA{bAoScuGi=02nM5msW%{z*(~(rhL+ zgBbhvtN;nKeO}{)v?r?@`0kYy6>cXS^5oopJ?z4e?Tema2G>U~$4tGL1R~3M&T&bQ z^^{r23!1I$M1Jv?M>JsAIax@19VmvINg(h@@o8nO50poi^W-qtY<|{A&bh@mUXBXn zFU-hqr@YiNF_v@dVc~Sf#8{XgnJKDB>Ew(1hAMAcqK?r~{|)l6H(yNPkx=c%^Yb<<2cc-{EZlz)3!b74rFP4xZhe+^!>=L$n!bj3Xh*E9qpmm%O@6;~6GO^*r(Ye;7=W6FbbLZpx)3UL{3NU_1slRlFm`r!;j zT85KPl4vpaGIw&lr|UrKW9jMnPwp7IA>y@iLrhO4t)3IYhUun1(p3u%`JU0j{936& zMf{RNN<NMGwJ<+ZpSeS#?^#xtAI zW-k||6X*I!#m8Rcue(fpB}X0frJ4R&!v%FNOEuz^7)vQ3*Ba=C_I3BXHzMJ;k=c_x zZ~cIHuP^JEre~YtIC>=1_<`&QF^-Zz^L!`QHAZ#qT~c1(b)!qF`g4=q2AMD+&+e%4 zlFOF-YuWV2bEes^qo6)jIb^PuF(uUw0&dOt;YwY%D-yI1ZyvKQs9ZnK&3J-+Z0*V+ z*54$eWXxwpsb2Y?s7jW@X<_shJ;bW ztPpq&!sRD2=v-x*pHQJUV%u|M-kgfy#R2Z*B3NW|m#p?{g)PGD3x=qXlAP7o zpki$qh4Kk9TBIAf_AkRt5k+2%7<|nCWm&XB4Mq<)Y6uqJkm?T;r=XE%IA^C4W;JA@AA^r1})y#^FP{R!I!9dgw{UTQT?2ol(kdw zDVj-xG6VmNsPi$wHF^&~6puB3)VqVI{T**#1Yf4p(Cet=C=x>_g5;2Lt!-PR&KJry zzao3dAH#@QvG^^du%XCP$E{AoyuEOvnKs0oA)5$7t!VD))u6>84b**J%#`^gRu~G6 z{uGcl`}5)wD@(({tlbc z<*Psq0EquOIv3jw3~l-FfwPSZRN*SeHrP)Zi}kWS#->pH#X+M0KtW3jp{@miLKM_t z|8e;IiT7b+AMFzemEi*b0sDWoZohlDjK)gd)RoQQT1dqIWy!F%AkLG`{vX)bO$q=Y z`VC^YCK3SPfwKqd(IZb+k3S=SVX-5c`I=a)mJGO3ns3Pf0M&q81qQ-!5bv?)D2FXC zcJ)WIN(TT%w2PJFRmArNT|+JQSSBn&`Ue02I@$k=KwX?H?Va7Pl9cTKfPN4HK$qgx zv*R!PkALkd;9kc%zmL5f3rcJi|B6zo!~!mlJhZj`8tq>-`1rUDgRL6Cik<%+ZKE0h z(6vX~Te&#HQID^N`GE`q60z4c!2$p`{t8RPa!6em)Eo}e)k4A*VdlCpMZ^Ow%|E;N zCGz_-`j=AfcSAN}%WrS1ZD;9@f}(w{g3%9fZ!WCh0)&oqSH>;w7pMTe3S3nN{!WU0 zfpzWdGMX5;;-!TS^Z{}figLAQK7;BTRowi^ERU;C-BQ$2PFn>Miv;C}$- CdYPR7 literal 243517 zcmb5VWmq0tvNnuEf;$8a9^BpC3GR^K?(P;`g1fuBySux)J3P3(u=hUm&CHoI`}%&Z z?)6lc+;>&2x}f{J1Sl8^5D*X)(4`wd@URuIZf_3+6y*a71p2-!s4REd3LB$2uekpp zUdhjGwgvZqf5=N-h&B8*QiI$*fK^42crlntLi9>x3qzG$aWI%zB2up(&YLcC+D%XN zdKH%PfD$8V48Y4Gz>r$20wYPI0?SA_HDVIiO?6>n;Nq_ZnAkvVgK5}*<9JGS9cw*r zQ$CMfixZa?J8pG3Z*d)8G)#Y4cTIP{WxK;`+4YxxJ?{;=KQ;HPxCC!oxnRKpv|WM^ zg;YI0k4TNnv}g<2rsi{8r}mEJjwOyAj%`2MRaOh-?sMz|-de=#>Z8H zLLO^G-W(6#_N;ct#^ekg4FlHdkB8)obY1snwG|+>3~oGXUsH~;(HrAu0Nbc+u0mjX zoK)mmFGn=NKIJ22oMbvOhiSyEXg7sq+PT`@`j!V;!t3dBo^XYW@Wn^+MMm3w=xeu- zLWLAPA`QmrAy=rI3@Zu(cSqy2Zk&{BY09gRy@$3Y#v!b4y!)c@<+q9J)Lp|_D;P^o zVYR>mqywL^ zZXm=R^949DVpNwoX9xT9lh0fEKxdpuS91Ga1g_j;5FaG z)0c7P&VQ=>k*t*u@JueNf-9UFv{0r#@|W1ULEhT+;aQ$d?zk zygKTFlhstQ$%~qW-zMJPG3(`3AxGttj?EagLXvg!N`sxum1)O&J-O9KkS%H_D`jnz zN7wr99GNy{tR?59H;J!nrP}Fd*vRG)htu1eE@wQ;&MNca_4|+@c**WEx{Y-%Z&oud zUQ@hANtV?KtpakUa$(${d9FPprF_T)y`FRd(B+xieZU1 zBaWVCqsl(#hGc!h2@_sJGlDFI2F~5E6iatFJ`V+hi8-4r4@B2-;MZ>kEYDGk+is-d z*cvJ!C0GRH%|@;g7)3xacMBNef2pqFGLm4o<42MXFc9Qk)%)QP;21Memg8Wd$TN|F zF<9-AiB>_+)^M(9zpuc4xh2KI#Z$|fsm_jLrp8Ds^sxJ=6l3}MJk(Xz!5p{ACV{V< zqQIyio3D7pj*-ezzE(4iGH5S43vQ6Xx2wVYylN-sHOaU$;{;IxO|dG+v>rUpY<88@ z?k$K~Vr1ON;RIE+za&~aGfEscII3Leq3OZ`O&m}0>aNRG-qaGKd5)WW)L*2!z*1HyvsDA6}-9hpBcJ!ERZF!FuGyLj!i~O*_(XB z*)-NX%+TMUzf>IDr6B}Y07>u_n$5*>Fb#0nF*#B}fcr7gwi}onD2R6_(ZN>@L45ANR2*IvNPyUyVu)7q&El>h7`%%d6QYCTM)&3oyt zqd35^Qc5PRH#cejl6jm-i&`DD>C*$+4#O3O*02=aWz-2YzGGYOmgy5h1fbagh1X3w z);ewSgTo?HxqeJnMdzlvrr6VEp6&wH!SxsLBd)+ipO!8Y+Gpt0i?SE@H1tVk%xTh} z5~>NApRtkeY}d$b6d^`*e<+F}TVp`Z6SzwHBw7}7azj%UyG1^<8ihOv5p83j!$BM& zIGq53++~1do@v1uj~R;?z*ML;Vb1|LhKoI)TNiRSOya76lxKsPyh5JnJJVLeiFNd- z77e+C$}0go!D@3Zx1I6xm4Y(MYNO!QZC+#GzNjU8XY4Dm(M6J4o<-#a)g$sgoc*xg z&pr|{XE1NJNP7apLP!4WVmnMkzaaGW%kcGNwLCbcFuZ!j)(=fO|i;XA`iE_9a`l?7z(E#b0^bK zo)p~i#ex&p#g+vZx$#V=E;PySY`JxRWX@N*EevZ_%WD)#FIrOBSfEKH+G|ZXT9_)( zMfj!EKC2Q#38s((Og#i?qqELcG4v$(=&q@R2cIpE7^rfN3K`VvsQ^Pl{p#i9 zBKx}t%0P(R5L|Pu_$y}_JG>otLc}M3L$zYbA0BFN#q1r#^I$m zM-5Q<5!Za&d)*V*Ff}-*a6-PC^^Adh358qIFNP`S3aHmdKUy@c=$|<=bX;D%^xBWq zqRI4sZ|*7_u%9Y^z3T{M+EJd+S|u;hAiq#@3u z6xW%=)~yci%U%@!Z!Q1_CYX`QeIG5hykU3;nv$cmPdxcQ&B~Vnq$V2$MeGSCB8}t0 zP>drQSq+7;%cf!MF_Ag&kU8(owY2%`jgR1`80n=ZgGb_sd>t6*=hE-B5U+3hpfW-tHTAqbHVgEgAu^_qu5vUM#TrXF z*y{)FlP0xexs+#v&-K}0a`ZPM*S@(r3zzNlsY*sMm{IlKb5B$f0QFPYmS6z7GyT7w z8Gap{iz7celSBMQN-2h9uudNDt512|O-1*MSVcla^`s9=Oha|XbMEtCS%MO51Gy2g zb<&S5S!>`(enif)JLk=-LdJ`lkotC_UjD7FPqRIoj$-z`tozinlFg)c;1Y%ELU^`; z#<8j+w#jO%iA(x|a?Jrg>QhVfA!8+qV+X0k#snpl+z3+osmPOo*T{S86EzYs^b9{e zx{~(c+>2by9B?T-zrS#ixjXDq#=aO0!(#*@<%#Nqz30~5);Ay|<+M; zV6wRMVzm#a%O+ST)D^x>Db>b1J$RuAWmE0#e-9MI$Kn8aVYbLYy9`P|DlSPD2-yG| zIi12GDygKOoSM^^n6LGag^cRBVs+18nHV7jKw=9K7%I>pfwkw~5VUq7@pTBy#$D1K zVxYLs;XY{R#haNGBv%GC{c?jC`fckptoYrKMmTyv(4X}=t~&~0#Yfi$dZfOrcCdg> z>3f(ksPcqG>uPR0fUZnK9c-L{_<)X8>R`n^4-wR7CVM z0AFmP+tDgu1)o9{&l0X7gp=t-_4w;ms2yUmU-FDt3M9^;QxwV16yfBHPo=&FUdb6L z%y&7mJwIqU(&xi!<2o>0EXCfagpiyWxs0s|y1BOvzQCjkz&jqNzS8?I%S+s};Y4b& zDOpC^5jq8#)4jlh6#BM(t@pR<`-}q#hXGt6O@Mm~yrYbyW{J4!V>=r=d9K)R3~fwD znfOcyFB=~T72NWJlx(^1{0IDux3eA!l}oZmnA|V@EoupDM)&dHj70`DkiiY~Rq)r! z8zMLY4%E|o@sTLQT@bw363bartx5*cM=@W1os8fZEJnobpK3IFHuUtAZ{4m$LsR{{ zSdYNwEg&!GHtjIItmp(7&}!rL9FQ*Bq1fdkPdX@(EDho_xTThJ945>ss4w0Yl%Fub zIaE}IkrIjR4|0Sfaq$h~YVCF;}pe#w(Bm zK7ARiLA%i@HAepgxjkdXooP(3#Mba7S$a5;O6GK|IBVFYwk`zMe|rFCEw(fxK~EN> zOp1e{?toQz`Wg0F4}hVoB9{D+3b^|nrKB37H2_9&OlLFnBHEPenk_Ur16asmHL<4X zcGZ<^SaajOP^;CLr?Z{PO|)dz!eOA|{teQveb7%KjF&7_>(r{sB8STd%p629q6@OP z5DSD~jplGG)Kx{f4;m-PoR-Qk4*LZhZWDJ2$vucBWX4C@XfYf8 zu_+74`KxT=b9cy>Rz{P>XV`Abi%kNbDs;Q(S-S7q2papbhtMU=WOZ(EPX3lZnt<5! zNFdSYwg`1`XmA?*vu%_GCNHDn<^RwyD{S2KW zl7g;du@-{dV1L(dCk^+X*Bx2wP8-U6jiaI!Nlvz*;l?6vnl3tPpOrp8dzrV0zv^H* z=B6>WCJ6D}HCWh0kxqG3ju>UI>hfzZih5y8guBtL3-ef0y=;F$2q#VKWmq~K=iI&A z0zSt*_2uqj@#~(jyhd+960irrUT97jjA#Y|O+Y@UibpLS?t`FnMk4}$p~PF=!~5>n zAK0>u^1x2`9N2QCyr`f zFdxJNiy1h1=m5!_Pk2;9xVV!`tb%TB+w>G> zKRr$6DCR&5iqY3szcYHvFUvYyeQnFn5a|PZ`x&t12x*z^6-9vDtuC}loyiKq;EXkj zVJB4v0|zP%B_t`p>G7DDaDNgrjn zacpEFIR%yRWe^l-=d<`(B&Ob2i#OQk5$R#<{Ev^OwnRFZozCtLw&tpO(qq=9WeYcd;aN84V& z(7#J+4p1o#olu)E4I!G-FIQB=7;WwCB+l{zp&D{64!OQr&*GfQe{L(`q&h>}g?xAU_k%kiP~PwAzvGB@Ae?9#8!2Z!2eiuWOlY+$=`+A69O?0<|Q4ZxDj z;iWT*ufy-M&{HJOf}3e*O{ici5@QSTrVQ87_b+?YG?jAWrVkF;zP<`7y+&_V-@LtB zt=ZFxd3(1s4*2%ASn|BzWmx>SUw!47J&t%RE|CIM`NIysK{aLvwUg?0Ew`ME3*}*~ zqRi_t6?ItbjF)dQ5jy5nVbCL1>XtGW; zemAqaI&HMk6db;iIuB3TS7Qu#VW}F~d7TEo*7H0ns0I3pB#GE_b%|-MzW#tj99+cr81XdA^AYJXb7fYM zY1ziq{BmI-c~V&`-9lU~XGw`{VqK#o9EzO&4)Lu$sLkdZ=}`?ZddIe1kWu%!ExJwtlS zBxTG)Cf8oN7Hg*p1}j2gCkXO@WI^b1)C|mK4ydHYs^@5NCi_e;plbfO?jo}Ea_VV+ z`N1GqW!YC}r8MOyWmRXS^{nUR5>7D>+1I-g&UOz0BWTuOPIoj&-RYCX0^!fzhTjNZ z?~9&Ac2H`8EjzVlrHpJx( zQEmQkzrU+&`5a=yo=pntA!{)_A1|mp=_TB#2y;gkOxCHN9iKG6=B&3QY zsNeuH8xftxU}t1)_Cci?Y!4j|9(}zughxg5gvwbgWR}=qB+5^j9xDf`IB@0%p?NKq z7{QjeRLuZ3{V#>g)If4XniZ!tns0PjaD}U};mu@RW-6`5so9sLZ=4_(614Xl>4+t=LEB|Y6$-}${`xXj^!}G! z?xHW$#o;1~Sfmj*<%MLPm2$d8_Ibhxwh=DZ0CI4UF({1(J@N-GE=8vPsD;a46Ef9b z8UT_`YK>zQD_^{hVS@957tG9Wmg4=EEPoV+i?lJL15C5%uWhNb8QCgZ zw8#8sga;kcjipT8a|OTf5K&638I~gbgjf($L!_#IFTB+eAvKxPH;09e9%fpYLFG%G z9ZJRcxZPgTn?r@~HsVO{xCR=m3h&A-az9;EE(<;U=m5IFA(NEqr`orY<&FXVW@xVE zaic;}34G&45^g%S?orTAcPw~@zM2vnar6^CceaVZGx7fN2$&*s1hwN)ZPl={c>Cze z0vs;N^qUrfVa;zc2FGw_rVQbR{mSCUiaoCJ04ADtm+m4^pXx{q13|7mNp{N2U`kj) znEvytU_v#+(`A0*(MA*5yweRvEU@WD2sNCn(sLdYFfhc|a zrn#DoN9*wB%-pGr&C(kB~-Nl~s?99B#*Y>Z~Bj z7FmQzOh`6u+@dH5L@6fs%}$*fX0USp(_$=`(91jpOe5TbiV;%Kut+Icd!x)lz25Fa zMJT(*7FZK9U5&j^oF8SXgV3*4Q-rsQFD|pgRKXz;Vz!Mlr_tN1r1P4n78~}*r z1&YlAPBI0)s<1a)N>aWMCPscy$|VwpT1u^0PP);7S{6s|0_)U;D;LimedJbc?v%xitsoqZZ%pEpcLK-~=jJhtbm6e)C!vm} zKI!1m-FAE_?By?!7>qdYPtS6r-d5M)lYRZElr}$olKqVqQCx=&+J;1YB$SS%Cw|jO zUlOB{v>PzUC>MM%D`k0h8fp-Ayp>LAEjtD=r`F8W`&qVUC7XF{)`Z8=eC|?dOIod0{75f@2-#v6m3UDdDCCNU!TaU- zqEWfS<~kKxMa!etAT_6!OjK+r_)3I6%LZ6|*x?EGi)%DNwC_SW3(HWnTZ<_x_}Yw} z(1UjMRflQoDKlfh)afyn~f{!I$z_$aZLUxYI2?WagPj zX{&ZEhayQm*)pd*-&0HSv`J8ey=E1zMs1$h+4ExCN32i>P|lREgAWW%1LjR=1b1Uf zkrYEMZu-V*ze@RY64@>of4{!TD+!dD%#~58huARLQ|%n#xbKkD;0c#;qcGMK2HPv@ zxncC>x6&)xEn5*xALGF4?$+HRhPAk1Oo~ot$7T5CF5M*+tvKQe=fWTlzf937PVz+E zb*?^aHHt=u;l$hvg+?^A6aWahFDp2yDWxcbS_@iYuQp z%C~fb{rP=_0Yw>Hr{Uoz@HJsY^M@Y2gbWX!ml?}mCp#MI-d%`bx$~aQ(#{8S20|~n2LAPs|4b98+ zZ~aV15cUY70U!+hI5Qwc_R<)Cu}BJmqi%I40juic0TjW zlduKbfZ7ok=XD!Jr=-zB($+0Cu$5o^D4Db zi|2t~ zRf@jUapeM5V=d3K(!+x3XiW~zzXp}℞7AT?TYt8NU(j6ImDer-laQxG>F{BokPw zZS0(IZ5Ou(i#39SD#FZMi<9q-Q$Q8kvT}XfMM0aplYh3iw{A;Urpqbew3fgEdWzY< zcT=q+i`!2cB5*LW8vDfz`|7 zLT&Br@xq~M)Idl_HNU-V<^u8Lh0sXxOa3YZ2hPySxrA_zIr33eJl)vUHhB93A^DC+ zc)9vHr-j`F|2B0~&M&TRwV%y98NNWI3P2YX)Vc&LSurD7mab*mcqq$Sau(REJmZ*{ zUR?nCvPt@&A#}|-i5*ucF?dEo*XTf{L?(=@HoPHlAvWa8;fGkMmjc%4vP;ND?Xd{I z=nNQzDmvH`EL*uZ9D&k?*8(yfN9rWuA>#Ni@a+c(kA-E|(fd!oIOI~`)N*RwjQW0c zQ^AUOz+q|SCfi=1#^b)v2o=cZJlI{(Om}fN!-SN3nBXu_pX;UTH+h&i_GN*)xO`XX z6q4N8Z@IwsU{*p&CLZD#o~{6AiA7PUS-87l^8VoWKHtxM;+C==<aw+@r zdXCd$qBp4@zFFn^-W%N%mj|FTstb{xB2kVW4Uc3nHdq}stZ3|Aw(n32R;NEh7 z@{14m)22{0I>a<#a6b)}76xE|oX&XO*gbJ z=h(aibIS>S#P6HT#j&w#jLypZGzGLdIK$h_cMG_xWd10)TD!!5C=&`=x#-Fe;SQcW ziHt-ty{&rx{ad5~4UCIko?ucd?LlPfyOAni&flFPgkfB=xmDjBFe(G2E(cU95dj0L zK}@bctxlXN7NJ~zP7rz%U%gx4SfORTWsEZcJH3R#eRtUKL(=F&av}|Br`zydc}~3` z_xmkjFY(j~6COa+mM)14NmFN3%M=-RkUG+!yn!r2{_e7kQ%;NE9gBm=+mBE)M2=Qc9ARjmM@0_CdV?+X?U2}DP^H?j@zK>vLm0BMr(f%yo4f)C;Y zl~{bx(RX{|zr8=8A|Bv{s!JgN0$BNPjw6-iGN?W!xI|0iWb@Wh7)psECa zKEwA94Gw?lYZx6xzj;@NrPWrT>;tp3I1Fdu*q!ly`N7Wn@)6Y^rceI7TjVPT!{j8Q z;rNf9yxY!rheqoY{Rr_pc>l@Se-deGrEM5CH}87aZ{bub<@6EF{8A4!%ST`xuz$pd zkbc#*Ve!v99}zn?|KXK|)5qdp8m@)0vhDxjS;OY9kHzSnrazqT73xpJpI)W?39kuI z>3v5SMy`7N5ufR41hQ}u(s$;B0WaszO}F1k?zHdlJN#ziOt1Z9@}VK^&+ujbM4t2y zdXL76hKVZKz@P{G)*iZifkbi~1 z)ag&?KJxuf=oT6FBin)BQ(Di{7yTo%hoXPhF2ARpGyTV-b58DhzOfYV^*}2cGa2&! z96cc*6O(7Y`28|Vr1g*FNQ1d~?;HP)1|R)L*8UHse-Zt!Y#n_6hadkRh5zdDn*ZMt z+P?A+cmEgJfAZQ5GxuLa-cwbukCa+`lp7<*AiaT%>FLLN{?3sU{E^hv%zS_T@Q*x} zmHk_O-($c@fQ)M|zhz|1Z@c=F%&0mfu)%<(-b3JZ5iK6Yw?_3d)ZwoNk zN_~(MsJ-!VzPwu*i25^mQT{pmy6^v6qW$sQgx%k|><03;fv^2bHU2A=jPJNEX2?kX zmB@6MCbymM-D79)uMGbu2L4Rwz|emW{@?laU&#Gi5wiBb6}h4PKLWdbaNe=}D`!>M z{=JP2G}T0KRxj--z6Tu?`Zyuk(*IEwTgk4I@1+AM_^X~WwfLwRz&CWZ$*?!|Gg^yr%#z4M?!z}sgDNbKL4M~`L{hM9!wScraS|k@<&w+ zJTH8-tgT_E4?-%o{-;Dv{w$G}k7M~C=fb}L{8zimVERAY`6y@S5e&*9JZIJZ-pP)N zN3Vz^_muA@x4?KzPpp|UC!pLWx7Pgc2cz5j!HAf%N9TKO60 z@W0P@TGi`!@BXU@!rZ^j75`fc0ubPDwUFQaok&H&M@IhBDDW{V{Obty^I`U#lTUvf z8vi2sTgWaV2lxG)z(2<2W<(ynDOxmj|D9O>UL421PGVY&uBGq%lk2UMBw~6ZTz1rO>pV^==R?U-kAN{#+dN-F&X{WqdpMj??np#)==Qb zF#X>RspS9dWKxlJ_+Pt+-pe{+eL$@z4NR5N_D4Yk6+3@a#~$wo2MDgaAA4L^dRm#) zlq#8b12JOu5qJTGXP1O@vs@mOc}@KheF}H?mkCF>JOuKq+x>b)uFnOM_7UsMeN9|d zhH|SO4S<0RF}tVDDZ&tv$o943D_-D}1xsm)yVHG?>-S9CntXQim0KDBi@Hm84qFa6DSWcwM{tRk#bw z$dHMSz94kjcL-a|-Yvt}&S#HvmU6CfqH!kaa2p`A&rS*$o%;?7T zRA@3W+{+G!!MDw%K%d+zz%lLPW2GnM7@!Dj^`rayf{^$sS1}YbqEmfem=l=sRKpUa zg9wsuuVoGd@d_$p5yWcy>)|Z(ZR%Z0U3T-zXwqI1H8dTO^{eHh2@rGQpk0R{sp0$^g z#L=&hrKv&XA?1ggG>*RN9WbUy_S5lMx9yNA$MW7_w^NxzV{g)6@N#KW{t zqUUx5&As2n!%Yl2%I%fAr@`EpZ&S#&--iLT44WfsTlTrnYq?~v>F&v~yK{c{6Ml;> z-C)xjM<#?as`Hn0EU(RC8Es}Q^(>@vGdXPgioRRnO6&Ajyff@W>?3S!e|s!D=<)-; z!Z@1w`3D3B?#V`VkB8}17l6EB74j6Y$`(G8OFsVy5q-3cnGA{HFqt|iTanI1$SJHD zLsdIbeMMv}z4+o*vy7)PDaltA@~&DByqR;HEl9>YPxD#S$CZ)|g_7vAw~} zRnwm1Xiu9U>CESdARFJ(OwDfE(}@LKe&5f`G)X^CQ5c=L&?|73P5+j7OcL>X$-Qa$ zHj?-7VGP;CkS{%=@D%#->1-^gji>bFQepVpEdRp`c{9c%WNSS|u}+8$#YwL(Br8n9 z@t(r5>P<)!x;@U~fy}{^(4;l9hPBGN%n`#23osYSk|=xrT7UP8AqV{N(&g{a_sO`vj7)Kulz7jA-a~H1-d?5`Mksm1sjccTX+OfhH6ax zFGrx8@bzf=PwRC@FL5*#`*+Gp;3eHiH4I2B9W`)iu`yE>CfhEc;{tr%3GC{>KXZR8 z&V}F~Q-;KQe;NI1*^}o{ z6T=tyY#L(s8%t`9@^RcsuxQu0TCAULp3DF(UX*~967^t{lD12T3AFg@Qe3-N|zC{Io|+g z3L;#w`%Uw!IqmG5Ot`K7cyJX0VMj}W+Ki`kY^Po^4vlWX5f1l-AU6dgcgY;MyE64zM&2{dPMgkj z`d4_iSf=-vqFvF6J`X8$Ng_G@Xw9(b3^adiR+R(>kX&%mL!hNVji|x2gTQ%JNad~o zYd9#4;0qISBSZ3UOybAi5Wv;tWH#qO%fh45HQm}5UkN{gnl`c|L%}UIVyMv!pkWc$r)k7b`gNf=0+~0Mir%jOuJfwaFYKM`UjC zL2m?RubocYb-)=3BrXVK88)%X<<{FFqAK9 za;jIQu|siVQ3ltvmxE!?d^=zSpsIxxkGSbJWG6Dmk2mowb|ti6A9F9ey38Zzt4XNX-S@wuU8 z)LFyw@6+0sPsw_2YPz_tWr1nsAMO@RZueBwSr3)i5vZqLnlCmg?>(R!FcOYn6Vy*A zlyX0`)-({Y#k7$u*SB8@g@9KGZqWPVgNZN24b9oDG^def@cAoF_+KzblOIU@P-kf4>&z8kc zFOMlYQZ+T-3=*J-svo(V_}B7da13M}13EejG5As^cf5T$5*DaTiaL9A1M*PSyL)tH z*U`FqeA|1X6IKv~wxE6+uMXGft4&9;UKaF+e+R8<#OTE&O?gu_=? z-bYva^aU;%%;yIks@|2E?u@(3rfT>GpusP>o4G6zWgOVvF4kfZ2P4}T5yrI9P zN$itwI|XSm6KF-#p=t+S9N<ESE)K4{*Sh5E_5;#KE&O? zx?vRJbF<9K3y|=GnX1vD#d6(dhnY3P$Eo%67OB&!5I=X+kkmmlG~+dLTk&Cq${8>U zeJ$?AMn&cE!#56&ETTJA!`a&RFPqqrBO3@GTcuY($q}t1%6PF=5haa{%REU*dfA6J z5@K9jIPE~YtcuWkg-o2-5maN)nQTxs@AU2XWMv%=)dovR;l07KLTv7*4X}+kGtVO+ zTx|Q&OiW)uU(`IUz{_@+uH9(qXK0G+A!pVF9y##xzFK!|d{tF6D{Y(*?hgRLk+fhW zAo50>`>{MOqBt+MDzqAxc+;Q)7~RUCc02zpNYH~;y^)AS1i?v-SVt;}jgW}k)K1p! zy*YvBo~XvGZEM1Yc>>!JND=K2fxm%9nCF9rPP#NGL1Z3QNl+}ph@2pXKJl%&r^i2} zsEHS~`buI;a|faaeYZYj$FEt$P7~!c`msbQ@IaD+-VnIKICw2T>-EZ2eZl?x(=mo` zLRNX#mH0gM!FMvnkbX%f2-&YsGo`MzZ^8ZiN?UH?Jc4R*09C`jW6ja^Odj7P7Q{_p zT;ES*L+3-Apf0+uO!pcZnOy#Qd}i(hqF@zl1>txTA>)V_0kigUS=3;>i`*4rg|Q4e zFzY}}TbAl*G_^suy59WB^=|+$>`hJV#CiC*AQ5IVzHZy1fSqD^;{|lzh4eWVifU)h z@2sV0i-rMpVE08*u@1TS8b;<`DAkU>==+R>C7M5-+aYW$_ocys9lwJ$=9m+t<~?qK zyPqxLo)gS)Y?Q?pZ)K-K&hXV%PxQ{6sX6gAxgEK6I;sU}YmVs)vWX;KLoc zHfl8C)hc2>YR`?YcK2<_S)n9M`Yz4R+-9_@1XD(~tH>ci67DF9yTUObh}r$^R5f}0z*hE(x=zPS#27iR%Yf_0 zS6%b$fONUs(iRPKQK63*_pZX5QPvLd$80i}3U3C(Q2=V~lgjHTVABPrxdz5;g8LkTxJ z@i{@>M7o2{Q9tPc{i=d(*W0gPwd0oB+_3_|O6(3Y|7*3Qmqd@7I#`*a`t%>zzXK+w zhQt<@!cS3E`ryPV$ zwy!JL3kH58Z~~LWCQjm`#gm3zu;%@Vp&aoYa+k=~r9t;BZc-UX)UrZn(9Nm?A&WRu z=ZiS@1Us;1@T%Z-65%kI4%3x~-kQpFclyG)Ip;J5#c_NU681&i6~AFX466Ea>-@Zu znS8R#_2?4C< zSuh?tqv?jlQhvW+#*ZQ4Kr+w&mdH%1*muk6kW}Ss7NK>|8+Q(7qi|UB+EbFus>xF@ zoF?Z9KdgrfTNE8ck?ru^V!FSF^p?ibjjvwD!IGr|u_O>v8`2IMRdZsud{$9@7;B^Q zj1O}v=NGF^PQq{WLOI1T%s8)MT1rx(0DTw45Q5O}!x*lvr*g?vUphywwq>R1U`oMKFnA4Q>%Qd^n3}=NWw0*aWUSt z*H8y4Bi5fyCZ+%WY_*!TAw@;F)%uCZLFdbu)QI|{t9|FbsXEADN1W=V`k__9xmN5z zyPeYOd~Xt{EbbhH88bt9sc#yu5QF@#zrFJ;fI3l;LNZ-e*SR5I8MBo>|Bk!=s12 zAAt}vloL0m!FNf)F}l>BYJEW@X1_L8kjGT@>HCDCo&-;Z#soG+F_>Sh5=NrRHiPQS zE`DzHslwA`bV8)hTuOz7Bg0#qvzp!!RF6UIAqAcdyTZTqp&)QwMC2ZPr zfQSjIvBq_cRc@l>r>=(62GpdApN#ypge2ua9R2#EpEBk}qWNZsBWec%r{VIKSnK@0 zifAWqW`ioa-8VN&l{P)NW}>`GY)^5`>}9_!Josq^B$2iJg;(nrLv2WF4-XEUC}dHz zACE@Wa_$RcJ_=7f?T4S zNUd~09ruwGLUv#CGOSXjdqT?HcC`=K_h!*FR{Gk}{RJMYWP;5V zAZ=9;1*F6jDlZE>ql`m<)2i_(#doJ>9mM1|cR*ktN}=H*xO(hKebGR-JpR>Pc|(HV z4tv>o_XgXzE{kdUo6tYH6YLbu^@n01;bY4I8%<5Hvw z6Hy}sYJTRATU<4ECgCqwZtE%{k%UDy?*XLs z1%24Zi$QBxtFKnj53@Ug+8uZznF;ODoqZvKLjd_jb68c`Olcb^o>FID z7=nH^JM8h*F4VTFn~9B6I-kY{S0iIyc*;7!aJHGPZKjdb2lP4YNJZiCM;D$kzd6;` zip&Z$&Fed_dL7%;e#U^z3mCft``pf)AB}-wx3q`|h>1x9Zk_ewv|oM5&6b{o56Kth z-4X^6(1=NYV%DI*t0H3w*fe!~!JRRx8^)^H{nQ+Q_TT!{fz&u@&MvR zA%?~OXOW#$in8|SN3i{Ky28naSCbO(;%LR>1EONWvgZh&2UbEM!-cd00e((CvntRi zo%2aNs-oB#1>y6k8e+ID(9Q>EM!m1!dA^L1ol5+aC{GzgPzofP3Ud*hWo;A6__|6?Eh>B;s#^`fT0aP6<7MeptAr+`Bj) zf0k7jU&fLF8F$T|9y(=+l$wjez+EI~7eo>{0dHgxbT0-5CM5tDDAeA3mTqo86@5&M zspmpm{U{UL0@E$d3#UNon4bL&z)q?I#z%{fU)i(XS&=b8m%$gXn z)^C&{FxyVE{7$|2aK`X7Uhzip)`S5L^iP)8%#o44~d$qR%wm zt3GgJO&ro~z_@i8uf**%j?d*+BGj2u#$fq_yvXBUOnc|4`U_7lM+5tXY}d+aDcl*D zDT+Up_HPV-+frB%-H1CkH+FU6HnGO-Qa*?PDammkX^d6*laf+}n|;pgOW~20XlxNr za;Nja>JD{Zi5tTqL)E`Bi#++wY`o(J{3ky=AFh|qW8pfY_2#QPt~d)okbM>EQt_M3kopDr!@~1<>^s8f zQ|p^nsK(fUX7Nzqak%JJCQh#S;t-S4DT`pTnBnAnSW{D*B-k944)P*_=-U;c+?Em~ zTj5G1$2{A2=13NwPKuvfc@=vCIx*pV#SP5HYb@a8m5SpVBM^bMaXl14vL>FzGcAGG zwy@V9Xm50W!SK6yFQwZb)2g@gz6!^W{XL7b!~1HOp<72yx-Fw%rz8R}K-{f+su!^J z$$Tgvy3f@V#AQfBm&kD?WvHZLF1vA)Hq>&L<~0_cmo+T7(2C1q-dtu1B$?Kx^mEnLc1Qf3CZ(PMy5&FNRiQYS)S%Qpjym~`Iv^R4(7gbawsq3*ye+v;jz1toJ` z*fxYjB-u;GfEQ1rfEHgus!1xJ2hxVw*Ht^o=b~+VP;u-IG;5%d&m`<xm#&7y_7BH)9+qh0j}GCyF)46os_y; z#0V3IICr&ANuqy&9-AWrd~o}7iO{m;6r*v2Ag@y@AZ@$04j^f6w-7MWT)-ln7dCOO z=HOlC)0gO(o=fNd>BC=!&SS{{95TSJa-wfVjCuXrv4DyAe71&cu^2{(Z_ZbHzE?wX z?wU_Qm2L7dU(*JS_jJWy7VuB5#UvTOXGqZNi4m*&X7y1^sB`eeHDH38rQ(i4*JV?O za3)pGH7v=}f`>){OI&3cP_wf!zxs1L0wV0S`PYrh`ExM&^C@pZKuy)Wa>p>Pt;OmC zEm`mFUuN8O798Rdk=KB{;2c2TI{kw!CgB6YymvKg7^LE|Rvil>?$I`+Py%6We>x~pTp*tx)O)Vk21H6n79|~AG zIQ9U)Ly>OP`8ootnc6I&*@_D*%Dpdk`ah2@)0rJh8kS0%(ZuTFd}4qB)(*E=c*u|_kx?K*0QA;C+&ENkK7BVWAfzU|h zaV@g-DRj#bi$j$0ih%%e-d$`U(jmD_P8KUzU08BME((e0SsT!o@K?MtN>=hs^bKV8 ziiWI0_RRDfQ<3Yfi^TTZ*PJ#7QAv0ZiYy{e{tm0s_fg#Ahbh0JfA9Y+87^f+v!EJ9 zF2d&oov7s5o2+`_V1b2*!h9&x6OI;X?qbKRY}cXXR@9*pUHdk&bkB|~h@{_FdULX< zJpYMaxr!5wS8>QD%7l{B6=)#Vzt60fwIBk^Cznvf>&layIoBTWe`*3` z>N&I_iuzTFhve&>qASVH$|~=QJ?O7Wi;O6y0)7Tddl$KJsbVZ{QGX*;Q`ZQRxG-h2 zD97eKj<=hB=+L|MgCg9v{oXme)PdjO#r$D%&3S_lbNB@G*~v>g{@DWOM)AN2GQG6s zYI%Uu^BzLouF}&m@n;`~E`cZ(R_wvnQhS&gnr#K7`ph5t~FPstw|B)9b2v4 zNA3LXeV(=_0UB*%!yH_pd6C`vguCLKXzn&SvAa7c>@qpcV9RV(V()%}1x;`N2LJlB z&N%Hm!Qh1@cno9*74tB-AC__M#^S3UApR?xUr>cH%`lWZ17-rvDCb9xEQFQZJF= z)vOE5I4>&B?dMMQB`DnD>3FAwM$omq>-AtGI>dR2jT%y|#{13I3VQD#&?SjCl?t#m zHj7G<$_aH4w5y}BwlC`L&s)cZ3^iyKPvD1+bnM2*R8CZ<@heoC!Sa5lMYt_s01=&` zo#`gI1M`Rmai-8ladMxV@;`d4xEBT>(>AWZG36V56<8zeK$vy#wIk{nX@5f zBzuj)`RO0GWEurryK6kzH60{=HmXgtGg_WZ!aAR%p9}WKPjIwYr5xpRt>CIG!dBa`0aZOcw6kV;FI2)K#kwQ zqLCHZ{Uj4CHJ#mT`uJcox-nBkc()r5VDcuW44*X%eI~Jp$ma{& zfazN^>wf-h*L&P2WF{Y)z2YOKM)!}c7ek!6;jlm@;Ev^B-WSX`VK>w79yVUQFBLuL zWNHkF4=XdadM1>!>xiHz6@9dQ#@m%MoyKdUz*NLB2)%U;5Hq>%_waY4Qayt{y8MgE z+uO)Cj&i_W^g*tuKN4|c1C(7~yI8ikz?PExI>Yv0LbCW)5&BMBus*q7y8TK^@N&hx zO$M)24bE#OqZki5FD70`Xac9D!EXE`Vq>QT@bopiyZmnn&oE@wcZOYSUt@}-g2oyP zDSD+pulDTk;=|dAnSBqhnL)uxst&iTp+1Jva6{rKNrI z-lEh#uLEr@O5d-SxG(y1KI8H2erroOoo};Mdr7$*+7{C+ZuRmTT6z0kuG@yt?32Ex z^s#3|ez1E-C)DLPwvJyC7c*jYBy+z30!5y!oVo=f6NH>nMjHPR+oL}5?>Qk$?A9Vi ze4&Wx50lLLN*$9g)hm8+!ugZnt3^vGG6oAM%bg)CJ$glGM_CZRnHnsLcAO8_k&}|@rL0Bg zyG-l2#srRJw{Vx|Jb^Ur;~3R2fcNJq1YC^DdG2#t`mBxqI)L5n>dqNjXx%OOxM5Xt zRshlU=Cl4~wHzHjZgn`^ztZexR09*RwimaGz#20V4&iR3o3i*6l{bp=zhiZjRof13 zpe;l9&2Jg`XZ4uKL`$9W77r%J4Cd&lzK1!&T$<8#7)QgOca7H7JuY!O9b-FK0mkdv zC`{*C!X>m1r-y8xvg6o|#OU{m?E(Wh*)pwz1!`Atj)&}b;HQQ9&innxJ#J1 z^VJKO2j8oxVQk6u!4M&XoH?(m4cuJhe#IGcbPk-*=X9Uv7uIkkn5p-WJzm-1gx9A7 z=6W*La7BM^^9095$XaB7IK(8!QT>_^70WB%|MG6kfC^2*rNxE%Q*}vlt08-Nnsib( zJh)Ts&75D0#da}L>^9e*rMwQ|N`*JqRxc(#)0&58q}{_N1o!1dyU#oY`4uSEl3_@< zEXl3-S#=l71NGIfzvlhG4TAlSg;Zd-5RL*8&5@TcI0*vPIOzhEeIg+`@WlJKs=4!z z4KISh+XQBQ{wYx^j6n&AYdf>E1x^F=JV!aQw$#b?@4(B(r&858LS^rW&w*CJxA!k&4GpAW`+GW3nf>}j2*+9d zL&B(k^B~z>x9M>#Oh_G`MXCU5Rx_O==k8+GcP?Fq@d#KjQXx9f47_c3WbcJ@DEKW=NZ-ASzW6}AvI_;FMbQf6 z=wLpUCwAND?5fME2Pe|Kzo?63(2N_eBf1|oH=(!f=2$Y@KNSbZ;*}aR9;)EW_=zu0 z8rc$Tyru4j7ysdCYio9>%29h1_y&g`4cWisJlR)@Qj_OopokSGyEn3n{QBdbO3-ve<^JJSp3Ve}VddKmDMfB}Dg6&>QwH3ymN->Uba1`1aRpd+X> zx)Bb(ZY@0rK*Syv{5939KNy^Ud zu!MDX6u@Q@Dp}H_HH4sW@3dN&!rA#)sK2#f@~RfPO=dWuMS%FtZ+#mC#FGD4|Aqw~{ZJr1nb_I|^XH%c*p&Gp1N-IkVS+Do$geG9 ze5WR&R-xxb3k@-iJFv8Kr&knaNKAW#NJKc%8D?jYQY+6NMDj5EYogEH=pNv_ln7dB z#k7AFi6y5wuR1Syf6~k$TXpeLJOEfvA9NA!YY&G0C)f`i*(aUAAcameQGaOFOtZ&b zZyb7KYb3V3sPNM-&^vvN073Rr;Uj9e%z7MnEpK;QYh${+NT>VbZ_a!P4~S31-#Y7Q zrA48vkmVb9?;!|he>#asKSn|b=3pa11t1B8hPL83xlDo|U%9%k?yC3ZL+9TDlM&FY zq@Jnstm%wLS5?YhJ%<;di9^lSF)QJd_<;A@lp>MI!?H?Xi|ApScDWZ&i>JcXX0yb? zM2ToIPwn>jseJ9@?XUXv{adG^YTb2`G$}Fbd>t2l6QnbL44xisc|8!GnJtk1iS%kvH&1=liRYU&g@BGq1&-GHZ}+fx}SO5npqdE`o~Z}r|| za_~$IZ5!((LD#dv3lrSMnvxz%?zI+SX z)Mi0$UIRYJoZDHU#jGMcw&$|!#0UB(0%VuWU^|Q@Mug2`_!bu4DxyUv>%Si0xndq; z`C63}MgJ~E$U{n0lqoALY)S@I;hCb6rS5D|%Dk#&>(TGJ!qh1vmYf!Io~v0_c&WE< z@iE$Qk+gcz>tAhR0$0>tZ*V>5QYC3LDx*0!6@gqDfV_IYHFT- z_U{Ma$bd!yJd5wvMzOA}XS`QZ7y$vZP>$}61GiXS}Wt`?%aXOTAR z=;kEW3!B22{GxD~*)(|yIQPb=%mOfRH=DtUuGg~b5I3ns|4^l-8pkb=3k|lM>B>Yf zGXilpW{*m@Ds-{i-wmnTHQL|n=+&z<-W%c*t5f$akbE0T%nI^WsR!8aL2qiU9OHPO z032!%H1f6kp*N2COIwA&@-y|1I#b5m9*zYwepv)EgkgMg`6 zJE;Dj%0puGVZoS|ZHNzV#;5P@vpq1rk4}BKUx7>9>kd;L6JlHRs zFF@t)ruG86(CH;MNHN5dL2zW!5f|WWM;vdzLo+Ky)ZAvOnRJ*ajT0PNECpUzv`X zEF4{^4E+5*o&Nz(;TLFR1ZGCd}A&d-bCWxKr*50Ko#|pAG35+lOU8HpE&SEQI-MVFLgai zJWrpLkI|x&=|U2}!Gy46D+o0*%4+OIc3~~P71T}1ZjpTf@}lzFfts&#nN3xi$(H8o zsdAOmDjue?A`(p2Ijdu6=UP;clIyZ`hG675mg&JY2+Ml5PFvD$Us{_iH;XCKQjBeM zEYXZ^!`EnJ<0J0Bo&|!a#Sj+ZAiV#!NB^k~DU*d%y)POJ>1DF>mi}1kS7K=TrTmxH zsY=MrULQ(Pj~lJfqeE((|lJV|7rL&YBk{?ay-$*T=kPeL>E1fb08j5!=x7 z;^PL65wyW7@qpSKJ@&M@I{P4{5a8-V79s8@A=Dl`~{SM zK6h@(si6sEduu`8M_(HAY>KN{{S4@8KTavC5}gLcl6kK59QvDWPkx_co8;~geGY1k zpc}T!^S+drj9@hPww|YB#9(PKk`Po-m1T8u2{2CqPnzuhyn-o<<&C1e=R#a zu(r+Bbj~2C_!%I|rhI5bK`x)3kd@lQz_}U*{V)E1z{h_PsHEgKQb4$GOumom^Y;yG ze{AZFZlCkdRDP5tX;LC(F=eH+@^?8^4ZKXL#gES{vrn(0v-lJY?hH}fF$W}B zk|_qx?)lJrDWItNz-y3iUDjLZalJf&{Z+*Cf*4QA5R354VE4d$_fiYykOi72;Q46K z&pr6Yle$jT{sqG~t>z=%m6b6X$Ki=|=vHcKZh*sQlsge~n1K@odH)66JhGAO|Krz2 z%%vjtQ@E7==EnO)7Q7Gniwl-VmzOd{_4{_to#k>XKND0pwtJXTEW|0hCM%Sl2Sus= z#w*VtlFRz&pgE;oT;zV&{KhCVo@HjQ9Hb`A?i8CoE~UL#bZwSt3mo?cFEp&V=?_!X zDnb!hQ90h0I_<*}EO;VCYgPf|-W$W$v^(u{)_$cfEaCA{u&ate+mS;+($_nhYFxWC zqYnG6L=ylIb}2!kq4hCl;+rp4()0_jW4i_1*KP}J8pbJX_W7#lIx!yaf3N)QbNSq| z{+EM+vaZf*7O{CV+#RVQ#2cS81sH*$8t_t5}SDFzcvs zhbZX~q%vO%HMqeXMAwsMl3vZCYp3|QV9NskJD`lA(BWfvNmJ|AAKV2%*C~4?CV3@( z&6e9qh>`{d#hH3l<3bE~;guVHCNS7naon8>h+cE{(vNlO3rJfhQ#3kOu-_TV)WIae z_nRD5%7iq7gmdsB!0~-PAH2>ynG}fnP3KysW3z)Eexyt_yknn-w>r@v*(mo=?&$mU8CasCy6#V}(O|7sJdb2%nQ%2z7BEmz-{y&pqf20knKK z<`zTH^SHL@jgwVSdjh|Fv1r))>yIMPtW`m@A zgRy1*gGFTIJ|EThe{-s%_B#D;Kn$=Cvk7dCyg2H3Ma|7v< z&X|yJk%xI-Pz6h2=8Gdx7W+rSdv-bbwq*Tt_NY^JO9q9=*Tt^H9{0yoIbAfG$R$6D zopA8#-E=RPz@_~ZncQ=m9L~MzqmNR&S&5qKdOo}p_E;!)FX><&GxP2Ebr10)QZwNfAM_-r{&Z9YNcVvBa z%_;=NeYOF2W8AO1bi#a7B8wchc(u74*C+ik&%|v1!eTxu%Ecu8lF;;oni%gk(Z)lcSJk9`a7Yizjx?F@B*GXDLIz! zEno~@lI?i?$%I>cN@a0OAj+ka0dud?U`?MIriC((4Cse{fERYa7n*MUWGdEx*T|X) zYMUt!vi)l<5=JFww!oz@(1pWr84y1JV;@c)asW|z^s%~X1?kN%_Y(1C;K1M27%(bG z%s&=M$*u{h%Q=f70XZzahO^&Lu?qMn%1+3;P=Qqkag+RxIe}ncuswjN22>h6Q6XK?OVe|@6 zoaZ<}`z6f%lyj>;u!A!fbnpi}b_{B+K$M&JMSo#;x%0|^X9p*Jn3kJjZ2jerwRX)nTZnr7c)E`)j!*;~KkUaX}T?!3qdLAPjiUf3*I)Mw)kB~20L2=hD?+Q33>~u8iOwZ@)`&+SbF_(Qz|Ml=D}NEwcdZ{uRJXwK1VaUx zSIe>pvgicFEplLnGLdf%MlUGy$ox8(3LZ)uYSKueY$aBAL9p zmDvEuDskqK!ju!-`GXVLnV>--&4)&2Mca_`I-+6_EaNMolwNlHOh>_-nHhUPGz%fC z8lPK_c#wo=7vK8XC80hcJbd4WeB@#MZJlZ3ETBu6fe_qGO`{mBvNuN5m%hA~4S0fP z^@n#4BvVny3-}W~5xp1ogCBi1;Y9jgeFkG?DBA^l|0jAanXudqP2S2y7!ga5%}Ibe z$H zl2tjovCQZ#dq~P!#nWEd*yhfpp_LuzbZ`@+*_d2thAkrxf$ofdM08#T=#p~MLU}|x zLIn&_aRR?5JPVKY5ToT$s_YDaAN_`X2*M~gDXvBca)C=Uo+zA4m>36`=ON&J&pRlW z(HC@j28jBixZ$8SB|f>QJp z#j{J&nwpUyb3yor_@bFFnU9twENm}99{&W^#Fk>;%#?Rb;@5?4}y%}6CbajV(JfEb+PUqFZk#{_0J6AW{ zqj|&bSdU=Qf)enK-Zsc{J`#P4f5~D+Qs$g?ht#W>eW*>0a2#7CzG3IEZPz1LZmhYX zcN%CuDB;b%j7;&Em?^ShOwJ&U3hgmuEIG%YLB%`F%!b=i9AYTsu`sV6*Vw+_16iw2 zROdGwaF^dfA((Q>DKv3#yX?M#@ADY{$?R)dluS87)ulFTViN@c|ZLOt4cg_-i*0TcT+Rd)sqpwGGs)Yk4VejNJxR%Ezb`S|xK>!Gwg{8&4g= z02mP2lM@N}9UP}NwS!P=qBU)j*lj}s>%J*1yx@$9X?*rqrHL+L_Y|boO<+N*e-%RE z(b@MwKLhRv2(m4BuB`GdHooBd(u)NlPcFFo0*c4_<1Sip4f;@HDFFu#{;RVbtOd`R z)5iqSHBX<#(=Aq7t`dPMvkd<;u1x$mKiPzqhc=^EUJ%Q2WqW+rPSkD;? zMv_4%wAIv@(h3pIvghJEP9z_Jvf=*XX_!6rH(XuFn{Sgt5G+2z^@Rta^*LG|(B$!! zG{GkZU2k0Qov;#O$Qlx{Y56bU{K&AmnnH~|#;itflRo--3e4UDEAE>2_pwncIb@&M z8UK#ubsQH>qx5f81prX|gSo<=-*Nn{J+%do!Gl+`rYW@%UNSvopwmX%hc(7f-{zm( zT}Sp|%QKLCf_``g~>0(nNV}IW8XR6h|KywpELxsQO7im-Uimj;X>Ws&+s{mKZU#1{plQ<6b(X@-RXk2Au+>m{BtzmQ zBP@i8D|BPgkvBb@Z@US4ItijF7UzZ-7>!8&f<(C}Ff8MjU}gIq=_)gfobJq5KI!_- zqHd-J8@AHb18%l&Pp?WjDR zjvT31_*oc`CSpy(c;SiMA4;YfkF(1soM=ihlt|OuhuIG$j99-T5lPzE--!1%Ao}x3 z<2Y}DydQrO^J&vEK>r{hAv5Yp$SkDJqX;fHiO`ALq ztZb5Akq?H-$H9aXx`7BNpo$=Y4dQIwh>9psKPEIcuuvlZBTw`RNA&XfI-bZ(b98Z8 zuC-(-9&ek-eD?Lu`8xDImLcf=EHC`G{R;oO2tRGZGd!sLb>O|vc~!EC_WF!q?P1gJ zqkSPOOR+yHT_1}p6HPk&U=3U??-)+t{J>kzs(SOLzRHp%kMEsZ#2dAmo3VAZR8lk? zPSBRPHmKk21j|bnzL*`BW{Q2FC>X7UKp>wVMb}0+wUyrvcJd|Uth7~p!Y^tSkGA% zNca%(RSvCb6YC;!>h!RS6>Uj$?MDQ6I&!(UD0zwdqP+dh-8Lb$si>AcTQ0zMCT(Lq zo$Ufwz+diC-^>d4L@>MXdcn4737b~IO6ksBqYE$@JWU*$Gy`LHpyptA%0YT}=0)Q{ zpm;u6p4WdMd4m|H;&f8-07yO6YArKh0D=wQ`?5j?_I;J_g*fxm({HIbZIvL{9$G|- z0pkm0{HQ0O#_NavK2azSmp$P<<2u*Nhy(Xe+L@}#k#I;a@j^ELXnPscK{F^>V zCKeohl<})0;+PWWVH6hxofC?S&%7T7TUFS^S)$D4DOMl>Ws!&=T?*sZzivl=!e9KO ze%O}=>TCWq_Q&*^Sp1rEKFmw+0y&k0K!@zWG0mhV`kDIfo~3TrVmUilmLH-d zQdYzZdSTvmL=2g5+q$fN3>ksltxQM&_){Yyj1PM-31dF>Z1kAToRLHSi_XjY+Q0t7 z*lQL^x0AkVq%dkEgM|8wWGwna;Xy3l)b9BrQ*sLzv2vu4B#yV_0a(y6|5jLVHBneN za#^K%ZD3kn7?`41WcM%tzI*Z~(M&wddn~yjJaN3WeWjJ!K`4E*RDEgUY8KKmFz$o^ z5**t#n|32OUvJ(TaI)@_K!G3ILRPy@SD z3tL`!G^a8xT0-*E5iK2Ox~`sOnT1(;JC~cRqE)&f+wHn?k91^faBj~@{BC4~7N~Ay zGdqsnrl3FjXA>*|2MryU`OVOnm5ld&fC$^IJp?-G4w^2M!cc(+OKR^~m29uBxO0&K z2!DIy-@@P}Yso^LEpBBdthoJFgmpht;%SorL1r5m<|!kx2Sf`CpJXI9_k(0ubb15&vEtO1Pg8!N=-lNl4FK)%6xDnxM zo05_>_&JS)Mi@*9jo>1ARwGF~*$`}cc-)rnH}qQylqgv^qEm#c7P24IRr9qeP^WdNT28h86@+&_Xx4nmF!jG7dfk`tJx=Dq{FkK0rIZaLO%0t zAOddkAIH{?k-JrD?BR;dPu0ms3uyR!5bcHFc1FC#9?KB!2Cp$P2??u zNek{lUdGq%jMB-y_Xp@V3uNbiF1S|AF_NHJ;Lj6Yd*9``9nxIo#o+wVPIG@DvpCZ#Dw$UouQ|n&HtggDAS~0<|oTS-6y$| zNRHG9vv3T95-&OiiXKSqS$eLB9f{H82dtU|q@59_4Paa4T(g)Kf|`jDwUYJIe0DOEyU1lmARIY* zpcIDW41=e3?L6Q#|2k4(v$Xt@h1Vl^)$^Ky*5m=EURL`mX+BdJ;W*%RVX2A2*3+H1 z97l!VF>cM5P@_onV>8f=wMD0{E@!)Xc+uuhwReN+^2FL73m!{cnnH|*Oc3%C*h^E0 zM*glS#f%q@YSl${DWgxa0K0Ec`Q0qRb}LdNLq;AVf4v!U{}O8EL}s{k6KCjmCVVWi zm8T=2iwSzq>pe6T% z4q|?KAEFtxacR>d_aaI+yHS86&EEt3P$LvjD}UvDGG9HhOg#keCd=r5TV;H8yiHqg z0m>E=rHyqzl8{B{kT&9C#h{igPx|12QL* zAi=}pl>GWXZohgD&VCuO^#;*{DNUre9qPZkE(puD-`i+tv@k1e~% z$h3FK8F8qT0S5dC?hUXEPhd{?!^bwN+{-Mg>8{Fd58&#dR>5oXIp5}nW1qt`9T3vu z!=*|DRPx{4FVR}n*N%%=57#WF(nro2p6EAxXM|=}{Vcg4h?B1%aeAODSkEBV3`y?g zBa(X`1!2AE+7l#72X_3lP641FGbvj8-V{to-qha6+9V1x2)`>{XFSZW#8e;R4|s3* zt&0ibpr1r$*5h!vaVyEkIS7_bR=7kcC_wq4+FL8~u5MC}(d?$n z{ebhz;n^X>=SIpkq2bN~%I7HOMXVLD?TBw^Z+2V~Tu8^LCViB>OtI0)M&j)b^<=jo z@qx%Hn8sBlBskTUyktkE*Is+>9vmvQ161NfA%E=K>F>D3OU_H?!MG<-lf~b&0hg=p z+|@)elm&d{yGkXXoDW_Nf84@atE-{!wWqr3 zn=DgMV9tF0RtSlun zqL1IjF1EM>L<(Y$)H;ffMqAG-c&DjdrE_+D>0qij;F->MEKz+n5=8_z;784@OWF{6 zAdbt9;$w+SB7Se%e*?L|o#nw#jB;$xw%s3Tvalw#NuZxV;$Ag!Ht6|CcZRHS3*(XO z0*w<6_mt$~vcLc?(^^+AdW(%l^Ny}BkE0J$3B-~B7P#U&3j&_Q+-D{?cH9jjpniH+ zzL3<1B-a@$TaGqmkzL2s>X>>0s}PGetxX%g-wSQF)RG;b+)fGDxGneQJj5z20mwI&Hj5WYJqH;MI%T8@=$Ic?{^%%u>l zKL6z?a1c8gd+7a3#p(%oE;VW_XK*_5G+&mm`{+LS0qtIBz}wc_5v>DRKXh+_PN#)& z1La1pQARfbc*k^02PUMi-??&^;7Q{vMPn^zf7_LWd4hFsxn2aL@AJt#e1o&cJiWXM z1nX7En0FaHbR{~~T^Q)%D8w%^uVX%10ygFN)v6jI_~8^wx`^!=V2*lmn&#yGoCK>? z{gF2Hoxx%~Uz_nWRe?OCEj})mM5N+Tw1?A2LQkkFTQYLb{!-wpLkM$p0SoTSiQ8%G*3YI4ZE>dUKij;LNhWoQ zxr-o=w2HAJ5OYW*nPDtxT-<5pVvgyV`82cmGM5RH+u_c5!n+0#+pPf7481|5rzk&4 zNUyGzdV+zYJFs(+bi;z?qaW+wSz>K0(~dPq|8`pcoiSgI=j=_#kRF?^qX&vCAz