SCSI2SD/software/SCSI2SD/v5.1/SCSI2SD.cydsn/Generated_Source/PSoC5/project.h
2018-09-19 22:10:24 +10:00

88 lines
2.4 KiB
C
Executable File

/*******************************************************************************
* File Name: project.h
*
* PSoC Creator 4.1
*
* Description:
* It contains references to all generated header files and should not be modified.
* This file is automatically generated by PSoC Creator.
*
********************************************************************************
* Copyright (c) 2007-2017 Cypress Semiconductor. All rights reserved.
* You may use this file only in accordance with the license, terms, conditions,
* disclaimers, and limitations in the end user license agreement accompanying
* the software package with which this file was provided.
********************************************************************************/
#include "cyfitter_cfg.h"
#include "cydevice.h"
#include "cydevice_trm.h"
#include "cyfitter.h"
#include "cydisabledsheets.h"
#include "SCSI_In_DBx_aliases.h"
#include "SCSI_Out_DBx_aliases.h"
#include "SD_Data_Clk.h"
#include "SCSI_CTL_PHASE.h"
#include "SCSI_In_aliases.h"
#include "SCSI_In.h"
#include "SCSI_Out_aliases.h"
#include "CFG_EEPROM.h"
#include "SD_CS_aliases.h"
#include "SD_CS.h"
#include "SD_SCK_aliases.h"
#include "SD_SCK.h"
#include "SD_MOSI_aliases.h"
#include "SD_MOSI.h"
#include "SCSI_CLK.h"
#include "SCSI_Noise_aliases.h"
#include "SCSI_RST_ISR.h"
#include "LED1_aliases.h"
#include "LED1.h"
#include "SDCard.h"
#include "SDCard_PVT.h"
#include "SD_MISO_aliases.h"
#include "SD_MISO.h"
#include "USBFS.h"
#include "USBFS_audio.h"
#include "USBFS_cdc.h"
#include "USBFS_hid.h"
#include "USBFS_midi.h"
#include "USBFS_pvt.h"
#include "USBFS_cydmac.h"
#include "USBFS_msc.h"
#include "Bootloadable_1.h"
#include "SCSI_Out_Bits.h"
#include "SCSI_Out_Ctl.h"
#include "Debug_Timer.h"
#include "timer_clock.h"
#include "Debug_Timer_Interrupt.h"
#include "SCSI_TX_DMA_dma.h"
#include "SCSI_TX_DMA_COMPLETE.h"
#include "SD_RX_DMA_dma.h"
#include "SD_TX_DMA_dma.h"
#include "SD_RX_DMA_COMPLETE.h"
#include "SD_TX_DMA_COMPLETE.h"
#include "SCSI_RX_DMA_dma.h"
#include "SCSI_RX_DMA_COMPLETE.h"
#include "SCSI_Parity_Error.h"
#include "SCSI_Filtered.h"
#include "SCSI_SEL_ISR.h"
#include "SCSI_Glitch_Ctl.h"
#include "TERM_EN_aliases.h"
#include "TERM_EN.h"
#include "USBFS_Dm_aliases.h"
#include "USBFS_Dm.h"
#include "USBFS_Dp_aliases.h"
#include "USBFS_Dp.h"
#include "core_cm3_psoc5.h"
#include "CyDmac.h"
#include "CyFlash.h"
#include "CyLib.h"
#include "cypins.h"
#include "cyPm.h"
#include "CySpc.h"
#include "cytypes.h"
/*[]*/