From a9b119fb6df737fdc3f7b6c54c0178f02c116927 Mon Sep 17 00:00:00 2001 From: techav <76832805+techav-homebrew@users.noreply.github.com> Date: Fri, 22 Oct 2021 22:18:44 -0500 Subject: [PATCH] Mostly working --- Compiled/sevga.jed | 2590 +++++++++++++++++++++----------------------- Compiled/sevga.pof | Bin 8023 -> 8022 bytes old/se-xga.sv | 342 ------ old/se-xga_bad.sv | 229 ++++ se-xga.sv | 419 ++++--- 5 files changed, 1740 insertions(+), 1840 deletions(-) delete mode 100644 old/se-xga.sv create mode 100644 old/se-xga_bad.sv diff --git a/Compiled/sevga.jed b/Compiled/sevga.jed index be682cb..bcb670d 100644 --- a/Compiled/sevga.jed +++ b/Compiled/sevga.jed @@ -1,1501 +1,1401 @@  Version 4.45.1 JEDEC file for: ATF1508 TQFP100 -Created on: Sat May 22 20:09:59 2021 +Created on: Fri Oct 22 22:15:01 2021 * QF74136* QP100 * F0* NOTE 0 0 0 0 0 * +L0 +1111111111111111 +1111110111111111111111111111111111111110 +1111111111111111111111111111111111111111* NOTE PT 5 of MC 16(LAB A) * L96 -1111110000111111 -1111111111110111111111111111111110111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 16(LAB A) * +1111111111111111 +1101011110011001100101010111010101011110 +0101100110101101110101100111010111111111* NOTE PT 4 of MC 16(LAB A) * L192 1111111111111111 -1111111111111111111111111111111111111111 -1101111111111111111111111101111111111111* NOTE PT 3 of MC 16(LAB A) * +1101011110011001100101010111010101011110 +0101100110111101100101100111010111111111* NOTE PT 3 of MC 16(LAB A) * L288 1111111111111111 -1111111111111111111111011111110111111111 -1111111101111111011101111110111111111111* NOTE PT 2 of MC 16(LAB A) * -L576 +1101011110011001100101010111010101011110 +0101100110111101110101100110010111111111* NOTE PT 2 of MC 16(LAB A) * +L384 1111111111111111 -1111111111110111111111111111111101111111 -1111111111011111111111111111111111111111* NOTE PT 2 of MC 15(LAB A) * -L672 -1111111111111111 -1111111111011111110111111110111111101111 -1111111111110111110111110111111111111111* NOTE PT 3 of MC 15(LAB A) * -L768 -1111111111111111 -1111111111011111110111111111111110111111 -1111111111110111110111110111111111111111* NOTE PT 4 of MC 15(LAB A) * -L864 -1111111111111111 -1111111111011011110111111111111111111111 -1111111111110111110111110111111111111111* NOTE PT 5 of MC 15(LAB A) * -L960 -1011011111111111 -1111111111111111111111111111111111111111 -1111111111111111110111111111111111111111* NOTE PT 5 of MC 14(LAB A) * -L1056 -1011111111111111 -1111111111011111110111111111111111111111 -1111111111111111111011110111111111111111* NOTE PT 4 of MC 14(LAB A) * -L1152 -1111011111111111 -1111111111111111111111111110111111101111 -1111111111101111110111111111111111111111* NOTE PT 3 of MC 14(LAB A) * -L1248 -1111111111111111 -1111111111011111110111111110111111101111 -1111111111101111111011110111111111111111* NOTE PT 2 of MC 14(LAB A) * -L1536 -1111111111111111 -1111111111111111111111011111111111111111 -1111111101111111011101111110111111111111* NOTE PT 2 of MC 13(LAB A) * -L1632 +1111111111111111111111111111111111110110 +1111111111111111111111111111111111111111* NOTE PT 1 of MC 16(LAB A) * +L5760 1111111111111111 1111111111111111111111111111110111111111 -1111111111111111111111111101111111111111* NOTE PT 3 of MC 13(LAB A) * -L1728 -1111110000111111 -1111111111110111111111111111111110111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 13(LAB A) * -L1920 -1111111111111111 -1111111111101111111111111111111111111111 -1111111111111111111111111011111111111111* NOTE PT 5 of MC 12(LAB A) * -L2016 -1111111111111111 -1111111111110111111111111101111101111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 12(LAB A) * -L2112 -1111111111111111 -1111111111110111111111111111111101111111 -1111111111011111111111111111111111111111* NOTE PT 3 of MC 12(LAB A) * -L2208 -1111111111111111 -1111111111110111111111111111111101011111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 12(LAB A) * -L2304 -1111111111111111 -1111111111011111111111111111111111111111 -1111111111111111111111110111111111111111* NOTE PT 1 of MC 12(LAB A) * -L2496 -1111111111111111 -1111111111011111111011111110111111101111 -1111111111101111111111110111111111111111* NOTE PT 2 of MC 11(LAB A) * -L2592 -0111111111111111 -1111111111111111110111111110111111101111 -1111111111101111111111111111111111111111* NOTE PT 3 of MC 11(LAB A) * -L2688 -1011111111111111 -1111111111011111111011111111111111111111 -1111111111111111111111110111111111111111* NOTE PT 4 of MC 11(LAB A) * -L2784 -0011111111111111 -1111111111111111110111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 5 of MC 11(LAB A) * -L2976 -1111111111111111 -1111111111110111111111111101111101111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 10(LAB A) * -L3072 -1111111111111111 -1111111111011011110111111111111111111111 -1111111111010111110111110111111111111111* NOTE PT 3 of MC 10(LAB A) * -L3168 -1111111111111111 -1111111111011111110111111111111110111111 -1111111111010111110111110111111111111111* NOTE PT 2 of MC 10(LAB A) * -L3264 -1111111111111111 -1111111111111111111111111111111111011111 -1111111111010111111111111111111111111111* NOTE PT 1 of MC 10(LAB A) * -L3360 -1111111111111111 -1111111111111111111111111101111111011111 -1111111111111111111111111111111111111111* NOTE PT 1 of MC 9(LAB A) * -L3456 -1111111111111111 -1111111111011111110111111101111110111111 -1111111111010111110111110111111111111111* NOTE PT 2 of MC 9(LAB A) * -L3552 -1111111111111111 -1111111111011011110111111101111111111111 -1111111111010111110111110111111111111111* NOTE PT 3 of MC 9(LAB A) * -L3648 -1111111111111111 -1111111111110111111111111111111101011111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 9(LAB A) * -L3840 -1111111111111111 -1111111111011111110111111101111111011111 -1111111111010111110111110111111111111111* NOTE PT 5 of MC 8(LAB A) * -L3936 -1111111111111111 -1111111111110111111111111111111101111111 -1111111111011111111111111111111111111111* NOTE PT 4 of MC 8(LAB A) * -L4032 -1111111111111111 -1111111111110111111111111101111101111111 -1111111111111111111111111111111111111111* NOTE PT 3 of MC 8(LAB A) * -L4128 -1111111111111111 -1111111111110111111111111111111101011111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 8(LAB A) * -L4224 -1111111111111111 -1111111111111111111111111111111111101111 -1111111111101011111111111111111111111111* NOTE PT 1 of MC 8(LAB A) * -L4320 -1111111111111111 -1111111111111111111111111110111111101111 -1111111111111111111111111111111111111111* NOTE PT 1 of MC 7(LAB A) * -L4416 -1111111111111111 -1111111111111111111111011111110111111111 -1101111101111111011101111110111111111111* NOTE PT 2 of MC 7(LAB A) * -L4512 -1111111111111111 -1111111111111111111111111111111111111111 -1111011111111111111111111101111111111111* NOTE PT 3 of MC 7(LAB A) * -L4608 -1111110000111111 -1111111111110111111111111111111110111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 7(LAB A) * -L4800 -1111111111111111 -1111111111111011111111101111101111111111 -1110111110111111111111111110111111111111* NOTE PT 5 of MC 6(LAB A) * -L4896 -1111111111111111 -1111111111111011111111111111101011111111 -1110111111111111111111111110111111111111* NOTE PT 4 of MC 6(LAB A) * -L4992 -1111111111111111 -1111111111111011111111111111101111111111 -1111101111111111111111111110111111111111* NOTE PT 3 of MC 6(LAB A) * -L5088 -1111111111111111 -1111111111111011111111111111111111111111 -1111111111111111111111101110111111111111* NOTE PT 2 of MC 6(LAB A) * -L5184 -1111111111111111 -1111111111111011111111101111101111111111 -1110111111111111111110111110111111111111* NOTE PT 1 of MC 6(LAB A) * -L5280 -1111111111111111 -1111111111011111110111111111111111111111 -1111111111111111111111110111111111111111* NOTE PT 1 of MC 5(LAB A) * -L5376 -1111111111111111 -1111111111110111111111111110111111101111 -1111111111101111111111111111111111111111* NOTE PT 2 of MC 5(LAB A) * -L5472 -1111111111111111 -1111111111110111111111111111111110111111 -1111111111111111111111111111111111111111* NOTE PT 3 of MC 5(LAB A) * -L5568 -1111111111111111 -1111111111011011110111111101111101011111 -1111111111010111110111110111111111111111* NOTE PT 4 of MC 5(LAB A) * +1111111111111101111111111111111111111111* NOTE PT 5 of MC 4(LAB A) * L5856 1111111111111111 -1111111111111011111111111111111111111111 -1111111111111111111111111011111111111111* NOTE PT 4 of MC 4(LAB A) * +1111111111111111111101111111011111111111 +0111111111111101111111111111111111111111* NOTE PT 4 of MC 4(LAB A) * L5952 1111111111111111 -1111111111111111111111111111111110111111 -1111111111111111111111111011111111111111* NOTE PT 3 of MC 4(LAB A) * +1111111111111111111111110111111111111111 +1111111111111101111111111111111111111111* NOTE PT 3 of MC 4(LAB A) * L6048 1111111111111111 -1111111111111111111111111110111111101111 -1111111111101111111111111011111111111111* NOTE PT 2 of MC 4(LAB A) * -L6144 -1111111111111111 -1111111111011111110111111111111111111111 -1111111111111111110111110111111111111111* NOTE PT 1 of MC 4(LAB A) * -L6240 -1111111111111111 -1111111111111111111111111111111111111111 -1111111101111111101111111110111111111111* NOTE PT 1 of MC 3(LAB A) * -L6336 -1111111111111111 -1111111111111111111111111111101011111111 -1110101101111111101110101111111111111111* NOTE PT 2 of MC 3(LAB A) * -L6432 -1111111111111111 -1111111111111111111111111111111111111111 -1111111110111111011101111110111111111111* NOTE PT 3 of MC 3(LAB A) * -L6528 -1111110000111111 -1111111111110111111111111111111110111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 3(LAB A) * -L6624 -1111111111111111 -1111111111111111111111111111111111111111 -1111111101111111111110111110111111111111* NOTE PT 5 of MC 3(LAB A) * -L6816 -1111111111111111 -1111111111111111111111111111101011111111 -1110101110111111011101101111111111111111* NOTE PT 4 of MC 2(LAB A) * -L6912 -1111111111111111 -1111111111111111111111101111101011111111 -1110101101111111101111101111111111111111* NOTE PT 3 of MC 2(LAB A) * -L7008 -1111111111111111 -1111111111111111111111101111101011111111 -1110101101111111111110101111111111111111* NOTE PT 2 of MC 2(LAB A) * -L7104 -1111111111111111 -1111111111110111111111111111111101111111 -1111111111111111111111111111111111111111* NOTE PT 1 of MC 2(LAB A) * -L7200 -1111111111111111 -1111111111011111111111111111111111111111 -1111111111111111111111110111111111111111* NOTE PT 1 of MC 1(LAB A) * +1111111111111111111111111111111111101111 +1111111111111111111111111111111111111111* NOTE PT 2 of MC 4(LAB A) * L7296 1111111111111111 -1111111111011111110111111110111111101111 -1111111111101011110111110111111111111111* NOTE PT 2 of MC 1(LAB A) * +1111111111111111111111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 2 of MC 1(LAB A) * L7392 -1110111111111111 -1111111111111111111111111110111111101111 -1111111111100111111111111111111111111111* NOTE PT 3 of MC 1(LAB A) * +1111111111111111 +1111111101111111111111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 1(LAB A) * L7488 -1011111111111111 -1111111111011111110111111111111111111111 -1111111111111011110111110111111111111111* NOTE PT 4 of MC 1(LAB A) * -L7584 -1010111111111111 -1111111111111111111111111111111111111111 -1111111111110111111111111111111111111111* NOTE PT 5 of MC 1(LAB A) * -L7776 1111111111111111 -1110011110111101111111101111111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 32(LAB B) * -L7968 -1111111111111111 -1111111111111111111111111111111111111101 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 32(LAB B) * -L8256 -1111111111111111 -1111111111111111111111111111111111111111 -1111111111111111111111111111110111111111* NOTE PT 2 of MC 31(LAB B) * -L8448 -1111111111111111 -1110011110111101111111101111111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 31(LAB B) * -L8736 -1111111111111111 -1110011110111101111111101111111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 30(LAB B) * -L8928 -1111111111111111 -1111111111111111111111111111111111111111 -0111111111111111111111111111111111111111* NOTE PT 2 of MC 30(LAB B) * -L9216 -1111111111111111 -1111111111111111111111111111111110111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 29(LAB B) * -L9408 -1111111111111111 -1110011110111101111111101111111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 29(LAB B) * -L9696 -1111111111111111 -1110011110111101111111101111111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 28(LAB B) * -L9888 -1111111111111111 -1111111111111111111111111111110111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 28(LAB B) * -L10176 -1111111111111111 -1111111111111111111111111111111111111111 -1111110111111111111111111111111111111111* NOTE PT 2 of MC 27(LAB B) * -L10368 -1111111111111111 -1110011110111101111111101111111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 27(LAB B) * -L11136 -1111111111111111 -1111111111111111111101111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 25(LAB B) * -L11328 -1111111111111111 -1110011110111101111111101111111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 25(LAB B) * -L11616 -1111111111111111 -1110011110111101111111101111111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 24(LAB B) * -L11808 -1111111111111111 -1111110111111111111111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 24(LAB B) * -L12096 -1111111111111111 -1111111111111111111111111111111111111111 -1111111111111111111101111111111111111111* NOTE PT 2 of MC 23(LAB B) * -L12288 -1111111111111111 -1110011110111101111111101111111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 23(LAB B) * -L12576 -1111111111111111 -1110011110111101111111101111111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 22(LAB B) * -L12768 +1101011010011001110101010111010101011001 +0101100110111101110101100111010111111111* NOTE PT 4 of MC 1(LAB A) * +L7872 1111111111111111 1111111111111111111111111101111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 22(LAB B) * -L13056 +1111111111111111111111111101111111111111* NOTE PT 3 of MC 32(LAB B) * +L7968 1111111111111111 -1111111111011111111111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 21(LAB B) * -L13248 +1111110111111111111111111110111111011111 +1111111111111111111111111111011111111111* NOTE PT 2 of MC 32(LAB B) * +L8256 1111111111111111 -1110011110111101111111101111111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 21(LAB B) * -L13440 +1111111011111111111111111110111111111111 +1111111111111111111111111111111111111111* NOTE PT 2 of MC 31(LAB B) * +L9216 1111111111111111 -1111111111111111011111111111111111111111 -1110111111111111111111101111011111111111* NOTE PT 5 of MC 20(LAB B) * -L13536 +0111110101111111011111111110111111011111 +0111111101111111111101111101011111111111* NOTE PT 2 of MC 29(LAB B) * +L9312 1111111111111111 -1111111111111111101111111111111111111111 -1101111111111111111111101111011111111111* NOTE PT 4 of MC 20(LAB B) * -L13632 +1111111111111111110111111101111111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 29(LAB B) * +L9600 +1111111111111111 +1111101111111111111111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 5 of MC 28(LAB B) * +L9696 +1111111111111111 +1110111111111111111111111111111111111111 +1111101111101110111111111011111011111111* NOTE PT 4 of MC 28(LAB B) * +L9792 1111111111111111 1111111111111111111111111111111111111111 -1111101111011111111111101111011111111111* NOTE PT 3 of MC 20(LAB B) * -L13728 +1111101111101011111111111011111011111111* NOTE PT 3 of MC 28(LAB B) * +L9888 +1111111111111111 +1111111111111011111111111111111111111111 +1111101111111111111111111111111011111111* NOTE PT 2 of MC 28(LAB B) * +L9984 1111111111111111 1111111111111111111111111111111111111111 -1111111111111111111111101111011111111111* NOTE PT 2 of MC 20(LAB B) * -L13824 -0111111111111111 -1111111111111111111111111011111111101111 -1111111111111111111111101110011111111111* NOTE PT 1 of MC 20(LAB B) * -L13920 -1111111111111111 +1111111011111111111111111111111111111111* NOTE PT 1 of MC 28(LAB B) * +L10176 +0000011111111111 1111111111111111111111111111111111111111 -1111011111101111111111101111011111111111* NOTE PT 1 of MC 19(LAB B) * -L14016 +1111111111111111111111111111111111111111* NOTE PT 2 of MC 27(LAB B) * +L10368 1111111111111111 -1111111111111111111111111111111111101111 -1111111111111111111011101111011111111111* NOTE PT 2 of MC 19(LAB B) * -L14112 +1111111111111111110111111111110111111111 +1111111111111111111111111111111111111111* NOTE PT 4 of MC 27(LAB B) * +L10560 1111111111111111 -1111111111110111111111111111111111111111 -1111111111110111111111101111011111111111* NOTE PT 3 of MC 19(LAB B) * -L14208 +1111101111111111111001111111111111111111 +1111111111101111111111101011111011111111* NOTE PT 5 of MC 26(LAB B) * +L10656 1111111111111111 -1111111111111111111011111111011111111111 -1111111111111111111111101111011111111111* NOTE PT 4 of MC 19(LAB B) * -L14304 +1111101111111011111001111111111111111111 +1111111111111111111111101111111011111111* NOTE PT 4 of MC 26(LAB B) * +L10752 1111111111111111 -1111111111111111110111111111101111111111 -1111111111111111111111101111011111111111* NOTE PT 5 of MC 19(LAB B) * -L14880 +1111101111111111111001111111111111111111 +1111101111111111111111101111111111111111* NOTE PT 3 of MC 26(LAB B) * +L10848 1111111111111111 -1111111111111111111111111111111101111111 -1111111111111111011111111111111111111111* NOTE PT 1 of MC 17(LAB B) * -L14976 +1111111111111111111001111111111111111111 +1111111011111111111111101111111111111111* NOTE PT 2 of MC 26(LAB B) * +L10944 1111111111111111 -1111111111111111111111111111111111110111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 17(LAB B) * -L15168 +1111101111111111111001111111111111111111 +1111111111111011111111101011111011111111* NOTE PT 1 of MC 26(LAB B) * +L11040 1111111111111111 -1110011110111101111111101111111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 17(LAB B) * -L15456 +1111110111111111111111111111111111011111 +1111111111111111111111111111111111111111* NOTE PT 1 of MC 25(LAB B) * +L11136 1111111111111111 -1111011011111111111111111011111111111110 -1111111111111111110111111111111111111111* NOTE PT 4 of MC 48(LAB C) * -L15648 +1111111101111111110111111111111111111111 +1111111101111111111111111111111111111111* NOTE PT 2 of MC 25(LAB B) * +L11232 1111111111111111 -1111111111111111111111111111111111111111 -1101111111111111111111111111111111111111* NOTE PT 2 of MC 48(LAB C) * -L15936 -1111111111111111 -1111111111101111111111111111111111111111 -1011111011111111111101111111111111111111* NOTE PT 2 of MC 47(LAB C) * -L16416 -1111111111111111 -1111011011111111111111111011111111111110 -1111111111111111110111111111111111111111* NOTE PT 4 of MC 46(LAB C) * -L16608 -1111111111111111 -1111111111111111111111111111111111011111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 46(LAB C) * -L16896 -1111111111111111 -1111111111111111111111111111110111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 45(LAB C) * -L17088 -1111111111111111 -1111011011111111111111111011111111111110 -1111111111111111110111111111111111111111* NOTE PT 4 of MC 45(LAB C) * -L17568 -1111111111111111 -1101111111111111111111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 44(LAB C) * -L17856 -1111111111111111 -1111111111111111111111111111111111111111 -1111111111110111111111111111111111111111* NOTE PT 2 of MC 43(LAB C) * -L18048 -1111111111111111 -1111011011111111111111111011111111111110 -1111111111111111110111111111111111111111* NOTE PT 4 of MC 43(LAB C) * -L18336 -1111111111111111 -1111011011111111111111111011111111111110 -1111111111111111110111111111111111111111* NOTE PT 4 of MC 42(LAB C) * -L18528 +1111111101111111010111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 25(LAB B) * +L11328 1111111111111111 1111111111111111110111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 42(LAB C) * -L18816 +0111111111111111111111111111111111111111* NOTE PT 4 of MC 25(LAB B) * +L11424 1111111111111111 -1111111111101111111111111111111111111111 -1011111011111111011111111111111111111111* NOTE PT 2 of MC 41(LAB C) * -L18912 +1111111011111111111111111111111111101111 +1111111111111111111111111111111111111111* NOTE PT 5 of MC 25(LAB B) * +L11520 +1111111111111111 +1111111111111111110111111111111111111111 +1111111111111111111111111111011111111111* NOTE PT 5 of MC 24(LAB B) * +L11616 +1111111111111111 +1011111110111111101011111111111111111111 +1011111110111111111110111110101111111111* NOTE PT 4 of MC 24(LAB B) * +L11712 +1111111111111111 +1111011111111111111111111111111111111111 +1111110111111111111111111111111111111111* NOTE PT 3 of MC 24(LAB B) * +L11808 1111111111111111 1111111111111111111111111111111111111111 -1111110101111111111111111111111111111111* NOTE PT 3 of MC 41(LAB C) * -L19008 +1111010111111111111111111111110111111111* NOTE PT 2 of MC 24(LAB B) * +L11904 1111111111111111 -1111111111111111111111111111111111111111 -0111111101111111111111111111111111111111* NOTE PT 4 of MC 41(LAB C) * -L19104 +1111110111111111111111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 1 of MC 24(LAB B) * +L12000 1111111111111111 -1111111111011111111111111111111111111111 -1111111101111111111111111111111111111111* NOTE PT 5 of MC 41(LAB C) * -L19296 -1111111111111111 -1111011011111111111111111011111111111110 -1111111111111111110111111111111111111111* NOTE PT 4 of MC 40(LAB C) * -L19488 +1111111111111111110111111111111111111111 +0111111111111111111111111111111111111111* NOTE PT 1 of MC 23(LAB B) * +L12096 1111111111111111 1111111111110111111111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 40(LAB C) * -L19776 +1111010111010111111111111111111111111111* NOTE PT 2 of MC 23(LAB B) * +L12192 1111111111111111 -1111111111111111111111111110111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 39(LAB C) * -L19968 +1111111111110111111111111111111111111111 +1111010111111111111111110111111111111111* NOTE PT 3 of MC 23(LAB B) * +L12288 1111111111111111 -1111011011111111111111111011011111111110 -1111111111111111110111111111111111111111* NOTE PT 4 of MC 39(LAB C) * -L20256 +1111111111111111110111111111111111111111 +1111111111111111111101111111111111111111* NOTE PT 4 of MC 23(LAB B) * +L12384 1111111111111111 -1111011011111111111111111011111111111110 -1111111111111111110111111111111111111111* NOTE PT 4 of MC 38(LAB C) * -L20448 +1111111111111111110111111111111111111111 +1111111111111111111111111101111111111111* NOTE PT 5 of MC 23(LAB B) * +L12480 +1111111111111111 +1111111111111111010111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 5 of MC 22(LAB B) * +L12576 +1111111111111111 +1111111111111111110111111111111111111111 +1111111101111111111111111111111111111111* NOTE PT 4 of MC 22(LAB B) * +L12672 +1111111111111111 +0111111111111111110111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 22(LAB B) * +L12768 +1111111111111111 +1111111101111111110111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 2 of MC 22(LAB B) * +L12960 +1111111111111111 +1111101111111111111111111111111111111111 +1111110111111111111111111111111111111111* NOTE PT 1 of MC 21(LAB B) * +L13056 +1111111111111111 +1111110111111111111111111110111111011111 +1111111111111111111111111101011111111111* NOTE PT 2 of MC 21(LAB B) * +L13152 +1111111111111111 +1111111111111111111111111101111111111111 +1111111111111111111101111111111111111111* NOTE PT 3 of MC 21(LAB B) * +L13632 +1111111111111111 +1111111101111111111111111101111111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 20(LAB B) * +L13728 +1111111111111111 +0111110111111111011111111110111111011111 +1111111101111111111101111101011111111111* NOTE PT 2 of MC 20(LAB B) * +L13824 +1111111111111111 +1101011111110111111111111111111111111111 +1111011011010101111111110111110111111111* NOTE PT 1 of MC 20(LAB B) * +L13920 +1111111111111111 +1111111111111011111111111111111111111111 +1111100111111111111111111111111011111111* NOTE PT 1 of MC 19(LAB B) * +L14016 +1111111111111111 +1111110111111111111111111110111111011111 +1111111111111111111101111101011111111111* NOTE PT 2 of MC 19(LAB B) * +L14112 +1111111111111111 +0111111111111111111111111101111111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 19(LAB B) * +L14592 +1111111111111111 +1111111111111111111111111101111111111111 +1111111111111111111111111111011111111111* NOTE PT 3 of MC 18(LAB B) * +L14688 +1111111111111111 +1111110111111111111111111110111111011111 +1111111111111111111111111111111111111111* NOTE PT 2 of MC 18(LAB B) * +L14784 1111111111111111 1111111111111111111111111111111111111111 -1111111111111111111111111101111111111111* NOTE PT 2 of MC 38(LAB C) * -L20736 +1111100111101011111111111011111011111111* NOTE PT 1 of MC 18(LAB B) * +L14880 1111111111111111 -1111111111111111111111111111111101111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 37(LAB C) * -L20928 +1110111111111111111111111111111111111111 +1111100111101110111111111011111011111111* NOTE PT 1 of MC 17(LAB B) * +L14976 1111111111111111 -1111011011111111111111111011111111111110 -1111111111111111110111111111111111111111* NOTE PT 4 of MC 37(LAB C) * -L21216 +1111111110111111110111111111111111111111 +1011111111111111111111111111111111111111* NOTE PT 2 of MC 17(LAB B) * +L15456 1111111111111111 -1111011011111111111111111011111111111110 -1111111111111111110111111111111111111111* NOTE PT 4 of MC 36(LAB C) * -L21408 +1111111111111111111111111111111111111101 +1111110111111111111111111111111111111111* NOTE PT 4 of MC 48(LAB C) * +L15648 1111111111111111 -1111111111111101111111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 36(LAB C) * -L21696 +1111111111111111111111111101111111111111 +1111111111111110111111111111111111111111* NOTE PT 2 of MC 48(LAB C) * +L15936 1111111111111111 1111111111111111111111111111111111111111 -1111111111111111111111011111111111111111* NOTE PT 2 of MC 35(LAB C) * -L21888 +1111111101111111111111111111110111111111* NOTE PT 2 of MC 47(LAB C) * +L16032 1111111111111111 -1111011011111111111111111011111111111110 -1111111111111111110111111111111111111111* NOTE PT 4 of MC 35(LAB C) * -L22176 -1111111111111111 -1111011011111111111111111011111111111110 -1111111111111111110111111111111111111111* NOTE PT 4 of MC 34(LAB C) * -L22368 +1111111111111111111111111111111111111111 +1111101110111111111111111101111111111111* NOTE PT 3 of MC 47(LAB C) * +L16128 1111111111111111 1111111111111111111111011111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 34(LAB C) * -L22656 +1111011110111111111111111111111111111111* NOTE PT 4 of MC 47(LAB C) * +L16320 +1111111111101111 +1111111111111111011111111111111111011111 +1111111111101101111111111111111111111111* NOTE PT 5 of MC 46(LAB C) * +L16416 1111111111111111 -1111111111101111111111111111111111111111 -1011111011111111111111111111110111111111* NOTE PT 2 of MC 33(LAB C) * -L22752 +1111111111111111111111111111111111111101 +1111110111111111111111111111111111111111* NOTE PT 4 of MC 46(LAB C) * +L16512 +1111111111011111 +1010111110111111111111111111111111111111 +1111111111011111111111111111111111111111* NOTE PT 3 of MC 46(LAB C) * +L16608 1111111111111111 +1010111110111111011111111111111111011111 +1111111111101101111111111111111111111111* NOTE PT 2 of MC 46(LAB C) * +L16704 +1111111111001111 1111111111111111111111111111111111111111 -1111010111111111111111111111111111111111* NOTE PT 3 of MC 33(LAB C) * -L22848 -1111111111111111 -1111111111111111111111111111111111111111 -0111011111111111111111111111111111111111* NOTE PT 4 of MC 33(LAB C) * -L22944 -1111111111111111 -1111111111011111111111111111111111111111 -1111011111111111111111111111111111111111* NOTE PT 5 of MC 33(LAB C) * -L23040 -1111111111111111 -0111011110111110111110111111111101010111 -1111111111011101111111111101111111111111* NOTE PT 5 of MC 64(LAB D) * -L23136 -1111111111111111 -0111011110111110111110111111111111010111 -1111111111011101011111111101111111111111* NOTE PT 4 of MC 64(LAB D) * -L23232 -1111111111111111 -0111011110111110111110111111111111010111 -1101111111011101111111111101111111111111* NOTE PT 3 of MC 64(LAB D) * -L23328 -1111111111111111 -1111011110111110111110111111111111111111 -1111111111011111111111111111111111111111* NOTE PT 2 of MC 64(LAB D) * -L23424 -1111111111111111 -1011011110111110111110111111111111101011 -1111111111011111111111111110111111111111* NOTE PT 1 of MC 64(LAB D) * -L27456 -1111111111111111 -1111111111101111111111111111111111111111 -1011111011111111111111011111111111111111* NOTE PT 2 of MC 55(LAB D) * -L27552 -1111111111111111 -1111111111111111111111111111111111111111 -1111110111111111111111111111011111111111* NOTE PT 3 of MC 55(LAB D) * -L27648 -1111111111111111 -1111111111111111111111111111111111111111 -0111111111111111111111111111011111111111* NOTE PT 4 of MC 55(LAB D) * -L27744 -1111111111111111 -1111111111011111111111111111111111111111 -1111111111111111111111111111011111111111* NOTE PT 5 of MC 55(LAB D) * -L27840 -1111111111111111 -1111111111011111111111111111111111111111 -1111111111111111110111111111111111111111* NOTE PT 5 of MC 54(LAB D) * -L27936 -1111111111111111 -1111111111111111111111111111111111111111 -0111111111111111110111111111111111111111* NOTE PT 4 of MC 54(LAB D) * -L28032 -1111111111111111 -1111111111111111111111111111111111111111 -1111110111111111110111111111111111111111* NOTE PT 3 of MC 54(LAB D) * -L28128 -1111111111111111 -1111111111101111111111111111111111111111 -1011111011111111111111110111111111111111* NOTE PT 2 of MC 54(LAB D) * -L28416 -1111111111111111 -1111111111101111111111011111111111111111 -1011111011111111111111111111111111111111* NOTE PT 2 of MC 53(LAB D) * -L28512 -1111111111111111 -1111111111111111111111111111111111111111 -1111110111111111111101111111111111111111* NOTE PT 3 of MC 53(LAB D) * -L28608 -1111111111111111 -1111111111111111111111111111111111111111 -0111111111111111111101111111111111111111* NOTE PT 4 of MC 53(LAB D) * -L28704 -1111111111111111 -1111111111011111111111111111111111111111 -1111111111111111111101111111111111111111* NOTE PT 5 of MC 53(LAB D) * -L29376 -1111111111111111 -1111111111101111111111111101111111111111 -1011111011111111111111111111111111111111* NOTE PT 2 of MC 51(LAB D) * -L29472 -1111111111111111 -1111111111110111111111111111111111111111 -1111110111111111111111111111111111111111* NOTE PT 3 of MC 51(LAB D) * -L29568 -1111111111111111 -1111111111110111111111111111111111111111 -0111111111111111111111111111111111111111* NOTE PT 4 of MC 51(LAB D) * -L29664 -1111111111111111 -1111111111010111111111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 5 of MC 51(LAB D) * -L29760 -1111111111111111 -1111111111111111111111111111111101110111 -1111111111111101011111111111111111111111* NOTE PT 5 of MC 50(LAB D) * -L29856 -1111111111111111 -1111111011111111110111111011111111111110 -1111111101111111111111111111111111111111* NOTE PT 4 of MC 50(LAB D) * -L29952 -1111111111111111 -0111111111111111111111111111111111111111 -1111111111111101111111111111111111111111* NOTE PT 3 of MC 50(LAB D) * -L30048 +1111111111011111111111111111111111111111* NOTE PT 1 of MC 46(LAB C) * +L16800 +1111111101111111 +1111111111111111111111110111110111111111 +1111111111111111111111111111111111111111* NOTE PT 1 of MC 45(LAB C) * +L16896 1111111111111111 1111111111111111111111111111111111101111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 50(LAB D) * -L30144 +1111111111111110111111111111111111111111* NOTE PT 2 of MC 45(LAB C) * +L16992 +1111111111111111 +1111111111111111111111111111111111011111 +1111111111111101111111111111111111111111* NOTE PT 3 of MC 45(LAB C) * +L17088 +1111111111111111 +1111111111111111111111111111111111111101 +1111110111111111111111111111111111111111* NOTE PT 4 of MC 45(LAB C) * +L17184 +1111111110111111 +0111111111111111111111110111110111111111 +1111111111111111111111111111111111111111* NOTE PT 5 of MC 45(LAB C) * +L17376 +1111111111111111 +1111111111011111111111111111111111111111 +1111011110111111111111111111111111111111* NOTE PT 4 of MC 44(LAB C) * +L17472 +1111111111111111 +1111111111111111111111011111111111111111 +1111101110111111111111111111111111111111* NOTE PT 3 of MC 44(LAB C) * +L17568 1111111111111111 1111111111111111111111111111111111111111 -1111111111111101111111111101111111111111* NOTE PT 1 of MC 50(LAB D) * +1111111101111111011111111111111111111111* NOTE PT 2 of MC 44(LAB C) * +L17664 +1111111111111111 +1111111111111111111111110111110111111111 +1111111111111111111111111111111111111111* NOTE PT 1 of MC 44(LAB C) * +L17760 +1111111111111111 +1111111111111111011111111111111111011111 +1111111111111101111111111111111111111111* NOTE PT 1 of MC 43(LAB C) * +L17856 +1111111111111111 +1111111111011111111111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 2 of MC 43(LAB C) * +L18048 +1111111111111111 +1111111111111111111111111111111111111111 +1111101110111111111111111111111111111111* NOTE PT 4 of MC 43(LAB C) * +L18336 +1111111111111111 +1111111111111111111111111111111111111111 +1111011110111111111111111101111111111111* NOTE PT 4 of MC 42(LAB C) * +L18432 +1111111111111111 +1111011111111111111111111111111111111111 +1111101110111111111111111111111111111111* NOTE PT 3 of MC 42(LAB C) * +L18528 +1111111111111111 +1111111111111111111111111111111111111111 +1111111101111111110111111111111111111111* NOTE PT 2 of MC 42(LAB C) * +L18624 +1111111111111111 +1111111111111111101111111111101111111111 +1111111111101111111111111111111111111111* NOTE PT 1 of MC 42(LAB C) * +L18720 +1111111111111111 +1110111110111111111111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 1 of MC 41(LAB C) * +L18816 +1111111111111111 +0111111111111111011111111011011111011111 +1111111111011101111111111111111111111111* NOTE PT 2 of MC 41(LAB C) * +L18912 +1111111111111111 +0111111111111111011111111111011011011111 +1111111111011101111111111111111111111111* NOTE PT 3 of MC 41(LAB C) * +L19008 +1111111111111111 +1111111111111111111111111111111111111101 +1111110111111111111111111111111111111111* NOTE PT 4 of MC 41(LAB C) * +L19104 +1111111111111111 +1101111111111111111111110111110111111111 +1111111111111111111111111111111111111111* NOTE PT 5 of MC 41(LAB C) * +L19200 +1111111111111111 +0101111111111111111111110111110111111111 +1111111111111111111111111111111111111111* NOTE PT 5 of MC 40(LAB C) * +L19296 +1111111111111111 +1111111111111111111111111111111111111101 +1111110111111111111111111111111111111111* NOTE PT 4 of MC 40(LAB C) * +L19392 +1111111111111111 +1111111111111111011111111111011011011111 +1111111111011101111111111111111111111111* NOTE PT 3 of MC 40(LAB C) * +L19488 +1111111111111111 +1111111111111111011111111011011111011111 +1111111111011101111111111111111111111111* NOTE PT 2 of MC 40(LAB C) * +L19584 +1111111111111111 +0111111101111111111111110111110111111111 +1111111111111111111111111111111111111111* NOTE PT 1 of MC 40(LAB C) * +L19680 +1111111111111111 +1110111110111111011111111111011111011111 +1111111111011101111111111111111111111111* NOTE PT 1 of MC 39(LAB C) * +L19776 +1111111111111111 +0111111111111111111111110111110111111111 +1111111111011111111111111111111111111111* NOTE PT 2 of MC 39(LAB C) * +L19872 +1111111111111111 +0111111111111111111111110111010111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 39(LAB C) * +L19968 +1111111111111111 +0111111111111111011111110111110111111111 +1111111111111101111111111111111111111111* NOTE PT 4 of MC 39(LAB C) * +L20064 +1111111111111111 +0111111111111111011111110111110111011111 +1111111111111111111111111111111111111111* NOTE PT 5 of MC 39(LAB C) * +L20160 +1111111111111111 +1110111110111111111111110111101111101111 +1111111111101110111111111111111111111111* NOTE PT 5 of MC 38(LAB C) * +L20256 +1111111111111111 +1111111111111111111111111111111111111101 +1111110111111111111111111111111111111111* NOTE PT 4 of MC 38(LAB C) * +L20352 +1111111111111111 +1110111110111111101111110111101111111111 +1111111111101111111111111111111111111111* NOTE PT 3 of MC 38(LAB C) * +L20448 +1111111111111111 +1010111110111111111111110111111111111111 +1111111111111111111111111111111111111111* NOTE PT 2 of MC 38(LAB C) * +L20544 +1111011111111111 +1111111111111111111111110111111011111111 +1111111111111111111111111111111111111111* NOTE PT 1 of MC 38(LAB C) * +L20640 +1111111111111111 +0101111101111111011111111111011111011111 +1111111111011101111111111111111111111111* NOTE PT 1 of MC 37(LAB C) * +L20736 +1111111111111111 +0101111101111111011111111011011111011111 +1111111111011101111111111111111111111111* NOTE PT 2 of MC 37(LAB C) * +L21120 +1111111111111111 +0111111111111111011111110111110111011111 +1111111111111111111111111111111111111111* NOTE PT 5 of MC 36(LAB C) * +L21216 +1111111111111111 +1111111111111111111111111111111111111101 +1111110111111111111111111111111111111111* NOTE PT 4 of MC 36(LAB C) * +L21312 +1111111111111111 +1111111111111111101111111111111111111111 +1111111111111110111111111111111111111111* NOTE PT 3 of MC 36(LAB C) * +L21408 +1111111111111111 +1111111111111111101111111111111111101111 +1111111111111111111111111111111111111111* NOTE PT 2 of MC 36(LAB C) * +L21504 +1111111111111111 +1111111111111111011111111111111111011111 +1111111111111101111111111111111111111111* NOTE PT 1 of MC 36(LAB C) * +L21600 +1111111111111111 +1111111101111111111111110111110111111111 +1111111111111111111111111111111111111111* NOTE PT 1 of MC 35(LAB C) * +L21696 +1111111111111111 +0111111111111111111111110111110111111111 +1111111111011111111111111111111111111111* NOTE PT 2 of MC 35(LAB C) * +L21792 +1111111111111111 +0111111111111111111111110111010111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 35(LAB C) * +L21888 +1111111111111111 +0111111111111111011111110111110111111111 +1111111111111101111111111111111111111111* NOTE PT 4 of MC 35(LAB C) * +L21984 +1111111111111111 +1101111111111111111111110111110111111111 +1111111111111111111111111111111111111111* NOTE PT 5 of MC 35(LAB C) * +L22080 +1111111111111111 +1111111101111111111111110111110111111111 +1111111111111111111111111111111111111111* NOTE PT 5 of MC 34(LAB C) * +L22176 +1111111111111111 +1111111111111111111111111111111111111101 +1111110111111111111111111111111111111111* NOTE PT 4 of MC 34(LAB C) * +L22272 +1111111111111111 +0101111111111111011111111111011011011111 +1111111111011101111111111111111111111111* NOTE PT 3 of MC 34(LAB C) * +L22368 +1111111111111111 +0101111111111111011111111011011111011111 +1111111111011101111111111111111111111111* NOTE PT 2 of MC 34(LAB C) * +L22464 +1111111111111111 +1111111111111111011111111111111111011111 +1111111111011101111111111111111111111111* NOTE PT 1 of MC 34(LAB C) * +L22560 +1011111111101111 +1111111111111111111111111111011111111111 +1111111111111111111111111111111111111111* NOTE PT 1 of MC 33(LAB C) * +L22656 +1111111111111111 +1010111110111111011111111111101111011111 +1111111111011101111111111111111111111111* NOTE PT 2 of MC 33(LAB C) * +L22752 +1011111111111111 +1010111110111111111111111111011111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 33(LAB C) * +L22848 +1111111111111111 +1111111111111111111111111111111111111101 +1111110111111111111111111111111111111111* NOTE PT 4 of MC 33(LAB C) * +L22944 +1111111111101111 +1111111111111111011111111111101111011111 +1111111111011101111111111111111111111111* NOTE PT 5 of MC 33(LAB C) * +L28416 +1111111111111111 +1111111111110111111111111101111111111111 +1111111111111111111111111111111111111111* NOTE PT 2 of MC 53(LAB D) * +L28512 +1111111111111111 +1111111110111011111111111111111101111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 53(LAB D) * +L28608 +1111111111111111 +1111111101111011111101111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 4 of MC 53(LAB D) * +L28896 +1111111111111111 +1111111101111011111111111111111111111111 +1111111101111111111111111111111111111111* NOTE PT 4 of MC 52(LAB D) * +L28992 +1111111111111111 +1111111110111011111101111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 52(LAB D) * +L29088 +1111111111111111 +1111111111110111111111111111111111111111 +1111111111111111111111011111111111111111* NOTE PT 2 of MC 52(LAB D) * +L29376 +1111111111111111 +1111111111110111111111011111111111111111 +1111111111111111111111111111111111111111* NOTE PT 2 of MC 51(LAB D) * +L29472 +1111111111111111 +1111111110111011111111111111111111110111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 51(LAB D) * +L29568 +1111111111111111 +1111111101111011111111111111111101111111 +1111111111111111111111111111111111111111* NOTE PT 4 of MC 51(LAB D) * +L29856 +1111111111111111 +1111111101111011111111111111111111110111 +1111111111111111111111111111111111111111* NOTE PT 4 of MC 50(LAB D) * +L29952 +1111111111111111 +1111111110111011011111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 50(LAB D) * +L30048 +1111111111111111 +1111111111110111111111111111111111111111 +1111111111111111111111110111111111111111* NOTE PT 2 of MC 50(LAB D) * L30336 1111111111111111 -1101111111101111111111111111111111111111 -1011111011111111111111111111111111111111* NOTE PT 2 of MC 49(LAB D) * +1111111111110111111111111111111111111111 +1111111111111111111101111111111111111111* NOTE PT 2 of MC 49(LAB D) * L30432 1111111111111111 -1111111111111111111111111111011111111111 -1111110111111111111111111111111111111111* NOTE PT 3 of MC 49(LAB D) * +1111111110111011111111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 49(LAB D) * L30528 1111111111111111 -1111111111111111111111111111011111111111 -0111111111111111111111111111111111111111* NOTE PT 4 of MC 49(LAB D) * -L30624 -1111111111111111 -1111111111011111111111111111011111111111 -1111111111111111111111111111111111111111* NOTE PT 5 of MC 49(LAB D) * +1111111111111011011111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 4 of MC 49(LAB D) * L30720 -1111111111111111 -1111111111110111111111111110111110011111 -1111111111111011111111111111111111111111* NOTE PT 5 of MC 128(LAB H) * +1111011111111111 +1111111111111111101111111111111110111111 +1111111111111111111110111111111111111111* NOTE PT 5 of MC 128(LAB H) * L30816 -1111111111111111 -1111111111110111111111111110111110011111 -1111111111101111111111111111111111111111* NOTE PT 4 of MC 128(LAB H) * +1111101111111111 +1111111111111111111111111111111101111111 +1111111111111111111101111111111111111111* NOTE PT 4 of MC 128(LAB H) * L30912 1111111111111111 -1111111111110111111111111101111110101111 -1111111111110111111111111111111111111111* NOTE PT 3 of MC 128(LAB H) * +1111111111111111111111111111111101011111 +1111111111011111111101111111111111111111* NOTE PT 3 of MC 128(LAB H) * L31008 1111111111111111 -1111111111110111111111111101111110101111 -1111111111011111111111111111111111111111* NOTE PT 2 of MC 128(LAB H) * +1111111111111111011111111111111111111111 +1111111111111111111101111111111111111111* NOTE PT 2 of MC 128(LAB H) * +L31104 +1111111111111111 +1111111111111111111111111111111111111111 +1111111111111111111111110111111111111111* NOTE PT 1 of MC 128(LAB H) * +L31680 +1111111111111111 +1011111101110111111011111101111111111111 +1101110110110111111111111111111111111111* NOTE PT 5 of MC 126(LAB H) * +L31776 +1111111111111111 +1010111101110111111111111101111111111111 +1101110110110111111111111111111111111111* NOTE PT 4 of MC 126(LAB H) * L31872 1111111111111111 -1111111111111111111111101111011011111111 -1101101101111111011110011110111111111111* NOTE PT 3 of MC 126(LAB H) * +1011111101110111110111111101111111111111 +1101110110111011111111111111111111111111* NOTE PT 3 of MC 126(LAB H) * L31968 1111111111111111 -1111111111111111111111101111011011111111 -1101101101111111101101011110111111111111* NOTE PT 2 of MC 126(LAB H) * +1001111101110111111111111101111111111111 +1101110110111011111111111111111111111111* NOTE PT 2 of MC 126(LAB H) * L32256 1111111111111111 -1111111111111110111111111111111111111111 -1111111111111111111111111111011111111111* NOTE PT 2 of MC 125(LAB H) * +1111111111111111111111101111111111111111 +1111111111111111111111101111111111111111* NOTE PT 2 of MC 125(LAB H) * L33216 1111111111111111 -1111111111111111101110111111111111111111 +1111110111111110111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 123(LAB H) * -L33312 -1111111111111111 -1111111110101111111011111111111111111111 -1111111111111111111111111011011111111111* NOTE PT 3 of MC 123(LAB H) * L34176 1111111111111111 -1111111111111111101101111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 121(LAB H) * +1111111111111110111111111111111111111111 +1111111111111111111011111111111111111111* NOTE PT 2 of MC 121(LAB H) * L34272 1111111111111111 -1111111101101111111011111111111111111111 -1111111111111111111111111011011111111111* NOTE PT 3 of MC 121(LAB H) * +1111111011111111111111111111111111111111 +1111111111111111111011111111111111111111* NOTE PT 3 of MC 121(LAB H) * +L34560 +1111111111111111 +1111111011111011111111111110111111110111 +1110111110111111111111111111111011111111* NOTE PT 5 of MC 120(LAB H) * +L34656 +1111111111111111 +1011111011111111111111111111111111110111 +1110111110111111111111111111111011111111* NOTE PT 4 of MC 120(LAB H) * +L34752 +1111111111111111 +1111111010111111111111111111111111110111 +1111111110111111111111111111111011111111* NOTE PT 3 of MC 120(LAB H) * L34848 1111111111111111 -1111111111101111111011111111111111111111 -1111111111111111111111111011011111111111* NOTE PT 2 of MC 120(LAB H) * -L35616 +1111111011111111111111111111111111110111 +1111111011111111111111111111111011111111* NOTE PT 2 of MC 120(LAB H) * +L34944 1111111111111111 -1111111111111111111111111011111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 118(LAB H) * -L35712 -1111111111111111 -1111111111101111111011111111111111111111 -1111111111111111111111111011111111111111* NOTE PT 3 of MC 118(LAB H) * +1111111011111011111111111111111111110111 +1110111110111011111111111111111011111111* NOTE PT 1 of MC 120(LAB H) * L35808 1111111111111111 -1111111011111111111111111111111111111110 +1111110111111111111111111111111111111110 1111111111111111111111111111111111111111* NOTE PT 2 of MC 118(LAB H) * +L35904 +1111111111111111 +1111111111111111111111111011111111111111 +1111111111111111101111111110111111111111* NOTE PT 1 of MC 118(LAB H) * +L36000 +1111111111111111 +1111111111111111111111110111111111111111 +1111111111111111011111111101111111111111* NOTE PT 1 of MC 117(LAB H) * L36096 1111111111111111 -1111111111111111101111111111111111110111 +1111010111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 117(LAB H) * L36192 1111111111111111 -1111111111101111011011111111111111111111 -1111111111111111111111011011011111111111* NOTE PT 3 of MC 117(LAB H) * +1111111111111111111111111111111111111111 +1111110111111111110111111111111111111111* NOTE PT 3 of MC 117(LAB H) * +L36960 +1111111111111111 +1111111111111111111101111111011111111111 +1111111111111111111111111111011111111111* NOTE PT 1 of MC 115(LAB H) * L37056 1111111111111111 -1111011111111111101111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 115(LAB H) * +1111110111111111111101111111011111111111 +1111111111111110111111111111011111111111* NOTE PT 2 of MC 115(LAB H) * L37152 1111111111111111 -1111111111101111011011111111011111111111 -1111111111111111111111111011011111111111* NOTE PT 3 of MC 115(LAB H) * +1111111111111111111111111111111111111111 +1111111101111111110111111111111111111111* NOTE PT 3 of MC 115(LAB H) * +L37248 +1101111111111111 +1111110111111111111111111111111011111111 +1011111111111101111111111111111111111111* NOTE PT 4 of MC 115(LAB H) * +L37344 +0111111111111111 +1111110111111111111111111111111111111111 +1111111111111110111111111111111111111111* NOTE PT 5 of MC 115(LAB H) * +L37440 +1111111111111111 +1111111011111011111111111110111111110101 +1110111110111111111111111111111011111111* NOTE PT 5 of MC 114(LAB H) * +L37536 +1111111111111111 +1011111011111111111111111111111111110101 +1110111110111111111111111111111011111111* NOTE PT 4 of MC 114(LAB H) * +L37632 +1111111111111111 +1111111010111111111111111111111111110101 +1111111110111111111111111111111011111111* NOTE PT 3 of MC 114(LAB H) * +L37728 +1111111111111111 +1111111011111111111111111111111111110101 +1111111011111111111111111111111011111111* NOTE PT 2 of MC 114(LAB H) * +L37824 +1111111111111111 +1111111011111011111111111111111111110101 +1110111110111011111111111111111011111111* NOTE PT 1 of MC 114(LAB H) * +L37920 +1111111111111111 +1111111111111111111111111111111011111111 +1011111111111111111111111111111111111111* NOTE PT 1 of MC 113(LAB H) * L38016 1111111111111111 -0111111111111111101111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 113(LAB H) * +1111110111111111111111111111110111111111 +0111111111111111111111111111111111111111* NOTE PT 2 of MC 113(LAB H) * L38112 1111111111111111 -1111111111101111011011111111111111111111 -1111011111111111111111111011011111111111* NOTE PT 3 of MC 113(LAB H) * -L38400 +1111110111111111111101111111010111111111 +1111111111111111111111111111011111111111* NOTE PT 3 of MC 113(LAB H) * +L38208 1111111111111111 -1110111111111111111111111111111111111111 -1011111111111111111111111101111111111111* NOTE PT 5 of MC 112(LAB G) * +1111111101111111111111111111111111111111 +1111111111111111110111111111111111111111* NOTE PT 4 of MC 113(LAB H) * +L38304 +1101111111111111 +1111110111111111111111111111111011111111 +1011111111111111111111111111111111111111* NOTE PT 5 of MC 113(LAB H) * +L38400 +1011111111111111 +1111111111111111110111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 5 of MC 112(LAB G) * L38496 -0110001111111111 -1111111111111111111111111111111110111111 -1111111111111111011111111111111111111111* NOTE PT 4 of MC 112(LAB G) * +1111111111111111 +1111110111111111110111111111111111011111 +1111111111111111111111111111111111111111* NOTE PT 4 of MC 112(LAB G) * L38592 1111111111111111 -1110111111111111111111111111111111111111 -1111111111111111111110111101111111111111* NOTE PT 3 of MC 112(LAB G) * +1111011111111111111111111111111111111111 +1111110111111111111111111111111111111111* NOTE PT 3 of MC 112(LAB G) * L38688 1111111111111111 -1110111111111111111111111111111111111111 -0111111101111111111101111110111111111111* NOTE PT 2 of MC 112(LAB G) * +1101111111111111111111111111111111111111 +1111010111111111111111111111111111111111* NOTE PT 2 of MC 112(LAB G) * L38784 -1111111111111111 -1110111111111111111111111111111111111111 -1111111110111111111111111101111111111111* NOTE PT 1 of MC 112(LAB G) * +0111111111111111 +1011111110111111101011111111111111111111 +1011111110111111111110111110101111111111* NOTE PT 1 of MC 112(LAB G) * L38976 1111111111111111 -1011111011111111111111101111111011111111 -0111101101111111111101111110111111111111* NOTE PT 2 of MC 111(LAB G) * +1111111111110111111111111111111111111111 +1111010111010111111111111111111111111111* NOTE PT 2 of MC 111(LAB G) * L39072 1111111111111111 -1011111011111111111111101111111011111111 -1111101110111111111111111101111111111111* NOTE PT 3 of MC 111(LAB G) * -L39168 +1111111111110111111111111111111111111111 +1111010111111111111111110111111111111111* NOTE PT 3 of MC 111(LAB G) * +L39360 1111111111111111 -1011111011111111111111101111111011111111 -1011101111111111111110111101111111111111* NOTE PT 4 of MC 111(LAB G) * +1111111111111111111111110111011101111111 +1111111111111111011111011111111111111111* NOTE PT 5 of MC 110(LAB G) * +L39456 +1111111111111111 +1111111111111111111111111011111111111111 +1111111111111111101111011111111111111111* NOTE PT 4 of MC 110(LAB G) * L39552 1111111111111111 -1111110111111111011011111111011111111110 -1111111111111111111111111011111111111111* NOTE PT 3 of MC 110(LAB G) * +1111111111111111111111111011111110111111 +1111111111111111111111011111111111111111* NOTE PT 3 of MC 110(LAB G) * L39648 1111111111111111 -1111111111110111101111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 110(LAB G) * -L39936 -1111111111111111 -1111111111111111101101111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 109(LAB G) * -L40032 -1111111111111111 -1111111111111111011011111111010111111110 -1111111111111111111111111011111111111111* NOTE PT 3 of MC 109(LAB G) * -L40416 -0110001111111111 -1111111111111111111111111111111110111111 -1111111111111111011111111111111111111111* NOTE PT 4 of MC 108(LAB G) * -L40512 +1111111111111111111111111011101111111111 +1111111111111111111111011111111111111111* NOTE PT 2 of MC 110(LAB G) * +L39744 1111111111111111 1101111111111111111111011111111111111111 -1111111111111111111111111111111111111111* NOTE PT 3 of MC 108(LAB G) * +1111111111111111111111111111111111111111* NOTE PT 1 of MC 110(LAB G) * +L39936 +1111111111111111 +1111111111111111111111111111011110111111 +1111111111111111111111011111111111111111* NOTE PT 2 of MC 109(LAB G) * +L40032 +1111111111111111 +1111111111111111111111111111011111111111 +1111111111111111101111011111111111111111* NOTE PT 3 of MC 109(LAB G) * +L40128 +1111111111111111 +1111111111111111111111111111101101111111 +1111111111111111011111011111111111111111* NOTE PT 4 of MC 109(LAB G) * +L40224 +1111111111111111 +1111111111110111111111011111111111111111 +1111111111111111111111111111111111111111* NOTE PT 5 of MC 109(LAB G) * +L40320 +1111111111111111 +1111111111111111110111111111111111111111 +0111111111111111111111111111111111111111* NOTE PT 5 of MC 108(LAB G) * +L40416 +1111111111111111 +1111111101111111010111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 4 of MC 108(LAB G) * +L40512 +1111111111111111 +1111111101111111110111111111111111111111 +1111111101111111111111111111111111111111* NOTE PT 3 of MC 108(LAB G) * L40608 1111111111111111 -0110110111111111111111111111110111111111 -0111011101111111111101111101111111111111* NOTE PT 2 of MC 108(LAB G) * +0111110101111111110111111111111111011111 +1111111111111111111101111101011111111111* NOTE PT 2 of MC 108(LAB G) * L40896 1111111111111111 -1111111111011111101111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 107(LAB G) * +1111111111111111111111111111111101111111 +1111111111111111101111011111111111111111* NOTE PT 2 of MC 107(LAB G) * L40992 1111111111111111 -1111111111111111011011111111011111111110 -1111111111111111111111111001111111111111* NOTE PT 3 of MC 107(LAB G) * +1111111111111111111111111111111110111111 +1111111111111111011111011111111111111111* NOTE PT 3 of MC 107(LAB G) * +L41088 +1111111111111111 +1111111111111111111111011111111111111111 +1111111111111111111111110111111111111111* NOTE PT 4 of MC 107(LAB G) * L41280 1111111111111111 -1001111011111111111111101111111011111111 -1011101111111111111110111111111111111111* NOTE PT 5 of MC 106(LAB G) * +1111111111111111111111111111111111111111 +0111111111111111111111111111111111111111* NOTE PT 5 of MC 106(LAB G) * L41376 -0110001111111111 -1111111111111111111111111111111110111111 -1111111111111111011111111111111111111111* NOTE PT 4 of MC 106(LAB G) * +1111111111111111 +1111111101111111011111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 4 of MC 106(LAB G) * L41472 1111111111111111 -1001111011111111111111101111111011111111 -1111101110111111111111111111111111111111* NOTE PT 3 of MC 106(LAB G) * +1111111101111111111111111111111111111111 +1111111101111111111111111111111111111111* NOTE PT 3 of MC 106(LAB G) * L41568 1111111111111111 -1001111011111111111111101111111011111111 -1111101111111111111111111110111111111111* NOTE PT 2 of MC 106(LAB G) * -L41664 -1111111111111111 -0110110111111111111111011111110111111111 -0111011101111111111101111101111111111111* NOTE PT 1 of MC 106(LAB G) * +0111110101111111111111111111111111011111 +1111111111111111111101111101011111111111* NOTE PT 2 of MC 106(LAB G) * L41856 1111111111111111 -1111111111111111101111111111111111111111 -1111111111111111111111111111110111111111* NOTE PT 2 of MC 105(LAB G) * +1111111111111111111111111111111110111111 +1111111111111111111111011111111111111111* NOTE PT 2 of MC 105(LAB G) * L41952 1111111111111111 -1111111111111111011011111111011111111110 -1111111101111111111111111011111111111111* NOTE PT 3 of MC 105(LAB G) * +1111111111111111111111011111111111111111 +1111111111011111111111111111111111111111* NOTE PT 3 of MC 105(LAB G) * L42432 1111111111111111 -1111111111111111011011111111011111111110 -1111111111111111111101111011111111111111* NOTE PT 3 of MC 104(LAB G) * +1111111111111111111111011111111111111111 +1111111111110111111111111111111111111111* NOTE PT 3 of MC 104(LAB G) * L42528 1111111111111111 -1111111111111111101111111111111111111111 -1101111111111111111111111111111111111111* NOTE PT 2 of MC 104(LAB G) * -L42720 -1111111111111111 -1110111111111111111111111111111111111111 -1011111111111111111111111111111111111111* NOTE PT 1 of MC 103(LAB G) * +1111111111111111111111111111111111111111 +1111111111111111110111011111111111111111* NOTE PT 2 of MC 104(LAB G) * L42816 1111111111111111 -1011111011111111111111101111111011111111 -1011101111111111111111111110111111111111* NOTE PT 2 of MC 103(LAB G) * +0111110101111111011011111111111111011111 +1111111101111111111101111101011111111111* NOTE PT 2 of MC 103(LAB G) * L42912 1111111111111111 -1011111011111111111111101111111011111111 -1011101110111111111111111111111111111111* NOTE PT 3 of MC 103(LAB G) * -L43008 -0110001111111111 -1111111111111111111111111111111110111111 -1111111111111111011111111111111111111111* NOTE PT 4 of MC 103(LAB G) * -L43104 -1111111111111111 -1011111011111111111111101111111011111111 -1011101111111111111110111111111111111111* NOTE PT 5 of MC 103(LAB G) * +1111111111111111110111111111111111111111 +0111111111111111111111111111111111111111* NOTE PT 3 of MC 103(LAB G) * L43392 1111111111111111 -1111111111111111011011111111011111111110 -0111111111111111111111111011111111111111* NOTE PT 3 of MC 102(LAB G) * +1111111111111111111111011111111111111101 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 102(LAB G) * L43488 1111111111111111 -1111111111111111101111111111111111111111 -1111111111011111111111111111111111111111* NOTE PT 2 of MC 102(LAB G) * -L43584 -1111111111111111 -1111111111111110111111111111111111111111 -1111111111111011111111101111111111111111* NOTE PT 1 of MC 102(LAB G) * -L43680 -1111111111111111 -1111111111111111111111111101111111111111 -1111111111111111111111011111111111111111* NOTE PT 1 of MC 101(LAB G) * +1111111111111111111111111111111111111111 +1111111111111111111111011111110111111111* NOTE PT 2 of MC 102(LAB G) * L43776 1111111111111111 -1111111111111111101111111111111111111111 -1111111111111111110111111111111111111111* NOTE PT 2 of MC 101(LAB G) * +1111111111111111111111111111111111111111 +1101111111111111111111011111111111111111* NOTE PT 2 of MC 101(LAB G) * L43872 1111111111111111 -1111111111111111011011111111011101111110 -1111111111111111111111111011111111111111* NOTE PT 3 of MC 101(LAB G) * +1111111111111111111111011111111111111111 +0111111111111111111111111111111111111111* NOTE PT 3 of MC 101(LAB G) * +L44160 +1111111111111111 +1111111111111111010111111111111111111111 +0111111111111111111111111111111111111111* NOTE PT 5 of MC 100(LAB G) * L44256 -0110001111111111 -1111111111111111111111111111111110111111 -1111111111111111011111111111111111111111* NOTE PT 4 of MC 100(LAB G) * +1111111111111111 +1111111101111111010111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 4 of MC 100(LAB G) * L44352 1111111111111111 -1101111111111111111111111111111111111111 -1111011111111111111111111111111111111111* NOTE PT 3 of MC 100(LAB G) * +0111110110111111111111111111111111011111 +1011111111111111111101111101011111111111* NOTE PT 3 of MC 100(LAB G) * L44448 1111111111111111 -0110110111111111111111111111110111111111 -0111111101111111111101111101111111111111* NOTE PT 2 of MC 100(LAB G) * -L44544 -1111111111111111 -1111111111111101111111111111111111111111 -1111111111110111111111011111111111111111* NOTE PT 1 of MC 100(LAB G) * -L44640 -1111111111111111 -1111111111111111111111111111111111111111 -1111111101111111111111111101111111111111* NOTE PT 1 of MC 99(LAB G) * +0111110111111111111011111111111111011111 +1111111111111111111101111101011111111111* NOTE PT 2 of MC 100(LAB G) * L44736 1111111111111111 -1111111111111111101111111111111111111111 -1111111111111101111111111111111111111111* NOTE PT 2 of MC 99(LAB G) * +1111111111111111111111111111110111111111 +1111111111111111111111011111111111111111* NOTE PT 2 of MC 99(LAB G) * L44832 1111111111111111 -1111111111111111011011111111011111111110 -1111111111111111111111011011111111111111* NOTE PT 3 of MC 99(LAB G) * +1111111101111111111111011111111111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 99(LAB G) * L45120 -1101111111111111 -1011111011111111111111101111111011111111 -1011101111111111111101111111111111111111* NOTE PT 5 of MC 98(LAB G) * +1111111111111111 +1111111111111111110111111111111111111111 +0111111101111111111111111111111111111111* NOTE PT 5 of MC 98(LAB G) * L45216 -0110001111111111 -1111111111111111111111111111111110111111 -1111111111111111011111111111111111111111* NOTE PT 4 of MC 98(LAB G) * +1111111111111111 +1111111101111111110111111111111111111111 +1111111101111111111111111111111111111111* NOTE PT 4 of MC 98(LAB G) * L45312 1111111111111111 -1110111111111111111111111111111111111111 -0111111111111111111110111111111111111111* NOTE PT 3 of MC 98(LAB G) * +0111110110111111011111111111111111011111 +1011111111111111111101111101011111111111* NOTE PT 3 of MC 98(LAB G) * L45408 1111111111111111 -1110111111111111111111111111111111111111 -1011111111111111111101111111111111111111* NOTE PT 2 of MC 98(LAB G) * +0111110111111111011011111111111111011111 +1111111111111111111101111101011111111111* NOTE PT 2 of MC 98(LAB G) * L45504 -1101111111111111 -1011111011111111111111101111111011111111 -0111101111111111111110111111111111111111* NOTE PT 1 of MC 98(LAB G) * +1111111111111111 +1011111110111111101111111111111111111111 +1011111110111111111110111110101111111111* NOTE PT 1 of MC 98(LAB G) * L45600 1111111111111111 -1111111111111111111111111110111111111111 -1111111111111111111111101111111111111111* NOTE PT 1 of MC 97(LAB G) * +1111110111111111111111111111111111011111 +1111111111111111111111111111111111111111* NOTE PT 1 of MC 97(LAB G) * L45696 1111111111111111 -1111111111111111101111110111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 97(LAB G) * +1111111111011111111111111111111111111111 +1111111111111111111111011111111111111111* NOTE PT 2 of MC 97(LAB G) * L45792 1111111111111111 -1111111111111111011011111101011111111110 -1111111111111111111111111011111111111111* NOTE PT 3 of MC 97(LAB G) * -L46368 -1111111111111111 -1111111111111111011111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 96(LAB F) * +1111111111111111111111011111111111111111 +1111111101111111111111111111111111111111* NOTE PT 3 of MC 97(LAB G) * L47232 1111111111111111 -1111111111101111011111111111111111111111 -1011111011011111111111111111011111111111* NOTE PT 3 of MC 94(LAB F) * +1111110111111111111111111111111111111111 +1111111111111111111111111111011111111111* NOTE PT 3 of MC 94(LAB F) * L47328 1111111111111111 -1111111111111111101111111111011111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 94(LAB F) * +1111111111111111111111111111111111111111 +1101111111111111111101111111111111111111* NOTE PT 2 of MC 94(LAB F) * L47616 1111111111111111 -0111111111111111101111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 93(LAB F) * +1111111111111111111111111111111111111111 +1101111111111111111111110111111111111111* NOTE PT 2 of MC 93(LAB F) * L47712 1111111111111111 -1111111111101111011111111111111111111111 -1011011011111111111111111111011111111111* NOTE PT 3 of MC 93(LAB F) * +1111110111111111111111111111111111111111 +0111111111111111111111111111111111111111* NOTE PT 3 of MC 93(LAB F) * L48576 1111111111111111 -1111111111111111101111111011111111111111 +1111110111111111111111110111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 91(LAB F) * L48672 1111111111111111 -1111111011111111101111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 3 of MC 91(LAB F) * -L48768 -1111111111111111 -1111111111101111011111011111111111111111 -1011111011111111111111111111011111111111* NOTE PT 4 of MC 91(LAB F) * +1111111111111111111101111111111111111111 +1101111111111111111111111111111111111111* NOTE PT 3 of MC 91(LAB F) * L49536 1111111111111111 -1111111011111111111111110111111101111101 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 89(LAB F) * +1111111111111111111101111111111111111111 +1101111111111111111111011111111111111111* NOTE PT 2 of MC 89(LAB F) * L49632 1111111111111111 -1111110111111111111111110111111111111111 -1111111111111111111111011111111111111111* NOTE PT 3 of MC 89(LAB F) * -L50112 -1111111111111111 -1111110111111111111111110111111111111111 -1111111111111111111101111111111111111111* NOTE PT 3 of MC 88(LAB F) * -L50208 -1111111111111111 -1111111011111111111111110111111111111101 -1111111111110111111111111111111111111111* NOTE PT 2 of MC 88(LAB F) * -L51072 -1111111111111111 -1111110111111111111111110111111111111111 -1111111111111111011111111111111111111111* NOTE PT 3 of MC 86(LAB F) * -L51168 -1111111111111111 -1111111011111111111111110111111111111101 -1111111111111111111111111101111111111111* NOTE PT 2 of MC 86(LAB F) * -L51456 -1111111111111111 -1111111011111111111111110101111111111101 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 85(LAB F) * -L51552 -1111111111111111 -1111110111111111111111110111111111011111 -1111111111111111111111111111111111111111* NOTE PT 3 of MC 85(LAB F) * -L51936 -1111111111111111 -1111011011111111111111111011111111111110 -1111111111111111110111111111111111111111* NOTE PT 4 of MC 84(LAB F) * -L52128 +1111111111111111111110111111111111111111 +1111111111110111111111111111111111111111* NOTE PT 3 of MC 89(LAB F) * +L49728 1111111111111111 1111111111111111111111111111111111111111 -1111111111111111111111110111111111111111* NOTE PT 2 of MC 84(LAB F) * +1110111111110111111111111111111111111111* NOTE PT 4 of MC 89(LAB F) * +L50016 +1111111111111111 +1111111111111111111111111111111101111111 +1110111111111111111111111111111111111111* NOTE PT 4 of MC 88(LAB F) * +L50112 +1111111111111111 +1111111111111111111110111111111101111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 88(LAB F) * +L50208 +1111111111111111 +1111111111111111111101111111111111111111 +1101111111111111111111111101111111111111* NOTE PT 2 of MC 88(LAB F) * +L50976 +1111111111111111 +1111111111110111111111111111111111111111 +1110111111111111111111111111111111111111* NOTE PT 4 of MC 86(LAB F) * +L51072 +1111111111111111 +1111111111110111111110111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 86(LAB F) * +L51168 +1111111111111111 +1111111111111111110101111111111111111111 +1101111111111111111111111111111111111111* NOTE PT 2 of MC 86(LAB F) * +L51456 +1111111111111111 +1111111111111111111101111101111111111111 +1101111111111111111111111111111111111111* NOTE PT 2 of MC 85(LAB F) * +L51552 +1111111111111111 +1111111111111111111110111111110111111111 +1111111111111111111111111111111111111111* NOTE PT 3 of MC 85(LAB F) * +L51648 +1111111111111111 +1111111111111111111111111111110111111111 +1110111111111111111111111111111111111111* NOTE PT 4 of MC 85(LAB F) * L52416 1111111111111111 -1111111011111111111111110111111111110101 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 83(LAB F) * +1111111111111101111101111111111111111111 +1101111111111111111111111111111111111111* NOTE PT 2 of MC 83(LAB F) * L52512 1111111111111111 -1111110111111111111101110111111111111111 +1111111111111111111110011111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 83(LAB F) * +L52608 +1111111111111111 +1111111111111111111111011111111111111111 +1110111111111111111111111111111111111111* NOTE PT 4 of MC 83(LAB F) * L53376 1111111111111111 -1111111011111111111111110111111111111101 -1111111111111101111111111111111111111111* NOTE PT 2 of MC 81(LAB F) * +1111111111111111111101111111011111111111 +1101111111111111111111111111111111111111* NOTE PT 2 of MC 81(LAB F) * L53472 1111111111111111 -1111110101111111111111110111111111111111 +1111111111111111111110111111111111011111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 81(LAB F) * +L53568 +1111111111111111 +1111111111111111111111111111111111011111 +1110111111111111111111111111111111111111* NOTE PT 4 of MC 81(LAB F) * +L53856 +1111111111111111 +1111111111111111110111111111111111111111 +1110111111111111111111111111111111111111* NOTE PT 4 of MC 80(LAB E) * L53952 1111111111111111 -1111110111111111011111110111111111111111 +1011111111111111110111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 80(LAB E) * L54048 1111111111111111 -1111111011111111111111110111111111111101 -1111111111111111111111111111110111111111* NOTE PT 2 of MC 80(LAB E) * -L54240 -1111011111111111 -1111111111111111111111110111111111111110 -1011111111111111111111111111111111111111* NOTE PT 1 of MC 79(LAB E) * -L54336 +0111111111111111111101111111111111111111 +1101111111111111111111111111111111111111* NOTE PT 2 of MC 80(LAB E) * +L54144 1111111111111111 -1111101111111111111111111011111111111101 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 79(LAB E) * -L54432 +1111111111111111111111111111111110111111 +1111111111111101111111111111111111111111* NOTE PT 1 of MC 80(LAB E) * +L54816 1111111111111111 -0101111010111001111110111001111101110110 -1111101111111111011111111101011111111111* NOTE PT 3 of MC 79(LAB E) * -L54528 -1111110111111111 -1111110111111111111111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 79(LAB E) * -L54624 -1111101111111111 -1111111111111111111111110111111111111101 -1111111111111111111111111111111111111111* NOTE PT 5 of MC 79(LAB E) * +1111111111111111111111111111110111111111 +1110111111111111111111111111111111111111* NOTE PT 4 of MC 78(LAB E) * L54912 1111111111111111 -1111110111111111111111110111110111111111 +1011111111111111111111111111110111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 78(LAB E) * L55008 1111111111111111 -1111111011111111111111110111111111111101 -1111111111111111111111110111111111111111* NOTE PT 2 of MC 78(LAB E) * -L55296 -1101111111111111 -1111111011111111111111010111111111111101 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 77(LAB E) * -L55392 -1111111111111111 -1111111111111111111111010111111111101110 -1011111111111111111111111111111111111111* NOTE PT 3 of MC 77(LAB E) * -L55488 -1111111111111111 -1111110111111111111111111011111111111111 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 77(LAB E) * -L55584 -1111111111111111 -1111110111101111111111111111111111111111 -1011111011111111111111111111111111111111* NOTE PT 5 of MC 77(LAB E) * -L55680 -1011111111111111 -1111110111111111111111010111111111111111 -1111111111111111111111111111111111111111* NOTE PT 5 of MC 76(LAB E) * -L55776 -1111111111111111 -1111111011110111111111011011111111111110 -1111111101111111110111111111111111111111* NOTE PT 4 of MC 76(LAB E) * -L55872 -1111111111111111 -1111110111110111111111010111111111111111 -1111111111111111111111111111111111111111* NOTE PT 3 of MC 76(LAB E) * -L55968 -1111111111111111 -1111111011111011111111101011111111111110 -1111111101111111110111111111111111111111* NOTE PT 2 of MC 76(LAB E) * -L56064 -1011111111111111 -1111111011111111111111011011111111111110 -1111111101111111110111111111111111111111* NOTE PT 1 of MC 76(LAB E) * -L56640 -1011111111111111 -1111111011111111111111111011111111011110 -1111111101111111110111111111111111111111* NOTE PT 5 of MC 74(LAB E) * -L56736 -1111111111111111 -1111111011111111111111110111111111011101 -1111111111110111111111111111111111111111* NOTE PT 4 of MC 74(LAB E) * -L56832 -1111111111111111 -1111111011111111111111111011111111011110 -1111111101110111110111111111111111111111* NOTE PT 3 of MC 74(LAB E) * -L56928 -1111111111111111 -1111111011111111111111111011111111101110 -1111111101111011110111111111111111111111* NOTE PT 2 of MC 74(LAB E) * -L57024 -1011111111111111 -1111111011111111111111110111111111011101 -1111111111111111111111111111111111111111* NOTE PT 1 of MC 74(LAB E) * -L57216 +0111111111111111111111111111111111110111 +1101111111111111111111111111111111111111* NOTE PT 2 of MC 78(LAB E) * +L55104 1111111111111111 1111111111111111111111111111111101111111 +1111111111111110111111111111111111111111* NOTE PT 1 of MC 78(LAB E) * +L56064 +1111111111111111 +1111111111111111111111111111011111111111 +0111111111111111011111111111111111111111* NOTE PT 1 of MC 76(LAB E) * +L56160 +0000010100111010 +1011011111101111111111111110111111111110 +1111110110111011111101101011111111111111* NOTE PT 1 of MC 75(LAB E) * +L56256 +0000010101111010 +1011011010111011111111111110111111111110 +1111110110111111111101101011111011111111* NOTE PT 2 of MC 75(LAB E) * +L56352 +0000010101111010 +1011011011101111111111111110111111111110 +1111110110111011111101101011111011111111* NOTE PT 3 of MC 75(LAB E) * +L56448 +1111111111111111 +1111111111111111111111111111111111111110 +1101111111111111111111111111111111111111* NOTE PT 4 of MC 75(LAB E) * +L56544 +0000010100111010 +1011011110111011111111111110111111111110 +1111110110111111111101101011111111111111* NOTE PT 5 of MC 75(LAB E) * +L57024 +1111111111111111 +1111111111111101111111111111111111111111 +1111111111111111111111111111011111111111* NOTE PT 1 of MC 74(LAB E) * +L57120 +1111101111111111 +1111111111111111111111110111011111011111 +1111111111111111110111111101111111111111* NOTE PT 1 of MC 73(LAB E) * +L57216 +1111111111111111 +1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 73(LAB E) * L57312 1111111111111111 1111111111111111111111111111111111111111 -1111111111111111011111111111111111111111* NOTE PT 3 of MC 73(LAB E) * +1111111111111111111111110111111111111111* NOTE PT 3 of MC 73(LAB E) * L57408 -1111111111111111 -1111111011111111111111111011111111111110 -1111111101111111110111111111111111111111* NOTE PT 4 of MC 73(LAB E) * -L57600 -1011111111111111 -1111111111111111111111111011111111111111 -0111111111111111111111111111111111111111* NOTE PT 5 of MC 72(LAB E) * -L57696 -1001111111111111 -1111111111111111111111110111111111111101 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 72(LAB E) * -L57792 -1011111111111111 -1111111011111111111111101111111111101110 -1111111111111111111111111111111111111111* NOTE PT 3 of MC 72(LAB E) * -L57888 -1001111111111111 -1111111111111111111111100111111111101111 -1111111111111111111111111111111111111111* NOTE PT 2 of MC 72(LAB E) * +0000010101111010 +0111011111111111111111111110111111111110 +1101110110111011111101101011111111111111* NOTE PT 4 of MC 73(LAB E) * L57984 -1011111111111111 -1111111011111111111111111011111111111111 +1111111111111111 +1111111110111011111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 72(LAB E) * L58080 1111111111111111 -1111111111111111111111111011111111111111 -0111111111111111111111111111111111111111* NOTE PT 1 of MC 71(LAB E) * +1110111111111111011111111111111111111111 +1111111111111111111111111111111111111111* NOTE PT 1 of MC 71(LAB E) * L58176 1111111111111111 -1111111111111111111111111111111101111111 -1111111111111111011111111111111111111111* NOTE PT 2 of MC 71(LAB E) * +1111110111111101111111111111111111111110 +1110111111111111111111111111010111111111* NOTE PT 2 of MC 71(LAB E) * L58272 1111111111111111 -1111111111111111111111111111111111110111 +0111111111111111111111111111111111111110 1111111111111111111111111111111111111111* NOTE PT 3 of MC 71(LAB E) * L58368 -1111111111111111 -1111111011111111111111111011111111111110 -1111111101111111110111111111111111111111* NOTE PT 4 of MC 71(LAB E) * -L58656 -1111111111111111 -1111011111111111111111110111111111111110 -1111111111111111111111111111111111111111* NOTE PT 4 of MC 70(LAB E) * -L58752 -1111111111111111 -1111101111110111111111111111111111111101 -1111111111110111111111111111111111111111* NOTE PT 3 of MC 70(LAB E) * -L58848 -1111111111111111 -1111100111110111111111111011111111111111 -1111111111110111111111111111111111111111* NOTE PT 2 of MC 70(LAB E) * +0000010001111010 +1111011011101111111111111110111111111110 +1110110110111011111101101011111011111111* NOTE PT 4 of MC 71(LAB E) * +L58464 +0000010000111010 +1111011111101111111111111110111111111110 +1110110110111011111101101011111111111111* NOTE PT 5 of MC 71(LAB E) * L58944 -1101111111111111 -1111111111111111111111011111111111111111 -1111111111111111111111111111111111111111* NOTE PT 1 of MC 70(LAB E) * +1111111111111111 +1111111111111111111111101111111111111111 +1011111111111111101111111111111111111111* NOTE PT 1 of MC 70(LAB E) * L59040 1111111111111111 -1111111111111111111111101111111111101111 +1101111111111111101111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 69(LAB E) * -L59136 -1111111111111111 -1111111111111111111111111111111101110111 -1111111111111111011111111111111111111111* NOTE PT 2 of MC 69(LAB E) * -L59232 -1111111111111111 -1011111111111111111111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 3 of MC 69(LAB E) * -L59328 -1111111111111111 -1111111011111111111111111011111111111110 -1111111101111111110111111111111111111111* NOTE PT 4 of MC 69(LAB E) * -L59520 -1111111111111111 -1111111111111111111111111111111111111111 -1111111111111111111111111101111111111111* NOTE PT 5 of MC 68(LAB E) * -L59616 -1111111111111111 -1111111011111111111111111011111111111110 -1111111101111111110111111111111111111111* NOTE PT 4 of MC 68(LAB E) * -L59712 -1111111111111111 -1111111111111111111111111111111101110111 -1111111111111111011111111111111111111111* NOTE PT 3 of MC 68(LAB E) * -L59808 -1111111111111111 -1111111111111111111111111111111111111111 -1111111111111101111111111111111111111111* NOTE PT 2 of MC 68(LAB E) * L59904 -1111111111111111 -0111111111111111111111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 1 of MC 68(LAB E) * +1111111111101111 +1111111111111111111111111011111111101111 +1111111111111111111111111110111111111111* NOTE PT 1 of MC 68(LAB E) * L60000 1111111111111111 -1111111111101111111111111111111111111111 -1011111011111111111111111111111111111111* NOTE PT 1 of MC 67(LAB E) * -L60096 +1111111111111111111111111111111111111111 +1111101111011111111111111111111111111111* NOTE PT 1 of MC 67(LAB E) * +L60576 +0000010101111010 +1011011111111011111111111110111111111110 +1101110110111111111101101011111111111111* NOTE PT 4 of MC 66(LAB E) * +L60672 1111111111111111 1111111111111111111111111111111111111111 -1111111111111111111111111110111111111111* NOTE PT 2 of MC 67(LAB E) * -L60192 -1111111111111111 -1111111111111111111111111111111101110111 -1111111111111111011111111111111111111111* NOTE PT 3 of MC 67(LAB E) * -L60288 -1111111111111111 -1111111011111111111111111011111111111110 -1111111101111111110111111111111111111111* NOTE PT 4 of MC 67(LAB E) * -L60384 -1111111111111111 -0111111111111111111111111111111111111111 -1111111111111111111111111111111111111111* NOTE PT 5 of MC 67(LAB E) * -L60576 -1111111111111111 -0101111010111001111110111001011101110110 -1111101111111111011111111101011111111111* NOTE PT 4 of MC 66(LAB E) * +1111111111111111111111110111111111111111* NOTE PT 3 of MC 66(LAB E) * L60768 1111111111111111 1111111111111111111111111111111111111111 -1111111111111111111110111111111111111111* NOTE PT 2 of MC 66(LAB E) * +1111111111111111111111111111111111111111* NOTE PT 2 of MC 66(LAB E) * L60864 1111111111111111 -1111111011111111111111111011111111111110 -1111111101111111110111111111111111111111* NOTE PT 1 of MC 66(LAB E) * +1111111111111111111111111111111111101111 +1111111111111111111011111111111111111111* NOTE PT 1 of MC 66(LAB E) * +L60960 +1111111111111111 +1111111111111111111111111111111111111111 +1111011111101111111111111111111111111111* NOTE PT 1 of MC 65(LAB E) * NOTE macrocell configurations 0 0 0 0 * L61440 1111111111111111* -L61456 00000100000001000000000001000010* NOTE S16,S12 of block A * -L61488 00000000010000000000000000000000* NOTE S14,S11 of block A * +L61456 11111100111111111111111111111100* NOTE S16,S12 of block A * +L61488 00000000000000000000000001000000* NOTE S14,S11 of block A * L61520 1111111111111111* -L61536 11110000111111111111111111111111* NOTE S16,S12 of block B * -L61568 00000000000000000000000001000000* NOTE S14,S11 of block B * +L61536 11000000000000000000110000111000* NOTE S16,S12 of block B * +L61568 00000000001000100000000000000010* NOTE S14,S11 of block B * L61600 1111111111111111* -L61616 00111111111111110011110111111011* NOTE S16,S12 of block C * -L61648 00000000000000000000000000000000* NOTE S14,S11 of block C * +L61616 01100010111000100100110001100011* NOTE S16,S12 of block C * +L61648 00000010000000000000000001000000* NOTE S14,S11 of block C * L61680 1111111111111111* -L61696 00100011000000111111111111111100* NOTE S16,S12 of block D * -L61728 01000000000000000000000000000100* NOTE S14,S11 of block D * +L61696 00000000001111111111111111111111* NOTE S16,S12 of block D * +L61728 00000000000000000000000000000000* NOTE S14,S11 of block D * L61760 1111111111111111* -L61776 10110001100010011001100010011001* NOTE S9 ,S6 of block A * -L61808 01100010000001100110000000000000* NOTE S13,S10 of block A * +L61776 10011001100110011001100110011000* NOTE S9 ,S6 of block A * +L61808 00011001100110011001100110011000* NOTE S13,S10 of block A * L61840 1111111111111111* -L61856 10010100100110011001100110011001* NOTE S9 ,S6 of block B * -L61888 00000000000010000000000010001000* NOTE S13,S10 of block B * +L61856 10011001101101000000100010011001* NOTE S9 ,S6 of block B * +L61888 01001010000000000000001001100100* NOTE S13,S10 of block B * L61920 1111111111111111* -L61936 10011001100110011001100110011001* NOTE S9 ,S6 of block C * -L61968 00000000000000000000000000000000* NOTE S13,S10 of block C * +L61936 00010100110001001001100100001001* NOTE S9 ,S6 of block C * +L61968 00000000000000100100000000000100* NOTE S13,S10 of block C * L62000 1111111111111111* -L62016 10001001100110011001100110011000* NOTE S9 ,S6 of block D * -L62048 10011001100110011000000010001000* NOTE S13,S10 of block D * +L62016 10011001100110011001100110011001* NOTE S9 ,S6 of block D * +L62048 10011001100110011001100000000000* NOTE S13,S10 of block D * L62080 1111111111111111* -L62096 00000000001000000000000000000000* NOTE S20,S18 of block A * -L62128 00000000000000000000000000000000* NOTE S8 ,S21 of block A * +L62096 00000010000000000000000000000000* NOTE S20,S18 of block A * +L62128 00111111111111111111111100111100* NOTE S8 ,S21 of block A * L62160 1111111111111111* -L62176 00000010000000000000000000000000* NOTE S20,S18 of block B * -L62208 00000000000011000000000000001100* NOTE S8 ,S21 of block B * +L62176 01000000000000100010001000000000* NOTE S20,S18 of block B * +L62208 00001100000000000000000000000000* NOTE S8 ,S21 of block B * L62240 1111111111111111* L62256 00000000000000000000000000000000* NOTE S20,S18 of block C * L62288 00000000000000000000000000000000* NOTE S8 ,S21 of block C * L62320 1111111111111111* -L62336 00000000000000000000000000000010* NOTE S20,S18 of block D * -L62368 00111111111111111100000011000000* NOTE S8 ,S21 of block D * +L62336 00000000000000000000000000000000* NOTE S20,S18 of block D * +L62368 11111111111111111111110000000000* NOTE S8 ,S21 of block D * L62400 1111111111111111* -L62416 00110000001100000000000000000000* NOTE S7 ,S19 of block A * -L62448 01010010100010000011010010101100* NOTE S22,S5 of block A * +L62416 11111111111111111111111111111100* NOTE S7 ,S19 of block A * +L62448 00110110111011100111011011101100* NOTE S22,S5 of block A * L62480 1111111111111111* -L62496 00111111000000000011000000000000* NOTE S7 ,S19 of block B * -L62528 00010000100011000001000011101100* NOTE S22,S5 of block B * +L62496 11000000001111110011001100110000* NOTE S7 ,S19 of block B * +L62528 00010100110011000111010010001010* NOTE S22,S5 of block B * L62560 1111111111111111* -L62576 00000000000000000000000000000000* NOTE S7 ,S19 of block C * -L62608 00010000100010000001000010001000* NOTE S22,S5 of block C * +L62576 00001100110011000011111100001100* NOTE S7 ,S19 of block C * +L62608 00010000100010000011001010101000* NOTE S22,S5 of block C * L62640 1111111111111111* -L62656 00000011000000111111111111111111* NOTE S7 ,S19 of block D * -L62688 01110110111011100101000011001000* NOTE S22,S5 of block D * +L62656 11111111111111111111111111111111* NOTE S7 ,S19 of block D * +L62688 01110110111011100111010010001000* NOTE S22,S5 of block D * L62720 1111111111111111* L62736 11111111111111111111111111111111* NOTE S23,S4 of block A * -L62768 00000000000000000000110000000100* NOTE S3 ,S15 of block A * +L62768 00100110011001100110011011100110* NOTE S3 ,S15 of block A * L62800 1111111111111111* L62816 11111111111111111111111111111111* NOTE S23,S4 of block B * -L62848 00000000000001000000000011100100* NOTE S3 ,S15 of block B * +L62848 01100110111011101110011001100111* NOTE S3 ,S15 of block B * L62880 1111111111111111* L62896 11111111111111111111111111111111* NOTE S23,S4 of block C * -L62928 00000000000000000010000000000000* NOTE S3 ,S15 of block C * +L62928 01100110011001100110011001100110* NOTE S3 ,S15 of block C * L62960 1111111111111111* L62976 11111111111111111111111111111111* NOTE S23,S4 of block D * -L63008 11100110011001100100000001000000* NOTE S3 ,S15 of block D * +L63008 01100110011001100110011001100110* NOTE S3 ,S15 of block D * L63040 1111111111111111* -L63056 00110011000011000011001100001100* NOTE S0 ,S1 of block A * -L63088 10111001110111011011100111011101* NOTE S17,S2 of block A * +L63056 00000011000000000000000000000000* NOTE S0 ,S1 of block A * +L63088 10011001100110011001100111011001* NOTE S17,S2 of block A * L63120 1111111111111111* -L63136 00000011000011000000001100001100* NOTE S0 ,S1 of block B * -L63168 10111001110110011011100111011001* NOTE S17,S2 of block B * +L63136 00110011000011000011001100001100* NOTE S0 ,S1 of block B * +L63168 10111001110111011011100111011101* NOTE S17,S2 of block B * L63200 1111111111111111* L63216 00110011000011000011001100001100* NOTE S0 ,S1 of block C * L63248 10111001110111011011100111011101* NOTE S17,S2 of block C * L63280 1111111111111111* -L63296 00110000000011000000000000000000* NOTE S0 ,S1 of block D * -L63328 10011001100110011011100110011101* NOTE S17,S2 of block D * +L63296 00110011000000000000000000000000* NOTE S0 ,S1 of block D * +L63328 10011001100110011001100111011101* NOTE S17,S2 of block D * L63360 1111111111111111* -L63376 01110111010011110111011111101100* NOTE S16,S12 of block H * -L63408 01000100001000100100000000000000* NOTE S14,S11 of block H * +L63376 00000011011111000111111111001100* NOTE S16,S12 of block H * +L63408 00000100001000000100010000000000* NOTE S14,S11 of block H * L63440 1111111111111111* -L63456 01100110011001100110011001100010* NOTE S16,S12 of block G * +L63456 01000100011000100100000000000100* NOTE S16,S12 of block G * L63488 00000000000000000000000000000000* NOTE S14,S11 of block G * L63520 1111111111111111* -L63536 01110111011011100111001101101111* NOTE S16,S12 of block F * +L63536 00110011000011000011011101101111* NOTE S16,S12 of block F * L63568 00000000000000000000000000000000* NOTE S14,S11 of block F * L63600 1111111111111111* -L63616 11110110010001000100110000100010* NOTE S16,S12 of block E * -L63648 00000000000000100110001001100000* NOTE S14,S11 of block E * +L63616 11111111111100111111001111001100* NOTE S16,S12 of block E * +L63648 00000000000000000000000000000000* NOTE S14,S11 of block E * L63680 1111111111111111* -L63696 10011001100110011001100110011001* NOTE S9 ,S6 of block H * -L63728 00010000100010000001000010001000* NOTE S13,S10 of block H * +L63696 10001001100110001001100110011000* NOTE S9 ,S6 of block H * +L63728 00010000100010000001000010000000* NOTE S13,S10 of block H * L63760 1111111111111111* -L63776 10001001100100011000100110011100* NOTE S9 ,S6 of block G * -L63808 00000000010000000000000001000000* NOTE S13,S10 of block G * +L63776 10011001100110011001100110001100* NOTE S9 ,S6 of block G * +L63808 00000000000000000010000001000100* NOTE S13,S10 of block G * L63840 1111111111111111* L63856 10011001100110011001100110011001* NOTE S9 ,S6 of block F * -L63888 00010000100010000001000000001000* NOTE S13,S10 of block F * +L63888 10010000100010000001000010001000* NOTE S13,S10 of block F * L63920 1111111111111111* -L63936 10011000100110001000100010010001* NOTE S9 ,S6 of block E * -L63968 00000000010101010001010110010001* NOTE S13,S10 of block E * +L63936 10011001100110011001000110011001* NOTE S9 ,S6 of block E * +L63968 00010001100010001000100110010001* NOTE S13,S10 of block E * L64000 1111111111111111* -L64016 01000100011000100100010001100010* NOTE S20,S18 of block H * -L64048 00110000110011000011000011001100* NOTE S8 ,S21 of block H * +L64016 01100100011000100100010001100010* NOTE S20,S18 of block H * +L64048 00110000110011000011000011000000* NOTE S8 ,S21 of block H * L64080 1111111111111111* -L64096 01000100011000100100010001100000* NOTE S20,S18 of block G * +L64096 01000100011000100110011001100010* NOTE S20,S18 of block G * L64128 00000000000000000000000000000000* NOTE S8 ,S21 of block G * L64160 1111111111111111* -L64176 01000100011000100100010001100010* NOTE S20,S18 of block F * -L64208 00110000110011000011000000001100* NOTE S8 ,S21 of block F * +L64176 01000100011000100100010001100000* NOTE S20,S18 of block F * +L64208 11110000110011000011000011001100* NOTE S8 ,S21 of block F * L64240 1111111111111111* L64256 00000000000000000000000000100010* NOTE S20,S18 of block E * -L64288 00000000001100000000000000000011* NOTE S8 ,S21 of block E * +L64288 00110011000000000000000000000000* NOTE S8 ,S21 of block E * L64320 1111111111111111* L64336 11111111111111111111111111111111* NOTE S7 ,S19 of block H * L64368 01110110111011100111011011101110* NOTE S22,S5 of block H * L64400 1111111111111111* -L64416 11001100111100111100110011111100* NOTE S7 ,S19 of block G * -L64448 01110110111011100111011011101110* NOTE S22,S5 of block G * +L64416 11001100111100111111111111111111* NOTE S7 ,S19 of block G * +L64448 01110110111011100101011010101010* NOTE S22,S5 of block G * L64480 1111111111111111* -L64496 11111100111111111111111111111111* NOTE S7 ,S19 of block F * -L64528 01110110111011100111011010101110* NOTE S22,S5 of block F * +L64496 11111111111111111111111111111111* NOTE S7 ,S19 of block F * +L64528 01110110111011100111011011101110* NOTE S22,S5 of block F * L64560 1111111111111111* -L64576 11000000000000000000110000110011* NOTE S7 ,S19 of block E * -L64608 01010100101010000001000010001010* NOTE S22,S5 of block E * +L64576 11111111111100111111001111111111* NOTE S7 ,S19 of block E * +L64608 01110110110011000101011011101010* NOTE S22,S5 of block E * L64640 1111111111111111* L64656 11111111111111111111111111111111* NOTE S23,S4 of block H * -L64688 11101111011101111110111101110111* NOTE S3 ,S15 of block H * +L64688 11101111011101111110111101111111* NOTE S3 ,S15 of block H * L64720 1111111111111111* L64736 11111111111111111111111111111111* NOTE S23,S4 of block G * -L64768 00101111001100111100111100110011* NOTE S3 ,S15 of block G * +L64768 11101111111111111110111101110111* NOTE S3 ,S15 of block G * L64800 1111111111111111* L64816 11111111111111111111111111111111* NOTE S23,S4 of block F * -L64848 11101111011101111110111100110111* NOTE S3 ,S15 of block F * +L64848 01101111011101111110111101110111* NOTE S3 ,S15 of block F * L64880 1111111111111111* L64896 11111111111111111111111111111111* NOTE S23,S4 of block E * -L64928 11001100001000000000000000000110* NOTE S3 ,S15 of block E * +L64928 11101110010001100100011001100110* NOTE S3 ,S15 of block E * L64960 1111111111111111* -L64976 11001100111100111100110011110011* NOTE S0 ,S1 of block H * -L65008 11011111101110111101111110111011* NOTE S17,S2 of block H * +L64976 11111100111100111100110011110011* NOTE S0 ,S1 of block H * +L65008 11011111101110111101111110111111* NOTE S17,S2 of block H * L65040 1111111111111111* L65056 11111111111111111111111111111111* NOTE S0 ,S1 of block G * L65088 11111111111111111111111111111111* NOTE S17,S2 of block G * L65120 1111111111111111* -L65136 11001111111100111100110011110000* NOTE S0 ,S1 of block F * -L65168 10011111101110011001100111011001* NOTE S17,S2 of block F * +L65136 11001100111100111100110011110000* NOTE S0 ,S1 of block F * +L65168 10011111101110011001100110011001* NOTE S17,S2 of block F * L65200 1111111111111111* -L65216 00110011000011000011001100111111* NOTE S0 ,S1 of block E * -L65248 10111001110111011011100111011101* NOTE S17,S2 of block E * +L65216 00110011000011000011001100110011* NOTE S0 ,S1 of block E * +L65248 10011001110111011011100111011101* NOTE S17,S2 of block E * NOTE UIM for block A and H* NOTE 0 0 0* L65280 111111111111111111111111110* NOTE Mux-0 of block A* -L65307 111111111111111111111111111* NOTE Mux-1 of block H* -L65334 111111110111111111111111111* NOTE Mux-0 of block H* -L65361 111111111111111111111111111* NOTE Mux-1 of block A* -L65388 111011111111111111111111111* NOTE Mux-2 of block A* -L65415 111111111101111111111111111* NOTE Mux-3 of block H* -L65442 111111110111111111111111111* NOTE Mux-2 of block H* -L65469 111011111111111111111111111* NOTE Mux-3 of block A* -L65496 111111111111111111111111110* NOTE Mux-4 of block A* -L65523 111011111111111111111111111* NOTE Mux-5 of block H* -L65550 011111111111111111111111111* NOTE Mux-4 of block H* -L65577 111011111111111111111111111* NOTE Mux-5 of block A* -L65604 011111111111111111111111111* NOTE Mux-6 of block A* -L65631 111111110111111111111111111* NOTE Mux-7 of block H* -L65658 011111111111111111111111111* NOTE Mux-6 of block H* -L65685 111111111111111111111111110* NOTE Mux-7 of block A* -L65712 111111111111111111111111110* NOTE Mux-8 of block A* -L65739 111011111111111111111111111* NOTE Mux-9 of block H* -L65766 111011111111111111111111111* NOTE Mux-8 of block H* -L65793 111011111111111111111111111* NOTE Mux-9 of block A* +L65307 111111111111111111011111111* NOTE Mux-1 of block H* +L65334 111111111110111111111111111* NOTE Mux-0 of block H* +L65361 111011111111111111111111111* NOTE Mux-1 of block A* +L65388 111111111111110111111111111* NOTE Mux-2 of block A* +L65415 111111111111111111111110111* NOTE Mux-3 of block H* +L65442 101111111111111111111111111* NOTE Mux-2 of block H* +L65469 111111111111111111111110111* NOTE Mux-3 of block A* +L65496 111110111111111111111111111* NOTE Mux-4 of block A* +L65523 111111111111111111111111111* NOTE Mux-5 of block H* +L65550 111111111111111111111111011* NOTE Mux-4 of block H* +L65577 111111110111111111111111111* NOTE Mux-5 of block A* +L65604 111110111111111111111111111* NOTE Mux-6 of block A* +L65631 111111101111111111111111111* NOTE Mux-7 of block H* +L65658 111111111111111111111111101* NOTE Mux-6 of block H* +L65685 111111101111111111111111111* NOTE Mux-7 of block A* +L65712 110111111111111111111111111* NOTE Mux-8 of block A* +L65739 111111111111111111110111111* NOTE Mux-9 of block H* +L65766 111110111111111111111111111* NOTE Mux-8 of block H* +L65793 111111110111111111111111111* NOTE Mux-9 of block A* L65820 111111111111111111111111110* NOTE Mux-10 of block A* L65847 011111111111111111111111111* NOTE Mux-11 of block H* -L65874 111111111111101111111111111* NOTE Mux-10 of block H* -L65901 011111111111111111111111111* NOTE Mux-11 of block A* -L65928 111111111111111111111111111* NOTE Mux-12 of block A* -L65955 111011111111111111111111111* NOTE Mux-13 of block H* -L65982 111111111111111111111011111* NOTE Mux-12 of block H* -L66009 111011111111111111111111111* NOTE Mux-13 of block A* -L66036 111110111111111111111111111* NOTE Mux-14 of block A* -L66063 111111111111111011111111111* NOTE Mux-15 of block H* -L66090 111110111111111111111111111* NOTE Mux-14 of block H* -L66117 111111111111111011111111111* NOTE Mux-15 of block A* -L66144 101111111111111111111111111* NOTE Mux-16 of block A* -L66171 111011111111111111111111111* NOTE Mux-17 of block H* -L66198 101111111111111111111111111* NOTE Mux-16 of block H* -L66225 111011111111111111111111111* NOTE Mux-17 of block A* -L66252 111111111111111111111111111* NOTE Mux-18 of block A* -L66279 111111111110111111111111111* NOTE Mux-19 of block H* -L66306 111111111110111111111111111* NOTE Mux-18 of block H* -L66333 111111111111111111111111111* NOTE Mux-19 of block A* -L66360 111111111111111111111111111* NOTE Mux-20 of block A* -L66387 111111111111111011111111111* NOTE Mux-21 of block H* -L66414 111111111111111111111111111* NOTE Mux-20 of block H* -L66441 111111111111111011111111111* NOTE Mux-21 of block A* -L66468 101111111111111111111111111* NOTE Mux-22 of block A* -L66495 111111111111111111111111111* NOTE Mux-23 of block H* -L66522 101111111111111111111111111* NOTE Mux-22 of block H* -L66549 111111111111111111111111111* NOTE Mux-23 of block A* -L66576 110111111111111111111111111* NOTE Mux-24 of block A* -L66603 111111111111111011111111111* NOTE Mux-25 of block H* -L66630 110111111111111111111111111* NOTE Mux-24 of block H* -L66657 111111111111111011111111111* NOTE Mux-25 of block A* -L66684 110111111111111111111111111* NOTE Mux-26 of block A* -L66711 111111111111111111111111111* NOTE Mux-27 of block H* -L66738 110111111111111111111111111* NOTE Mux-26 of block H* -L66765 111111111111111111111111111* NOTE Mux-27 of block A* -L66792 111111111111111110111111111* NOTE Mux-28 of block A* -L66819 111111111111111111111111111* NOTE Mux-29 of block H* -L66846 111111111111111110111111111* NOTE Mux-28 of block H* -L66873 111111111111111011111111111* NOTE Mux-29 of block A* -L66900 111110111111111111111111111* NOTE Mux-30 of block A* -L66927 110111111111111111111111111* NOTE Mux-31 of block H* +L65874 111111111111111111111111110* NOTE Mux-10 of block H* +L65901 111111111111111111111101111* NOTE Mux-11 of block A* +L65928 111111111111110111111111111* NOTE Mux-12 of block A* +L65955 111111111111111111110111111* NOTE Mux-13 of block H* +L65982 110111111111111111111111111* NOTE Mux-12 of block H* +L66009 111111111111111111111111111* NOTE Mux-13 of block A* +L66036 111111111111011111111111111* NOTE Mux-14 of block A* +L66063 111111111111111111101111111* NOTE Mux-15 of block H* +L66090 111111111111011111111111111* NOTE Mux-14 of block H* +L66117 111111111111111111101111111* NOTE Mux-15 of block A* +L66144 111111111111111111111101111* NOTE Mux-16 of block A* +L66171 111111111111111101111111111* NOTE Mux-17 of block H* +L66198 011111111111111111111111111* NOTE Mux-16 of block H* +L66225 111111101111111111111111111* NOTE Mux-17 of block A* +L66252 111111111111111111110111111* NOTE Mux-18 of block A* +L66279 111111111111111101111111111* NOTE Mux-19 of block H* +L66306 111111111111111111110111111* NOTE Mux-18 of block H* +L66333 111111111111111101111111111* NOTE Mux-19 of block A* +L66360 111111111111111111111111101* NOTE Mux-20 of block A* +L66387 111111011111111111111111111* NOTE Mux-21 of block H* +L66414 111111111111011111111111111* NOTE Mux-20 of block H* +L66441 111111111111111111101111111* NOTE Mux-21 of block A* +L66468 111111111111111111111111110* NOTE Mux-22 of block A* +L66495 111111111111111111011111111* NOTE Mux-23 of block H* +L66522 111111111111111111111111111* NOTE Mux-22 of block H* +L66549 111111111011111111111111111* NOTE Mux-23 of block A* +L66576 111111111111111111011111111* NOTE Mux-24 of block A* +L66603 111110111111111111111111111* NOTE Mux-25 of block H* +L66630 111111111111011111111111111* NOTE Mux-24 of block H* +L66657 111111111111111110111111111* NOTE Mux-25 of block A* +L66684 111111111111111111111111110* NOTE Mux-26 of block A* +L66711 111111111111111111101111111* NOTE Mux-27 of block H* +L66738 111111111111111111111110111* NOTE Mux-26 of block H* +L66765 111111111111111111101111111* NOTE Mux-27 of block A* +L66792 111111111111110111111111111* NOTE Mux-28 of block A* +L66819 111111111111111110111111111* NOTE Mux-29 of block H* +L66846 111111111111110111111111111* NOTE Mux-28 of block H* +L66873 111101111111111111111111111* NOTE Mux-29 of block A* +L66900 111111111111111111111111011* NOTE Mux-30 of block A* +L66927 111111110111111111111111111* NOTE Mux-31 of block H* L66954 111110111111111111111111111* NOTE Mux-30 of block H* -L66981 110111111111111111111111111* NOTE Mux-31 of block A* -L67008 110111111111111111111111111* NOTE Mux-32 of block A* -L67035 111111111111111111111111110* NOTE Mux-33 of block H* -L67062 110111111111111111111111111* NOTE Mux-32 of block H* -L67089 111111111111111111111111110* NOTE Mux-33 of block A* -L67116 111111111111111111111111111* NOTE Mux-34 of block A* -L67143 111111111111111111111111111* NOTE Mux-35 of block H* -L67170 101111111111111111111111111* NOTE Mux-34 of block H* -L67197 111111111111111111111111111* NOTE Mux-35 of block A* +L66981 111111111111111101111111111* NOTE Mux-31 of block A* +L67008 111111111111111111111111011* NOTE Mux-32 of block A* +L67035 111111011111111111111111111* NOTE Mux-33 of block H* +L67062 011111111111111111111111111* NOTE Mux-32 of block H* +L67089 111111011111111111111111111* NOTE Mux-33 of block A* +L67116 111111111111110111111111111* NOTE Mux-34 of block A* +L67143 111101111111111111111111111* NOTE Mux-35 of block H* +L67170 111111111111111111111111011* NOTE Mux-34 of block H* +L67197 111111111111111111101111111* NOTE Mux-35 of block A* L67224 111111111111110111111111111* NOTE Mux-36 of block A* L67251 111111111111110111111111111* NOTE Mux-37 of block H* L67278 111111111111110111111111111* NOTE Mux-36 of block H* @@ -1507,78 +1407,78 @@ L67413 111111111111111111111111011* NOTE Mux-39 of block A* NOTE UIM for block B and G* NOTE 0 0 0* -L67440 111111111111111111111111110* NOTE Mux-0 of block B* -L67467 111111111111111111111111101* NOTE Mux-1 of block G* -L67494 101111111111111111111111111* NOTE Mux-0 of block G* -L67521 111111111011111111111111111* NOTE Mux-1 of block B* -L67548 111111111111101111111111111* NOTE Mux-2 of block B* -L67575 111111111111111011111111111* NOTE Mux-3 of block G* -L67602 111111111111111111111111111* NOTE Mux-2 of block G* -L67629 111111111011111111111111111* NOTE Mux-3 of block B* -L67656 111111111111111111110111111* NOTE Mux-4 of block B* -L67683 111111111111111111111101111* NOTE Mux-5 of block G* -L67710 111111111111111111111111110* NOTE Mux-4 of block G* -L67737 111111110111111111111111111* NOTE Mux-5 of block B* -L67764 111110111111111111111111111* NOTE Mux-6 of block B* -L67791 111111111111111011111111111* NOTE Mux-7 of block G* -L67818 111111111111111111111101111* NOTE Mux-6 of block G* -L67845 111111111011111111111111111* NOTE Mux-7 of block B* -L67872 111111111111111110111111111* NOTE Mux-8 of block B* -L67899 111011111111111111111111111* NOTE Mux-9 of block G* -L67926 111011111111111111111111111* NOTE Mux-8 of block G* -L67953 111111111111111101111111111* NOTE Mux-9 of block B* -L67980 111111111101111111111111111* NOTE Mux-10 of block B* -L68007 110111111111111111111111111* NOTE Mux-11 of block G* -L68034 111111111111111111111011111* NOTE Mux-10 of block G* -L68061 111111111110111111111111111* NOTE Mux-11 of block B* -L68088 111111111111110111111111111* NOTE Mux-12 of block B* -L68115 111011111111111111111111111* NOTE Mux-13 of block G* -L68142 110111111111111111111111111* NOTE Mux-12 of block G* -L68169 111111111111111111111101111* NOTE Mux-13 of block B* -L68196 111111111111111111111101111* NOTE Mux-14 of block B* -L68223 111111111111111011111111111* NOTE Mux-15 of block G* -L68250 101111111111111111111111111* NOTE Mux-14 of block G* -L68277 111111110111111111111111111* NOTE Mux-15 of block B* -L68304 111111111111111111111111101* NOTE Mux-16 of block B* -L68331 111111111111111111111111111* NOTE Mux-17 of block G* -L68358 101111111111111111111111111* NOTE Mux-16 of block G* -L68385 111111101111111111111111111* NOTE Mux-17 of block B* -L68412 111111111111111111111101111* NOTE Mux-18 of block B* -L68439 111011111111111111111111111* NOTE Mux-19 of block G* +L67440 011111111111111111111111111* NOTE Mux-0 of block B* +L67467 111111011111111111111111111* NOTE Mux-1 of block G* +L67494 011111111111111111111111111* NOTE Mux-0 of block G* +L67521 111111111111111111011111111* NOTE Mux-1 of block B* +L67548 111111111111011111111111111* NOTE Mux-2 of block B* +L67575 111110111111111111111111111* NOTE Mux-3 of block G* +L67602 111111111111011111111111111* NOTE Mux-2 of block G* +L67629 111110111111111111111111111* NOTE Mux-3 of block B* +L67656 111111111111110111111111111* NOTE Mux-4 of block B* +L67683 111111110111111111111111111* NOTE Mux-5 of block G* +L67710 111111111111110111111111111* NOTE Mux-4 of block G* +L67737 111111111111111111111111110* NOTE Mux-5 of block B* +L67764 111111111110111111111111111* NOTE Mux-6 of block B* +L67791 111111111111111111111111111* NOTE Mux-7 of block G* +L67818 111111111110111111111111111* NOTE Mux-6 of block G* +L67845 111111111111111111111111111* NOTE Mux-7 of block B* +L67872 111110111111111111111111111* NOTE Mux-8 of block B* +L67899 111110111111111111111111111* NOTE Mux-9 of block G* +L67926 111110111111111111111111111* NOTE Mux-8 of block G* +L67953 111110111111111111111111111* NOTE Mux-9 of block B* +L67980 111111111111111111111011111* NOTE Mux-10 of block B* +L68007 111111111111111110111111111* NOTE Mux-11 of block G* +L68034 111111111111111111111111110* NOTE Mux-10 of block G* +L68061 111111111111111111111111111* NOTE Mux-11 of block B* +L68088 111111111111111111111111110* NOTE Mux-12 of block B* +L68115 111111111111111111111111110* NOTE Mux-13 of block G* +L68142 111111111111110111111111111* NOTE Mux-12 of block G* +L68169 110111111111111111111111111* NOTE Mux-13 of block B* +L68196 111101111111111111111111111* NOTE Mux-14 of block B* +L68223 111111110111111111111111111* NOTE Mux-15 of block G* +L68250 111111111111011111111111111* NOTE Mux-14 of block G* +L68277 111111111111111111111111101* NOTE Mux-15 of block B* +L68304 111111111111111111111111111* NOTE Mux-16 of block B* +L68331 111111111111111101111111111* NOTE Mux-17 of block G* +L68358 111111111111111111111111101* NOTE Mux-16 of block G* +L68385 111111111111111101111111111* NOTE Mux-17 of block B* +L68412 111111111111111111111111111* NOTE Mux-18 of block B* +L68439 111111111111111111011111111* NOTE Mux-19 of block G* L68466 111111111111111111111111111* NOTE Mux-18 of block G* -L68493 111111111111111111101111111* NOTE Mux-19 of block B* -L68520 111111111101111111111111111* NOTE Mux-20 of block B* -L68547 111111111111111111110111111* NOTE Mux-21 of block G* +L68493 111111111111111111111111110* NOTE Mux-19 of block B* +L68520 111111111111111111011111111* NOTE Mux-20 of block B* +L68547 111111111111111111101111111* NOTE Mux-21 of block G* L68574 111111111111111111011111111* NOTE Mux-20 of block G* -L68601 111011111111111111111111111* NOTE Mux-21 of block B* -L68628 111111111111111111111111110* NOTE Mux-22 of block B* -L68655 111111111111111111111111111* NOTE Mux-23 of block G* -L68682 111110111111111111111111111* NOTE Mux-22 of block G* -L68709 111111111111111111111011111* NOTE Mux-23 of block B* -L68736 111011111111111111111111111* NOTE Mux-24 of block B* -L68763 111110111111111111111111111* NOTE Mux-25 of block G* -L68790 110111111111111111111111111* NOTE Mux-24 of block G* -L68817 111111111111111101111111111* NOTE Mux-25 of block B* -L68844 111111011111111111111111111* NOTE Mux-26 of block B* -L68871 111111111111111111011111111* NOTE Mux-27 of block G* -L68898 110111111111111111111111111* NOTE Mux-26 of block G* -L68925 111111111111111111111111110* NOTE Mux-27 of block B* -L68952 111111111111111111111111110* NOTE Mux-28 of block B* -L68979 111111011111111111111111111* NOTE Mux-29 of block G* -L69006 011111111111111111111111111* NOTE Mux-28 of block G* -L69033 111111111111111111101111111* NOTE Mux-29 of block B* -L69060 111111111111111111111111011* NOTE Mux-30 of block B* -L69087 111011111111111111111111111* NOTE Mux-31 of block G* -L69114 111110111111111111111111111* NOTE Mux-30 of block G* -L69141 111111111111111101111111111* NOTE Mux-31 of block B* -L69168 111111111111111111111110111* NOTE Mux-32 of block B* -L69195 011111111111111111111111111* NOTE Mux-33 of block G* -L69222 110111111111111111111111111* NOTE Mux-32 of block G* -L69249 111111111111111111101111111* NOTE Mux-33 of block B* -L69276 111111111111110111111111111* NOTE Mux-34 of block B* -L69303 111101111111111111111111111* NOTE Mux-35 of block G* -L69330 111011111111111111111111111* NOTE Mux-34 of block G* -L69357 111111111111111111101111111* NOTE Mux-35 of block B* +L68601 111111111111111111111111110* NOTE Mux-21 of block B* +L68628 111111111111111111111111011* NOTE Mux-22 of block B* +L68655 111111111111111111011111111* NOTE Mux-23 of block G* +L68682 111111111111111111111111011* NOTE Mux-22 of block G* +L68709 111111111111111111011111111* NOTE Mux-23 of block B* +L68736 111110111111111111111111111* NOTE Mux-24 of block B* +L68763 111111111111111111101111111* NOTE Mux-25 of block G* +L68790 111110111111111111111111111* NOTE Mux-24 of block G* +L68817 111111111111111111101111111* NOTE Mux-25 of block B* +L68844 111111111111111111111110111* NOTE Mux-26 of block B* +L68871 111111111111111111111111111* NOTE Mux-27 of block G* +L68898 111111111111111111111110111* NOTE Mux-26 of block G* +L68925 111111111111111111110111111* NOTE Mux-27 of block B* +L68952 111111111111111101111111111* NOTE Mux-28 of block B* +L68979 111111111111111111111101111* NOTE Mux-29 of block G* +L69006 111111111111111111111111110* NOTE Mux-28 of block G* +L69033 111111111111111101111111111* NOTE Mux-29 of block B* +L69060 110111111111111111111111111* NOTE Mux-30 of block B* +L69087 111111111111111111111110111* NOTE Mux-31 of block G* +L69114 110111111111111111111111111* NOTE Mux-30 of block G* +L69141 111111111111111111111110111* NOTE Mux-31 of block B* +L69168 111111111111111111111111101* NOTE Mux-32 of block B* +L69195 111111011111111111111111111* NOTE Mux-33 of block G* +L69222 111111111111111111111111101* NOTE Mux-32 of block G* +L69249 111111011111111111111111111* NOTE Mux-33 of block B* +L69276 111111111111101111111111111* NOTE Mux-34 of block B* +L69303 111111111111111111101111111* NOTE Mux-35 of block G* +L69330 111111111111101111111111111* NOTE Mux-34 of block G* +L69357 111110111111111111111111111* NOTE Mux-35 of block B* L69384 111111111111110111111111111* NOTE Mux-36 of block B* L69411 111111111111110111111111111* NOTE Mux-37 of block G* L69438 111111111111110111111111111* NOTE Mux-36 of block G* @@ -1590,77 +1490,77 @@ L69573 111111111111111111111111011* NOTE Mux-39 of block B* NOTE UIM for block C and F* NOTE 0 0 0* -L69600 111111111111111111111111111* NOTE Mux-0 of block C* +L69600 111111111110111111111111111* NOTE Mux-0 of block C* L69627 111111111111111111111111111* NOTE Mux-1 of block F* -L69654 111111111111111111011111111* NOTE Mux-0 of block F* +L69654 111111111111111111111111111* NOTE Mux-0 of block F* L69681 111111011111111111111111111* NOTE Mux-1 of block C* -L69708 111111111111101111111111111* NOTE Mux-2 of block C* -L69735 111111111101111111111111111* NOTE Mux-3 of block F* -L69762 111111111111101111111111111* NOTE Mux-2 of block F* -L69789 111111111101111111111111111* NOTE Mux-3 of block C* -L69816 111111111111111111111111111* NOTE Mux-4 of block C* -L69843 111011111111111111111111111* NOTE Mux-5 of block F* -L69870 111111111111111111111111101* NOTE Mux-4 of block F* -L69897 111011111111111111111111111* NOTE Mux-5 of block C* -L69924 111111111111011111111111111* NOTE Mux-6 of block C* -L69951 111111111111111111111111111* NOTE Mux-7 of block F* -L69978 111111111111111111111111111* NOTE Mux-6 of block F* -L70005 111111111111011111111111111* NOTE Mux-7 of block C* -L70032 111111111111111111111111110* NOTE Mux-8 of block C* -L70059 111111111111111111111111111* NOTE Mux-9 of block F* -L70086 111011111111111111111111111* NOTE Mux-8 of block F* -L70113 111111111110111111111111111* NOTE Mux-9 of block C* +L69708 111111111101111111111111111* NOTE Mux-2 of block C* +L69735 111111111111111111011111111* NOTE Mux-3 of block F* +L69762 111111111111111111111111111* NOTE Mux-2 of block F* +L69789 111111111111111111111111111* NOTE Mux-3 of block C* +L69816 111111111111111111111111011* NOTE Mux-4 of block C* +L69843 111111111111111111111111111* NOTE Mux-5 of block F* +L69870 111111111111111111111111110* NOTE Mux-4 of block F* +L69897 111111101111111111111111111* NOTE Mux-5 of block C* +L69924 111111111111111111111111110* NOTE Mux-6 of block C* +L69951 111111111111011111111111111* NOTE Mux-7 of block F* +L69978 111111111111011111111111111* NOTE Mux-6 of block F* +L70005 111111111111111111111111110* NOTE Mux-7 of block C* +L70032 111111111111111111111110111* NOTE Mux-8 of block C* +L70059 111111111110111111111111111* NOTE Mux-9 of block F* +L70086 111111111111111111111111110* NOTE Mux-8 of block F* +L70113 111111111111111111111111110* NOTE Mux-9 of block C* L70140 111111111111111111111111111* NOTE Mux-10 of block C* -L70167 111111111111111011111111111* NOTE Mux-11 of block F* -L70194 111111111111111111111111101* NOTE Mux-10 of block F* -L70221 111111111101111111111111111* NOTE Mux-11 of block C* -L70248 111111111111111111111011111* NOTE Mux-12 of block C* -L70275 111111111111111111110111111* NOTE Mux-13 of block F* -L70302 111111111111111111111011111* NOTE Mux-12 of block F* -L70329 111111110111111111111111111* NOTE Mux-13 of block C* -L70356 111111111111111111111111110* NOTE Mux-14 of block C* -L70383 111111111111111111111111111* NOTE Mux-15 of block F* -L70410 111111111111111111111111101* NOTE Mux-14 of block F* -L70437 111111111101111111111111111* NOTE Mux-15 of block C* -L70464 111111111111011111111111111* NOTE Mux-16 of block C* -L70491 111111111111111110111111111* NOTE Mux-17 of block F* -L70518 111111011111111111111111111* NOTE Mux-16 of block F* -L70545 111111111111011111111111111* NOTE Mux-17 of block C* -L70572 111111111111111111111111110* NOTE Mux-18 of block C* -L70599 111111111110111111111111111* NOTE Mux-19 of block F* -L70626 111111111111111111111110111* NOTE Mux-18 of block F* -L70653 111111111110111111111111111* NOTE Mux-19 of block C* -L70680 110111111111111111111111111* NOTE Mux-20 of block C* -L70707 111111111111111111111111111* NOTE Mux-21 of block F* -L70734 110111111111111111111111111* NOTE Mux-20 of block F* -L70761 111111111111111111111011111* NOTE Mux-21 of block C* -L70788 111111111111011111111111111* NOTE Mux-22 of block C* -L70815 111011111111111111111111111* NOTE Mux-23 of block F* -L70842 110111111111111111111111111* NOTE Mux-22 of block F* -L70869 111011111111111111111111111* NOTE Mux-23 of block C* -L70896 111111111111111111111111011* NOTE Mux-24 of block C* -L70923 111111111111111011111111111* NOTE Mux-25 of block F* +L70167 111111111101111111111111111* NOTE Mux-11 of block F* +L70194 111111111111111111111011111* NOTE Mux-10 of block F* +L70221 111111111111111111110111111* NOTE Mux-11 of block C* +L70248 111111111111101111111111111* NOTE Mux-12 of block C* +L70275 111111111111011111111111111* NOTE Mux-13 of block F* +L70302 110111111111111111111111111* NOTE Mux-12 of block F* +L70329 111111111111111111011111111* NOTE Mux-13 of block C* +L70356 111111111111111111111111011* NOTE Mux-14 of block C* +L70383 111111111101111111111111111* NOTE Mux-15 of block F* +L70410 111111111101111111111111111* NOTE Mux-14 of block F* +L70437 111111111111111110111111111* NOTE Mux-15 of block C* +L70464 111111111111111111111111011* NOTE Mux-16 of block C* +L70491 111111111011111111111111111* NOTE Mux-17 of block F* +L70518 111111111111011111111111111* NOTE Mux-16 of block F* +L70545 111111111111111110111111111* NOTE Mux-17 of block C* +L70572 111111111111111111111111111* NOTE Mux-18 of block C* +L70599 111111111111111111111111111* NOTE Mux-19 of block F* +L70626 111111111111111111111111111* NOTE Mux-18 of block F* +L70653 111111111111111111111111101* NOTE Mux-19 of block C* +L70680 111111111111111111111111111* NOTE Mux-20 of block C* +L70707 111111111111111111111110111* NOTE Mux-21 of block F* +L70734 011111111111111111111111111* NOTE Mux-20 of block F* +L70761 111111111111111111111111110* NOTE Mux-21 of block C* +L70788 111111111111111111111111101* NOTE Mux-22 of block C* +L70815 111111111111111111111111110* NOTE Mux-23 of block F* +L70842 111111111111111111111111111* NOTE Mux-22 of block F* +L70869 111110111111111111111111111* NOTE Mux-23 of block C* +L70896 111111111111111011111111111* NOTE Mux-24 of block C* +L70923 111111111111111111111111111* NOTE Mux-25 of block F* L70950 111111111111111111111111111* NOTE Mux-24 of block F* -L70977 111011111111111111111111111* NOTE Mux-25 of block C* -L71004 111111111111111111111111011* NOTE Mux-26 of block C* -L71031 111110111111111111111111111* NOTE Mux-27 of block F* -L71058 111111111111101111111111111* NOTE Mux-26 of block F* -L71085 111111111111111111111111111* NOTE Mux-27 of block C* +L70977 111111111111111111101111111* NOTE Mux-25 of block C* +L71004 111111111111111111111111110* NOTE Mux-26 of block C* +L71031 111111111111111111111111111* NOTE Mux-27 of block F* +L71058 111111111111111111111111011* NOTE Mux-26 of block F* +L71085 111111111111111111110111111* NOTE Mux-27 of block C* L71112 111111111111111111111101111* NOTE Mux-28 of block C* -L71139 111111111011111111111111111* NOTE Mux-29 of block F* -L71166 111111111110111111111111111* NOTE Mux-28 of block F* -L71193 111111111011111111111111111* NOTE Mux-29 of block C* -L71220 111111111111111111111101111* NOTE Mux-30 of block C* -L71247 111111110111111111111111111* NOTE Mux-31 of block F* -L71274 111111111111101111111111111* NOTE Mux-30 of block F* -L71301 111111111111111111111111011* NOTE Mux-31 of block C* +L71139 111111111111111111111111111* NOTE Mux-29 of block F* +L71166 111111111111111111111111111* NOTE Mux-28 of block F* +L71193 111111111111111111111111110* NOTE Mux-29 of block C* +L71220 111111111111111111111111110* NOTE Mux-30 of block C* +L71247 111111111111111111111111011* NOTE Mux-31 of block F* +L71274 111111111111111111111111011* NOTE Mux-30 of block F* +L71301 111111111111111111111111110* NOTE Mux-31 of block C* L71328 111111111111111111111110111* NOTE Mux-32 of block C* -L71355 111111101111111111111111111* NOTE Mux-33 of block F* +L71355 111111111111111111111111011* NOTE Mux-33 of block F* L71382 111111111111111111111111011* NOTE Mux-32 of block F* -L71409 111111111111111111111111011* NOTE Mux-33 of block C* +L71409 111111101111111111111111111* NOTE Mux-33 of block C* L71436 111111111111111111111111111* NOTE Mux-34 of block C* L71463 111111111111111111111111111* NOTE Mux-35 of block F* -L71490 101111111111111111111111111* NOTE Mux-34 of block F* +L71490 111101111111111111111111111* NOTE Mux-34 of block F* L71517 111111111111111111111111011* NOTE Mux-35 of block C* L71544 111111111111110111111111111* NOTE Mux-36 of block C* L71571 111111111111110111111111111* NOTE Mux-37 of block F* @@ -1673,77 +1573,77 @@ L71733 111111111111111111111111011* NOTE Mux-39 of block C* NOTE UIM for block D and E* NOTE 0 0 0* -L71760 111111111111011111111111111* NOTE Mux-0 of block D* +L71760 111111111111111111111111111* NOTE Mux-0 of block D* L71787 111011111111111111111111111* NOTE Mux-1 of block E* -L71814 111111111111011111111111111* NOTE Mux-0 of block E* -L71841 111111111111111111111111011* NOTE Mux-1 of block D* -L71868 111111111111110111111111111* NOTE Mux-2 of block D* -L71895 111111111101111111111111111* NOTE Mux-3 of block E* -L71922 111111111111111111111101111* NOTE Mux-2 of block E* -L71949 111111111101111111111111111* NOTE Mux-3 of block D* -L71976 111110111111111111111111111* NOTE Mux-4 of block D* -L72003 111011111111111111111111111* NOTE Mux-5 of block E* -L72030 111110111111111111111111111* NOTE Mux-4 of block E* -L72057 111011111111111111111111111* NOTE Mux-5 of block D* -L72084 111111111111111111111111011* NOTE Mux-6 of block D* -L72111 111101111111111111111111111* NOTE Mux-7 of block E* +L71814 111111111111111111110111111* NOTE Mux-0 of block E* +L71841 111111111111111111111111110* NOTE Mux-1 of block D* +L71868 111011111111111111111111111* NOTE Mux-2 of block D* +L71895 111110111111111111111111111* NOTE Mux-3 of block E* +L71922 111111111111110111111111111* NOTE Mux-2 of block E* +L71949 111011111111111111111111111* NOTE Mux-3 of block D* +L71976 111111111111111111111111101* NOTE Mux-4 of block D* +L72003 111111111111111111111101111* NOTE Mux-5 of block E* +L72030 011111111111111111111111111* NOTE Mux-4 of block E* +L72057 111111111111111111111111111* NOTE Mux-5 of block D* +L72084 111111111111111011111111111* NOTE Mux-6 of block D* +L72111 111111011111111111111111111* NOTE Mux-7 of block E* L72138 111110111111111111111111111* NOTE Mux-6 of block E* -L72165 111101111111111111111111111* NOTE Mux-7 of block D* -L72192 111111111111111111111111111* NOTE Mux-8 of block D* -L72219 111111111111111111111111111* NOTE Mux-9 of block E* -L72246 111111111111111111111111110* NOTE Mux-8 of block E* -L72273 111111111101111111111111111* NOTE Mux-9 of block D* -L72300 111111111111111111011111111* NOTE Mux-10 of block D* -L72327 111111111111111111111110111* NOTE Mux-11 of block E* -L72354 111111111111111111011111111* NOTE Mux-10 of block E* +L72165 111111111111111111111111110* NOTE Mux-7 of block D* +L72192 111111111111011111111111111* NOTE Mux-8 of block D* +L72219 111111111111111111111101111* NOTE Mux-9 of block E* +L72246 111111111111111110111111111* NOTE Mux-8 of block E* +L72273 111111111111111111111111111* NOTE Mux-9 of block D* +L72300 111111111111111111111110111* NOTE Mux-10 of block D* +L72327 111111111111111111111101111* NOTE Mux-11 of block E* +L72354 111111111101111111111111111* NOTE Mux-10 of block E* L72381 111111111111110111111111111* NOTE Mux-11 of block D* -L72408 111111111111111111111011111* NOTE Mux-12 of block D* +L72408 111111111111111111111111110* NOTE Mux-12 of block D* L72435 111111111111111101111111111* NOTE Mux-13 of block E* -L72462 111111111111111111111011111* NOTE Mux-12 of block E* +L72462 111111111111110111111111111* NOTE Mux-12 of block E* L72489 111111111111110111111111111* NOTE Mux-13 of block D* -L72516 111111111111111111011111111* NOTE Mux-14 of block D* -L72543 111111111111111110111111111* NOTE Mux-15 of block E* -L72570 111111111111111111111111110* NOTE Mux-14 of block E* +L72516 111101111111111111111111111* NOTE Mux-14 of block D* +L72543 111111111111111111111011111* NOTE Mux-15 of block E* +L72570 111111111111011111111111111* NOTE Mux-14 of block E* L72597 111111111111111111111111111* NOTE Mux-15 of block D* -L72624 111111111111111111111111101* NOTE Mux-16 of block D* -L72651 111111111111111111011111111* NOTE Mux-17 of block E* -L72678 111111111111111111111111101* NOTE Mux-16 of block E* -L72705 111111101111111111111111111* NOTE Mux-17 of block D* -L72732 111111111111101111111111111* NOTE Mux-18 of block D* -L72759 111111111110111111111111111* NOTE Mux-19 of block E* -L72786 111111111111101111111111111* NOTE Mux-18 of block E* -L72813 111111111110111111111111111* NOTE Mux-19 of block D* -L72840 110111111111111111111111111* NOTE Mux-20 of block D* -L72867 111111111111111111111111111* NOTE Mux-21 of block E* -L72894 110111111111111111111111111* NOTE Mux-20 of block E* -L72921 111111111111111111111011111* NOTE Mux-21 of block D* +L72624 111111111101111111111111111* NOTE Mux-16 of block D* +L72651 111111101111111111111111111* NOTE Mux-17 of block E* +L72678 111111111111111111111101111* NOTE Mux-16 of block E* +L72705 111111111111111111111111011* NOTE Mux-17 of block D* +L72732 111111111110111111111111111* NOTE Mux-18 of block D* +L72759 111111111111111101111111111* NOTE Mux-19 of block E* +L72786 111111111111111111111101111* NOTE Mux-18 of block E* +L72813 111111111111111111111111110* NOTE Mux-19 of block D* +L72840 111111111111111111111111110* NOTE Mux-20 of block D* +L72867 111111111111111111111110111* NOTE Mux-21 of block E* +L72894 111111111111111111111111101* NOTE Mux-20 of block E* +L72921 111111111111111111111111110* NOTE Mux-21 of block D* L72948 111111111111111111111111110* NOTE Mux-22 of block D* -L72975 111011111111111111111111111* NOTE Mux-23 of block E* +L72975 111111110111111111111111111* NOTE Mux-23 of block E* L73002 111111111111111111111111110* NOTE Mux-22 of block E* -L73029 111011111111111111111111111* NOTE Mux-23 of block D* -L73056 111111111111101111111111111* NOTE Mux-24 of block D* -L73083 111011111111111111111111111* NOTE Mux-25 of block E* -L73110 111111111111101111111111111* NOTE Mux-24 of block E* -L73137 111111101111111111111111111* NOTE Mux-25 of block D* +L73029 111111111111111111111111111* NOTE Mux-23 of block D* +L73056 111111111101111111111111111* NOTE Mux-24 of block D* +L73083 111111111111111101111111111* NOTE Mux-25 of block E* +L73110 111111111111111111011111111* NOTE Mux-24 of block E* +L73137 111011111111111111111111111* NOTE Mux-25 of block D* L73164 111111111111111111111111111* NOTE Mux-26 of block D* -L73191 111111111111111111101111111* NOTE Mux-27 of block E* +L73191 111111111111111101111111111* NOTE Mux-27 of block E* L73218 111111011111111111111111111* NOTE Mux-26 of block E* -L73245 111111111111111111101111111* NOTE Mux-27 of block D* -L73272 111111111111111111111111110* NOTE Mux-28 of block D* -L73299 111111111011111111111111111* NOTE Mux-29 of block E* +L73245 111111111111111111111111110* NOTE Mux-27 of block D* +L73272 111111111111111101111111111* NOTE Mux-28 of block D* +L73299 111111111111111111101111111* NOTE Mux-29 of block E* L73326 111111111111111111111111110* NOTE Mux-28 of block E* -L73353 111111111111111111110111111* NOTE Mux-29 of block D* -L73380 111111111111111111111110111* NOTE Mux-30 of block D* -L73407 111111111111111111111111111* NOTE Mux-31 of block E* -L73434 111111111111011111111111111* NOTE Mux-30 of block E* +L73353 111111111111111101111111111* NOTE Mux-29 of block D* +L73380 111111111111111111111101111* NOTE Mux-30 of block D* +L73407 111101111111111111111111111* NOTE Mux-31 of block E* +L73434 111111111111110111111111111* NOTE Mux-30 of block E* L73461 111111111111111111111111101* NOTE Mux-31 of block D* L73488 111111111111111111111011111* NOTE Mux-32 of block D* L73515 111111111111111111101111111* NOTE Mux-33 of block E* -L73542 011111111111111111111111111* NOTE Mux-32 of block E* -L73569 111111111111111111101111111* NOTE Mux-33 of block D* -L73596 111111111011111111111111111* NOTE Mux-34 of block D* +L73542 111110111111111111111111111* NOTE Mux-32 of block E* +L73569 111111111111111111111110111* NOTE Mux-33 of block D* +L73596 111111111111111111111111111* NOTE Mux-34 of block D* L73623 111111111111111101111111111* NOTE Mux-35 of block E* -L73650 111111111111111111111101111* NOTE Mux-34 of block E* +L73650 111111111111101111111111111* NOTE Mux-34 of block E* L73677 111011111111111111111111111* NOTE Mux-35 of block D* L73704 111111111111110111111111111* NOTE Mux-36 of block D* L73731 111111111111110111111111111* NOTE Mux-37 of block E* @@ -1762,12 +1662,12 @@ L73920 101111111111111111111111111 111111111111111110111111111 110111111111111111111111111 -111111111111111111111111011 +111111111111111111111101111 * NOTE device configuration bits* NOTE 0 0 0 0* -L74082 01110000000011111111000111111111* +L74082 01110000010011111111000111111111* NOTE Special Purpose Bits (JTAG) * L74114 1111* @@ -1778,5 +1678,5 @@ L74118 1111111111111111* NOTE Reserved bits * L74134 00* -C5147* +C4B50* 0000 diff --git a/Compiled/sevga.pof b/Compiled/sevga.pof index b87ec1ef5d8794c91dc3bfb105912a8d8c1cedac..18ec5c664f172e4258c53507631c8c006f7b7b5a 100644 GIT binary patch literal 8022 zcmeHM-D@4k6<;g1QEFObD5#WDR?vsI;8>D{+=oEh>!wW#rY`EoLyc887O@)3E{a?g zf;>quZ6EVgLtBUiEhaTd+K1E-k&OEn#KnYLaHPqbgIwPY$j&<5?wtC~lmYJb64G-ai}zDzwz3|OGE*QzANhgF=0w49HZrtU67U= zP~xRJde}a!z=NoO9uPyceE!n!UVr1#pPwW8xw03*dg;Z<;JYB`rjCc>=2NtWD7VDa z`DE3k=_0EcVi?G#;#`_cMO9mak)xDh&}k`5gC@$>f@LjQAbQh&0$4`LLRth^u@(#- z42J<7)<=-s;V_DbyNc>TR#N5)TaGi&veQzU1ePUU+G3wX|AC`KS&YT8SKwJIQ8B0S zl^TLoQ(zZo$=xxO2QAC`Lcsu(svwiVTIldcNexh%TSLZR5kQv6P|CbxDK8Dk&U%HE zRF^$>pbzieC5aWS4wV!ay7Ev7dk1#I?o$^=@NG?Nfs(hY<>qbBP940pD{Oj{N-&-6 zc9#0hReH*53oF7lSf+Y8ijpR8q4ctsGrB_#=dUy(nWkJ*C~yHi3og5bs(4uPhrlXQ z3smswQmV5zAyRJzvq~+nR@oxaO^T6tQ>ioBqjmTgd;+Pr)}=7clD9)R*)7kuB_9;; z**k^f2{|Bz)PaZTQVxezMRf7gVvd{dS2MYG5$} z`AXg_OM+0G%*X=pvVbxyfM<2i!^%qP7Uy^~QII5?q2fe0M9Q|>3sqZyfUPSu1;$NX ziscpi2uZz(7VAo|-kVHygo&1^*4V-jz=3>jx_4v=c&4Bgw6c}GjF+(R`C>|8l2Gn1 z8ODJm5-Y4GBmrIKkC$Hy9U*X`{TjHPLM;Rv0dGUH&~ z1!OUWgwnJLR!A>qD6lSFI09d*eh8ZEa>dbV#ey`GC>_8VIB9KVLY=13af$xqyZ^Ba<|NJPd;e?i!@m#0I z^fdxo0a@6z!nHLJ$!^kGv{$6-E~&h^%A5W^6c@1A&0FaK3MH=*)pKi$k>0Ei@%p2U zy1-h?Hk1VFtHbF6gRU(daAnGYI_(V08Kw%_hTlv<))_{LR8oAD?|k$X9jdki}+Y( zaE(_Mka}rB=CsN1NMgD0JY6e_&bH^KC%}XqY}F0A;7&kRG8Pu{To`-@D-MXK77geO4;zs!Gbfzz{W4 zRw}6qQc0(;JlZY0Y7N2Se~s=#kTq+bz4ZV~((cV@KAp4ZETxlmqR}hSZ{xmi zL?@$dgVD|_(HEk7t-)~6L_7U?X}EX=JG-CRj;&b2sD-!yPt$xBT@%iz zz%))hZ0J(K5Gec3wXYw#Hj-Z(IkY~r^2B@e#Ct2bJ22;H&ZW6aO9rM*ul(Km#9RLZ D)eh%p literal 8023 zcmeHMUx-~t8NW$(r7>8CZV|}N_RbzSd3Ng9p|SC&roa8n*y!lvW22oNot+SW z=hWid;;XYKI>XQ-?diPxd1cUKA23iaByD{mVpe^IPD-%3NRbPrVtpp)AyvAAHVa4* zcOw^9NbUgXu`Aah6_qB3=uH68MI9eul?&J{v)o?v8S<*Zoa8xzDO@rw%vT(wDaf4JszP4Z)ySZ<0?#m7FkpUC z<*M9j-k7$5hGw663swpB)%Y<8rACk*pBOK7Y*6r}6A4*BA&)F!7D}MHH(G#5jX9cN z@(K&AdJA^zFhC-4&MbM+c)_kB^fH8MFc-xZTK9r9w!Ls_3DvxX^-HT5ooOWzY_!T^ zB3zIQAs)WBL6EE7r66<3uo#N5-c{ycZ!LKWeWTPo3=sR$iJpL7p@MR1({iUVg49(X z6r_zCLUltfV4GRkV$E^BDW#+>g*YTf9q7x5Tt`=i^JE>k;Z|FrG>}Te_DiDJhhxz6b_q|r%=E|5 z2c)&uun=84Sj^}FyoF~&J8#H}kAyyNe$$eq;hMg5++Em27&Wm^4@#<}}cz!X`l)0&j(l z5>|(;tt}MU+E6YN0W#WZgK0~KHx65H#>zScmQ9Tecpr92G)l|1ZXW{5J_<50QmVIf z@L)N!mFXbu_vQ^1Qjp~J!ePm!eE?3c9V&~BVV@(rYInkNX(?3-kEecbY4-p9|3n3r z?P*+1bt#SI%Z0LM?H`<6#*ViVSe2eqX;}6LNe?g^dc?KvC-J`T&IHdqjmoO$ za7;Ca8q7f_o*+hsQKA^U>*dx5qoOO~0Y8(hv2M+qO!OX3ELh^u*sdPrMLL~p{UYl^=EakG&nc?kuSQ^$n?j6n(x7|nnEi!9x`AC z+wGH@@P$)*viK4*$;@X(3=%%AyF{8;hf@C$Kv@%6i4m3)y32t1H3JF+94d3_1V%NrO}^-6iFQ-fBQ~9M_Fv-V$ow8bMYt8@)lG z-XuR;%_%b&R^1RPn28mN=>GzfwKs88)4E~d1B=B9Y!haQl4RahUch9O>aHHY z3GS>Q)?u*S!P45El&rE417tTCR#Rxh8dNe7uG^rGb=}0PGdLZb#b7CJpTDq0b`w}! z7v6}hS_;izSWTe~TWE}D*@ER6j4T9~c!VHD0+#HN?jyfB*WEodaq@+SK6&H|BSYJ| zKYR52#ME=Uj=eYhbelzP$5G7ruD@&p+>c=Ih%>{`k^cyNA9tvirHW z_kA$@&eg|$IywFRu5WPgldF4w#lfk!_dW8d-(LM4W=1{>wKO<6@|7Kmf!Z@ryRh$H z!|(ic@82e;|FP@S7xYnoM=YQC%n=1Q0$~P0&RX0RYa#ZMTgZ8M_#(j>eF8dO{hdW; z@Zc`8+~a?cx%^$0p-Lkj&*dfYn6rkfS;9arMvP@yVaP!pzm)g_uM7^x=wclTB= 11'd1048 && hCount < 11'd1184) nhSyncInner <= 0; - if(hCount >= 11'd1052 && hCount < 11'd1187) nhSyncInner <= 0; - else nhSyncInner <= 1; - nhSync <= nhSyncInner; - - if(vCount >= 10'd729 && vCount < 10'd735) nvSync <= 0; - else nvSync <= 1; -end - -/****************************************************************************** - * Useful signals - * Here we break out a few useful signals, derived from the timing above, that - * will help us elsewhere. - *****************************************************************************/ -wire hActive, vActive; // active video signals. vidout black when negated -wire vidActive; // active when both hActive and vActive asserted -wire hLoad; // load pixel data from vram when asserted - -assign vidActive = hActive & vActive; - -always_comb begin - if(hCount >= 3 && hCount < 1027) hActive <= 1; - else hActive <= 0; - - if(vCount >= 0 && vCount < 684) vActive <= 1; - else vActive <= 0; - - if(hCount >= 0 && hCount < 1024 && vActive) hLoad <= 1; - else hLoad <= 0; -end - -/****************************************************************************** - * Primary State Machine - * This is the primary state machine which runs the entire system, handling - * VRAM reads, VRAM writes, VIA writes, and idle states - *****************************************************************************/ - -// used to align primary state machine with horizontal counter -wire [3:0] vSeq = hCount[3:0]; - -// define state machine states (Gray code) -parameter - S0 = 4'b0000, // VRAM Read 0 - S1 = 4'b0001, // VRAM Read 1 - S2 = 4'b0011, // Idle - S3 = 4'b0010, // VRAM Write Upper 0 - S4 = 4'b0110, // VRAM Write Upper 1 - S5 = 4'b0111, // VRAM Write Lower 0 - S6 = 4'b0101, // VRAM Write Lower 1 - S7 = 4'b0100, // VIA Write - S8 = 4'b1100, // VSync (to be added later) - S9 = 4'b1101, // undefined - S10 = 4'b1111, // undefined - S11 = 4'b1110, // undefined - S12 = 4'b1010, // undefined - S13 = 4'b1011, // undefined - S14 = 4'b1001, // undefined - S15 = 4'b1000; // undefined - -logic [3:0] pState; - -// And here is the much simplified primary state machine -always @(negedge pixClk or negedge nReset) begin - if(!nReset) pState <= S2; // resync on reset by jumping to idle state - else begin - case(pState) - S0: pState <= S1; // first VRAM read state, always move to S1 - S3: pState <= S4; // first UDS write state, always move to S4 - S5: pState <= S6; // first LDS write state, always move to S6 - /*S7: begin - - pState <= S2; - end*/ - S2: begin - // here is where everything actually happens. - if(vSeq == 4'hF) pState <= S0; // time for a read state - else if(cpuUWriteReq && !cpuUWriteSrv && vSeq < 4'hD) pState <= S3; - else if(cpuLWriteReq && !cpuLWriteSrv && vSeq < 4'hD) pState <= S5; - else if(cpuVIAReq && !cpuVIASrv && vSeq < 4'hE) pState <= S7; - else pState <= S2; - end - default: pState <= S2; // everyone ends up at S2 (idle) - endcase - end -end - -// primary VRAM signal combination, based on the primary state machine -always_comb begin - // VRAM Read Strobe - if((pState == S0 || pState == S1) && hLoad) nvramOE <= 0; - else nvramOE <= 1; - - // VRAM Write Strobe - if(pState == S3 || pState == S5) nvramWE <= 0; - else nvramWE <= 1; - - // VRAM Chip Enable Signals - case(pState) - S0, S1: begin - if(hLoad) begin - nvramCE0 <= ~vidBufSel; - nvramCE1 <= vidBufSel; - end else begin - nvramCE0 <= 1; - nvramCE1 <= 1; - end - end - S3, S4, S5, S6: begin - nvramCE0 <= ~cpuBufSel; - nvramCE1 <= cpuBufSel; - end - default: begin - nvramCE0 <= 1; - nvramCE1 <= 1; - end - endcase - - // VRAM Address Bus - case(pState) - S0, S1: begin - // address bus for read cycles - if(hLoad) begin - vramAddr[14:6] <= vCount[9:1]; - vramAddr[5:0] <= hCount[9:4]; - end else begin - vramAddr <= 0; - end - end - S3, S4: begin - // address bus for upper write cycles - vramAddr[14:1] <= cpuAddrShift; - vramAddr[0] <= 0; - end - S5, S6: begin - // address bus for lower write cycles - vramAddr[14:1] <= cpuAddrShift; - vramAddr[0] <= 1; - end - default: begin - // address bus for idle cycles - vramAddr <= 0; - end - endcase - - // VRAM Data bus - case(pState) - S3, S4 : vramData <= cpuData[15:8]; - S5, S6 : vramData <= cpuData[7:0]; - default: vramData <= 8'hZ; - endcase -end - -/****************************************************************************** - * Video Output Sequencing - * Here is the primary video output shift register sequencing. - * With these functions in place, it should be possible to strap the VRAM data - * signals and see the strapped pattern output on screen. - *****************************************************************************/ -logic [8:0] vidData; // the video data we are displaying - -// output shift register -always @(posedge pixClk) begin - if(pState == S1 && hLoad) begin - // store VRAM data in shift register - vidData[7:0] <= vramData; - end else if(!hCount[0] && vidActive) begin - // shift out video data - vidData[8:1] <= vidData[7:0]; - vidData[0] <= 1; - end -end - -// final video output -always_comb begin - if(vidActive) vidOut <= ~vidData[8]; - else vidOut <= 0; -end - -/****************************************************************************** - * CPU Bus Snooping - * Watch the CPU bus for writes to the video buffer regions of memory and write - * that data to VRAM. VRAM write cycles can occur during vidSeq 1 through 7. - * High-order bytes are passed to VRAM on tick states and low-order bytes are - * passed to VRAM on tock states. After the VRAM writes are complete, state - * machine waits for the CPU cycle to end before returning to idle. - *****************************************************************************/ - -/* Main framebuffer starts $5900 below the top of RAM, alt frame buffer is - * $8000 below the main frame buffer - * ramSize is used to mask the CPU Address bits [21:19] to select the amount - * of memory installed in the computer. Not all possible ramSize selections - * are valid memory sizes when using 30-pin SIMMs in the Mac SE. - * They may be possible using PDS RAM expansion cards. - * ramSize mainBuffer altBuffer ramTop+1 ramSize Valid? Installed SIMMs - * $7 $3fa700 $3f2700 $400000 4.0MB Y [ 1MB 1MB ][ 1MB 1MB ] - * $6 $37a700 $372700 $380000 3.5MB N - * $5 $2fa700 $2f2700 $300000 3.0MB N - * $4 $27a700 $272700 $280000 2.5MB Y [ 1MB 1MB ][256kB 256kB] - * $3 $1fa700 $1f2700 $200000 2.0MB Y [ 1MB 1MB ][ --- --- ] - * $2 $17a700 $172700 $180000 1.5MB N - * $1 $0fa700 $0f2700 $100000 1.0MB Y [256kB 256kB][256kB 256kB] - * $0 $07a700 $072700 $080000 0.5MB Y [256kB 256kB][ --- --- ] - */ - -// keep track of pending CPU write requests and whether they have been serviced -wire cpuUWriteReq, cpuLWriteReq, cpuVIAReq; -reg cpuUWriteSrv, cpuLWriteSrv, cpuVIASrv; -wire cpuBufSel; -wire cpuBufAddr; -reg vidBufSel; -wire [13:0] cpuAddrShift = cpuAddr[14:1] - 14'h1380; -wire cpuBufRange; - -// these are some helpful signals that shortcut the CPU buffer & VIA addresses -always_comb begin - /*if(cpuAddr[14:1] >= 14'h1380 - && cpuAddr[14:1] < 14'h3E40) cpuBufRange <= 1; - else cpuBufRange <= 0;*/ - cpuBufRange <= (cpuAddr[14:1] >= 14'h1380) & (cpuAddr[14:1] < 14'h3E40); - if(!ncpuAS && !cpuRnW - && !cpuAddr[23] && !cpuAddr[22] // first two bits always 0 - && !(cpuAddr[21] ^ ramSize[2]) // compare with RAM Size bits - && !(cpuAddr[20] ^ ramSize[1]) - && !(cpuAddr[19] ^ ramSize[0]) - && cpuAddr[18] && cpuAddr[17] // next three bits always 1 - && cpuAddr[16] // skip 15, it selects buffers - && cpuBufRange // only select buffer addresses - ) begin - cpuBufAddr <= 1; - end else begin - cpuBufAddr <= 0; - end - cpuBufSel <= ~cpuAddr[15]; // address bit 15 selects buffer - - if(cpuBufAddr && !ncpuUDS) cpuUWriteReq <= 1; - else cpuUWriteReq <= 0; - if(cpuBufAddr && !ncpuLDS) cpuLWriteReq <= 1; - else cpuLWriteReq <= 0; - - // VIA is in address block $E8,0000 - $EF,FFFF - // VIA register select pins (RS[3:0]) are wired to cpuAddr[12:9] - // VIA Output Register A is selected when RS[3:0]==$F - /*if(!ncpuAS && !cpuRnW && !ncpuUDS - && cpuAddr[23] && cpuAddr[22] // VIA Address Select - && cpuAddr[21] && !cpuAddr[20] - && cpuAddr[19] - && cpuAddr[12] && cpuAddr[11] // VIA ORA - && cpuAddr[10] && cpuAddr[9] - ) cpuVIAReq <= 1; - else cpuVIAReq <= 0;*/ - // Mac ROM addresses Data Register A as vBase+vBufA: - // $EF,E1FE + (512*15) = $EF,FFFE - // shift right by one because no A0 and we get $77,FFFF - // This bit is giving me hell, so let's expand it - if(ncpuAS==0 && cpuRnW==0 && ncpuUDS==0 - && cpuAddr == 22'h77FFFF) cpuVIAReq <= 1; - else cpuVIAReq <= 0; -end - -// if there's an active CPU request and we've reached the state for servicing -// that CPU request, then set a flag to mark that we have serviced it -always @(posedge pixClk or posedge ncpuAS) begin - if(ncpuAS) begin - cpuUWriteSrv <= 0; - cpuLWriteSrv <= 0; - cpuVIASrv <= 0; - end else begin - if(ncpuAS) begin - cpuUWriteSrv <= 0; - cpuLWriteSrv <= 0; - cpuVIASrv <= 0; - end else begin - if(cpuUWriteReq && pState == S3) cpuUWriteSrv <= 1; - if(cpuLWriteReq && pState == S5) cpuLWriteSrv <= 1; - if(cpuVIAReq && pState == S7) cpuVIASrv <= 1; - end - end -end - -// store the video buffer selection bit -always @(posedge pixClk or negedge nReset) begin - if(!nReset) vidBufSel <= 0; - // fine. no video buffer select. we use Main only. - //else if(pState == S7) vidBufSel <= ~cpuData[14]; -end - -endmodule \ No newline at end of file diff --git a/old/se-xga_bad.sv b/old/se-xga_bad.sv new file mode 100644 index 0000000..e5a4cd1 --- /dev/null +++ b/old/se-xga_bad.sv @@ -0,0 +1,229 @@ +/****************************************************************************** + * SE-VGA + * Top-level module + * techav + * 2021-10-16 + ****************************************************************************** + * Trying again again again + *****************************************************************************/ + +module sevga ( + input wire nReset, // System reset signal + input wire pixClk, // 65MHz pixel clock + output reg nhSync, // HSync signal + output reg nvSync, // VSync signal + output reg vidOut, // 1-bit Monochrome video signal + + output logic [14:0] vramAddr, // VRAM Address bus + inout logic [7:0] vramData, // VRAM Data bus + output reg nvramOE, // VRAM Read strobe + output reg nvramWE, // VRAM Write strobe + output reg nvramCE0, // VRAM Main chip select signal + output reg nvramCE1, // VRAM Alt chip select signal + + input wire [23:1] cpuAddr, // CPU Address bus + input wire [15:0] cpuData, // CPU Data bus + input wire ncpuAS, // CPU Address Strobe signal + input wire ncpuUDS, // CPU Upper Data Strobe signal + input wire ncpuLDS, // CPU Lower Data Strobe signal + input wire cpuRnW, // CPU Read/Write select signal + input logic [2:0] ramSize // Select installed RAM size +); + +/****************************************************************************** + * Initial Video Signal Timing + * The following functions establish the basic XGA signal timing and + * assert the horizontal and vertical sync signals as appropriate. + * These functions are the minimum required for a signal presence detect test. + *****************************************************************************/ + +// Primary sync counters +logic [10:0] hCount; // 0..1343 +logic [9:0] vCount; // 0..805 +always @(negedge pixClk) begin + if(hCount < 1343) hCount <= hCount + 11'h1; + else begin + hCount <= 0; + if(vCount <= 805) vCount <= vCount + 10'h1; + else vCount <= 0; + end +end + +// Horizontal sync +always @(negedge pixClk) begin + if(hCount == 0) nhSync <= 1; + else if(hCount == 1052) nhSync <= 0; + else if(hCount == 1186) nhSync <= 1; +end + +// Vertical sync +always @(negedge pixClk) begin + if(vCount == 0) nvSync <= 1; + else if(vCount == 729) nvSync <= 0; + else if(vCount == 734) nvSync <= 0; +end + +/****************************************************************************** + * Useful signals + * Here we break out a few useful signals, derived from the timing above, that + * will help us elsewhere. + *****************************************************************************/ + +// Horizontal active +reg hActive; +always @(negedge pixClk) begin + if(hCount == 0) hActive <= 1; + else if(hCount == 1023) hActive <= 0; + else if(hCount == 1343) hActive <= 1; +end + +// Vertical active +reg vActive; +always @(negedge pixClk) begin + if(vCount == 0) vActive <= 1; + else if(vCount == 683) vActive <= 0; + else if(vCount == 805) vActive <= 1; +end + +// Horizontal fetch active +// asserted just before active video to enable video data pre-fetch +reg fhActive; +always @(negedge pixClk) begin + if(hCount == 0) fhActive <= 1; + else if(hCount == 1022) fhActive <= 0; + else if(hCount == 1342) fhActive <= 1; +end + +// Vertical fetch active +// +reg fvActive; +always @(negedge pixClk) begin + if(vCount == 0) fvActive <= 1; + else if(vCount == 684) fvActive <= 0; + if(vCount == 805) fvActive <= 1; +end + +// combined active signals +wire vidActive = hActive & vActive; +wire fetchActive = fhActive & fvActive; + +/****************************************************************************** + * VRAM State Machine + * Coordinates VRAM load/store actions + *****************************************************************************/ + +// rising edge signals: nvramWE, nvramOE, nvramCE[1:0] +// falling edge signals: vramAddr, vramData + +// VRAM read signal +//always @(posedge pixClk) begin nvramOE <= ~(hCount == 7); end + +// VRAM write signal +always @(posedge pixClk) begin + if(hCount[3:1] == 0) nvramWE <= 1; + else if(hCount[3:1] == 1) nvramWE <= 0; + else if(hCount[3:1] == 6) nvramWE <= 1; +end + +// VRAM data/address busses +always @(negedge pixClk) begin + if(hCount[0] && !hCount[1]) begin + case(hCount[3:2]) + 3: begin + // start read cycle + vramData <= 8'hZ; + vramAddr[14:6] <= vCount[9:1]; + vramAddr[5:0] <= hCount[9:4]; + end + default: begin + // write slots + vramAddr[14:1] <= cpuAddr[14:1] - 14'h1380; + if(!ncpuUDSr && !cpuLDSsrv) begin + vramAddr[0] <= 0; + vramData <= cpuData[15:8]; + end else if(!ncpuLDSr && !cpuLDSsrv) begin + vramAddr[0] <= 1; + vramData <= cpuData[7:0]; + end + end + endcase + end +end + +// VRAM chip enable signals +reg cpuUDSsrv, cpuLDSsrv; +always @(posedge pixClk) begin + if(hCount[3:1] == 7 && fetchActive) begin + nvramCE0 <= vidBufSel; + nvramCE1 <= ~vidBufSel; + nvramOE <= 0; + end else if(!hCount[0] && hCount[1]) begin + // write cycle + if(!ncpuUDSr && !cpuUDSsrv) begin + nvramCE0 <= ~cpuAddr[15]; + nvramCE1 <= cpuAddr[15]; + cpuUDSsrv <= 1; + end else if(!ncpuLDSr && !cpuLDSsrv) begin + nvramCE0 <= ~cpuAddr[15]; + nvramCE1 <= cpuAddr[15]; + cpuLDSsrv <= 1; + end else begin + nvramCE0 <= 1; + nvramCE1 <= 1; + end + nvramOE <= 1; + end else begin + nvramCE0 <= 1; + nvramCE1 <= 1; + nvramOE <= 1; + end + // reset the upper/lower serve signals when cycle ended by CPU + if(ncpuLDS) cpuLDSsrv <= 0; + if(ncpuUDS) cpuUDSsrv <= 0; +end + +// Video data shift register & output +reg [7:0] vidShiftr; +always @(negedge pixClk) begin + if(hCount[3:0] == 4'hF) vidShiftr <= ~vramData; + else if(hCount[0]) begin + vidShiftr[7:1] <= vidShiftr[6:0]; + vidShiftr[0] <= 0; + end +end +always_comb begin + if(vidActive) vidOut = vidShiftr[7]; + else vidOut <= 0; +end + +/****************************************************************************** + * CPU Bus Snooping + * Watches the CPU bus and aligns its operations with the pixel clock + *****************************************************************************/ +reg ncpuUDSr, ncpuLDSr; +always @(negedge pixClk) begin + // this condition evaluates true when cpu is writing to video buffer + if(!ncpuAS && !cpuRnW + && !cpuAddr[23] && !cpuAddr[22] + && !(cpuAddr[21] ^ ramSize[2]) + && !(cpuAddr[20] ^ ramSize[1]) + && !(cpuAddr[19] ^ ramSize[0]) + && cpuAddr[18] && cpuAddr[17] + && cpuAddr[16] + && ((cpuAddr[14:1] >= 14'h1380) + && (cpuAddr[14:1] < 14'h3E40))) + begin + if(!ncpuUDS) ncpuUDSr <= 0; + else ncpuUDSr <= 1; + if(!ncpuLDS) ncpuLDSr <= 0; + else ncpuLDSr <= 1; + end else begin + ncpuUDSr <= 1; + ncpuLDSr <= 1; + end +end + +// hold low for now +reg vidBufSel = 0; + +endmodule \ No newline at end of file diff --git a/se-xga.sv b/se-xga.sv index e5a4cd1..12c0e64 100644 --- a/se-xga.sv +++ b/se-xga.sv @@ -2,27 +2,28 @@ * SE-VGA * Top-level module * techav - * 2021-10-16 + * 2021-10-12 ****************************************************************************** - * Trying again again again + * This is ... mostly working. It has some write glitches and a vertical line + * five pixels from the left side of the screen. *****************************************************************************/ module sevga ( input wire nReset, // System reset signal input wire pixClk, // 65MHz pixel clock - output reg nhSync, // HSync signal - output reg nvSync, // VSync signal - output reg vidOut, // 1-bit Monochrome video signal + output wire nhSync, // HSync signal + output wire nvSync, // VSync signal + output wire vidOut, // 1-bit Monochrome video signal output logic [14:0] vramAddr, // VRAM Address bus inout logic [7:0] vramData, // VRAM Data bus - output reg nvramOE, // VRAM Read strobe - output reg nvramWE, // VRAM Write strobe - output reg nvramCE0, // VRAM Main chip select signal - output reg nvramCE1, // VRAM Alt chip select signal + output wire nvramOE, // VRAM Read strobe + output wire nvramWE, // VRAM Write strobe + output wire nvramCE0, // VRAM Main chip select signal + output wire nvramCE1, // VRAM Alt chip select signal - input wire [23:1] cpuAddr, // CPU Address bus - input wire [15:0] cpuData, // CPU Data bus + input logic [23:1] cpuAddr, // CPU Address bus + input logic [15:0] cpuData, // CPU Data bus input wire ncpuAS, // CPU Address Strobe signal input wire ncpuUDS, // CPU Upper Data Strobe signal input wire ncpuLDS, // CPU Lower Data Strobe signal @@ -32,35 +33,33 @@ module sevga ( /****************************************************************************** * Initial Video Signal Timing - * The following functions establish the basic XGA signal timing and + * The following four functions establish the basic XGA signal timing and * assert the horizontal and vertical sync signals as appropriate. * These functions are the minimum required for a signal presence detect test. *****************************************************************************/ - -// Primary sync counters logic [10:0] hCount; // 0..1343 logic [9:0] vCount; // 0..805 +wire nhSyncInner; + +// Primary video sync counters -- Now more synchronous! always @(negedge pixClk) begin - if(hCount < 1343) hCount <= hCount + 11'h1; + if(hCount < 11'd1343) hCount <= hCount + 11'd1; else begin - hCount <= 0; - if(vCount <= 805) vCount <= vCount + 10'h1; - else vCount <= 0; + hCount <= 11'd0; + if(vCount < 10'd805) vCount <= vCount + 10'd1; + else vCount <= 10'd0; end end -// Horizontal sync -always @(negedge pixClk) begin - if(hCount == 0) nhSync <= 1; - else if(hCount == 1052) nhSync <= 0; - else if(hCount == 1186) nhSync <= 1; -end +// horizontal and vertical sync signals +always_comb begin + //if(hCount >= 11'd1048 && hCount < 11'd1184) nhSyncInner <= 0; + if(hCount >= 11'd1052 && hCount < 11'd1187) nhSyncInner <= 0; + else nhSyncInner <= 1; + nhSync <= nhSyncInner; -// Vertical sync -always @(negedge pixClk) begin - if(vCount == 0) nvSync <= 1; - else if(vCount == 729) nvSync <= 0; - else if(vCount == 734) nvSync <= 0; + if(vCount >= 10'd729 && vCount < 10'd735) nvSync <= 0; + else nvSync <= 1; end /****************************************************************************** @@ -68,162 +67,276 @@ end * Here we break out a few useful signals, derived from the timing above, that * will help us elsewhere. *****************************************************************************/ +wire hActive, vActive; // active video signals. vidout black when negated +wire vidActive; // active when both hActive and vActive asserted +wire hLoad; // load pixel data from vram when asserted -// Horizontal active -reg hActive; -always @(negedge pixClk) begin - if(hCount == 0) hActive <= 1; - else if(hCount == 1023) hActive <= 0; - else if(hCount == 1343) hActive <= 1; +assign vidActive = hActive & vActive; + +always_comb begin + if(hCount >= 3 && hCount < 1027) hActive <= 1; + else hActive <= 0; + + if(vCount >= 0 && vCount < 684) vActive <= 1; + else vActive <= 0; + + if(hCount >= 0 && hCount < 1024 && vActive) hLoad <= 1; + else hLoad <= 0; end -// Vertical active -reg vActive; -always @(negedge pixClk) begin - if(vCount == 0) vActive <= 1; - else if(vCount == 683) vActive <= 0; - else if(vCount == 805) vActive <= 1; -end - -// Horizontal fetch active -// asserted just before active video to enable video data pre-fetch -reg fhActive; -always @(negedge pixClk) begin - if(hCount == 0) fhActive <= 1; - else if(hCount == 1022) fhActive <= 0; - else if(hCount == 1342) fhActive <= 1; -end - -// Vertical fetch active -// -reg fvActive; -always @(negedge pixClk) begin - if(vCount == 0) fvActive <= 1; - else if(vCount == 684) fvActive <= 0; - if(vCount == 805) fvActive <= 1; -end - -// combined active signals -wire vidActive = hActive & vActive; -wire fetchActive = fhActive & fvActive; - /****************************************************************************** - * VRAM State Machine - * Coordinates VRAM load/store actions + * Primary State Machine + * This is the primary state machine which runs the entire system, handling + * VRAM reads, VRAM writes, VIA writes, and idle states *****************************************************************************/ -// rising edge signals: nvramWE, nvramOE, nvramCE[1:0] -// falling edge signals: vramAddr, vramData +// used to align primary state machine with horizontal counter +wire [3:0] vSeq = hCount[3:0]; -// VRAM read signal -//always @(posedge pixClk) begin nvramOE <= ~(hCount == 7); end +// define state machine states (Gray code) +parameter + S0 = 4'b0000, // VRAM Read 0 + S1 = 4'b0001, // VRAM Read 1 + S2 = 4'b0011, // Idle + S3 = 4'b0010, // VRAM Write Upper 0 + S4 = 4'b0110, // VRAM Write Upper 1 + S5 = 4'b0111, // VRAM Write Lower 0 + S6 = 4'b0101, // VRAM Write Lower 1 + S7 = 4'b0100, // VIA Write + S8 = 4'b1100, // VSync (to be added later) + S9 = 4'b1101, // undefined + S10 = 4'b1111, // undefined + S11 = 4'b1110, // undefined + S12 = 4'b1010, // undefined + S13 = 4'b1011, // undefined + S14 = 4'b1001, // undefined + S15 = 4'b1000; // undefined -// VRAM write signal -always @(posedge pixClk) begin - if(hCount[3:1] == 0) nvramWE <= 1; - else if(hCount[3:1] == 1) nvramWE <= 0; - else if(hCount[3:1] == 6) nvramWE <= 1; -end +logic [3:0] pState; -// VRAM data/address busses -always @(negedge pixClk) begin - if(hCount[0] && !hCount[1]) begin - case(hCount[3:2]) - 3: begin - // start read cycle - vramData <= 8'hZ; - vramAddr[14:6] <= vCount[9:1]; - vramAddr[5:0] <= hCount[9:4]; - end - default: begin - // write slots - vramAddr[14:1] <= cpuAddr[14:1] - 14'h1380; - if(!ncpuUDSr && !cpuLDSsrv) begin - vramAddr[0] <= 0; - vramData <= cpuData[15:8]; - end else if(!ncpuLDSr && !cpuLDSsrv) begin - vramAddr[0] <= 1; - vramData <= cpuData[7:0]; - end +// And here is the much simplified primary state machine +always @(negedge pixClk or negedge nReset) begin + if(!nReset) pState <= S2; // resync on reset by jumping to idle state + else begin + case(pState) + S0: pState <= S1; // first VRAM read state, always move to S1 + S3: pState <= S4; // first UDS write state, always move to S4 + S5: pState <= S6; // first LDS write state, always move to S6 + /*S7: begin + + pState <= S2; + end*/ + S2: begin + // here is where everything actually happens. + if(vSeq == 4'hF) pState <= S0; // time for a read state + else if(cpuUWriteReq && !cpuUWriteSrv && vSeq < 4'hD) pState <= S3; + else if(cpuLWriteReq && !cpuLWriteSrv && vSeq < 4'hD) pState <= S5; + else if(cpuVIAReq && !cpuVIASrv && vSeq < 4'hE) pState <= S7; + else pState <= S2; end + default: pState <= S2; // everyone ends up at S2 (idle) endcase end end -// VRAM chip enable signals -reg cpuUDSsrv, cpuLDSsrv; -always @(posedge pixClk) begin - if(hCount[3:1] == 7 && fetchActive) begin - nvramCE0 <= vidBufSel; - nvramCE1 <= ~vidBufSel; - nvramOE <= 0; - end else if(!hCount[0] && hCount[1]) begin - // write cycle - if(!ncpuUDSr && !cpuUDSsrv) begin - nvramCE0 <= ~cpuAddr[15]; - nvramCE1 <= cpuAddr[15]; - cpuUDSsrv <= 1; - end else if(!ncpuLDSr && !cpuLDSsrv) begin - nvramCE0 <= ~cpuAddr[15]; - nvramCE1 <= cpuAddr[15]; - cpuLDSsrv <= 1; - end else begin +// primary VRAM signal combination, based on the primary state machine +always_comb begin + // VRAM Read Strobe + if((pState == S0 || pState == S1) && hLoad) nvramOE <= 0; + else nvramOE <= 1; + + // VRAM Write Strobe + if(pState == S3 || pState == S5) nvramWE <= 0; + else nvramWE <= 1; + + // VRAM Chip Enable Signals + case(pState) + S0, S1: begin + if(hLoad) begin + nvramCE0 <= ~vidBufSel; + nvramCE1 <= vidBufSel; + end else begin + nvramCE0 <= 1; + nvramCE1 <= 1; + end + end + S3, S4, S5, S6: begin + nvramCE0 <= ~cpuBufSel; + nvramCE1 <= cpuBufSel; + end + default: begin nvramCE0 <= 1; nvramCE1 <= 1; end - nvramOE <= 1; - end else begin - nvramCE0 <= 1; - nvramCE1 <= 1; - nvramOE <= 1; - end - // reset the upper/lower serve signals when cycle ended by CPU - if(ncpuLDS) cpuLDSsrv <= 0; - if(ncpuUDS) cpuUDSsrv <= 0; + endcase + + // VRAM Address Bus + case(pState) + S0, S1: begin + // address bus for read cycles + if(hLoad) begin + vramAddr[14:6] <= vCount[9:1]; + vramAddr[5:0] <= hCount[9:4]; + end else begin + vramAddr <= 0; + end + end + S3, S4: begin + // address bus for upper write cycles + vramAddr[14:1] <= cpuAddrShift; + vramAddr[0] <= 0; + end + S5, S6: begin + // address bus for lower write cycles + vramAddr[14:1] <= cpuAddrShift; + vramAddr[0] <= 1; + end + default: begin + // address bus for idle cycles + vramAddr <= 0; + end + endcase + + // VRAM Data bus + case(pState) + S3, S4 : vramData <= cpuData[15:8]; + S5, S6 : vramData <= cpuData[7:0]; + default: vramData <= 8'hZ; + endcase end -// Video data shift register & output -reg [7:0] vidShiftr; -always @(negedge pixClk) begin - if(hCount[3:0] == 4'hF) vidShiftr <= ~vramData; - else if(hCount[0]) begin - vidShiftr[7:1] <= vidShiftr[6:0]; - vidShiftr[0] <= 0; +/****************************************************************************** + * Video Output Sequencing + * Here is the primary video output shift register sequencing. + * With these functions in place, it should be possible to strap the VRAM data + * signals and see the strapped pattern output on screen. + *****************************************************************************/ +logic [8:0] vidData; // the video data we are displaying + +// output shift register +always @(posedge pixClk) begin + if(pState == S1 && hLoad) begin + // store VRAM data in shift register + vidData[7:0] <= vramData; + end else if(!hCount[0] && vidActive) begin + // shift out video data + vidData[8:1] <= vidData[7:0]; + vidData[0] <= 1; end end + +// final video output always_comb begin - if(vidActive) vidOut = vidShiftr[7]; + if(vidActive) vidOut <= ~vidData[8]; else vidOut <= 0; end /****************************************************************************** * CPU Bus Snooping - * Watches the CPU bus and aligns its operations with the pixel clock + * Watch the CPU bus for writes to the video buffer regions of memory and write + * that data to VRAM. VRAM write cycles can occur during vidSeq 1 through 7. + * High-order bytes are passed to VRAM on tick states and low-order bytes are + * passed to VRAM on tock states. After the VRAM writes are complete, state + * machine waits for the CPU cycle to end before returning to idle. *****************************************************************************/ -reg ncpuUDSr, ncpuLDSr; -always @(negedge pixClk) begin - // this condition evaluates true when cpu is writing to video buffer + +/* Main framebuffer starts $5900 below the top of RAM, alt frame buffer is + * $8000 below the main frame buffer + * ramSize is used to mask the CPU Address bits [21:19] to select the amount + * of memory installed in the computer. Not all possible ramSize selections + * are valid memory sizes when using 30-pin SIMMs in the Mac SE. + * They may be possible using PDS RAM expansion cards. + * ramSize mainBuffer altBuffer ramTop+1 ramSize Valid? Installed SIMMs + * $7 $3fa700 $3f2700 $400000 4.0MB Y [ 1MB 1MB ][ 1MB 1MB ] + * $6 $37a700 $372700 $380000 3.5MB N + * $5 $2fa700 $2f2700 $300000 3.0MB N + * $4 $27a700 $272700 $280000 2.5MB Y [ 1MB 1MB ][256kB 256kB] + * $3 $1fa700 $1f2700 $200000 2.0MB Y [ 1MB 1MB ][ --- --- ] + * $2 $17a700 $172700 $180000 1.5MB N + * $1 $0fa700 $0f2700 $100000 1.0MB Y [256kB 256kB][256kB 256kB] + * $0 $07a700 $072700 $080000 0.5MB Y [256kB 256kB][ --- --- ] + */ + +// keep track of pending CPU write requests and whether they have been serviced +wire cpuUWriteReq, cpuLWriteReq, cpuVIAReq; +reg cpuUWriteSrv, cpuLWriteSrv, cpuVIASrv; +wire cpuBufSel; +wire cpuBufAddr; +reg vidBufSel; +wire [13:0] cpuAddrShift = cpuAddr[14:1] - 14'h1380; +wire cpuBufRange; + +// these are some helpful signals that shortcut the CPU buffer & VIA addresses +always_comb begin + /*if(cpuAddr[14:1] >= 14'h1380 + && cpuAddr[14:1] < 14'h3E40) cpuBufRange <= 1; + else cpuBufRange <= 0;*/ + cpuBufRange <= (cpuAddr[14:1] >= 14'h1380) & (cpuAddr[14:1] < 14'h3E40); if(!ncpuAS && !cpuRnW - && !cpuAddr[23] && !cpuAddr[22] - && !(cpuAddr[21] ^ ramSize[2]) - && !(cpuAddr[20] ^ ramSize[1]) - && !(cpuAddr[19] ^ ramSize[0]) - && cpuAddr[18] && cpuAddr[17] - && cpuAddr[16] - && ((cpuAddr[14:1] >= 14'h1380) - && (cpuAddr[14:1] < 14'h3E40))) - begin - if(!ncpuUDS) ncpuUDSr <= 0; - else ncpuUDSr <= 1; - if(!ncpuLDS) ncpuLDSr <= 0; - else ncpuLDSr <= 1; + && !cpuAddr[23] && !cpuAddr[22] // first two bits always 0 + && !(cpuAddr[21] ^ ramSize[2]) // compare with RAM Size bits + && !(cpuAddr[20] ^ ramSize[1]) + && !(cpuAddr[19] ^ ramSize[0]) + && cpuAddr[18] && cpuAddr[17] // next three bits always 1 + && cpuAddr[16] // skip 15, it selects buffers + && cpuBufRange // only select buffer addresses + ) begin + cpuBufAddr <= 1; end else begin - ncpuUDSr <= 1; - ncpuLDSr <= 1; + cpuBufAddr <= 0; + end + cpuBufSel <= ~cpuAddr[15]; // address bit 15 selects buffer + + if(cpuBufAddr && !ncpuUDS) cpuUWriteReq <= 1; + else cpuUWriteReq <= 0; + if(cpuBufAddr && !ncpuLDS) cpuLWriteReq <= 1; + else cpuLWriteReq <= 0; + + // VIA is in address block $E8,0000 - $EF,FFFF + // VIA register select pins (RS[3:0]) are wired to cpuAddr[12:9] + // VIA Output Register A is selected when RS[3:0]==$F + /*if(!ncpuAS && !cpuRnW && !ncpuUDS + && cpuAddr[23] && cpuAddr[22] // VIA Address Select + && cpuAddr[21] && !cpuAddr[20] + && cpuAddr[19] + && cpuAddr[12] && cpuAddr[11] // VIA ORA + && cpuAddr[10] && cpuAddr[9] + ) cpuVIAReq <= 1; + else cpuVIAReq <= 0;*/ + // Mac ROM addresses Data Register A as vBase+vBufA: + // $EF,E1FE + (512*15) = $EF,FFFE + // shift right by one because no A0 and we get $77,FFFF + // This bit is giving me hell, so let's expand it + if(ncpuAS==0 && cpuRnW==0 && ncpuUDS==0 + && cpuAddr == 22'h77FFFF) cpuVIAReq <= 1; + else cpuVIAReq <= 0; +end + +// if there's an active CPU request and we've reached the state for servicing +// that CPU request, then set a flag to mark that we have serviced it +always @(posedge pixClk or posedge ncpuAS) begin + if(ncpuAS) begin + cpuUWriteSrv <= 0; + cpuLWriteSrv <= 0; + cpuVIASrv <= 0; + end else begin + if(ncpuAS) begin + cpuUWriteSrv <= 0; + cpuLWriteSrv <= 0; + cpuVIASrv <= 0; + end else begin + if(cpuUWriteReq && pState == S3) cpuUWriteSrv <= 1; + if(cpuLWriteReq && pState == S5) cpuLWriteSrv <= 1; + if(cpuVIAReq && pState == S7) cpuVIASrv <= 1; + end end end -// hold low for now -reg vidBufSel = 0; +// store the video buffer selection bit +always @(posedge pixClk or negedge nReset) begin + if(!nReset) vidBufSel <= 0; + // fine. no video buffer select. we use Main only. + //else if(pState == S7) vidBufSel <= ~cpuData[14]; +end endmodule \ No newline at end of file