Compare commits

...

6 Commits

Author SHA1 Message Date
techav e37c0d1857 Clean out old compiled logic 2023-07-14 15:39:14 -05:00
techav 07cf12ddf9 Clean out old gerbers 2023-07-14 15:38:34 -05:00
techav 73c3f7bdfd Upload new schematic plot 2023-07-14 15:36:11 -05:00
techav 44a3700dc4 Add initial PCB render 2023-07-14 15:31:38 -05:00
techav 7169786caa Add CC-BY-SA license and initial Rev3 Readme 2023-07-14 15:25:57 -05:00
techav a806219b67 Cleanup for DRC 2023-07-14 13:04:30 -05:00
20 changed files with 46580 additions and 134572 deletions

File diff suppressed because it is too large Load Diff

Binary file not shown.

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,845 +0,0 @@
M48
;GenerationSoftware,Autodesk,EAGLE,9.6.2*%
;CreationDate,2021-07-27T02:59:54Z*%
FMAT,2
ICI,OFF
METRIC,TZ,000.000
T6C0.300
T5C0.400
T4C0.813
T3C0.914
T2C1.000
T1C1.016
%
G90
M71
T1
X6350Y54610
X29210Y63627
X6350Y52070
X3810Y52070
X29210Y66167
X39370Y66167
X39370Y63627
X36830Y66167
X36830Y63627
X34290Y66167
X34290Y63627
X3810Y54610
X6350Y57150
X3810Y57150
X6350Y59690
X3810Y59690
X6350Y62230
X3810Y62230
X31750Y66167
X31750Y63627
T2
X11430Y8890
X24130Y6350
X21590Y6350
X19050Y6350
X16510Y6350
X13970Y6350
X11430Y6350
X8890Y6350
X85090Y3810
X87630Y3810
X82550Y3810
X80010Y3810
X77470Y3810
X74930Y3810
X72390Y3810
X69850Y3810
X67310Y3810
X64770Y3810
X62230Y3810
X59690Y3810
X57150Y3810
X54610Y3810
X52070Y3810
X49530Y3810
X46990Y3810
X44450Y3810
X41910Y3810
X39370Y3810
X36830Y3810
X34290Y3810
X31750Y3810
X29210Y3810
X26670Y3810
X24130Y3810
X21590Y3810
X19050Y3810
X16510Y3810
X13970Y3810
X11430Y3810
X8890Y3810
X87630Y8890
X85090Y8890
X82550Y8890
X80010Y8890
X77470Y8890
X74930Y8890
X72390Y8890
X69850Y8890
X67310Y8890
X64770Y8890
X62230Y8890
X59690Y8890
X57150Y8890
X54610Y8890
X52070Y8890
X49530Y8890
X46990Y8890
X44450Y8890
X41910Y8890
X39370Y8890
X36830Y8890
X34290Y8890
X31750Y8890
X29210Y8890
X26670Y8890
X24130Y8890
X21590Y8890
X19050Y8890
X16510Y8890
X13970Y8890
X8890Y8890
X87630Y6350
X85090Y6350
X82550Y6350
X80010Y6350
X77470Y6350
X74930Y6350
X72390Y6350
X69850Y6350
X67310Y6350
X64770Y6350
X62230Y6350
X59690Y6350
X57150Y6350
X54610Y6350
X52070Y6350
X49530Y6350
X46990Y6350
X44450Y6350
X41910Y6350
X39370Y6350
X36830Y6350
X34290Y6350
X31750Y6350
X29210Y6350
X26670Y6350
T3
X16510Y45720
X19050Y45720
X16510Y43180
X19050Y43180
X16510Y40640
X19050Y40640
T4
X48260Y34290
X45720Y34290
X43180Y34290
X40640Y34290
X7620Y34290
X7620Y11430
X40640Y11430
X43180Y11430
X45720Y11430
X48260Y11430
X50800Y11430
X40640Y1270
X55880Y11430
X58420Y11430
X60960Y11430
X63500Y11430
X66040Y11430
X68580Y11430
X71120Y11430
X73660Y11430
X76200Y11430
X78740Y11430
X81280Y11430
X83820Y11430
X86360Y11430
X38100Y34290
X35560Y34290
X33020Y34290
X30480Y34290
X27940Y34290
X25400Y34290
X22860Y34290
X20320Y34290
X17780Y34290
X15240Y34290
X12700Y34290
X10160Y34290
X38100Y11430
X35560Y11430
X33020Y11430
X30480Y11430
X27940Y11430
X22860Y11430
X20320Y11430
X17780Y11430
X15240Y11430
X12700Y11430
X10160Y11430
X12700Y62230
X12700Y54610
X10160Y62230
X10160Y54610
X29210Y45720
X21590Y45720
X29210Y43180
X21590Y43180
X29210Y40640
X21590Y40640
X61976Y45720
X59944Y45720
X32766Y55880
X30734Y55880
X86360Y24130
X83820Y24130
X81280Y24130
X78740Y24130
X76200Y24130
X73660Y24130
X71120Y24130
X68580Y24130
X66040Y24130
X63500Y24130
X60960Y24130
X58420Y24130
X55880Y24130
X53340Y24130
X50800Y24130
X48260Y24130
X45720Y24130
X43180Y24130
X40640Y24130
X7620Y24130
X7620Y1270
X43180Y1270
X45720Y1270
X48260Y1270
X50800Y1270
X53340Y1270
X55880Y1270
X58420Y1270
X60960Y1270
X63500Y1270
X66040Y1270
X68580Y1270
X71120Y1270
X73660Y1270
X76200Y1270
X78740Y1270
X81280Y1270
X83820Y1270
X86360Y1270
X38100Y24130
X35560Y24130
X33020Y24130
X30480Y24130
X27940Y24130
X25400Y24130
X22860Y24130
X53340Y11430
X20320Y24130
X17780Y24130
X15240Y24130
X12700Y24130
X10160Y24130
X38100Y1270
X35560Y1270
X33020Y1270
X30480Y1270
X27940Y1270
X25400Y1270
X22860Y1270
X20320Y1270
X17780Y1270
X15240Y1270
X12700Y1270
X10160Y1270
X86360Y34290
X83820Y34290
X81280Y34290
X78740Y34290
X76200Y34290
X73660Y34290
X71120Y34290
X68580Y34290
X66040Y34290
X63500Y34290
X60960Y34290
X58420Y34290
X55880Y34290
X53340Y34290
X50800Y34290
X25400Y11430
T5
X19812Y54610
X19812Y57150
T6
X56388Y38862
X56388Y38100
X56388Y39624
X58420Y39624
X58420Y38862
X58420Y38100
X60706Y40386
X60706Y39624
X60706Y38100
X47752Y48768
X47752Y47752
X47752Y46736
X45720Y47498
X34290Y47498
X33274Y46482
X32004Y47752
X31242Y46990
X32512Y45720
X16764Y48260
X14732Y12573
X15748Y15748
X15748Y18288
X17272Y19431
X17780Y17780
X17780Y15240
X17526Y12573
X19812Y12573
X20320Y15240
X20320Y17272
X22352Y17272
X22352Y14732
X25019Y17018
X25400Y15240
X25527Y12954
X27940Y15240
X27940Y12954
X30480Y15240
X33528Y13716
X35179Y13589
X30734Y12700
X13208Y15748
X14478Y14478
X11938Y14478
X13208Y18288
X10668Y15748
X10668Y18288
X35560Y9906
X35560Y8382
X33782Y10160
X40640Y17653
X82550Y10414
X39878Y10414
X36703Y51689
X45339Y49911
X56388Y40386
X58420Y40386
X55118Y40386
X55118Y39624
X55118Y38862
X55118Y38100
X50800Y38862
X60706Y38862
X51435Y35179
X45720Y36957
X41783Y36957
X29210Y36449
X33274Y36449
X35560Y36449
X27178Y36449
X24384Y36449
X23368Y36449
X21590Y36449
X24638Y31496
X26162Y32766
X23241Y31496
X23241Y27940
X23241Y26416
X27178Y31750
X38100Y36449
X51308Y25400
X55626Y25400
X57150Y25908
X60198Y26416
X62738Y26416
X57912Y14986
X55499Y13462
X65278Y28067
X61468Y25400
X61468Y26416
X60198Y25400
X55499Y14986
X39878Y55626
X47117Y51816
X44958Y54102
X73406Y42545
X74168Y42545
X74930Y42545
X75692Y42545
X73914Y46736
X74676Y46736
X75438Y46736
X76200Y46736
X53594Y57023
X54610Y57023
X55626Y57023
X59182Y51054
X59182Y52578
X62738Y57023
X61722Y57023
X60706Y57023
X65405Y62103
X72771Y57277
X43434Y63627
X41910Y62103
X25400Y55880
X56642Y42164
X68326Y45339
X83566Y22860
X78486Y22860
X75692Y22860
X73914Y22860
X80518Y22860
X80518Y26416
X83058Y26416
X66675Y13970
X67945Y12827
X75565Y30607
X76962Y29972
X77978Y30988
X79502Y29972
X80010Y31496
X82550Y31496
X33147Y15240
X40132Y19050
X40386Y37973
X57658Y27559
X23876Y27178
X41402Y19050
X33909Y15748
X40386Y38735
X46736Y35560
X46736Y36322
X43180Y22860
X32385Y22733
X30226Y22860
X11430Y52324
X38608Y17780
X44450Y25527
X29591Y25527
X37719Y17526
X36449Y16764
X36322Y16002
X35179Y16002
X33401Y12319
X30480Y7620
X32258Y7620
X40132Y36830
X38354Y47752
X49276Y26035
X28575Y25908
X49276Y13716
X54102Y26543
X26162Y26543
X44450Y21844
X56896Y21971
X77216Y28194
X31750Y32512
X52578Y31115
X42672Y37338
X72771Y22987
X43053Y38608
X67310Y20447
X71120Y20320
X43688Y37973
X44323Y39116
X65024Y25146
X59690Y20701
X43815Y21082
X55118Y26543
X76200Y27432
X60198Y27559
X52324Y23241
X59690Y23114
X48260Y21209
X81280Y20320
X58928Y21209
X46990Y22606
X57912Y22479
X85598Y33274
X42545Y33401
X57023Y33274
X84963Y32512
X39370Y33020
X55245Y32766
X82296Y28194
X36830Y32766
X54864Y32131
X34290Y32258
X79756Y28194
X54864Y31369
X29210Y31242
X74676Y28448
X51943Y30607
X72136Y28448
X26670Y30734
X51308Y30099
X24638Y30480
X67818Y28956
X49784Y29591
X67056Y28448
X21590Y30226
X49149Y29083
X64262Y28067
X19050Y29464
X48514Y28575
X16510Y28702
X62103Y28067
X47244Y28067
X43561Y53086
X46101Y48514
X37084Y48768
X42799Y55245
X46482Y45466
X40640Y45466
X52070Y57023
X47371Y60960
X66040Y45720
X66294Y52578
X77978Y45974
X65151Y46609
X65278Y54356
X65532Y43561
X77089Y43434
X64008Y47244
X63754Y56642
X64770Y43180
X76581Y42799
X68580Y52070
X76708Y52578
X68961Y52705
X77724Y52832
X69596Y53213
X78486Y53086
X70104Y53848
X78740Y53848
X69596Y54483
X78994Y54610
X70485Y58801
X82169Y59436
X70993Y59436
X82804Y59944
X70612Y60198
X75692Y60071
X71247Y60706
X83566Y60452
X67183Y59055
X64262Y61468
X79502Y55245
X70358Y55118
X69850Y58420
X68326Y62230
X81280Y58293
X68326Y58166
X66040Y63881
X72009Y63754
X69215Y59055
X67056Y62484
X69596Y56388
X81153Y55753
X71882Y61214
X84328Y61214
X78486Y37719
X77978Y42672
X79121Y38227
X78740Y42799
X79756Y38735
X79375Y43180
X80137Y42799
X80391Y39243
X81026Y39751
X80772Y43180
X81661Y40259
X81407Y42799
X82296Y40767
X82169Y42799
X82931Y41275
X82931Y42926
X68326Y64770
X85598Y61722
X25908Y56642
X25908Y54864
X73660Y57023
X74295Y57023
X84455Y57023
X85090Y57023
X23368Y50292
X34290Y50673
X58166Y50800
X35052Y50673
X58166Y50038
X38608Y54610
X53340Y38481
X53340Y39116
X53340Y39751
X53340Y40386
X32766Y48514
X39243Y47752
X40513Y46482
X39878Y47117
X33274Y40386
X33274Y39370
X33274Y38354
X29210Y38354
X29210Y39370
X26416Y38608
X25400Y38608
X24384Y38608
X23368Y38608
X35560Y38354
X35560Y39370
X35560Y40386
X38100Y38354
X38100Y39370
X39370Y49403
X41148Y47625
X42164Y46482
X42164Y44704
X43180Y44704
X43180Y43180
X45720Y44450
X45720Y43180
X46990Y44450
X51308Y44196
X52324Y43180
X50800Y47752
X51816Y48768
X52832Y49784
X56134Y47244
X57150Y47244
X59182Y44450
X60706Y42418
X61722Y42418
X62738Y42418
X63754Y42418
X63881Y46101
X63881Y45466
X55372Y49276
X59182Y49530
X52070Y52578
X51054Y53594
X50038Y54610
X49022Y55626
X47498Y57150
X46482Y58166
X45466Y59182
X44450Y58166
X45466Y57150
X47752Y54356
X48768Y53340
X49784Y52324
X40386Y55118
X43688Y51816
X42672Y51816
X37592Y57404
X36830Y58166
X36830Y56642
X36068Y57404
X36068Y55880
X35306Y56642
X35306Y55118
X34544Y55880
X28702Y56642
X28702Y55372
X28702Y54102
X28702Y58166
X19812Y55880
X22352Y51308
X23114Y49022
X26670Y49022
X28702Y52832
X23114Y62738
X23876Y61976
X24638Y61214
X15494Y63500
X17526Y63500
X16510Y63500
X25146Y64262
X25908Y63500
X26670Y62738
X16510Y66040
X17526Y66040
X15494Y66040
X10160Y52324
X12700Y52324
X17780Y39878
X22606Y44450
X22606Y41910
X26416Y41910
X26416Y44450
X21590Y31496
X19050Y31496
X16510Y31496
X13970Y31496
X11430Y31496
X8890Y31496
X5842Y31496
X21590Y38608
X19050Y30226
X16510Y30226
X13970Y30226
X11430Y30226
X8890Y30226
X5842Y30226
X13970Y28956
X11430Y28956
X8890Y28956
X5842Y28956
X13970Y27686
X11430Y27686
X8890Y27686
X5842Y27686
X13970Y26416
X11430Y26416
X8890Y26416
X5842Y26416
X45720Y40132
X46228Y39624
X48768Y39878
X44450Y27686
X46482Y27686
X46736Y25400
X47498Y25400
X48260Y25400
X57150Y13462
X57912Y12700
X58928Y13970
X61722Y10160
X62738Y12954
X60960Y14478
X58674Y16510
X57150Y16002
X60452Y12700
X60960Y17018
X62738Y15240
X64262Y13716
X64262Y16256
X62738Y17780
X60960Y20701
X62738Y20701
X64262Y20701
X64389Y18415
X66675Y16510
X67945Y15113
X67945Y19304
X38862Y18542
X69215Y19304
X69215Y13843
X70485Y12954
X71120Y19304
X71120Y18288
X71120Y17272
X75692Y19304
X75692Y18288
X75692Y17272
X75692Y16256
X75692Y15240
X73914Y19304
X73914Y18288
X73914Y17272
X73914Y16256
X73914Y15240
X78486Y19304
X78486Y17272
X78486Y15240
X81026Y19304
X81026Y17272
X81026Y15240
X83566Y19304
X83566Y17272
X83566Y15240
X77978Y28702
X80518Y28702
X83058Y28702
X69342Y28702
X70358Y28702
X72136Y29464
X72898Y28702
X74422Y29718
X75438Y28702
X75438Y26416
X77978Y26416
X72898Y26416
X69850Y26416
X65024Y26416
X62738Y25400
X69596Y40640
X68834Y41402
X70358Y39878
X66802Y39878
X67564Y39116
X68326Y38354
X72390Y36830
X73914Y36830
X75438Y36830
X76962Y36830
X79756Y45720
X80772Y45720
X81788Y45720
X84074Y45720
X84074Y46482
X86106Y46990
X86106Y45974
X85344Y44196
X84328Y43180
X74168Y49784
X73660Y49276
X73152Y48768
X82550Y55118
X81534Y54356
X81534Y52578
X83566Y55118
X80518Y54356
X80518Y52578
X85344Y59182
X86106Y48006
X86106Y49022
X79883Y60071
X77851Y60071
X85344Y60198
X85344Y58166
X86614Y61722
X69088Y62484
X70104Y62484
X75311Y61849
X76454Y61849
X83312Y61849
X82296Y61849
X46990Y66294
X46990Y64389
X49022Y64389
X67310Y64389
X64770Y64389
X62738Y64389
X67310Y66294
X62738Y66294
X49022Y66294
X64770Y66294
X35306Y59944
X33782Y59944
X35306Y58166
X28702Y59944
X44831Y49403
X47752Y49784
X49276Y49784
X50800Y49784
X50800Y39624
X50800Y38100
M30

View File

@ -1,22 +0,0 @@
G04 EAGLE Gerber RS-274X export*
G75*
%MOMM*%
%FSLAX34Y34*%
%LPD*%
%IN*%
%IPPOS*%
%AMOC8*
5,1,8,0,0,1.08239X$1,22.5*%
G01*
%ADD10C,0.254000*%
D10*
X0Y0D02*
X965200Y0D01*
X965200Y101600D01*
X876300Y101600D01*
X876300Y685800D01*
X0Y685800D01*
X0Y0D01*
M02*

File diff suppressed because it is too large Load Diff

File diff suppressed because it is too large Load Diff

View File

@ -1,300 +0,0 @@
G04 EAGLE Gerber RS-274X export*
G75*
%MOMM*%
%FSLAX34Y34*%
%LPD*%
%INSoldermask Bottom*%
%IPPOS*%
%AMOC8*
5,1,8,0,0,1.08239X$1,22.5*%
G01*
%ADD10C,1.422400*%
%ADD11C,1.524000*%
%ADD12C,1.625600*%
%ADD13C,1.009600*%
%ADD14R,1.701800X1.270000*%
%ADD15C,1.609600*%
D10*
X127000Y622300D03*
X127000Y546100D03*
X101600Y622300D03*
X101600Y546100D03*
D11*
X165100Y457200D03*
X190500Y457200D03*
X165100Y431800D03*
X190500Y431800D03*
X165100Y406400D03*
X190500Y406400D03*
D10*
X292100Y457200D03*
X215900Y457200D03*
X292100Y431800D03*
X215900Y431800D03*
X292100Y406400D03*
X215900Y406400D03*
X619760Y457200D03*
X599440Y457200D03*
X327660Y558800D03*
X307340Y558800D03*
D12*
X393700Y661670D03*
X393700Y636270D03*
X368300Y661670D03*
X368300Y636270D03*
X342900Y661670D03*
X342900Y636270D03*
X317500Y661670D03*
X317500Y636270D03*
X292100Y661670D03*
X292100Y636270D03*
X63500Y520700D03*
X38100Y520700D03*
X63500Y546100D03*
X38100Y546100D03*
X63500Y571500D03*
X38100Y571500D03*
X63500Y596900D03*
X38100Y596900D03*
X63500Y622300D03*
X38100Y622300D03*
D10*
X863600Y241300D03*
X838200Y241300D03*
X812800Y241300D03*
X787400Y241300D03*
X762000Y241300D03*
X736600Y241300D03*
X711200Y241300D03*
X685800Y241300D03*
X660400Y241300D03*
X635000Y241300D03*
X609600Y241300D03*
X584200Y241300D03*
X558800Y241300D03*
X533400Y241300D03*
X508000Y241300D03*
X482600Y241300D03*
X457200Y241300D03*
X431800Y241300D03*
X406400Y241300D03*
X76200Y241300D03*
X76200Y12700D03*
X406400Y12700D03*
X431800Y12700D03*
X457200Y12700D03*
X482600Y12700D03*
X508000Y12700D03*
X533400Y12700D03*
X558800Y12700D03*
X584200Y12700D03*
X609600Y12700D03*
X635000Y12700D03*
X660400Y12700D03*
X685800Y12700D03*
X711200Y12700D03*
X736600Y12700D03*
X762000Y12700D03*
X787400Y12700D03*
X812800Y12700D03*
X838200Y12700D03*
X863600Y12700D03*
X381000Y241300D03*
X355600Y241300D03*
X330200Y241300D03*
X304800Y241300D03*
X279400Y241300D03*
X254000Y241300D03*
X228600Y241300D03*
X203200Y241300D03*
X177800Y241300D03*
X152400Y241300D03*
X127000Y241300D03*
X101600Y241300D03*
X381000Y12700D03*
X355600Y12700D03*
X330200Y12700D03*
X304800Y12700D03*
X279400Y12700D03*
X254000Y12700D03*
X228600Y12700D03*
X203200Y12700D03*
X177800Y12700D03*
X152400Y12700D03*
X127000Y12700D03*
X101600Y12700D03*
D13*
X198120Y571500D03*
X198120Y546100D03*
D14*
X271780Y543560D03*
X271780Y558800D03*
X271780Y574040D03*
X236220Y574040D03*
X236220Y558800D03*
X236220Y543560D03*
D10*
X863600Y342900D03*
X838200Y342900D03*
X812800Y342900D03*
X787400Y342900D03*
X762000Y342900D03*
X736600Y342900D03*
X711200Y342900D03*
X685800Y342900D03*
X660400Y342900D03*
X635000Y342900D03*
X609600Y342900D03*
X584200Y342900D03*
X558800Y342900D03*
X533400Y342900D03*
X508000Y342900D03*
X482600Y342900D03*
X457200Y342900D03*
X431800Y342900D03*
X406400Y342900D03*
X76200Y342900D03*
X76200Y114300D03*
X406400Y114300D03*
X431800Y114300D03*
X457200Y114300D03*
X482600Y114300D03*
X508000Y114300D03*
X533400Y114300D03*
X558800Y114300D03*
X584200Y114300D03*
X609600Y114300D03*
X635000Y114300D03*
X660400Y114300D03*
X685800Y114300D03*
X711200Y114300D03*
X736600Y114300D03*
X762000Y114300D03*
X787400Y114300D03*
X812800Y114300D03*
X838200Y114300D03*
X863600Y114300D03*
X381000Y342900D03*
X355600Y342900D03*
X330200Y342900D03*
X304800Y342900D03*
X279400Y342900D03*
X254000Y342900D03*
X228600Y342900D03*
X203200Y342900D03*
X177800Y342900D03*
X152400Y342900D03*
X127000Y342900D03*
X101600Y342900D03*
X381000Y114300D03*
X355600Y114300D03*
X330200Y114300D03*
X304800Y114300D03*
X279400Y114300D03*
X254000Y114300D03*
X228600Y114300D03*
X203200Y114300D03*
X177800Y114300D03*
X152400Y114300D03*
X127000Y114300D03*
X101600Y114300D03*
D15*
X876300Y38100D03*
X850900Y38100D03*
X825500Y38100D03*
X800100Y38100D03*
X774700Y38100D03*
X749300Y38100D03*
X723900Y38100D03*
X698500Y38100D03*
X673100Y38100D03*
X647700Y38100D03*
X622300Y38100D03*
X596900Y38100D03*
X571500Y38100D03*
X546100Y38100D03*
X520700Y38100D03*
X495300Y38100D03*
X469900Y38100D03*
X444500Y38100D03*
X419100Y38100D03*
X393700Y38100D03*
X368300Y38100D03*
X342900Y38100D03*
X317500Y38100D03*
X292100Y38100D03*
X266700Y38100D03*
X241300Y38100D03*
X215900Y38100D03*
X190500Y38100D03*
X165100Y38100D03*
X139700Y38100D03*
X114300Y38100D03*
X88900Y38100D03*
X876300Y88900D03*
X850900Y88900D03*
X825500Y88900D03*
X800100Y88900D03*
X774700Y88900D03*
X749300Y88900D03*
X723900Y88900D03*
X698500Y88900D03*
X673100Y88900D03*
X647700Y88900D03*
X622300Y88900D03*
X596900Y88900D03*
X571500Y88900D03*
X546100Y88900D03*
X520700Y88900D03*
X495300Y88900D03*
X469900Y88900D03*
X444500Y88900D03*
X419100Y88900D03*
X393700Y88900D03*
X368300Y88900D03*
X342900Y88900D03*
X317500Y88900D03*
X292100Y88900D03*
X266700Y88900D03*
X241300Y88900D03*
X215900Y88900D03*
X190500Y88900D03*
X165100Y88900D03*
X139700Y88900D03*
X114300Y88900D03*
X88900Y88900D03*
X876300Y63500D03*
X850900Y63500D03*
X825500Y63500D03*
X800100Y63500D03*
X774700Y63500D03*
X749300Y63500D03*
X723900Y63500D03*
X698500Y63500D03*
X673100Y63500D03*
X647700Y63500D03*
X622300Y63500D03*
X596900Y63500D03*
X571500Y63500D03*
X546100Y63500D03*
X520700Y63500D03*
X495300Y63500D03*
X469900Y63500D03*
X444500Y63500D03*
X419100Y63500D03*
X393700Y63500D03*
X368300Y63500D03*
X342900Y63500D03*
X317500Y63500D03*
X292100Y63500D03*
X266700Y63500D03*
X241300Y63500D03*
X215900Y63500D03*
X190500Y63500D03*
X165100Y63500D03*
X139700Y63500D03*
X114300Y63500D03*
X88900Y63500D03*
M02*

File diff suppressed because it is too large Load Diff

View File

@ -1,21 +0,0 @@
G04 EAGLE Gerber RS-274X export*
G75*
%MOMM*%
%FSLAX34Y34*%
%LPD*%
%INSolderpaste Bottom*%
%IPPOS*%
%AMOC8*
5,1,8,0,0,1.08239X$1,22.5*%
G01*
%ADD10R,1.600200X1.168400*%
D10*
X271780Y543560D03*
X271780Y558800D03*
X271780Y574040D03*
X236220Y574040D03*
X236220Y558800D03*
X236220Y543560D03*
M02*

View File

@ -1,824 +0,0 @@
G04 EAGLE Gerber RS-274X export*
G75*
%MOMM*%
%FSLAX34Y34*%
%LPD*%
%INSolderpaste Top*%
%IPPOS*%
%AMOC8*
5,1,8,0,0,1.08239X$1,22.5*%
G01*
%ADD10R,1.500000X0.350000*%
%ADD11R,0.350000X1.500000*%
%ADD12R,1.600000X1.300000*%
%ADD13R,1.300000X1.600000*%
%ADD14R,0.300000X1.000000*%
%ADD15R,1.300000X1.500000*%
%ADD16R,1.500000X1.300000*%
%ADD17R,0.660400X2.032000*%
%ADD18R,1.930400X5.334000*%
D10*
G36*
X352045Y497923D02*
X341440Y487318D01*
X338965Y489793D01*
X349570Y500398D01*
X352045Y497923D01*
G37*
G36*
X355581Y494387D02*
X344976Y483782D01*
X342501Y486257D01*
X353106Y496862D01*
X355581Y494387D01*
G37*
G36*
X359116Y490852D02*
X348511Y480247D01*
X346036Y482722D01*
X356641Y493327D01*
X359116Y490852D01*
G37*
G36*
X362652Y487316D02*
X352047Y476711D01*
X349572Y479186D01*
X360177Y489791D01*
X362652Y487316D01*
G37*
G36*
X366187Y483781D02*
X355582Y473176D01*
X353107Y475651D01*
X363712Y486256D01*
X366187Y483781D01*
G37*
G36*
X369723Y480245D02*
X359118Y469640D01*
X356643Y472115D01*
X367248Y482720D01*
X369723Y480245D01*
G37*
G36*
X373258Y476710D02*
X362653Y466105D01*
X360178Y468580D01*
X370783Y479185D01*
X373258Y476710D01*
G37*
G36*
X376794Y473174D02*
X366189Y462569D01*
X363714Y465044D01*
X374319Y475649D01*
X376794Y473174D01*
G37*
G36*
X380329Y469639D02*
X369724Y459034D01*
X367249Y461509D01*
X377854Y472114D01*
X380329Y469639D01*
G37*
G36*
X383865Y466103D02*
X373260Y455498D01*
X370785Y457973D01*
X381390Y468578D01*
X383865Y466103D01*
G37*
G36*
X387400Y462568D02*
X376795Y451963D01*
X374320Y454438D01*
X384925Y465043D01*
X387400Y462568D01*
G37*
G36*
X390936Y459032D02*
X380331Y448427D01*
X377856Y450902D01*
X388461Y461507D01*
X390936Y459032D01*
G37*
G36*
X394471Y455496D02*
X383866Y444891D01*
X381391Y447366D01*
X391996Y457971D01*
X394471Y455496D01*
G37*
G36*
X398007Y451961D02*
X387402Y441356D01*
X384927Y443831D01*
X395532Y454436D01*
X398007Y451961D01*
G37*
G36*
X401543Y448425D02*
X390938Y437820D01*
X388463Y440295D01*
X399068Y450900D01*
X401543Y448425D01*
G37*
G36*
X405078Y444890D02*
X394473Y434285D01*
X391998Y436760D01*
X402603Y447365D01*
X405078Y444890D01*
G37*
G36*
X408614Y441354D02*
X398009Y430749D01*
X395534Y433224D01*
X406139Y443829D01*
X408614Y441354D01*
G37*
G36*
X412149Y437819D02*
X401544Y427214D01*
X399069Y429689D01*
X409674Y440294D01*
X412149Y437819D01*
G37*
G36*
X415685Y434283D02*
X405080Y423678D01*
X402605Y426153D01*
X413210Y436758D01*
X415685Y434283D01*
G37*
G36*
X419220Y430748D02*
X408615Y420143D01*
X406140Y422618D01*
X416745Y433223D01*
X419220Y430748D01*
G37*
G36*
X422756Y427212D02*
X412151Y416607D01*
X409676Y419082D01*
X420281Y429687D01*
X422756Y427212D01*
G37*
G36*
X426291Y423677D02*
X415686Y413072D01*
X413211Y415547D01*
X423816Y426152D01*
X426291Y423677D01*
G37*
G36*
X429827Y420141D02*
X419222Y409536D01*
X416747Y412011D01*
X427352Y422616D01*
X429827Y420141D01*
G37*
G36*
X433362Y416606D02*
X422757Y406001D01*
X420282Y408476D01*
X430887Y419081D01*
X433362Y416606D01*
G37*
G36*
X436898Y413070D02*
X426293Y402465D01*
X423818Y404940D01*
X434423Y415545D01*
X436898Y413070D01*
G37*
D11*
G36*
X465182Y404940D02*
X462707Y402465D01*
X452102Y413070D01*
X454577Y415545D01*
X465182Y404940D01*
G37*
G36*
X468718Y408476D02*
X466243Y406001D01*
X455638Y416606D01*
X458113Y419081D01*
X468718Y408476D01*
G37*
G36*
X472253Y412011D02*
X469778Y409536D01*
X459173Y420141D01*
X461648Y422616D01*
X472253Y412011D01*
G37*
G36*
X475789Y415547D02*
X473314Y413072D01*
X462709Y423677D01*
X465184Y426152D01*
X475789Y415547D01*
G37*
G36*
X479324Y419082D02*
X476849Y416607D01*
X466244Y427212D01*
X468719Y429687D01*
X479324Y419082D01*
G37*
G36*
X482860Y422618D02*
X480385Y420143D01*
X469780Y430748D01*
X472255Y433223D01*
X482860Y422618D01*
G37*
G36*
X486395Y426153D02*
X483920Y423678D01*
X473315Y434283D01*
X475790Y436758D01*
X486395Y426153D01*
G37*
G36*
X489931Y429689D02*
X487456Y427214D01*
X476851Y437819D01*
X479326Y440294D01*
X489931Y429689D01*
G37*
G36*
X493466Y433224D02*
X490991Y430749D01*
X480386Y441354D01*
X482861Y443829D01*
X493466Y433224D01*
G37*
G36*
X497002Y436760D02*
X494527Y434285D01*
X483922Y444890D01*
X486397Y447365D01*
X497002Y436760D01*
G37*
G36*
X500537Y440295D02*
X498062Y437820D01*
X487457Y448425D01*
X489932Y450900D01*
X500537Y440295D01*
G37*
G36*
X504073Y443831D02*
X501598Y441356D01*
X490993Y451961D01*
X493468Y454436D01*
X504073Y443831D01*
G37*
G36*
X507609Y447366D02*
X505134Y444891D01*
X494529Y455496D01*
X497004Y457971D01*
X507609Y447366D01*
G37*
G36*
X511144Y450902D02*
X508669Y448427D01*
X498064Y459032D01*
X500539Y461507D01*
X511144Y450902D01*
G37*
G36*
X514680Y454438D02*
X512205Y451963D01*
X501600Y462568D01*
X504075Y465043D01*
X514680Y454438D01*
G37*
G36*
X518215Y457973D02*
X515740Y455498D01*
X505135Y466103D01*
X507610Y468578D01*
X518215Y457973D01*
G37*
G36*
X521751Y461509D02*
X519276Y459034D01*
X508671Y469639D01*
X511146Y472114D01*
X521751Y461509D01*
G37*
G36*
X525286Y465044D02*
X522811Y462569D01*
X512206Y473174D01*
X514681Y475649D01*
X525286Y465044D01*
G37*
G36*
X528822Y468580D02*
X526347Y466105D01*
X515742Y476710D01*
X518217Y479185D01*
X528822Y468580D01*
G37*
G36*
X532357Y472115D02*
X529882Y469640D01*
X519277Y480245D01*
X521752Y482720D01*
X532357Y472115D01*
G37*
G36*
X535893Y475651D02*
X533418Y473176D01*
X522813Y483781D01*
X525288Y486256D01*
X535893Y475651D01*
G37*
G36*
X539428Y479186D02*
X536953Y476711D01*
X526348Y487316D01*
X528823Y489791D01*
X539428Y479186D01*
G37*
G36*
X542964Y482722D02*
X540489Y480247D01*
X529884Y490852D01*
X532359Y493327D01*
X542964Y482722D01*
G37*
G36*
X546499Y486257D02*
X544024Y483782D01*
X533419Y494387D01*
X535894Y496862D01*
X546499Y486257D01*
G37*
G36*
X550035Y489793D02*
X547560Y487318D01*
X536955Y497923D01*
X539430Y500398D01*
X550035Y489793D01*
G37*
D10*
G36*
X550035Y526207D02*
X539430Y515602D01*
X536955Y518077D01*
X547560Y528682D01*
X550035Y526207D01*
G37*
G36*
X546499Y529743D02*
X535894Y519138D01*
X533419Y521613D01*
X544024Y532218D01*
X546499Y529743D01*
G37*
G36*
X542964Y533278D02*
X532359Y522673D01*
X529884Y525148D01*
X540489Y535753D01*
X542964Y533278D01*
G37*
G36*
X539428Y536814D02*
X528823Y526209D01*
X526348Y528684D01*
X536953Y539289D01*
X539428Y536814D01*
G37*
G36*
X535893Y540349D02*
X525288Y529744D01*
X522813Y532219D01*
X533418Y542824D01*
X535893Y540349D01*
G37*
G36*
X532357Y543885D02*
X521752Y533280D01*
X519277Y535755D01*
X529882Y546360D01*
X532357Y543885D01*
G37*
G36*
X528822Y547420D02*
X518217Y536815D01*
X515742Y539290D01*
X526347Y549895D01*
X528822Y547420D01*
G37*
G36*
X525286Y550956D02*
X514681Y540351D01*
X512206Y542826D01*
X522811Y553431D01*
X525286Y550956D01*
G37*
G36*
X521751Y554491D02*
X511146Y543886D01*
X508671Y546361D01*
X519276Y556966D01*
X521751Y554491D01*
G37*
G36*
X518215Y558027D02*
X507610Y547422D01*
X505135Y549897D01*
X515740Y560502D01*
X518215Y558027D01*
G37*
G36*
X514680Y561562D02*
X504075Y550957D01*
X501600Y553432D01*
X512205Y564037D01*
X514680Y561562D01*
G37*
G36*
X511144Y565098D02*
X500539Y554493D01*
X498064Y556968D01*
X508669Y567573D01*
X511144Y565098D01*
G37*
G36*
X507609Y568634D02*
X497004Y558029D01*
X494529Y560504D01*
X505134Y571109D01*
X507609Y568634D01*
G37*
G36*
X504073Y572169D02*
X493468Y561564D01*
X490993Y564039D01*
X501598Y574644D01*
X504073Y572169D01*
G37*
G36*
X500537Y575705D02*
X489932Y565100D01*
X487457Y567575D01*
X498062Y578180D01*
X500537Y575705D01*
G37*
G36*
X497002Y579240D02*
X486397Y568635D01*
X483922Y571110D01*
X494527Y581715D01*
X497002Y579240D01*
G37*
G36*
X493466Y582776D02*
X482861Y572171D01*
X480386Y574646D01*
X490991Y585251D01*
X493466Y582776D01*
G37*
G36*
X489931Y586311D02*
X479326Y575706D01*
X476851Y578181D01*
X487456Y588786D01*
X489931Y586311D01*
G37*
G36*
X486395Y589847D02*
X475790Y579242D01*
X473315Y581717D01*
X483920Y592322D01*
X486395Y589847D01*
G37*
G36*
X482860Y593382D02*
X472255Y582777D01*
X469780Y585252D01*
X480385Y595857D01*
X482860Y593382D01*
G37*
G36*
X479324Y596918D02*
X468719Y586313D01*
X466244Y588788D01*
X476849Y599393D01*
X479324Y596918D01*
G37*
G36*
X475789Y600453D02*
X465184Y589848D01*
X462709Y592323D01*
X473314Y602928D01*
X475789Y600453D01*
G37*
G36*
X472253Y603989D02*
X461648Y593384D01*
X459173Y595859D01*
X469778Y606464D01*
X472253Y603989D01*
G37*
G36*
X468718Y607524D02*
X458113Y596919D01*
X455638Y599394D01*
X466243Y609999D01*
X468718Y607524D01*
G37*
G36*
X465182Y611060D02*
X454577Y600455D01*
X452102Y602930D01*
X462707Y613535D01*
X465182Y611060D01*
G37*
D11*
G36*
X436898Y602930D02*
X434423Y600455D01*
X423818Y611060D01*
X426293Y613535D01*
X436898Y602930D01*
G37*
G36*
X433362Y599394D02*
X430887Y596919D01*
X420282Y607524D01*
X422757Y609999D01*
X433362Y599394D01*
G37*
G36*
X429827Y595859D02*
X427352Y593384D01*
X416747Y603989D01*
X419222Y606464D01*
X429827Y595859D01*
G37*
G36*
X426291Y592323D02*
X423816Y589848D01*
X413211Y600453D01*
X415686Y602928D01*
X426291Y592323D01*
G37*
G36*
X422756Y588788D02*
X420281Y586313D01*
X409676Y596918D01*
X412151Y599393D01*
X422756Y588788D01*
G37*
G36*
X419220Y585252D02*
X416745Y582777D01*
X406140Y593382D01*
X408615Y595857D01*
X419220Y585252D01*
G37*
G36*
X415685Y581717D02*
X413210Y579242D01*
X402605Y589847D01*
X405080Y592322D01*
X415685Y581717D01*
G37*
G36*
X412149Y578181D02*
X409674Y575706D01*
X399069Y586311D01*
X401544Y588786D01*
X412149Y578181D01*
G37*
G36*
X408614Y574646D02*
X406139Y572171D01*
X395534Y582776D01*
X398009Y585251D01*
X408614Y574646D01*
G37*
G36*
X405078Y571110D02*
X402603Y568635D01*
X391998Y579240D01*
X394473Y581715D01*
X405078Y571110D01*
G37*
G36*
X401543Y567575D02*
X399068Y565100D01*
X388463Y575705D01*
X390938Y578180D01*
X401543Y567575D01*
G37*
G36*
X398007Y564039D02*
X395532Y561564D01*
X384927Y572169D01*
X387402Y574644D01*
X398007Y564039D01*
G37*
G36*
X394471Y560504D02*
X391996Y558029D01*
X381391Y568634D01*
X383866Y571109D01*
X394471Y560504D01*
G37*
G36*
X390936Y556968D02*
X388461Y554493D01*
X377856Y565098D01*
X380331Y567573D01*
X390936Y556968D01*
G37*
G36*
X387400Y553432D02*
X384925Y550957D01*
X374320Y561562D01*
X376795Y564037D01*
X387400Y553432D01*
G37*
G36*
X383865Y549897D02*
X381390Y547422D01*
X370785Y558027D01*
X373260Y560502D01*
X383865Y549897D01*
G37*
G36*
X380329Y546361D02*
X377854Y543886D01*
X367249Y554491D01*
X369724Y556966D01*
X380329Y546361D01*
G37*
G36*
X376794Y542826D02*
X374319Y540351D01*
X363714Y550956D01*
X366189Y553431D01*
X376794Y542826D01*
G37*
G36*
X373258Y539290D02*
X370783Y536815D01*
X360178Y547420D01*
X362653Y549895D01*
X373258Y539290D01*
G37*
G36*
X369723Y535755D02*
X367248Y533280D01*
X356643Y543885D01*
X359118Y546360D01*
X369723Y535755D01*
G37*
G36*
X366187Y532219D02*
X363712Y529744D01*
X353107Y540349D01*
X355582Y542824D01*
X366187Y532219D01*
G37*
G36*
X362652Y528684D02*
X360177Y526209D01*
X349572Y536814D01*
X352047Y539289D01*
X362652Y528684D01*
G37*
G36*
X359116Y525148D02*
X356641Y522673D01*
X346036Y533278D01*
X348511Y535753D01*
X359116Y525148D01*
G37*
G36*
X355581Y521613D02*
X353106Y519138D01*
X342501Y529743D01*
X344976Y532218D01*
X355581Y521613D01*
G37*
G36*
X352045Y518077D02*
X349570Y515602D01*
X338965Y526207D01*
X341440Y528682D01*
X352045Y518077D01*
G37*
D12*
X736600Y558640D03*
X736600Y538640D03*
X850900Y558640D03*
X850900Y538640D03*
D13*
X244000Y502920D03*
X264000Y502920D03*
D12*
X327660Y498000D03*
X327660Y518000D03*
X566420Y518000D03*
X566420Y498000D03*
X160020Y543400D03*
X160020Y523400D03*
X160020Y574200D03*
X160020Y594200D03*
D14*
X683050Y573000D03*
X677550Y573000D03*
X672050Y573000D03*
X666550Y573000D03*
X661050Y573000D03*
X655550Y573000D03*
X650050Y573000D03*
X650050Y443000D03*
X655550Y443000D03*
X661050Y443000D03*
X666550Y443000D03*
X672050Y443000D03*
X677550Y443000D03*
X683050Y443000D03*
X688550Y443000D03*
X694050Y443000D03*
X699550Y443000D03*
X705050Y443000D03*
X710550Y443000D03*
X716050Y443000D03*
X721550Y443000D03*
X721550Y573000D03*
X716050Y573000D03*
X710550Y573000D03*
X705050Y573000D03*
X699550Y573000D03*
X694050Y573000D03*
X688550Y573000D03*
X797350Y573000D03*
X791850Y573000D03*
X786350Y573000D03*
X780850Y573000D03*
X775350Y573000D03*
X769850Y573000D03*
X764350Y573000D03*
X764350Y443000D03*
X769850Y443000D03*
X775350Y443000D03*
X780850Y443000D03*
X786350Y443000D03*
X791850Y443000D03*
X797350Y443000D03*
X802850Y443000D03*
X808350Y443000D03*
X813850Y443000D03*
X819350Y443000D03*
X824850Y443000D03*
X830350Y443000D03*
X835850Y443000D03*
X835850Y573000D03*
X830350Y573000D03*
X824850Y573000D03*
X819350Y573000D03*
X813850Y573000D03*
X808350Y573000D03*
X802850Y573000D03*
D15*
X244500Y457200D03*
X263500Y457200D03*
X244500Y431800D03*
X263500Y431800D03*
X244500Y406400D03*
X263500Y406400D03*
D16*
X127000Y574700D03*
X127000Y593700D03*
X101600Y574700D03*
X101600Y593700D03*
D17*
X234950Y528066D03*
X234950Y589534D03*
X247650Y528066D03*
X260350Y528066D03*
X247650Y589534D03*
X260350Y589534D03*
X273050Y528066D03*
X273050Y589534D03*
D18*
X198120Y607060D03*
X198120Y510540D03*
M02*

View File

@ -5242,7 +5242,7 @@
(reference "U6") (unit 1)
)
(path "/3fb57e5b-a95c-4355-853e-c654757c2f2f/20263703-2618-4005-9d2f-ddbd15354a6e"
(reference "U9") (unit 1)
(reference "J4") (unit 1)
)
)
)

File diff suppressed because it is too large Load Diff

View File

@ -65,7 +65,7 @@
"connection_width": "warning",
"copper_edge_clearance": "error",
"copper_sliver": "warning",
"courtyards_overlap": "error",
"courtyards_overlap": "ignore",
"diff_pair_gap_out_of_range": "error",
"diff_pair_uncoupled_length_too_long": "error",
"drill_out_of_range": "error",
@ -81,7 +81,7 @@
"items_not_allowed": "error",
"length_out_of_range": "error",
"lib_footprint_issues": "warning",
"lib_footprint_mismatch": "warning",
"lib_footprint_mismatch": "ignore",
"malformed_courtyard": "error",
"microvia_drill_out_of_range": "error",
"missing_courtyard": "ignore",

File diff suppressed because it is too large Load Diff

Binary file not shown.

After

Width:  |  Height:  |  Size: 852 KiB

171
LICENSE Normal file
View File

@ -0,0 +1,171 @@
# Creative Commons Attribution-ShareAlike 4.0 International
Creative Commons Corporation (“Creative Commons”) is not a law firm and does not provide legal services or legal advice. Distribution of Creative Commons public licenses does not create a lawyer-client or other relationship. Creative Commons makes its licenses and related information available on an “as-is” basis. Creative Commons gives no warranties regarding its licenses, any material licensed under their terms and conditions, or any related information. Creative Commons disclaims all liability for damages resulting from their use to the fullest extent possible.
**Using Creative Commons Public Licenses**
Creative Commons public licenses provide a standard set of terms and conditions that creators and other rights holders may use to share original works of authorship and other material subject to copyright and certain other rights specified in the public license below. The following considerations are for informational purposes only, are not exhaustive, and do not form part of our licenses.
* __Considerations for licensors:__ Our public licenses are intended for use by those authorized to give the public permission to use material in ways otherwise restricted by copyright and certain other rights. Our licenses are irrevocable. Licensors should read and understand the terms and conditions of the license they choose before applying it. Licensors should also secure all rights necessary before applying our licenses so that the public can reuse the material as expected. Licensors should clearly mark any material not subject to the license. This includes other CC-licensed material, or material used under an exception or limitation to copyright. [More considerations for licensors](http://wiki.creativecommons.org/Considerations_for_licensors_and_licensees#Considerations_for_licensors).
* __Considerations for the public:__ By using one of our public licenses, a licensor grants the public permission to use the licensed material under specified terms and conditions. If the licensors permission is not necessary for any reasonfor example, because of any applicable exception or limitation to copyrightthen that use is not regulated by the license. Our licenses grant only permissions under copyright and certain other rights that a licensor has authority to grant. Use of the licensed material may still be restricted for other reasons, including because others have copyright or other rights in the material. A licensor may make special requests, such as asking that all changes be marked or described. Although not required by our licenses, you are encouraged to respect those requests where reasonable. [More considerations for the public](http://wiki.creativecommons.org/Considerations_for_licensors_and_licensees#Considerations_for_licensees).
## Creative Commons Attribution-ShareAlike 4.0 International Public License
By exercising the Licensed Rights (defined below), You accept and agree to be bound by the terms and conditions of this Creative Commons Attribution-ShareAlike 4.0 International Public License ("Public License"). To the extent this Public License may be interpreted as a contract, You are granted the Licensed Rights in consideration of Your acceptance of these terms and conditions, and the Licensor grants You such rights in consideration of benefits the Licensor receives from making the Licensed Material available under these terms and conditions.
### Section 1 Definitions.
a. __Adapted Material__ means material subject to Copyright and Similar Rights that is derived from or based upon the Licensed Material and in which the Licensed Material is translated, altered, arranged, transformed, or otherwise modified in a manner requiring permission under the Copyright and Similar Rights held by the Licensor. For purposes of this Public License, where the Licensed Material is a musical work, performance, or sound recording, Adapted Material is always produced where the Licensed Material is synched in timed relation with a moving image.
b. __Adapter's License__ means the license You apply to Your Copyright and Similar Rights in Your contributions to Adapted Material in accordance with the terms and conditions of this Public License.
c. __BY-SA Compatible License__ means a license listed at [creativecommons.org/compatiblelicenses](http://creativecommons.org/compatiblelicenses), approved by Creative Commons as essentially the equivalent of this Public License.
d. __Copyright and Similar Rights__ means copyright and/or similar rights closely related to copyright including, without limitation, performance, broadcast, sound recording, and Sui Generis Database Rights, without regard to how the rights are labeled or categorized. For purposes of this Public License, the rights specified in Section 2(b)(1)-(2) are not Copyright and Similar Rights.
e. __Effective Technological Measures__ means those measures that, in the absence of proper authority, may not be circumvented under laws fulfilling obligations under Article 11 of the WIPO Copyright Treaty adopted on December 20, 1996, and/or similar international agreements.
f. __Exceptions and Limitations__ means fair use, fair dealing, and/or any other exception or limitation to Copyright and Similar Rights that applies to Your use of the Licensed Material.
g. __License Elements__ means the license attributes listed in the name of a Creative Commons Public License. The License Elements of this Public License are Attribution and ShareAlike.
h. __Licensed Material__ means the artistic or literary work, database, or other material to which the Licensor applied this Public License.
i. __Licensed Rights__ means the rights granted to You subject to the terms and conditions of this Public License, which are limited to all Copyright and Similar Rights that apply to Your use of the Licensed Material and that the Licensor has authority to license.
j. __Licensor__ means the individual(s) or entity(ies) granting rights under this Public License.
k. __Share__ means to provide material to the public by any means or process that requires permission under the Licensed Rights, such as reproduction, public display, public performance, distribution, dissemination, communication, or importation, and to make material available to the public including in ways that members of the public may access the material from a place and at a time individually chosen by them.
l. __Sui Generis Database Rights__ means rights other than copyright resulting from Directive 96/9/EC of the European Parliament and of the Council of 11 March 1996 on the legal protection of databases, as amended and/or succeeded, as well as other essentially equivalent rights anywhere in the world.
m. __You__ means the individual or entity exercising the Licensed Rights under this Public License. __Your__ has a corresponding meaning.
### Section 2 Scope.
a. ___License grant.___
1. Subject to the terms and conditions of this Public License, the Licensor hereby grants You a worldwide, royalty-free, non-sublicensable, non-exclusive, irrevocable license to exercise the Licensed Rights in the Licensed Material to:
A. reproduce and Share the Licensed Material, in whole or in part; and
B. produce, reproduce, and Share Adapted Material.
2. __Exceptions and Limitations.__ For the avoidance of doubt, where Exceptions and Limitations apply to Your use, this Public License does not apply, and You do not need to comply with its terms and conditions.
3. __Term.__ The term of this Public License is specified in Section 6(a).
4. __Media and formats; technical modifications allowed.__ The Licensor authorizes You to exercise the Licensed Rights in all media and formats whether now known or hereafter created, and to make technical modifications necessary to do so. The Licensor waives and/or agrees not to assert any right or authority to forbid You from making technical modifications necessary to exercise the Licensed Rights, including technical modifications necessary to circumvent Effective Technological Measures. For purposes of this Public License, simply making modifications authorized by this Section 2(a)(4) never produces Adapted Material.
5. __Downstream recipients.__
A. __Offer from the Licensor Licensed Material.__ Every recipient of the Licensed Material automatically receives an offer from the Licensor to exercise the Licensed Rights under the terms and conditions of this Public License.
B. __Additional offer from the Licensor Adapted Material.__ Every recipient of Adapted Material from You automatically receives an offer from the Licensor to exercise the Licensed Rights in the Adapted Material under the conditions of the Adapters License You apply.
C. __No downstream restrictions.__ You may not offer or impose any additional or different terms or conditions on, or apply any Effective Technological Measures to, the Licensed Material if doing so restricts exercise of the Licensed Rights by any recipient of the Licensed Material.
6. __No endorsement.__ Nothing in this Public License constitutes or may be construed as permission to assert or imply that You are, or that Your use of the Licensed Material is, connected with, or sponsored, endorsed, or granted official status by, the Licensor or others designated to receive attribution as provided in Section 3(a)(1)(A)(i).
b. ___Other rights.___
1. Moral rights, such as the right of integrity, are not licensed under this Public License, nor are publicity, privacy, and/or other similar personality rights; however, to the extent possible, the Licensor waives and/or agrees not to assert any such rights held by the Licensor to the limited extent necessary to allow You to exercise the Licensed Rights, but not otherwise.
2. Patent and trademark rights are not licensed under this Public License.
3. To the extent possible, the Licensor waives any right to collect royalties from You for the exercise of the Licensed Rights, whether directly or through a collecting society under any voluntary or waivable statutory or compulsory licensing scheme. In all other cases the Licensor expressly reserves any right to collect such royalties.
### Section 3 License Conditions.
Your exercise of the Licensed Rights is expressly made subject to the following conditions.
a. ___Attribution.___
1. If You Share the Licensed Material (including in modified form), You must:
A. retain the following if it is supplied by the Licensor with the Licensed Material:
i. identification of the creator(s) of the Licensed Material and any others designated to receive attribution, in any reasonable manner requested by the Licensor (including by pseudonym if designated);
ii. a copyright notice;
iii. a notice that refers to this Public License;
iv. a notice that refers to the disclaimer of warranties;
v. a URI or hyperlink to the Licensed Material to the extent reasonably practicable;
B. indicate if You modified the Licensed Material and retain an indication of any previous modifications; and
C. indicate the Licensed Material is licensed under this Public License, and include the text of, or the URI or hyperlink to, this Public License.
2. You may satisfy the conditions in Section 3(a)(1) in any reasonable manner based on the medium, means, and context in which You Share the Licensed Material. For example, it may be reasonable to satisfy the conditions by providing a URI or hyperlink to a resource that includes the required information.
3. If requested by the Licensor, You must remove any of the information required by Section 3(a)(1)(A) to the extent reasonably practicable.
b. ___ShareAlike.___
In addition to the conditions in Section 3(a), if You Share Adapted Material You produce, the following conditions also apply.
1. The Adapters License You apply must be a Creative Commons license with the same License Elements, this version or later, or a BY-SA Compatible License.
2. You must include the text of, or the URI or hyperlink to, the Adapter's License You apply. You may satisfy this condition in any reasonable manner based on the medium, means, and context in which You Share Adapted Material.
3. You may not offer or impose any additional or different terms or conditions on, or apply any Effective Technological Measures to, Adapted Material that restrict exercise of the rights granted under the Adapter's License You apply.
### Section 4 Sui Generis Database Rights.
Where the Licensed Rights include Sui Generis Database Rights that apply to Your use of the Licensed Material:
a. for the avoidance of doubt, Section 2(a)(1) grants You the right to extract, reuse, reproduce, and Share all or a substantial portion of the contents of the database;
b. if You include all or a substantial portion of the database contents in a database in which You have Sui Generis Database Rights, then the database in which You have Sui Generis Database Rights (but not its individual contents) is Adapted Material, including for purposes of Section 3(b); and
c. You must comply with the conditions in Section 3(a) if You Share all or a substantial portion of the contents of the database.
For the avoidance of doubt, this Section 4 supplements and does not replace Your obligations under this Public License where the Licensed Rights include other Copyright and Similar Rights.
### Section 5 Disclaimer of Warranties and Limitation of Liability.
a. __Unless otherwise separately undertaken by the Licensor, to the extent possible, the Licensor offers the Licensed Material as-is and as-available, and makes no representations or warranties of any kind concerning the Licensed Material, whether express, implied, statutory, or other. This includes, without limitation, warranties of title, merchantability, fitness for a particular purpose, non-infringement, absence of latent or other defects, accuracy, or the presence or absence of errors, whether or not known or discoverable. Where disclaimers of warranties are not allowed in full or in part, this disclaimer may not apply to You.__
b. __To the extent possible, in no event will the Licensor be liable to You on any legal theory (including, without limitation, negligence) or otherwise for any direct, special, indirect, incidental, consequential, punitive, exemplary, or other losses, costs, expenses, or damages arising out of this Public License or use of the Licensed Material, even if the Licensor has been advised of the possibility of such losses, costs, expenses, or damages. Where a limitation of liability is not allowed in full or in part, this limitation may not apply to You.__
c. The disclaimer of warranties and limitation of liability provided above shall be interpreted in a manner that, to the extent possible, most closely approximates an absolute disclaimer and waiver of all liability.
### Section 6 Term and Termination.
a. This Public License applies for the term of the Copyright and Similar Rights licensed here. However, if You fail to comply with this Public License, then Your rights under this Public License terminate automatically.
b. Where Your right to use the Licensed Material has terminated under Section 6(a), it reinstates:
1. automatically as of the date the violation is cured, provided it is cured within 30 days of Your discovery of the violation; or
2. upon express reinstatement by the Licensor.
For the avoidance of doubt, this Section 6(b) does not affect any right the Licensor may have to seek remedies for Your violations of this Public License.
c. For the avoidance of doubt, the Licensor may also offer the Licensed Material under separate terms or conditions or stop distributing the Licensed Material at any time; however, doing so will not terminate this Public License.
d. Sections 1, 5, 6, 7, and 8 survive termination of this Public License.
### Section 7 Other Terms and Conditions.
a. The Licensor shall not be bound by any additional or different terms or conditions communicated by You unless expressly agreed.
b. Any arrangements, understandings, or agreements regarding the Licensed Material not stated herein are separate from and independent of the terms and conditions of this Public License.
### Section 8 Interpretation.
a. For the avoidance of doubt, this Public License does not, and shall not be interpreted to, reduce, limit, restrict, or impose conditions on any use of the Licensed Material that could lawfully be made without permission under this Public License.
b. To the extent possible, if any provision of this Public License is deemed unenforceable, it shall be automatically reformed to the minimum extent necessary to make it enforceable. If the provision cannot be reformed, it shall be severed from this Public License without affecting the enforceability of the remaining terms and conditions.
c. No term or condition of this Public License will be waived and no failure to comply consented to unless expressly agreed to by the Licensor.
d. Nothing in this Public License constitutes or may be interpreted as a limitation upon, or waiver of, any privileges and immunities that apply to the Licensor or You, including from the legal processes of any jurisdiction or authority.
> Creative Commons is not a party to its public licenses. Notwithstanding, Creative Commons may elect to apply one of its public licenses to material it publishes and in those instances will be considered the “Licensor.” The text of the Creative Commons public licenses is dedicated to the public domain under the [CC0 Public Domain Dedication](https://creativecommons.org/publicdomain/zero/1.0/legalcode). Except for the limited purpose of indicating that material is shared under a Creative Commons public license or as otherwise permitted by the Creative Commons policies published at [creativecommons.org/policies](http://creativecommons.org/policies), Creative Commons does not authorize the use of the trademark “Creative Commons” or any other trademark or logo of Creative Commons without its prior written consent including, without limitation, in connection with any unauthorized modifications to any of its public licenses or any other arrangements, understandings, or agreements concerning use of licensed material. For the avoidance of doubt, this paragraph does not form part of the public licenses.
>
> Creative Commons may be contacted at creativecommons.org.

149
README.md
View File

@ -1,136 +1,47 @@
# SE-VGA
Simple CPLD project to mirror the Mac SE video over VGA. The image is pixel-doubled to 1024x684 and displayed letterboxed (black borders) in a 1024x768 video frame. Device snoops writes to the frame buffer memory locations and caches the data to its own VRAM for display. Plugs into the PDS slot in a Mac SE, or plugs in place of the CPU on Mac SE, Plus, or 512k models (128k Mac could be made to work with some adjustment to the CPLD configuration, but is not a configuration supported by the memory selection jumpers). Tested and working on a Mac SE and a Mac Plus, both with 4MB of RAM.
SE-VGA is a video card primarily for the Mac SE, but also compatible with the Macintosh, 512k, and Plus. The FPGA configuration here will duplicate the Mac video pixel-doubled to 1024x768 and displayed letterboxed (black borders) in a 1024x768@60Hz frame over VGA. It does this by snooping the CPU bus for writes to the frame buffer region of memory, caching the video data in its own VRAM, and generating a new video signal from that data. It can plug directly into the PDS slot in a Mac SE, or plugs in place of the CPU on the Plus, 512k, or 128k models (CPU must be removed and a socket installed in its place; the CPU must then be installed on the SE-VGA card).
Circuit uses a single AFT1508AS-7AX100 CPLD, a pair of 256kbit (32kx8) 15ns or faster SRAM, a 13MHz crystal with 5x clock multiplier for 65MHz pixel clock, along with some passives.
Circuit is built around a Latice iCE40HX4K FPGA with a single 16-bit SDRAM, theoretically supporting up to 512Mbit (64MB) of video memory. Video output is a 24-bit R2R DAC with output buffer. The PCB is designed as a 4-layer board with inner power and ground planes. Use of a PCB assembly service is recommended for the many 0402 passive components.
The memory capacity and output capabilities of this project greatly exceed those necessary for duplicating the classic Macintosh video output in the hopes that it may be a starting point for projects which go beyond merely duplicating the classic Macintosh video output.
![MacSE Rev3 PCB Render](https://github.com/techav-homebrew/SE-VGA/blob/Rev3/Hardware/SE-VGA_Render-Front.png)
## Bill of Materials
| Qty | Manufacturer | Part No. | Name | Description |
|:---:|:----------------|:-------------------|:-------------------|:----------------------------------------------|
| 2 | ISSI | IS61C256AL-12TLI | VRAM-ALT, VRAM-MAIN| 32kx8 12ns SRAM, TSOP-28 |
| 1 | Microchip | ATF1508AS-7AX100 | LOGIC | ATF1508AS or EPM7128 CPLD, TQFP-100 |
| 1 | Renesas / IDT | 511MLF | CLK | Programmable Clock Multiplier, SO-8 |
| 1 | ECS | ECS-130-20-46X | XTAL | 13MHz Crystal, HC-46X or HC-49UP |
| 1 | TE Connectivity | 650473-5 | PDS | DIN 41612 Right-angle 3x32 pin male connector |
| 5 | | | C1, C2, C3, C4, C5 | 0.1uF Decoupling Capacitor, 0805 |
| 2 | | | C6, C7 | 10uF Electrolytic Capacitor |
| 2 | | | C8, C9 | 20pF Capacitor, 0805 |
| 2 | | | R3, R4, R5 | 10k pullup resistor, 0805 or axial |
| 3 | | | R2 | 460 ohm resistor, 0805 or axial |
| 3 | | | R1 | 75 ohm resistor, 0805 or axial |
| 1 | | | PGM | 2x5 pin header for CPLD JTAG programming |
| 1 | | | VGA | 2x5 pin header for VGA adapter |
| 1 | | | RAMSIZE | 3x2 jumper |
| 1 | | | BRD | 64-pin DIP header, male |
| 1 | | | CPU | 64-pin DIP socket, female |
| | | | | |
## Frame Buffer Addressing
The Mac primary framebuffer starts at 0x5900 below the top of RAM. Since it's not in a static location for every system, the system's memory configuration is needed. This is set by three ramSize jumpers, which mask CPU address bits 21, 20, 19. Not all possible ramSize selections are valid memory sizes when using 30-pin SIMMs in the Mac SE. In theory, these combinations could be possible when using PDS memory expansion cards, but this is unlikely. The chart below indicates the valid & invalid ramSize configurations and the corresponding installed SIMM combinations.
The Mac primary framebuffer starts at 0x5900 below the top of RAM. Since it's not in a static location for every system, the system's memory configuration is needed. This is set by the Memory Size switches/jumpers. The chart below indicates the primary and alternate frame buffer locations based on the installed SIMM combinations in the Mac Plus/SE, as well as for the 512k & 128k models.
|ramSize|Main Framebuffer|Alt Framebuffer|RAM Top Address + 1|RAM Size|Installed SIMMs |
|:-----:|:--------------:|:-------------:|:-----------------:|:------:|------------------------------|
| 111 | 0x3fa700 | 0x3f2700 | 0x400000 | 4.0MB | `[ 1MB 1MB ][ 1MB 1MB ]` |
| 110 | 0x37a700 | 0x372700 | 0x380000 | 3.5MB | Invalid combination |
| 101 | 0x2fa700 | 0x2f2700 | 0x300000 | 3.0MB | Invalid combination |
| 100 | 0x27a700 | 0x272700 | 0x280000 | 2.5MB | `[ 1MB 1MB ][256kB 256kB]` |
| 011 | 0x1fa700 | 0x1f2700 | 0x200000 | 2.0MB | `[ 1MB 1MB ][ --- --- ]` |
| 010 | 0x17a700 | 0x172700 | 0x180000 | 1.5MB | Invalid combination |
| 001 | 0x0fa700 | 0x0f2700 | 0x100000 | 1.0MB | `[256kB 256kB][256kB 256kB]` |
| 000 | 0x07a700 | 0x072700 | 0x080000 | 0.5MB | `[256kB 256kB][ --- --- ]` |
|Main Framebuffer|Alt Framebuffer|RAM Top Address + 1|RAM Size|Installed SIMMs |
|:--------------:|:-------------:|:-----------------:|:------:|------------------------------|
| `0x3fa700` | `0x3f2700` | `0x400000` | 4.0MB | `[ 1MB 1MB ][ 1MB 1MB ]` |
| `0x37a700` | `0x372700` | `0x380000` | 3.5MB | Invalid combination |
| `0x2fa700` | `0x2f2700` | `0x300000` | 3.0MB | Invalid combination |
| `0x27a700` | `0x272700` | `0x280000` | 2.5MB | `[ 1MB 1MB ][256kB 256kB]` |
| `0x1fa700` | `0x1f2700` | `0x200000` | 2.0MB | `[ 1MB 1MB ][ --- --- ]` |
| `0x17a700` | `0x172700` | `0x180000` | 1.5MB | Invalid combination |
| `0x0fa700` | `0x0f2700` | `0x100000` | 1.0MB | `[256kB 256kB][256kB 256kB]` |
| `0x07a700` | `0x072700` | `0x080000` | 512kB | `[256kB 256kB][ --- --- ]` |
| `0x01a700` | `0x012700` | `0x020000` | 128kB | NA |
## CPLD Pin Assignments
## FPGA Pin Assignments
|signal|Direction|Pin|
|---|---|---|
|cpuAddr[23]|Input|PIN_100|
|cpuAddr[22]|Input|PIN_1|
|cpuAddr[21]|Input|PIN_2|
|cpuAddr[20]|Input|PIN_5|
|cpuAddr[19]|Input|PIN_6|
|cpuAddr[18]|Input|PIN_7|
|cpuAddr[17]|Input|PIN_8|
|cpuAddr[16]|Input|PIN_9|
|cpuAddr[15]|Input|PIN_10|
|cpuAddr[14]|Input|PIN_12|
|cpuAddr[13]|Input|PIN_13|
|cpuAddr[12]|Input|PIN_14|
|cpuAddr[11]|Input|PIN_16|
|cpuAddr[10]|Input|PIN_17|
|cpuAddr[9]|Input|PIN_19|
|cpuAddr[8]|Input|PIN_20|
|cpuAddr[7]|Input|PIN_21|
|cpuAddr[6]|Input|PIN_22|
|cpuAddr[5]|Input|PIN_23|
|cpuAddr[4]|Input|PIN_24|
|cpuAddr[3]|Input|PIN_25|
|cpuAddr[2]|Input|PIN_27|
|cpuAddr[1]|Input|PIN_28|
|cpuData[15]|Input|PIN_29|
|cpuData[14]|Input|PIN_30|
|cpuData[13]|Input|PIN_31|
|cpuData[12]|Input|PIN_32|
|cpuData[11]|Input|PIN_33|
|cpuData[10]|Input|PIN_35|
|cpuData[9]|Input|PIN_36|
|cpuData[8]|Input|PIN_37|
|cpuData[7]|Input|PIN_40|
|cpuData[6]|Input|PIN_41|
|cpuData[5]|Input|PIN_42|
|cpuData[4]|Input|PIN_44|
|cpuData[3]|Input|PIN_45|
|cpuData[2]|Input|PIN_46|
|cpuData[1]|Input|PIN_47|
|cpuData[0]|Input|PIN_48|
|cpuRnW|Input|PIN_96|
|nReset|Input|PIN_89|
|ncpuAS|Input|PIN_92|
|ncpuLDS|Input|PIN_93|
|ncpuUDS|Input|PIN_94|
|nhSync|Output|PIN_85|
|nvSync|Output|PIN_84|
|nvramCE0|Output|PIN_81|
|nvramCE1|Output|PIN_80|
|nvramOE|Output|PIN_79|
|nvramWE|Output|PIN_78|
|pixClk|Input|PIN_87|
|ramSize[2]|Input|PIN_97|
|ramSize[1]|Input|PIN_98|
|ramSize[0]|Input|PIN_99|
|vidOut|Output|PIN_83|
|vramAddr[14]|Output|PIN_77|
|vramAddr[13]|Output|PIN_76|
|vramAddr[12]|Output|PIN_75|
|vramAddr[11]|Output|PIN_72|
|vramAddr[10]|Output|PIN_71|
|vramAddr[9]|Output|PIN_70|
|vramAddr[8]|Output|PIN_69|
|vramAddr[7]|Output|PIN_68|
|vramAddr[6]|Output|PIN_67|
|vramAddr[5]|Output|PIN_65|
|vramAddr[4]|Output|PIN_64|
|vramAddr[3]|Output|PIN_63|
|vramAddr[2]|Output|PIN_61|
|vramAddr[1]|Output|PIN_60|
|vramAddr[0]|Output|PIN_58|
|vramData[7]|Bidir|PIN_57|
|vramData[6]|Bidir|PIN_56|
|vramData[5]|Bidir|PIN_55|
|vramData[4]|Bidir|PIN_54|
|vramData[3]|Bidir|PIN_53|
|vramData[2]|Bidir|PIN_52|
|vramData[1]|Bidir|PIN_50|
|vramData[0]|Bidir|PIN_49|
|TCK|Input|PIN_62|
|TDI|Input|PIN_4|
|TDO|Output|PIN_73|
|TMS|Input|PIN_15
| | | |
## Known Issues
- ~~First run schematic and gerbers used three pairs of resistor dividers for R, G, B output channels. A better approach would be to use a single divider and tie all three output channels together. Also 470 ohm is a bit too high, so the image is quite dark.~~ Removed extraneous resistor dividers. Changed 470ohm resistor to 460.
- ~~The resistor footprints are too small for 1/4W parts. Might work with 1/8W parts.~~ Added footprints for 0805 resistors.
- ~~Timing for the SE window is a bit off. It appears to be starting the window a couple pixels early on the left, and it might be cutting off the last pixel or two on the right.~~
- The ninth vertical line is missing from the output image, and there is a black line two pixels from the right side of the screen.
- There is still a timing issue with VRAM writes. Some writes seem to get missed.
- Additional decoupling is needed when using the board in 512k/Plus Macs. Without decoupling on every VCC pin of the CPLD and decoupling for the 68000 CPU, overall system stability is severely impacted.
- Support for alternate frame buffer is currently disabled.
- Logic has not yet been rewritten for the iCE40 FPGA
# License
This work is licensed under a Creative Commons Attribution-ShareAlike 4.0 International License. See https://creativecommons.org/licenses/by-sa/4.0/.
# Acknowledgements
Special thanks to TubeTimeUS, whose Graphics Gremlin project answered many of the questions I had while designing this project.
https://github.com/schlae/graphics-gremlin

Binary file not shown.