From 45ff80f44abc20f5db05f411c07aeb73cbef4e58 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Wed, 3 May 2023 23:32:00 -0400 Subject: [PATCH] lots --- .gitignore | 2 + CPUBuf.kicad_sch | 5177 +++ CPUBuf.sch | 1825 -- Control.kicad_sch | 6271 ++++ Control.sch | 1270 - Docs/index.html | 151 - Documentation/50M.html | 117 + Documentation/IIci.html | 332 + Documentation/L2Lomem.graffle | Bin 0 -> 107705 bytes Documentation/L2Way.graffle | Bin 0 -> 103076 bytes Documentation/StreamBuf.graffle | Bin 0 -> 41774 bytes Documentation/index.html | 333 + MC68k.kicad_sch | 4984 +++ MC68k.sch | 1139 - PDS.kicad_sch | 2942 ++ PDS.sch | 642 - PDSBuf.kicad_sch | 5262 ++++ PDSBuf.sch | 1881 -- Power.kicad_sch | 2134 ++ RAM.kicad_sch | 1793 ++ RAM.sch | 560 - SE-030-backups/SE-030-2022-02-02_021941.zip | Bin 71796 -> 0 bytes SE-030-backups/SE-030-2022-02-02_152026.zip | Bin 74029 -> 0 bytes SE-030-backups/SE-030-2022-02-02_153807.zip | Bin 74029 -> 0 bytes SE-030.kicad_pcb | 29452 ++++++++++-------- SE-030.kicad_prl | 75 - SE-030.kicad_pro | 2634 +- SE-030.kicad_sch | 1618 + SE-030.pro | 269 - SE-030.sch | 529 - VDAC.kicad_sch | 51 + VidControl.kicad_sch | 39 + sym-lib-table | 19 +- 33 files changed, 50165 insertions(+), 21336 deletions(-) create mode 100644 CPUBuf.kicad_sch delete mode 100644 CPUBuf.sch create mode 100644 Control.kicad_sch delete mode 100644 Control.sch delete mode 100644 Docs/index.html create mode 100644 Documentation/50M.html create mode 100644 Documentation/IIci.html create mode 100644 Documentation/L2Lomem.graffle create mode 100644 Documentation/L2Way.graffle create mode 100644 Documentation/StreamBuf.graffle create mode 100644 Documentation/index.html create mode 100644 MC68k.kicad_sch delete mode 100644 MC68k.sch create mode 100644 PDS.kicad_sch delete mode 100644 PDS.sch create mode 100644 PDSBuf.kicad_sch delete mode 100644 PDSBuf.sch create mode 100644 Power.kicad_sch create mode 100644 RAM.kicad_sch delete mode 100644 RAM.sch delete mode 100644 SE-030-backups/SE-030-2022-02-02_021941.zip delete mode 100644 SE-030-backups/SE-030-2022-02-02_152026.zip delete mode 100644 SE-030-backups/SE-030-2022-02-02_153807.zip delete mode 100644 SE-030.kicad_prl create mode 100644 SE-030.kicad_sch delete mode 100644 SE-030.pro delete mode 100644 SE-030.sch create mode 100644 VDAC.kicad_sch create mode 100644 VidControl.kicad_sch diff --git a/.gitignore b/.gitignore index a2a4867..eb3da65 100644 --- a/.gitignore +++ b/.gitignore @@ -17,3 +17,5 @@ fp-info-cache *.DS_Store +SE-030-backups/* +*.kicad_prl diff --git a/CPUBuf.kicad_sch b/CPUBuf.kicad_sch new file mode 100644 index 0000000..01a0d70 --- /dev/null +++ b/CPUBuf.kicad_sch @@ -0,0 +1,5177 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid c18beac7-61d2-4ffa-befd-1322bcf674c4) + + (paper "A4") + + (lib_symbols + (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "C" (at 0.254 1.778 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C_Small" (at 0.254 -2.032 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "capacitor cap" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 -0.508) + (xy 1.524 -0.508) + ) + (stroke (width 0.3302) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.508) + (xy 1.524 0.508) + ) + (stroke (width 0.3048) (type default)) + (fill (type none)) + ) + ) + (symbol "C_Small_1_1" + (pin passive line (at 0 2.54 270) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:74244" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_74244" (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74244_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "74244_1_1" + (pin input line (at 10.16 11.43 180) (length 5.08) + (name "1~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -8.89 180) (length 5.08) + (name "2A3" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 8.89 0) (length 5.08) + (name "1Y3" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -6.35 180) (length 5.08) + (name "2A2" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 6.35 0) (length 5.08) + (name "1Y2" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -3.81 180) (length 5.08) + (name "2A1" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 3.81 0) (length 5.08) + (name "1Y1" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -1.27 180) (length 5.08) + (name "2A0" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 1.27 0) (length 5.08) + (name "1Y0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -11.43 180) (length 5.08) + (name "2~{OE}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "1A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 11.43 0) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -1.27 0) (length 5.08) + (name "2Y0" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 6.35 180) (length 5.08) + (name "1A1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -3.81 0) (length 5.08) + (name "2Y1" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 3.81 180) (length 5.08) + (name "1A2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -6.35 0) (length 5.08) + (name "2Y2" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 1.27 180) (length 5.08) + (name "1A3" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -8.89 0) (length 5.08) + (name "2Y3" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:74245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_74245" (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74245_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "74245_1_1" + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "AtoB" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -11.43 180) (length 5.08) + (name "B7" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -8.89 180) (length 5.08) + (name "B6" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -6.35 180) (length 5.08) + (name "B5" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 5.08) + (name "B4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 5.08) + (name "B3" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 5.08) + (name "B2" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 5.08) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 5.08) + (name "B0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 8.89 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 6.35 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 3.81 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 1.27 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -1.27 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -3.81 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -6.35 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -8.89 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 85.09 142.24) (diameter 0) (color 0 0 0 0) + (uuid 063dcd54-cefb-4b3f-97ef-7ab1b1e932ec) + ) + (junction (at 135.89 149.86) (diameter 0) (color 0 0 0 0) + (uuid 06da4709-4d57-4c78-84f5-a1e417e8e934) + ) + (junction (at 135.89 137.16) (diameter 0) (color 0 0 0 0) + (uuid 29a43c95-e25c-4446-a42f-33a4545e5b2c) + ) + (junction (at -36.83 162.56) (diameter 0) (color 0 0 0 0) + (uuid 37ed424c-c505-4a6e-9754-d9a6e8739565) + ) + (junction (at -36.83 157.48) (diameter 0) (color 0 0 0 0) + (uuid 3a92e434-c87f-45e4-b61b-ad084dd1211f) + ) + (junction (at -46.99 157.48) (diameter 0) (color 0 0 0 0) + (uuid 3f34f64a-6dec-4122-b5a1-bc770fc2583f) + ) + (junction (at -26.67 157.48) (diameter 0) (color 0 0 0 0) + (uuid 448c48a7-0c8a-48b9-a8b3-c960ce4d3858) + ) + (junction (at -57.15 152.4) (diameter 0) (color 0 0 0 0) + (uuid 4a57eee4-be1c-4e33-b6b1-04210210e67b) + ) + (junction (at 85.09 139.7) (diameter 0) (color 0 0 0 0) + (uuid 4eff7684-4aa2-41ec-ae13-b9a2fc6cd1eb) + ) + (junction (at -26.67 147.32) (diameter 0) (color 0 0 0 0) + (uuid 50ed3b9f-5aa2-4a55-92d0-f40a7b9ae79f) + ) + (junction (at -26.67 162.56) (diameter 0) (color 0 0 0 0) + (uuid 54ad5833-4c3e-4b1c-b745-39db1052ecbc) + ) + (junction (at -46.99 147.32) (diameter 0) (color 0 0 0 0) + (uuid 5e49f5ba-70c5-4009-a430-a89c7fbf3d62) + ) + (junction (at 135.89 144.78) (diameter 0) (color 0 0 0 0) + (uuid 5fcf7b54-3438-4106-bd61-c8576f312994) + ) + (junction (at 135.89 134.62) (diameter 0) (color 0 0 0 0) + (uuid 60ced090-ee20-4552-a6d4-a22e2ef66669) + ) + (junction (at 135.89 142.24) (diameter 0) (color 0 0 0 0) + (uuid 638efb16-1b2b-4279-a96a-aeddade40f18) + ) + (junction (at -26.67 152.4) (diameter 0) (color 0 0 0 0) + (uuid 738aa726-2df1-44e3-b3a9-006bb9bdc4f0) + ) + (junction (at -57.15 162.56) (diameter 0) (color 0 0 0 0) + (uuid 889d0179-4a50-40ee-b56f-8f2a1c4bfe70) + ) + (junction (at -67.31 147.32) (diameter 0) (color 0 0 0 0) + (uuid 88da2685-4036-4563-93e9-6030b4173a5d) + ) + (junction (at -36.83 152.4) (diameter 0) (color 0 0 0 0) + (uuid 98bff3af-f953-4d8a-9a0d-d3aac4b71df7) + ) + (junction (at 85.09 149.86) (diameter 0) (color 0 0 0 0) + (uuid 9a1e0801-00f4-4f37-9234-3f60b106115a) + ) + (junction (at -16.51 152.4) (diameter 0) (color 0 0 0 0) + (uuid 9a243475-1d28-496c-8df7-168ea5a46da3) + ) + (junction (at -16.51 162.56) (diameter 0) (color 0 0 0 0) + (uuid a2c6e73e-8cc4-43ff-8100-13ffe68b5da0) + ) + (junction (at -57.15 157.48) (diameter 0) (color 0 0 0 0) + (uuid a5938bbb-be97-471e-ba62-8c93e47b767f) + ) + (junction (at -36.83 147.32) (diameter 0) (color 0 0 0 0) + (uuid a60168b5-13a8-4eb7-a2cf-c22cb9a06a06) + ) + (junction (at -46.99 152.4) (diameter 0) (color 0 0 0 0) + (uuid a60afe89-8cce-4cc9-b9cc-afd27445795e) + ) + (junction (at -67.31 157.48) (diameter 0) (color 0 0 0 0) + (uuid ad6668a9-7319-4741-8cf7-d2243bfd68c0) + ) + (junction (at 121.92 172.72) (diameter 0) (color 0 0 0 0) + (uuid b8b9340f-b09d-4b13-b086-f5edf141e75a) + ) + (junction (at 85.09 137.16) (diameter 0) (color 0 0 0 0) + (uuid c5106b3d-24ce-4d06-9e47-2f8a094e2968) + ) + (junction (at -46.99 162.56) (diameter 0) (color 0 0 0 0) + (uuid cf184baf-c738-461d-96f5-c62ee117aacc) + ) + (junction (at 135.89 139.7) (diameter 0) (color 0 0 0 0) + (uuid d4f50024-5e5a-477a-a5f8-a9af06e5b113) + ) + (junction (at -57.15 147.32) (diameter 0) (color 0 0 0 0) + (uuid ec55110f-cffb-4998-9dfe-e37b4b141892) + ) + (junction (at 85.09 144.78) (diameter 0) (color 0 0 0 0) + (uuid f8efc9d0-2173-4584-86d8-c7505a12551e) + ) + + (bus_entry (at 218.44 53.34) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0058ccff-abda-45d9-b0e2-f85ffca1b5a2) + ) + (bus_entry (at 218.44 114.3) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 05e4a163-0fb6-4d3f-9939-549a42df6e27) + ) + (bus_entry (at 66.04 187.96) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 064ab6da-a427-40e7-810d-059d604304c0) + ) + (bus_entry (at 30.48 147.32) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0668a62c-defa-4869-bf66-bb356261030f) + ) + (bus_entry (at 30.48 121.92) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 073bf8b2-03f9-4b7a-aeaa-7ee8d4302c1e) + ) + (bus_entry (at 66.04 109.22) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 094e0ea9-db00-47fc-9c7c-c59696de9bfd) + ) + (bus_entry (at 128.27 185.42) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 09915407-6420-4dd7-a8f4-9c1dce723a08) + ) + (bus_entry (at 30.48 114.3) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0bd97b84-5a7c-4cf4-8f52-ab4d5a855ba5) + ) + (bus_entry (at 30.48 106.68) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0f0482ad-d380-44c2-b81f-c22030772f63) + ) + (bus_entry (at 218.44 116.84) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 111cb4bd-d1b6-4d9a-87e3-980e41117b79) + ) + (bus_entry (at 218.44 48.26) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 113c53f0-ee59-49b0-87af-e033b904cb52) + ) + (bus_entry (at 66.04 180.34) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1172f091-c9a5-4043-9da7-2747886bad0c) + ) + (bus_entry (at 30.48 137.16) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 11e934c3-9ab7-43d9-a31a-19f447ec3741) + ) + (bus_entry (at 30.48 76.2) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 17a8e69b-ae90-4e30-82b1-853bc2695556) + ) + (bus_entry (at 30.48 144.78) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 185f6ee2-0558-4282-9c1d-8fac724c110c) + ) + (bus_entry (at 218.44 139.7) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1906a153-f37e-4273-a0d3-ceafe5481c72) + ) + (bus_entry (at 218.44 101.6) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1e347291-ef88-4c0d-9469-5bc6ae132290) + ) + (bus_entry (at 218.44 119.38) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1f02bbcd-78c9-4399-9fb0-ab2022b85f4d) + ) + (bus_entry (at 30.48 187.96) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 208df2be-6fed-4413-a644-c77c179e3578) + ) + (bus_entry (at 254 142.24) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 20904911-4196-4c28-8dce-db6498e3518d) + ) + (bus_entry (at 218.44 78.74) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 248bde68-a419-4b28-a027-fccd3cfdcef2) + ) + (bus_entry (at 30.48 71.12) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2612145c-c02c-4783-b9e3-fbe7cacc5caf) + ) + (bus_entry (at 66.04 170.18) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 261ef953-39fa-4f9a-8bc1-3523f2c7c53a) + ) + (bus_entry (at 66.04 78.74) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 279c1ffc-5d82-40eb-b813-01f3165de20b) + ) + (bus_entry (at 218.44 149.86) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 291b8c77-4308-4260-8d1e-4b1de5604af4) + ) + (bus_entry (at 66.04 139.7) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2acbb04a-9386-461c-9444-0b5dde183163) + ) + (bus_entry (at 254 50.8) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2af83205-5a4c-4772-9c03-f083e2f2b0ef) + ) + (bus_entry (at 218.44 88.9) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2ba6c29a-1705-4bad-8b38-837f79818775) + ) + (bus_entry (at 254 114.3) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 343f80a2-3dbd-437c-a6bd-de39580de7cb) + ) + (bus_entry (at 218.44 111.76) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 34850e40-ab91-46eb-ad8f-4594496e8d68) + ) + (bus_entry (at 254 99.06) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3b01d898-cd99-476d-bd3f-17e0cb3ff440) + ) + (bus_entry (at 218.44 109.22) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3b2a65f5-4b56-45c7-929b-16155698155d) + ) + (bus_entry (at 30.48 111.76) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3c505009-fe47-4a87-a2a8-9d52f422b5ce) + ) + (bus_entry (at 254 45.72) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3c818ec5-03ba-4cce-89c8-5ba0e76f1e59) + ) + (bus_entry (at 66.04 76.2) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3d59af0f-4506-4ca4-ad19-ff7fe32e54a0) + ) + (bus_entry (at 218.44 104.14) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3df18ef8-65ff-4e96-80a9-54233c869b6b) + ) + (bus_entry (at 66.04 185.42) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3ed12c74-d0c0-42e7-ba98-6a4e6a976edc) + ) + (bus_entry (at 254 139.7) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4247f396-ee30-4c9d-b6a8-84b94b877aca) + ) + (bus_entry (at 30.48 149.86) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4258446d-f3e9-4a76-8d51-677bd7739c4e) + ) + (bus_entry (at 218.44 58.42) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 42d2a7b5-16ce-46b8-a171-27d54136181d) + ) + (bus_entry (at 218.44 142.24) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 494538a6-3e33-4dbf-8a62-9dca05903ce8) + ) + (bus_entry (at 66.04 144.78) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4a3c0bd1-b8d9-4f28-bf4b-343f186b8a5e) + ) + (bus_entry (at 218.44 144.78) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4d6377db-7906-4a75-8e4e-9b97fcd2c144) + ) + (bus_entry (at 254 111.76) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 50cccb55-183c-4c97-a76a-500af3c36447) + ) + (bus_entry (at 30.48 172.72) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 51436245-7174-45ec-830c-fabbeba61573) + ) + (bus_entry (at 30.48 185.42) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 53006626-b695-49ca-86fc-e98fdf8a4a5c) + ) + (bus_entry (at 218.44 147.32) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 541fd779-25c3-47b6-ae83-49ec1711bbc3) + ) + (bus_entry (at 218.44 106.68) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 559b1779-9ed7-40fa-a9c9-a872ab9c37bd) + ) + (bus_entry (at 254 147.32) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 575cfd28-7eb8-4e88-bd87-45df0ee17311) + ) + (bus_entry (at 254 104.14) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5bd207e3-aa8d-464f-9992-07e17d078ff9) + ) + (bus_entry (at 254 116.84) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5d5afff0-bffb-46eb-9d26-8df3cde19835) + ) + (bus_entry (at 254 101.6) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5eda48d7-f22b-44dd-ae34-9124e7eb9565) + ) + (bus_entry (at 254 78.74) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5edcffcd-2628-4017-8155-4f9065e43693) + ) + (bus_entry (at 90.17 185.42) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5fca6ef2-9285-42da-a858-3f250b3ee9e7) + ) + (bus_entry (at 30.48 180.34) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 63780da4-4234-47a4-914b-e70e0fa7189c) + ) + (bus_entry (at 30.48 88.9) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 66badc3b-0443-49f8-92d3-dd0dca6f6194) + ) + (bus_entry (at 218.44 81.28) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 674ce793-9a2f-4fe5-a55b-81558289097a) + ) + (bus_entry (at 66.04 83.82) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 678a1bf0-aa7d-4997-b269-6722b241eb98) + ) + (bus_entry (at 218.44 86.36) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 691cc0fc-2a2e-413f-8090-ec255fd3c415) + ) + (bus_entry (at 254 129.54) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 69464074-745e-4258-bb33-dccd09ad41c8) + ) + (bus_entry (at 254 53.34) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6a2707eb-3cbf-472f-9fa6-1b8e3492a9e2) + ) + (bus_entry (at 66.04 154.94) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6a5f6370-1020-4eff-835a-c3d7ef951562) + ) + (bus_entry (at 254 76.2) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6a920d97-dd84-4aae-a816-edb376077d59) + ) + (bus_entry (at 66.04 104.14) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6db5c13f-938c-4a0e-8972-7540bf35e3d0) + ) + (bus_entry (at 30.48 73.66) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6e368fea-876f-425e-9db0-50063b61f0b7) + ) + (bus_entry (at 254 144.78) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6f34559e-a7c5-4ae4-8e6a-ac72b2258dca) + ) + (bus_entry (at 66.04 116.84) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6f38937b-2bdd-4b93-b550-0442852f544e) + ) + (bus_entry (at 30.48 119.38) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 70ac61aa-2794-42c1-aab1-72456656c72d) + ) + (bus_entry (at 90.17 180.34) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 72649e2f-7567-4807-bb1e-2b1a50abf199) + ) + (bus_entry (at 30.48 81.28) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 74deadcc-2fe2-40e3-98c2-e285a60fddb7) + ) + (bus_entry (at 218.44 137.16) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 772c7bee-57e1-4d18-b11e-154702756fbd) + ) + (bus_entry (at 90.17 187.96) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7775baf2-7823-4307-be11-a0131f44aa18) + ) + (bus_entry (at 66.04 177.8) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7d6121cf-6a5d-4d8a-bea9-c5233bfb31e4) + ) + (bus_entry (at 218.44 132.08) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8135bdb3-3c91-4ca1-99f1-8401ac5e882c) + ) + (bus_entry (at 30.48 170.18) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8499ab36-3c73-4eb2-90c9-d08f26fc8b48) + ) + (bus_entry (at 254 83.82) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 86367463-df2a-4166-a757-3bc9a65c9592) + ) + (bus_entry (at 66.04 121.92) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 86c864dd-3376-42d2-bacb-ab9956de969e) + ) + (bus_entry (at 66.04 152.4) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 88bd8111-de88-4c81-b2d6-08759c934f7f) + ) + (bus_entry (at 254 137.16) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 88e62225-6f82-47ff-8f5d-acf541a11fd2) + ) + (bus_entry (at 66.04 142.24) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 89956c0d-2bb4-4192-9fb1-ecf58ffeb7a4) + ) + (bus_entry (at 218.44 71.12) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8c253f36-6387-40b0-9379-197aa4421e04) + ) + (bus_entry (at 218.44 76.2) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 90fe87b4-e767-4e1d-8818-5fea538508dd) + ) + (bus_entry (at 30.48 142.24) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 911f8723-3e96-403b-8f17-c546acdf14fb) + ) + (bus_entry (at 66.04 147.32) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 972606b1-dbb9-4571-bb42-e1b8f45d13a4) + ) + (bus_entry (at 66.04 182.88) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9845f6cb-3b85-4896-ab96-6baedec5c3c6) + ) + (bus_entry (at 218.44 50.8) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 98e9d55e-fbd9-45df-882e-f2f510072e53) + ) + (bus_entry (at 66.04 86.36) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 99ec9bca-619b-4ddf-9cef-e1d9de00a8aa) + ) + (bus_entry (at 30.48 86.36) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9bc75934-da23-4b46-832e-3fdca5ec07f3) + ) + (bus_entry (at 30.48 78.74) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9e0f399c-88e4-44fd-bf5e-871882df0400) + ) + (bus_entry (at 254 68.58) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9f1ae360-4f79-4025-8c8a-585eb4c36a77) + ) + (bus_entry (at 254 43.18) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9f236e4e-e364-4657-aecf-09d3e76d4e17) + ) + (bus_entry (at 218.44 73.66) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid a31a9553-29ce-46fd-bdb4-42c28dfc47cd) + ) + (bus_entry (at 30.48 152.4) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid a473248b-c1f2-46df-bf22-6958bcb0abfd) + ) + (bus_entry (at 254 132.08) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a737bd08-76a6-4f42-a53b-187fba69897e) + ) + (bus_entry (at 66.04 175.26) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a8a110b2-e52d-49bb-80eb-26437bf110ae) + ) + (bus_entry (at 66.04 149.86) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a9bddfd5-02e7-45f4-bd58-3a5063c0f8f7) + ) + (bus_entry (at 30.48 177.8) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b1dab8a3-a6b2-4e0e-98aa-dcf374064f4c) + ) + (bus_entry (at 254 106.68) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b58c8c09-7962-4d3c-a000-63e467a4f834) + ) + (bus_entry (at 30.48 154.94) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b617c1e9-5e17-4d82-bcd3-156fe06f1e16) + ) + (bus_entry (at 30.48 104.14) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b766e3db-6107-4ba8-90c2-7a44541e17fd) + ) + (bus_entry (at 90.17 182.88) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid c0543d00-ee83-43b9-bc21-35ff51eb1092) + ) + (bus_entry (at 254 109.22) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c2f59f5e-66d7-4edb-85d8-a7dad0bca274) + ) + (bus_entry (at 66.04 119.38) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c3842903-a0d3-470d-b8fa-50f5b0da5c1c) + ) + (bus_entry (at 218.44 83.82) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid c597c339-eba2-4f06-8911-82d14e8e32e9) + ) + (bus_entry (at 128.27 187.96) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c64dba01-0a9f-460f-809b-04192980934e) + ) + (bus_entry (at 254 38.1) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c677073c-de2d-46b2-a4ef-914d6727ac9b) + ) + (bus_entry (at 128.27 182.88) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c7e41107-fb77-4bdb-9126-481667a756f2) + ) + (bus_entry (at 30.48 175.26) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid c9ea2136-a455-41ef-a3a8-696890b10c40) + ) + (bus_entry (at 254 40.64) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid cc52b866-dbeb-4e51-8955-293424f05809) + ) + (bus_entry (at 254 73.66) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid cc90a389-fcdd-4b40-8bbf-f5d2046d5e22) + ) + (bus_entry (at 30.48 116.84) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid cfebc94c-24dd-4394-ae3b-4aa42f9062a8) + ) + (bus_entry (at 218.44 55.88) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid d22708c0-e12d-4b33-8d13-b3165445ecdf) + ) + (bus_entry (at 30.48 139.7) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid d423dbea-05bb-4537-9f78-8a56dcd700c9) + ) + (bus_entry (at 66.04 106.68) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d4783b28-5620-4435-a1f4-2ed685882211) + ) + (bus_entry (at 254 55.88) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d500c7a0-c1d4-41fa-9b81-61e4656ffb16) + ) + (bus_entry (at 254 86.36) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d61a894d-dde7-446e-b820-2ab39173b45e) + ) + (bus_entry (at 66.04 111.76) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d6fdcd22-4aa7-42d6-b67e-046d5ee8e592) + ) + (bus_entry (at 128.27 180.34) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid dca0666a-2acf-4bb0-b9ed-84ea3534f513) + ) + (bus_entry (at 218.44 43.18) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid e1805ab2-d681-4249-80ea-e7a062519bc2) + ) + (bus_entry (at 66.04 88.9) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e58129f8-28f6-46f9-b9e3-17e11093d61e) + ) + (bus_entry (at 66.04 114.3) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e5ac88b3-0a40-4513-b577-76d2ec0d1918) + ) + (bus_entry (at 218.44 45.72) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid e654bfb6-df9f-4068-9020-2e106dfe17ee) + ) + (bus_entry (at 66.04 137.16) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e878d155-e201-46de-b9a1-9745ec7793ac) + ) + (bus_entry (at 128.27 177.8) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e8f146fc-e204-461d-a77d-bb4ed5a6e3a7) + ) + (bus_entry (at 254 71.12) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e9bf7ed1-6e23-4fd6-b2f6-674bc0eb624c) + ) + (bus_entry (at 254 134.62) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e9cb8d29-4002-4eb4-8741-883438619bc7) + ) + (bus_entry (at 90.17 177.8) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid ea8c2033-70f4-48ab-beb9-4f13ef59e9b8) + ) + (bus_entry (at 30.48 182.88) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid eae1153d-3d93-407c-a7c3-871c1e1aa5c7) + ) + (bus_entry (at 30.48 83.82) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid ebb35cd7-368f-45ff-a2f3-32724d366433) + ) + (bus_entry (at 218.44 134.62) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid ebc60052-cfda-4d4e-9821-7d1f6581c3bc) + ) + (bus_entry (at 30.48 109.22) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid ec2a4043-8931-4e4f-8d33-94dffd975193) + ) + (bus_entry (at 254 81.28) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f196a424-ef53-4a2f-a9e4-e64a74f014ab) + ) + (bus_entry (at 254 48.26) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f28834cc-f273-4943-8d3a-c34e24f31ecf) + ) + (bus_entry (at 66.04 172.72) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f5745268-b5e3-47d0-82fa-3cb9cbc8f985) + ) + (bus_entry (at 66.04 73.66) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f7ba2313-01bc-4872-acae-ab414c338a09) + ) + (bus_entry (at 66.04 71.12) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f7ba7de2-e254-4df9-8206-bf54354a377e) + ) + (bus_entry (at 218.44 40.64) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid f89e0a2a-a622-4a9a-bfed-5d360f009704) + ) + (bus_entry (at 66.04 81.28) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid fcbed079-2f3b-4d52-99c4-258fdc754942) + ) + + (bus (pts (xy 130.81 190.5) (xy 130.81 187.96)) + (stroke (width 0) (type default)) + (uuid 02000c33-f124-4b6a-b99f-826707e635ee) + ) + + (wire (pts (xy 85.09 144.78) (xy 100.33 144.78)) + (stroke (width 0) (type default)) + (uuid 02436deb-2a71-4198-af51-fac8c71580bc) + ) + (wire (pts (xy 58.42 111.76) (xy 66.04 111.76)) + (stroke (width 0) (type default)) + (uuid 02f6ad16-618e-4af1-b5ef-52d6634320cb) + ) + (bus (pts (xy 215.9 114.3) (xy 215.9 116.84)) + (stroke (width 0) (type default)) + (uuid 0362f84e-8862-4896-8ef2-9dba4b5608d4) + ) + + (wire (pts (xy 58.42 187.96) (xy 66.04 187.96)) + (stroke (width 0) (type default)) + (uuid 04c0a2dd-2483-4d90-85ff-336eb18d3734) + ) + (bus (pts (xy 215.9 147.32) (xy 215.9 149.86)) + (stroke (width 0) (type default)) + (uuid 082413c3-1f84-4bf6-a6ab-622c3b6469ed) + ) + + (wire (pts (xy 58.42 172.72) (xy 66.04 172.72)) + (stroke (width 0) (type default)) + (uuid 082aea60-c1b9-413c-81e1-b7fb5397f26a) + ) + (bus (pts (xy 215.9 144.78) (xy 215.9 147.32)) + (stroke (width 0) (type default)) + (uuid 08865e56-3097-4aa4-8f91-d2d074b0205d) + ) + + (wire (pts (xy 135.89 139.7) (xy 135.89 142.24)) + (stroke (width 0) (type default)) + (uuid 08b37cf8-c4a7-40cd-ab81-27f0dc80bc1f) + ) + (bus (pts (xy 215.9 53.34) (xy 215.9 55.88)) + (stroke (width 0) (type default)) + (uuid 0b0f140b-a580-4719-85db-e53d52001cd7) + ) + (bus (pts (xy 256.54 58.42) (xy 256.54 71.12)) + (stroke (width 0) (type default)) + (uuid 0b1aecd4-6586-46d1-b80c-9879dfc158f9) + ) + + (wire (pts (xy 58.42 163.83) (xy 58.42 167.64)) + (stroke (width 0) (type default)) + (uuid 0c05b789-452a-49f2-bd24-2bd917a996e8) + ) + (bus (pts (xy 27.94 121.92) (xy 27.94 124.46)) + (stroke (width 0) (type default)) + (uuid 0cb6ab6d-9363-47ac-97ef-e6b8923b6952) + ) + + (wire (pts (xy 246.38 55.88) (xy 254 55.88)) + (stroke (width 0) (type default)) + (uuid 0cdcaf7d-c9af-4a1c-8471-363ee1bd035a) + ) + (wire (pts (xy 226.06 71.12) (xy 218.44 71.12)) + (stroke (width 0) (type default)) + (uuid 0da41b73-bc63-4d4a-a09b-61fdbcd6e33e) + ) + (wire (pts (xy 38.1 119.38) (xy 30.48 119.38)) + (stroke (width 0) (type default)) + (uuid 0f21bb4f-fcbc-400c-a3ee-e7042bba80c3) + ) + (wire (pts (xy 135.89 137.16) (xy 135.89 139.7)) + (stroke (width 0) (type default)) + (uuid 0fb9f3ff-0515-4a67-8f21-05e5a8b9968f) + ) + (wire (pts (xy 38.1 172.72) (xy 30.48 172.72)) + (stroke (width 0) (type default)) + (uuid 12b8c2f4-6272-4d3a-ab6e-2c2abc35bc78) + ) + (wire (pts (xy 100.33 154.94) (xy 85.09 154.94)) + (stroke (width 0) (type default)) + (uuid 1536f9e2-c194-463b-937a-dd58de8b8a7d) + ) + (bus (pts (xy 68.58 142.24) (xy 68.58 144.78)) + (stroke (width 0) (type default)) + (uuid 163625d4-3b10-4555-818f-3d97853c71da) + ) + + (wire (pts (xy 60.96 130.81) (xy 58.42 130.81)) + (stroke (width 0) (type default)) + (uuid 163671db-2e53-487a-8f54-ea8a5d79fd92) + ) + (bus (pts (xy 256.54 83.82) (xy 256.54 86.36)) + (stroke (width 0) (type default)) + (uuid 16b667b2-3605-4f71-a7c5-27fe13c6bc7e) + ) + (bus (pts (xy 215.9 119.38) (xy 215.9 121.92)) + (stroke (width 0) (type default)) + (uuid 16cc7e03-2389-40f6-8a9b-e5d17602e5c9) + ) + (bus (pts (xy 215.9 45.72) (xy 215.9 48.26)) + (stroke (width 0) (type default)) + (uuid 18356e47-f9d5-4163-b1e9-55560f499e44) + ) + + (wire (pts (xy 246.38 114.3) (xy 254 114.3)) + (stroke (width 0) (type default)) + (uuid 18386ac7-c76e-4beb-8cc4-3b7a8e17764c) + ) + (bus (pts (xy 68.58 187.96) (xy 68.58 190.5)) + (stroke (width 0) (type default)) + (uuid 188b28f9-c597-4f01-85b6-2341c7f2587c) + ) + (bus (pts (xy 68.58 172.72) (xy 68.58 175.26)) + (stroke (width 0) (type default)) + (uuid 18eede8f-a9ea-43a4-922d-4f24907e3630) + ) + + (wire (pts (xy 38.1 154.94) (xy 30.48 154.94)) + (stroke (width 0) (type default)) + (uuid 1a7f7c95-8e69-4633-9fbd-d3121b5ed58e) + ) + (wire (pts (xy 246.38 78.74) (xy 254 78.74)) + (stroke (width 0) (type default)) + (uuid 1a85e222-7fff-49ec-b303-07b4d63e465b) + ) + (bus (pts (xy 68.58 121.92) (xy 68.58 124.46)) + (stroke (width 0) (type default)) + (uuid 1babe712-41b6-4610-a802-74d30105eddd) + ) + + (wire (pts (xy 135.89 144.78) (xy 135.89 149.86)) + (stroke (width 0) (type default)) + (uuid 1bb2aaee-cb6d-4f3c-9fd3-45279e53622b) + ) + (bus (pts (xy 27.94 177.8) (xy 27.94 180.34)) + (stroke (width 0) (type default)) + (uuid 1c19a60a-f339-4eeb-819f-ae076664fdb4) + ) + + (wire (pts (xy 226.06 58.42) (xy 218.44 58.42)) + (stroke (width 0) (type default)) + (uuid 1c2a6d81-d83b-49f6-8033-95a9daa45196) + ) + (bus (pts (xy 256.54 76.2) (xy 256.54 78.74)) + (stroke (width 0) (type default)) + (uuid 1c4398b3-4676-4fba-864a-a52d5cd84552) + ) + + (wire (pts (xy -46.99 157.48) (xy -36.83 157.48)) + (stroke (width 0) (type default)) + (uuid 1c549eca-05b8-4c49-a7b0-71d67956e3ac) + ) + (wire (pts (xy 246.38 116.84) (xy 254 116.84)) + (stroke (width 0) (type default)) + (uuid 1cbcfd97-602c-4985-9f71-6bd6f0bbb385) + ) + (bus (pts (xy 256.54 55.88) (xy 256.54 58.42)) + (stroke (width 0) (type default)) + (uuid 1cfc7f90-5f28-4806-8ff4-d700ce49e87a) + ) + + (wire (pts (xy 58.42 76.2) (xy 66.04 76.2)) + (stroke (width 0) (type default)) + (uuid 1dce3c01-71fc-4b55-986a-cb6b99a44f1e) + ) + (bus (pts (xy 68.58 149.86) (xy 68.58 152.4)) + (stroke (width 0) (type default)) + (uuid 202201db-55df-4c9c-a603-d8b150514d08) + ) + (bus (pts (xy 130.81 182.88) (xy 130.81 180.34)) + (stroke (width 0) (type default)) + (uuid 224f6c12-baa6-4ed1-af73-df60702e1f43) + ) + (bus (pts (xy 215.9 43.18) (xy 215.9 45.72)) + (stroke (width 0) (type default)) + (uuid 22894523-667c-4145-bf05-1fd3222ba859) + ) + (bus (pts (xy 27.94 114.3) (xy 27.94 116.84)) + (stroke (width 0) (type default)) + (uuid 22b05501-441c-43c0-9b86-f77b5ed9e0a3) + ) + (bus (pts (xy 215.9 83.82) (xy 215.9 86.36)) + (stroke (width 0) (type default)) + (uuid 239686cd-a8cb-4446-982c-22bc8ec9084f) + ) + + (wire (pts (xy 135.89 142.24) (xy 120.65 142.24)) + (stroke (width 0) (type default)) + (uuid 2399b4b2-87f0-4822-a9af-b809522305e3) + ) + (wire (pts (xy -57.15 152.4) (xy -46.99 152.4)) + (stroke (width 0) (type default)) + (uuid 23e2316e-7b48-4b42-a1bb-fe5ce2027eb0) + ) + (wire (pts (xy 100.33 137.16) (xy 85.09 137.16)) + (stroke (width 0) (type default)) + (uuid 2609982b-6b51-4036-bf75-160a6abc5781) + ) + (wire (pts (xy 123.19 170.18) (xy 120.65 170.18)) + (stroke (width 0) (type default)) + (uuid 280918bc-02d5-4d39-b07d-364a76d8f20e) + ) + (wire (pts (xy 58.42 175.26) (xy 66.04 175.26)) + (stroke (width 0) (type default)) + (uuid 28a01201-ac89-46fe-a4f8-0c9997b07b4b) + ) + (wire (pts (xy 58.42 104.14) (xy 66.04 104.14)) + (stroke (width 0) (type default)) + (uuid 28f89fc1-dd04-4671-be38-99d7d4dc7c31) + ) + (wire (pts (xy 38.1 78.74) (xy 30.48 78.74)) + (stroke (width 0) (type default)) + (uuid 292fc03b-cbd1-470d-8962-c84f9eb773b9) + ) + (bus (pts (xy 215.9 111.76) (xy 215.9 114.3)) + (stroke (width 0) (type default)) + (uuid 29ee440d-b911-48a8-af51-0e1ee9881950) + ) + (bus (pts (xy 69.85 73.66) (xy 68.58 73.66)) + (stroke (width 0) (type default)) + (uuid 2ae2a7c9-6562-4ca2-8e69-4f13ef9efc3a) + ) + + (wire (pts (xy 226.06 132.08) (xy 218.44 132.08)) + (stroke (width 0) (type default)) + (uuid 2b4ad290-859b-44d2-a797-29cba2fac7d4) + ) + (wire (pts (xy 123.19 163.83) (xy 120.65 163.83)) + (stroke (width 0) (type default)) + (uuid 2ba540d6-faf8-42db-924e-c113b667a693) + ) + (bus (pts (xy 27.94 83.82) (xy 27.94 86.36)) + (stroke (width 0) (type default)) + (uuid 2def0413-c241-40c1-836a-a0250d170062) + ) + + (wire (pts (xy -46.99 162.56) (xy -36.83 162.56)) + (stroke (width 0) (type default)) + (uuid 2f67daaf-3a10-4601-b9c0-e3bcf8fa162e) + ) + (wire (pts (xy 246.38 40.64) (xy 254 40.64)) + (stroke (width 0) (type default)) + (uuid 3032af7d-987b-43f7-ae76-57eed3d1a7b5) + ) + (bus (pts (xy 256.54 104.14) (xy 256.54 106.68)) + (stroke (width 0) (type default)) + (uuid 3056bae0-5635-40af-a959-a0f2678fe46b) + ) + (bus (pts (xy 68.58 109.22) (xy 68.58 111.76)) + (stroke (width 0) (type default)) + (uuid 30ce2a5f-bf66-413b-8e54-742f94bb8bb9) + ) + (bus (pts (xy 27.94 91.44) (xy 27.94 106.68)) + (stroke (width 0) (type default)) + (uuid 31301c02-3222-4643-b659-426d2388a680) + ) + + (wire (pts (xy 58.42 142.24) (xy 66.04 142.24)) + (stroke (width 0) (type default)) + (uuid 31850559-5461-49fa-bb56-9483273cfb6d) + ) + (bus (pts (xy 130.81 185.42) (xy 130.81 182.88)) + (stroke (width 0) (type default)) + (uuid 321fb993-f3f0-45f7-9557-240ca037e209) + ) + + (wire (pts (xy 226.06 137.16) (xy 218.44 137.16)) + (stroke (width 0) (type default)) + (uuid 324274a2-b92b-4e90-928a-ed8fbcc63a50) + ) + (bus (pts (xy 215.9 104.14) (xy 215.9 106.68)) + (stroke (width 0) (type default)) + (uuid 34523b15-6570-4a6e-9495-7afdbf67439f) + ) + (bus (pts (xy 27.94 180.34) (xy 27.94 182.88)) + (stroke (width 0) (type default)) + (uuid 345d70eb-e9a4-4e40-a8ef-71b86117d0c7) + ) + + (wire (pts (xy 38.1 185.42) (xy 30.48 185.42)) + (stroke (width 0) (type default)) + (uuid 34ff6186-c230-447d-a48c-ef1905babba6) + ) + (wire (pts (xy 38.1 86.36) (xy 30.48 86.36)) + (stroke (width 0) (type default)) + (uuid 3531cfbd-ff16-4591-92f5-26564627de5f) + ) + (bus (pts (xy 68.58 180.34) (xy 68.58 182.88)) + (stroke (width 0) (type default)) + (uuid 35af8ade-91b2-41ea-bbeb-dfda124f1a7b) + ) + + (wire (pts (xy 246.38 101.6) (xy 254 101.6)) + (stroke (width 0) (type default)) + (uuid 366682f5-b4cd-4e05-b7f5-205d08879a39) + ) + (bus (pts (xy 27.94 157.48) (xy 27.94 172.72)) + (stroke (width 0) (type default)) + (uuid 366d4ddc-68da-453e-b08e-1a4f21b535c7) + ) + (bus (pts (xy 27.94 119.38) (xy 27.94 121.92)) + (stroke (width 0) (type default)) + (uuid 3739d25a-1818-46dc-9fa2-8a0c9efc0596) + ) + (bus (pts (xy 215.9 60.96) (xy 215.9 73.66)) + (stroke (width 0) (type default)) + (uuid 37ef13ab-3e6d-4834-a94f-888727cb074a) + ) + + (wire (pts (xy 85.09 144.78) (xy 85.09 149.86)) + (stroke (width 0) (type default)) + (uuid 384d9869-ebc9-4a0d-81a2-3122ae6993d7) + ) + (wire (pts (xy 226.06 119.38) (xy 218.44 119.38)) + (stroke (width 0) (type default)) + (uuid 3a7908a1-a1d9-4e2d-8799-37f6fd60e25d) + ) + (bus (pts (xy 27.94 116.84) (xy 27.94 119.38)) + (stroke (width 0) (type default)) + (uuid 3b1c527e-3e99-40ac-a3e8-bccdebad03cb) + ) + + (wire (pts (xy 58.42 185.42) (xy 66.04 185.42)) + (stroke (width 0) (type default)) + (uuid 3b52aac6-61ff-40aa-89d8-46090b747fc5) + ) + (bus (pts (xy 132.08 180.34) (xy 130.81 180.34)) + (stroke (width 0) (type default)) + (uuid 3b8dc76c-4b44-472c-a476-2268d92a3edd) + ) + + (wire (pts (xy 246.38 81.28) (xy 254 81.28)) + (stroke (width 0) (type default)) + (uuid 3b90e798-ed79-406d-af88-ea27e22056d7) + ) + (bus (pts (xy 27.94 147.32) (xy 27.94 149.86)) + (stroke (width 0) (type default)) + (uuid 3bca68f7-aa78-45d5-8f1c-5ac23bdb38ea) + ) + + (wire (pts (xy 120.65 180.34) (xy 128.27 180.34)) + (stroke (width 0) (type default)) + (uuid 3e2cff40-08f6-413e-a09f-9d5073331b36) + ) + (wire (pts (xy -57.15 147.32) (xy -46.99 147.32)) + (stroke (width 0) (type default)) + (uuid 3ede729d-f701-4aa1-b4b5-1b2cf1eb1048) + ) + (wire (pts (xy 120.65 154.94) (xy 135.89 154.94)) + (stroke (width 0) (type default)) + (uuid 3ee88159-53aa-4964-8a4c-04a919fdc986) + ) + (wire (pts (xy 128.27 182.88) (xy 120.65 182.88)) + (stroke (width 0) (type default)) + (uuid 3f7dcd0e-faf9-4e22-8421-83e07d7bdbae) + ) + (bus (pts (xy 27.94 109.22) (xy 27.94 111.76)) + (stroke (width 0) (type default)) + (uuid 406d505b-ac5d-4404-a36e-8f41fab9279a) + ) + + (wire (pts (xy -46.99 147.32) (xy -36.83 147.32)) + (stroke (width 0) (type default)) + (uuid 40f294b8-b40b-467e-95b3-f601c8d468d7) + ) + (bus (pts (xy 256.54 137.16) (xy 256.54 139.7)) + (stroke (width 0) (type default)) + (uuid 418061b9-fae4-4d42-ba9c-c2b02bf03a89) + ) + + (wire (pts (xy 246.38 38.1) (xy 254 38.1)) + (stroke (width 0) (type default)) + (uuid 41adadd1-5cee-4445-bb90-1b9fca484dd7) + ) + (bus (pts (xy 68.58 73.66) (xy 68.58 76.2)) + (stroke (width 0) (type default)) + (uuid 42c27a28-7054-4746-ad42-d452c24ce6eb) + ) + + (wire (pts (xy 38.1 109.22) (xy 30.48 109.22)) + (stroke (width 0) (type default)) + (uuid 43271e5d-fc4c-4d47-ab36-99fff6ea308a) + ) + (bus (pts (xy 68.58 76.2) (xy 68.58 78.74)) + (stroke (width 0) (type default)) + (uuid 444ff8f9-df77-4431-8cae-6929c827b53f) + ) + (bus (pts (xy 68.58 83.82) (xy 68.58 86.36)) + (stroke (width 0) (type default)) + (uuid 447f0d3e-19cb-4fd5-b138-91179450980d) + ) + + (wire (pts (xy 246.38 99.06) (xy 254 99.06)) + (stroke (width 0) (type default)) + (uuid 458ffa15-343e-436b-b931-002bda2b5a53) + ) + (wire (pts (xy 90.17 185.42) (xy 100.33 185.42)) + (stroke (width 0) (type default)) + (uuid 45f2eda9-3b22-4b9d-b7ff-079cfe7d159d) + ) + (wire (pts (xy 226.06 116.84) (xy 218.44 116.84)) + (stroke (width 0) (type default)) + (uuid 47604f04-5654-4608-bb26-0d8059a05b8f) + ) + (bus (pts (xy 27.94 172.72) (xy 27.94 175.26)) + (stroke (width 0) (type default)) + (uuid 494857b3-1ca7-4eaf-b3f8-4165ee330551) + ) + + (wire (pts (xy 246.38 50.8) (xy 254 50.8)) + (stroke (width 0) (type default)) + (uuid 49fe0eff-e635-4ef7-8369-255338bf3b0b) + ) + (wire (pts (xy 85.09 154.94) (xy 85.09 149.86)) + (stroke (width 0) (type default)) + (uuid 4ab8634e-5c13-4def-8162-91558d2ee3fd) + ) + (wire (pts (xy 135.89 134.62) (xy 135.89 137.16)) + (stroke (width 0) (type default)) + (uuid 4cc67ed9-d08c-4143-8a93-f3999e3da8e2) + ) + (wire (pts (xy 90.17 187.96) (xy 100.33 187.96)) + (stroke (width 0) (type default)) + (uuid 4d2c41e5-0885-4e33-9917-94295f24c262) + ) + (bus (pts (xy 215.9 73.66) (xy 215.9 76.2)) + (stroke (width 0) (type default)) + (uuid 4d72e79e-e52d-4046-a10f-344474ce5410) + ) + + (wire (pts (xy 226.06 149.86) (xy 218.44 149.86)) + (stroke (width 0) (type default)) + (uuid 4dc5425b-142b-40e1-a12c-e9c6651caa3d) + ) + (wire (pts (xy 38.1 111.76) (xy 30.48 111.76)) + (stroke (width 0) (type default)) + (uuid 4e16973d-5ec0-4edb-b007-baac7f4c505e) + ) + (wire (pts (xy 58.42 154.94) (xy 66.04 154.94)) + (stroke (width 0) (type default)) + (uuid 4e3c55ba-ac46-41aa-b6e3-bd6804283edd) + ) + (bus (pts (xy 256.54 132.08) (xy 256.54 134.62)) + (stroke (width 0) (type default)) + (uuid 4eeb279d-6d62-4f7b-8c89-b1c379cec037) + ) + + (wire (pts (xy 38.1 88.9) (xy 30.48 88.9)) + (stroke (width 0) (type default)) + (uuid 4eff55d2-718c-4621-adc7-744e69986bc6) + ) + (wire (pts (xy 135.89 137.16) (xy 120.65 137.16)) + (stroke (width 0) (type default)) + (uuid 4f89e8b4-6cd7-4fed-ad70-019c211cf5e6) + ) + (wire (pts (xy 135.89 142.24) (xy 135.89 144.78)) + (stroke (width 0) (type default)) + (uuid 4fe7423b-8b26-41e6-9c91-f4f90c6a93fd) + ) + (bus (pts (xy 27.94 185.42) (xy 27.94 187.96)) + (stroke (width 0) (type default)) + (uuid 4ffd39e5-0680-4ee4-83d8-55334dfe46fc) + ) + (bus (pts (xy 27.94 106.68) (xy 27.94 109.22)) + (stroke (width 0) (type default)) + (uuid 5098fbf6-a654-4c1c-9279-19478a8ef945) + ) + (bus (pts (xy 27.94 149.86) (xy 27.94 152.4)) + (stroke (width 0) (type default)) + (uuid 50a8189e-faa3-4b9f-9b50-2a5f32b95ff8) + ) + (bus (pts (xy 26.67 73.66) (xy 27.94 73.66)) + (stroke (width 0) (type default)) + (uuid 50cf86b0-3c0d-4fb1-9327-389f01961d96) + ) + + (wire (pts (xy -67.31 162.56) (xy -57.15 162.56)) + (stroke (width 0) (type default)) + (uuid 50dca188-b454-4937-816e-a0d41d1ac509) + ) + (wire (pts (xy 226.06 114.3) (xy 218.44 114.3)) + (stroke (width 0) (type default)) + (uuid 529587ad-1777-48e4-8662-1dc03501ca58) + ) + (wire (pts (xy 58.42 130.81) (xy 58.42 134.62)) + (stroke (width 0) (type default)) + (uuid 5365ac0c-9d80-489e-aeab-55e82497b50c) + ) + (bus (pts (xy 215.9 55.88) (xy 215.9 58.42)) + (stroke (width 0) (type default)) + (uuid 53af4ac4-6ee3-4185-964e-b1ef0332afee) + ) + (bus (pts (xy 68.58 152.4) (xy 68.58 154.94)) + (stroke (width 0) (type default)) + (uuid 5598b862-65a1-46af-afe0-f9dad1977160) + ) + (bus (pts (xy 27.94 73.66) (xy 27.94 76.2)) + (stroke (width 0) (type default)) + (uuid 56567f98-ec8d-4809-9faa-184a53c072fd) + ) + (bus (pts (xy 215.9 88.9) (xy 215.9 91.44)) + (stroke (width 0) (type default)) + (uuid 57164838-6429-454e-bb33-fbe250201c25) + ) + + (wire (pts (xy 58.42 147.32) (xy 66.04 147.32)) + (stroke (width 0) (type default)) + (uuid 573d5e80-44e6-4c03-aca5-ae9fd556e55f) + ) + (bus (pts (xy 215.9 134.62) (xy 215.9 137.16)) + (stroke (width 0) (type default)) + (uuid 58465944-96e9-4c63-883a-03574997bf60) + ) + + (wire (pts (xy 38.1 175.26) (xy 30.48 175.26)) + (stroke (width 0) (type default)) + (uuid 593abb21-6ca5-429c-a654-6140c2f03790) + ) + (wire (pts (xy -36.83 147.32) (xy -26.67 147.32)) + (stroke (width 0) (type default)) + (uuid 5a006c26-f239-415f-a9ad-63f824a2fc95) + ) + (bus (pts (xy 27.94 175.26) (xy 27.94 177.8)) + (stroke (width 0) (type default)) + (uuid 5a620485-eef7-474e-b62f-7ee4c6adbeda) + ) + + (wire (pts (xy 38.1 71.12) (xy 30.48 71.12)) + (stroke (width 0) (type default)) + (uuid 5ab446d2-230c-4379-8b9b-96d3e0d2c99b) + ) + (wire (pts (xy 123.19 172.72) (xy 121.92 172.72)) + (stroke (width 0) (type default)) + (uuid 5ac122dd-76c8-48c4-9c56-5d627e2a726e) + ) + (wire (pts (xy 38.1 137.16) (xy 30.48 137.16)) + (stroke (width 0) (type default)) + (uuid 5aed7716-965a-4b7f-950a-b7e6e35293de) + ) + (wire (pts (xy -46.99 152.4) (xy -36.83 152.4)) + (stroke (width 0) (type default)) + (uuid 5b6bd0c3-66d6-4d4c-90f9-1c46dbb5a0d2) + ) + (wire (pts (xy 226.06 55.88) (xy 218.44 55.88)) + (stroke (width 0) (type default)) + (uuid 5b80a522-57ac-4c66-a302-5d731deecde4) + ) + (wire (pts (xy 135.89 144.78) (xy 120.65 144.78)) + (stroke (width 0) (type default)) + (uuid 5cdb5283-1743-40cd-9847-ea9efdecb42c) + ) + (bus (pts (xy 256.54 111.76) (xy 256.54 114.3)) + (stroke (width 0) (type default)) + (uuid 5cde1129-8713-4671-aa34-de551a2551a2) + ) + (bus (pts (xy 68.58 119.38) (xy 68.58 121.92)) + (stroke (width 0) (type default)) + (uuid 5d5abfed-841a-4c0d-9a19-bd1a75ce0782) + ) + + (wire (pts (xy 85.09 137.16) (xy 85.09 139.7)) + (stroke (width 0) (type default)) + (uuid 5e17be31-bac8-486c-8dcc-26e91d8353e5) + ) + (wire (pts (xy -67.31 147.32) (xy -57.15 147.32)) + (stroke (width 0) (type default)) + (uuid 5f4e3b3b-ed38-4384-b5f6-b8afb573acce) + ) + (bus (pts (xy 256.54 86.36) (xy 256.54 88.9)) + (stroke (width 0) (type default)) + (uuid 6168b34e-df48-4870-8a5b-bce39b95bc44) + ) + (bus (pts (xy 256.54 116.84) (xy 256.54 119.38)) + (stroke (width 0) (type default)) + (uuid 61d6c922-29b0-4082-a6c6-4019a8d0bb80) + ) + (bus (pts (xy 27.94 154.94) (xy 27.94 157.48)) + (stroke (width 0) (type default)) + (uuid 62e37b30-3f64-469b-b3b0-413e92f651b1) + ) + (bus (pts (xy 256.54 109.22) (xy 256.54 111.76)) + (stroke (width 0) (type default)) + (uuid 65d8a919-e908-49b5-a160-775c0e566278) + ) + + (wire (pts (xy 135.89 149.86) (xy 120.65 149.86)) + (stroke (width 0) (type default)) + (uuid 663d082c-9027-4262-be4b-289848a4933a) + ) + (wire (pts (xy 226.06 144.78) (xy 218.44 144.78)) + (stroke (width 0) (type default)) + (uuid 66421523-8219-4443-9f01-8a0399f7128d) + ) + (bus (pts (xy 68.58 144.78) (xy 68.58 147.32)) + (stroke (width 0) (type default)) + (uuid 6684291f-78fb-4a84-9cfc-d3f1ac2ba4b5) + ) + (bus (pts (xy 68.58 111.76) (xy 68.58 114.3)) + (stroke (width 0) (type default)) + (uuid 6690431d-bab4-44d6-af02-410ecde4ba59) + ) + (bus (pts (xy 256.54 48.26) (xy 256.54 50.8)) + (stroke (width 0) (type default)) + (uuid 66a62d18-34d2-4e14-b912-534486200b8e) + ) + (bus (pts (xy 214.63 43.18) (xy 215.9 43.18)) + (stroke (width 0) (type default)) + (uuid 66c687b0-d172-4ed3-b574-163fe7054f9c) + ) + + (wire (pts (xy 58.42 106.68) (xy 66.04 106.68)) + (stroke (width 0) (type default)) + (uuid 67430646-4fc1-47fb-9800-89cd553e9084) + ) + (bus (pts (xy 215.9 91.44) (xy 215.9 104.14)) + (stroke (width 0) (type default)) + (uuid 689f948a-a133-4887-a638-b1c365d72112) + ) + (bus (pts (xy 256.54 139.7) (xy 256.54 142.24)) + (stroke (width 0) (type default)) + (uuid 68c2fb7b-6250-4a22-861d-eee57e9a4356) + ) + (bus (pts (xy 215.9 106.68) (xy 215.9 109.22)) + (stroke (width 0) (type default)) + (uuid 68dd87e3-714a-4c47-954f-1e88671a159d) + ) + + (wire (pts (xy 246.38 73.66) (xy 254 73.66)) + (stroke (width 0) (type default)) + (uuid 6a374ec7-5dc0-4208-9204-96145d68bb51) + ) + (bus (pts (xy 68.58 78.74) (xy 68.58 81.28)) + (stroke (width 0) (type default)) + (uuid 6b892160-8fe2-4bcf-bb04-01ccf40294ed) + ) + + (wire (pts (xy 58.42 86.36) (xy 66.04 86.36)) + (stroke (width 0) (type default)) + (uuid 6b9447df-b65e-4a4e-9baf-d3b780a14d6e) + ) + (wire (pts (xy -26.67 152.4) (xy -16.51 152.4)) + (stroke (width 0) (type default)) + (uuid 6bf324ec-abd7-4253-a1c6-39df7a77eadf) + ) + (bus (pts (xy 87.63 182.88) (xy 87.63 180.34)) + (stroke (width 0) (type default)) + (uuid 6c5f971d-778d-4ed3-802f-09b8a30eca09) + ) + + (wire (pts (xy 226.06 73.66) (xy 218.44 73.66)) + (stroke (width 0) (type default)) + (uuid 6dfb140e-6379-4523-ba3c-08247b37da2b) + ) + (bus (pts (xy 256.54 40.64) (xy 256.54 43.18)) + (stroke (width 0) (type default)) + (uuid 7090d218-be83-4b5b-b736-24ad79edbe83) + ) + + (wire (pts (xy 58.42 180.34) (xy 66.04 180.34)) + (stroke (width 0) (type default)) + (uuid 759f702e-afc4-4145-b573-a29c2523ac2c) + ) + (bus (pts (xy 27.94 182.88) (xy 27.94 185.42)) + (stroke (width 0) (type default)) + (uuid 75b03065-8a48-49cb-b3e1-27db753cc26e) + ) + + (wire (pts (xy 246.38 144.78) (xy 254 144.78)) + (stroke (width 0) (type default)) + (uuid 75fc7fd8-6967-4a79-baf0-ca97aa4f7f0d) + ) + (wire (pts (xy -67.31 152.4) (xy -57.15 152.4)) + (stroke (width 0) (type default)) + (uuid 771a4a4e-a947-4d89-bc13-0bbc3f21af25) + ) + (wire (pts (xy 246.38 53.34) (xy 254 53.34)) + (stroke (width 0) (type default)) + (uuid 787a82e7-0365-4c58-bb85-557a2e539b4d) + ) + (wire (pts (xy 85.09 149.86) (xy 100.33 149.86)) + (stroke (width 0) (type default)) + (uuid 79f1c45f-baf5-4472-9431-898fd9929f8e) + ) + (bus (pts (xy 256.54 106.68) (xy 256.54 109.22)) + (stroke (width 0) (type default)) + (uuid 79f76d47-f86d-4cde-ab41-e767c8fd97f4) + ) + + (wire (pts (xy 226.06 86.36) (xy 218.44 86.36)) + (stroke (width 0) (type default)) + (uuid 79fc3d85-041b-42d0-8ea1-08832d3707f4) + ) + (wire (pts (xy 58.42 144.78) (xy 66.04 144.78)) + (stroke (width 0) (type default)) + (uuid 7a4eb319-a94e-4d3a-9605-126ec6ccc807) + ) + (wire (pts (xy 58.42 114.3) (xy 66.04 114.3)) + (stroke (width 0) (type default)) + (uuid 7ba25066-1291-486b-9b03-f6c810db7560) + ) + (bus (pts (xy 256.54 53.34) (xy 256.54 55.88)) + (stroke (width 0) (type default)) + (uuid 7ba358d4-89ea-4967-9f22-ff19ce9459c3) + ) + (bus (pts (xy 27.94 144.78) (xy 27.94 147.32)) + (stroke (width 0) (type default)) + (uuid 7bb28327-d815-4690-a3fe-a07bd9f24b75) + ) + (bus (pts (xy 256.54 71.12) (xy 256.54 73.66)) + (stroke (width 0) (type default)) + (uuid 7cbbb2be-a524-4c10-83fc-fcf646d022da) + ) + (bus (pts (xy 27.94 86.36) (xy 27.94 88.9)) + (stroke (width 0) (type default)) + (uuid 7ccd4ec9-a3fa-465d-8508-272823c829e1) + ) + + (wire (pts (xy -67.31 157.48) (xy -57.15 157.48)) + (stroke (width 0) (type default)) + (uuid 7ddc830b-841e-4fb9-b610-91f788afed5f) + ) + (wire (pts (xy 246.38 45.72) (xy 254 45.72)) + (stroke (width 0) (type default)) + (uuid 7ea1503b-414c-4027-a93c-9ab2b6716f5d) + ) + (wire (pts (xy 38.1 177.8) (xy 30.48 177.8)) + (stroke (width 0) (type default)) + (uuid 7f7251fb-fe97-42ed-8bb1-6e5c4e6eb7a2) + ) + (wire (pts (xy 58.42 152.4) (xy 66.04 152.4)) + (stroke (width 0) (type default)) + (uuid 8084b4d7-28f8-4117-b9b2-bb0399727f78) + ) + (bus (pts (xy 68.58 116.84) (xy 68.58 119.38)) + (stroke (width 0) (type default)) + (uuid 81b64f19-2bf5-47b9-8d3d-dcc9cd8f208f) + ) + + (wire (pts (xy 38.1 180.34) (xy 30.48 180.34)) + (stroke (width 0) (type default)) + (uuid 825555d9-a1cc-49f3-abc0-2479f7b4e800) + ) + (wire (pts (xy 226.06 43.18) (xy 218.44 43.18)) + (stroke (width 0) (type default)) + (uuid 82bf9a62-ecc2-431c-9f34-0b1e5c68c6f1) + ) + (bus (pts (xy 215.9 109.22) (xy 215.9 111.76)) + (stroke (width 0) (type default)) + (uuid 83dce8ae-199f-432a-9777-2503a8109852) + ) + (bus (pts (xy 256.54 119.38) (xy 256.54 132.08)) + (stroke (width 0) (type default)) + (uuid 84c9c790-b5c7-40b2-b8eb-ff4e628a3884) + ) + + (wire (pts (xy 120.65 172.72) (xy 121.92 172.72)) + (stroke (width 0) (type default)) + (uuid 87035bcd-5380-4cf3-882a-aa64d59cd67f) + ) + (bus (pts (xy 215.9 121.92) (xy 215.9 134.62)) + (stroke (width 0) (type default)) + (uuid 87466456-5aa2-4219-8c58-4f5ec47a0899) + ) + + (wire (pts (xy 128.27 177.8) (xy 120.65 177.8)) + (stroke (width 0) (type default)) + (uuid 89bc5376-4ccc-4cc4-a740-39076d565fee) + ) + (wire (pts (xy 135.89 134.62) (xy 138.43 134.62)) + (stroke (width 0) (type default)) + (uuid 8a4917ac-4e33-4ba3-95d1-5d8921b90bb0) + ) + (wire (pts (xy 120.65 163.83) (xy 120.65 167.64)) + (stroke (width 0) (type default)) + (uuid 8a715f7b-b8eb-44bf-a364-33312fb90e2a) + ) + (wire (pts (xy 226.06 78.74) (xy 218.44 78.74)) + (stroke (width 0) (type default)) + (uuid 8b2d7f8b-2e21-450f-8f8a-d1704565f171) + ) + (bus (pts (xy 68.58 185.42) (xy 68.58 187.96)) + (stroke (width 0) (type default)) + (uuid 8b59872a-4a1d-4490-baed-9b907ab5acc6) + ) + (bus (pts (xy 27.94 111.76) (xy 27.94 114.3)) + (stroke (width 0) (type default)) + (uuid 8b965c8e-737a-4506-9c0f-0b9cb2413ee1) + ) + + (wire (pts (xy 38.1 152.4) (xy 30.48 152.4)) + (stroke (width 0) (type default)) + (uuid 8bb42b37-6e55-483f-8e84-2ab2f41ed618) + ) + (wire (pts (xy 246.38 48.26) (xy 254 48.26)) + (stroke (width 0) (type default)) + (uuid 8c610c44-3277-454f-9892-6b7ed1230433) + ) + (bus (pts (xy 215.9 137.16) (xy 215.9 139.7)) + (stroke (width 0) (type default)) + (uuid 8d23e840-80db-454b-8e2c-09e7449a942e) + ) + (bus (pts (xy 215.9 81.28) (xy 215.9 83.82)) + (stroke (width 0) (type default)) + (uuid 8dd95ccd-4b63-4438-b31c-3e756cddd482) + ) + + (wire (pts (xy 60.96 97.79) (xy 58.42 97.79)) + (stroke (width 0) (type default)) + (uuid 8de340a2-14fd-4a70-b531-8ef69be59ad1) + ) + (wire (pts (xy 226.06 142.24) (xy 218.44 142.24)) + (stroke (width 0) (type default)) + (uuid 8fd91e30-d807-4a8d-bba9-e2730c2010ce) + ) + (wire (pts (xy 226.06 40.64) (xy 218.44 40.64)) + (stroke (width 0) (type default)) + (uuid 90c2e144-73e8-4550-a5a6-c8001c6a46f5) + ) + (bus (pts (xy 68.58 81.28) (xy 68.58 83.82)) + (stroke (width 0) (type default)) + (uuid 9115e6cc-ac99-4aa7-8f66-911dd4994fe5) + ) + + (wire (pts (xy 226.06 50.8) (xy 218.44 50.8)) + (stroke (width 0) (type default)) + (uuid 91fc5b4d-1855-4986-9787-c43e5e33bc96) + ) + (wire (pts (xy 58.42 177.8) (xy 66.04 177.8)) + (stroke (width 0) (type default)) + (uuid 922933b8-52ae-431d-b489-39ced7f45696) + ) + (wire (pts (xy 246.38 132.08) (xy 254 132.08)) + (stroke (width 0) (type default)) + (uuid 92d90f90-3efb-4cc3-9360-6225f19a67a6) + ) + (wire (pts (xy 121.92 172.72) (xy 121.92 175.26)) + (stroke (width 0) (type default)) + (uuid 93d0c031-f740-4ae7-8798-17410f17ee1a) + ) + (wire (pts (xy 246.38 147.32) (xy 254 147.32)) + (stroke (width 0) (type default)) + (uuid 93ddd2ea-f5d8-4cee-baa6-b238636fbe8b) + ) + (bus (pts (xy 68.58 88.9) (xy 68.58 91.44)) + (stroke (width 0) (type default)) + (uuid 94e6b6e0-acb3-4642-a821-a6eec5bf9e97) + ) + + (wire (pts (xy 38.1 116.84) (xy 30.48 116.84)) + (stroke (width 0) (type default)) + (uuid 95437374-72a0-4658-84ed-1c997ac6d054) + ) + (wire (pts (xy 100.33 180.34) (xy 90.17 180.34)) + (stroke (width 0) (type default)) + (uuid 9592ca54-7561-466f-afc7-616df983db2b) + ) + (wire (pts (xy 120.65 185.42) (xy 128.27 185.42)) + (stroke (width 0) (type default)) + (uuid 95f4ad62-5d51-49a0-a7ee-aca89c0837f7) + ) + (bus (pts (xy 68.58 91.44) (xy 68.58 106.68)) + (stroke (width 0) (type default)) + (uuid 9772b809-14b1-4204-9c35-3eae629441b5) + ) + (bus (pts (xy 256.54 45.72) (xy 256.54 48.26)) + (stroke (width 0) (type default)) + (uuid 983df523-6e0b-41d5-be3b-13cdb0d155c0) + ) + (bus (pts (xy 256.54 43.18) (xy 256.54 45.72)) + (stroke (width 0) (type default)) + (uuid 98ed5602-e194-4bf6-8102-82349281ab31) + ) + + (wire (pts (xy 226.06 147.32) (xy 218.44 147.32)) + (stroke (width 0) (type default)) + (uuid 9aa4fb39-9184-40dd-a459-1534ef87a43f) + ) + (wire (pts (xy 38.1 139.7) (xy 30.48 139.7)) + (stroke (width 0) (type default)) + (uuid 9b3bf321-31d9-4dbf-baa9-007b6931ddaf) + ) + (bus (pts (xy 68.58 147.32) (xy 68.58 149.86)) + (stroke (width 0) (type default)) + (uuid 9c34b4fd-89c6-4cf3-92d5-78f8b492f41d) + ) + + (wire (pts (xy 58.42 97.79) (xy 58.42 101.6)) + (stroke (width 0) (type default)) + (uuid 9cf73ddc-d27f-4fcd-af7c-c5c194bece8f) + ) + (wire (pts (xy 58.42 119.38) (xy 66.04 119.38)) + (stroke (width 0) (type default)) + (uuid 9d68abf5-8502-4e9c-81ae-87a56c849335) + ) + (wire (pts (xy 58.42 170.18) (xy 66.04 170.18)) + (stroke (width 0) (type default)) + (uuid 9ddc398e-64d9-4bb0-b6fe-515ecd37d270) + ) + (wire (pts (xy 226.06 88.9) (xy 218.44 88.9)) + (stroke (width 0) (type default)) + (uuid 9fd101d3-ecf3-45c9-80e5-ed4002563527) + ) + (bus (pts (xy 68.58 106.68) (xy 68.58 109.22)) + (stroke (width 0) (type default)) + (uuid a1bb5db4-a65b-453a-88c8-85a126ee70e9) + ) + + (wire (pts (xy 246.38 142.24) (xy 254 142.24)) + (stroke (width 0) (type default)) + (uuid a1ea54e6-8e39-4e1b-af72-4249c7688af3) + ) + (wire (pts (xy 246.38 129.54) (xy 254 129.54)) + (stroke (width 0) (type default)) + (uuid a224b479-74bb-4f64-808d-c8b99840f90a) + ) + (wire (pts (xy 246.38 76.2) (xy 254 76.2)) + (stroke (width 0) (type default)) + (uuid a2b420af-0ff0-42ef-9a65-a9011acc4659) + ) + (wire (pts (xy 246.38 134.62) (xy 254 134.62)) + (stroke (width 0) (type default)) + (uuid a3b3491b-45d0-4997-a47a-48c888e72308) + ) + (wire (pts (xy 226.06 81.28) (xy 218.44 81.28)) + (stroke (width 0) (type default)) + (uuid a51ca35f-66f0-4c88-98eb-c0c316fa53bf) + ) + (wire (pts (xy 246.38 86.36) (xy 254 86.36)) + (stroke (width 0) (type default)) + (uuid a54af165-e53e-446a-9252-5b0dec1459a9) + ) + (bus (pts (xy 86.36 187.96) (xy 87.63 187.96)) + (stroke (width 0) (type default)) + (uuid a5615a52-6cab-4e03-9e5f-cead1c0cc521) + ) + (bus (pts (xy 256.54 88.9) (xy 256.54 101.6)) + (stroke (width 0) (type default)) + (uuid a68c2323-384c-4ccc-b3ce-e32c746e663d) + ) + (bus (pts (xy 215.9 78.74) (xy 215.9 81.28)) + (stroke (width 0) (type default)) + (uuid a963101e-7220-41b4-92f0-d176931f9a53) + ) + + (wire (pts (xy 60.96 64.77) (xy 58.42 64.77)) + (stroke (width 0) (type default)) + (uuid a968dd7e-5929-4609-a20b-34d43390e138) + ) + (bus (pts (xy 215.9 50.8) (xy 215.9 53.34)) + (stroke (width 0) (type default)) + (uuid a9973c9c-fd7e-4526-8473-faf54bd625ff) + ) + + (wire (pts (xy 226.06 109.22) (xy 218.44 109.22)) + (stroke (width 0) (type default)) + (uuid ab3af26d-102c-4b1a-a029-e81084ab75d9) + ) + (bus (pts (xy 215.9 58.42) (xy 215.9 60.96)) + (stroke (width 0) (type default)) + (uuid abb43bcf-4e9b-4bb3-98af-4db455ff9674) + ) + + (wire (pts (xy 246.38 106.68) (xy 254 106.68)) + (stroke (width 0) (type default)) + (uuid ac2383e7-66c6-4e9d-a456-480472463a35) + ) + (wire (pts (xy 58.42 121.92) (xy 66.04 121.92)) + (stroke (width 0) (type default)) + (uuid ad4a620f-6fce-4f1f-a9ba-c75545e5d426) + ) + (wire (pts (xy 58.42 109.22) (xy 66.04 109.22)) + (stroke (width 0) (type default)) + (uuid ad64ca1b-7674-4ea2-832f-26c9c1097030) + ) + (bus (pts (xy 68.58 124.46) (xy 68.58 139.7)) + (stroke (width 0) (type default)) + (uuid ae2846bf-6ef1-4973-8ba4-89e9d59fe993) + ) + + (wire (pts (xy 85.09 142.24) (xy 100.33 142.24)) + (stroke (width 0) (type default)) + (uuid ae332621-da54-4658-92be-af87aef910c8) + ) + (bus (pts (xy 86.36 180.34) (xy 87.63 180.34)) + (stroke (width 0) (type default)) + (uuid ae68e2f7-9c4e-4ea9-9243-4583a6ff7477) + ) + + (wire (pts (xy 120.65 134.62) (xy 135.89 134.62)) + (stroke (width 0) (type default)) + (uuid ae6b3944-bf1d-483c-bf21-4fadd76a32d4) + ) + (bus (pts (xy 215.9 149.86) (xy 215.9 152.4)) + (stroke (width 0) (type default)) + (uuid ae7cc555-3190-4aed-ae88-bfbf160d43bf) + ) + + (wire (pts (xy 58.42 73.66) (xy 66.04 73.66)) + (stroke (width 0) (type default)) + (uuid aee71999-f2a1-4931-bfa2-706a9714a6bd) + ) + (wire (pts (xy 38.1 83.82) (xy 30.48 83.82)) + (stroke (width 0) (type default)) + (uuid af5d7da1-3b14-4268-8a4e-12e0acb6dec1) + ) + (bus (pts (xy 27.94 88.9) (xy 27.94 91.44)) + (stroke (width 0) (type default)) + (uuid afa407f2-c297-436d-b18b-c37f7f432139) + ) + + (wire (pts (xy 226.06 139.7) (xy 218.44 139.7)) + (stroke (width 0) (type default)) + (uuid b15ac092-4f5c-4b88-9fa6-2107cc216800) + ) + (wire (pts (xy 226.06 48.26) (xy 218.44 48.26)) + (stroke (width 0) (type default)) + (uuid b1a2c28d-cd8d-4eab-9e4c-04957c5c8699) + ) + (wire (pts (xy 38.1 81.28) (xy 30.48 81.28)) + (stroke (width 0) (type default)) + (uuid b272fcb3-16aa-4656-98f9-a96be6c5fac5) + ) + (wire (pts (xy -57.15 157.48) (xy -46.99 157.48)) + (stroke (width 0) (type default)) + (uuid b293ed3b-06b7-4e6e-b3ac-3ffadbc6ed29) + ) + (bus (pts (xy 27.94 187.96) (xy 27.94 190.5)) + (stroke (width 0) (type default)) + (uuid b3b30c4c-4866-4b3d-80f0-1b0bae571e7d) + ) + + (wire (pts (xy 85.09 139.7) (xy 100.33 139.7)) + (stroke (width 0) (type default)) + (uuid b4cb3c47-0a08-4ed9-a10b-0dfc80aac475) + ) + (wire (pts (xy 135.89 139.7) (xy 120.65 139.7)) + (stroke (width 0) (type default)) + (uuid b4d17209-fff1-47ec-979a-7c2d0eb8f07e) + ) + (wire (pts (xy 38.1 76.2) (xy 30.48 76.2)) + (stroke (width 0) (type default)) + (uuid b4e0c47c-4bf6-4378-a601-2ca0097eb0ea) + ) + (wire (pts (xy 226.06 45.72) (xy 218.44 45.72)) + (stroke (width 0) (type default)) + (uuid b4e1ad0b-8d0a-4049-bfa3-25014706a8bb) + ) + (bus (pts (xy 27.94 81.28) (xy 27.94 83.82)) + (stroke (width 0) (type default)) + (uuid b53c2944-9fc6-49c9-b112-59273a9df7df) + ) + + (wire (pts (xy 226.06 106.68) (xy 218.44 106.68)) + (stroke (width 0) (type default)) + (uuid b5520aa4-45fb-4f64-b1e8-a3c126e24b3b) + ) + (bus (pts (xy 256.54 101.6) (xy 256.54 104.14)) + (stroke (width 0) (type default)) + (uuid b556cffd-4bb5-47f1-9100-0b5ea58cb6fc) + ) + + (wire (pts (xy 90.17 177.8) (xy 100.33 177.8)) + (stroke (width 0) (type default)) + (uuid b5d1b90a-d3a6-44c1-b27e-bf913406d1c9) + ) + (wire (pts (xy 58.42 139.7) (xy 66.04 139.7)) + (stroke (width 0) (type default)) + (uuid b64bbfcb-ff68-46f6-88ed-f2701a450a88) + ) + (wire (pts (xy 246.38 139.7) (xy 254 139.7)) + (stroke (width 0) (type default)) + (uuid b6603b27-b81e-40c0-ac4c-f79f85bf7ad7) + ) + (wire (pts (xy 38.1 147.32) (xy 30.48 147.32)) + (stroke (width 0) (type default)) + (uuid b772f7bd-175a-4524-b904-70305dfc0f86) + ) + (bus (pts (xy 27.94 124.46) (xy 27.94 139.7)) + (stroke (width 0) (type default)) + (uuid b7ad9c10-ba03-49dc-b4cf-e3a1d10ef0df) + ) + (bus (pts (xy 27.94 139.7) (xy 27.94 142.24)) + (stroke (width 0) (type default)) + (uuid b8e65a2e-6df6-4d34-8b0e-e362aca3aaed) + ) + + (wire (pts (xy 38.1 104.14) (xy 30.48 104.14)) + (stroke (width 0) (type default)) + (uuid baeeef3d-ebdc-46ab-9253-945e64fdbe40) + ) + (wire (pts (xy 38.1 144.78) (xy 30.48 144.78)) + (stroke (width 0) (type default)) + (uuid bbf69c74-a6ab-4817-9d2e-4f8025d3f756) + ) + (bus (pts (xy 256.54 73.66) (xy 256.54 76.2)) + (stroke (width 0) (type default)) + (uuid bbff09a9-2f1e-4ac5-91c5-9beb24f61f66) + ) + (bus (pts (xy 87.63 185.42) (xy 87.63 182.88)) + (stroke (width 0) (type default)) + (uuid bc5b2bb3-5722-4ae4-b614-1acd930fe5b6) + ) + + (wire (pts (xy 246.38 104.14) (xy 254 104.14)) + (stroke (width 0) (type default)) + (uuid bc6c36d5-a7c5-48ae-a2e3-a9761b3e1c07) + ) + (bus (pts (xy 215.9 142.24) (xy 215.9 144.78)) + (stroke (width 0) (type default)) + (uuid bc6e53b0-28ef-4dab-b206-666e28b3c667) + ) + + (wire (pts (xy 38.1 142.24) (xy 30.48 142.24)) + (stroke (width 0) (type default)) + (uuid bdb87ca8-dc3e-4007-80a0-fba947c85779) + ) + (bus (pts (xy 68.58 157.48) (xy 68.58 172.72)) + (stroke (width 0) (type default)) + (uuid bdb9720f-ef49-4990-9401-e06daa064865) + ) + + (wire (pts (xy 38.1 121.92) (xy 30.48 121.92)) + (stroke (width 0) (type default)) + (uuid be3c2005-b66d-44ca-b7cd-fe9000abe418) + ) + (wire (pts (xy 226.06 76.2) (xy 218.44 76.2)) + (stroke (width 0) (type default)) + (uuid c04bb36f-84e0-49b8-a506-ce72d4f93ad1) + ) + (wire (pts (xy 226.06 83.82) (xy 218.44 83.82)) + (stroke (width 0) (type default)) + (uuid c0b894d6-3721-4a93-9470-da457c41f732) + ) + (wire (pts (xy -36.83 157.48) (xy -26.67 157.48)) + (stroke (width 0) (type default)) + (uuid c1c9a9f4-3cb3-4310-b9e7-88ee7e3b6099) + ) + (bus (pts (xy 215.9 48.26) (xy 215.9 50.8)) + (stroke (width 0) (type default)) + (uuid c251a60c-691c-47c3-b20e-40b8562bb2fd) + ) + (bus (pts (xy 68.58 182.88) (xy 68.58 185.42)) + (stroke (width 0) (type default)) + (uuid c266ef5c-83fe-4f4a-a456-be333ed0503a) + ) + (bus (pts (xy 256.54 114.3) (xy 256.54 116.84)) + (stroke (width 0) (type default)) + (uuid c2e16ac3-6b0f-40a8-9735-aaa6ac63f137) + ) + (bus (pts (xy 68.58 86.36) (xy 68.58 88.9)) + (stroke (width 0) (type default)) + (uuid c310cb25-dd6d-405e-95eb-29012938c0e6) + ) + + (wire (pts (xy -36.83 162.56) (xy -26.67 162.56)) + (stroke (width 0) (type default)) + (uuid c4206282-b40a-4987-ba8a-c7824c8e0169) + ) + (wire (pts (xy 58.42 137.16) (xy 66.04 137.16)) + (stroke (width 0) (type default)) + (uuid c49cc54b-982d-4c4d-a196-19b7b9c2bdd5) + ) + (bus (pts (xy 256.54 78.74) (xy 256.54 81.28)) + (stroke (width 0) (type default)) + (uuid c591bd18-b11f-43e4-8ec4-262fa97dc751) + ) + + (wire (pts (xy 226.06 134.62) (xy 218.44 134.62)) + (stroke (width 0) (type default)) + (uuid c7aab0f9-4ac2-422c-89a4-20ec8237e2be) + ) + (wire (pts (xy 58.42 78.74) (xy 66.04 78.74)) + (stroke (width 0) (type default)) + (uuid c84a8628-79ba-49de-8671-ba7ad028cdf5) + ) + (bus (pts (xy 68.58 177.8) (xy 68.58 180.34)) + (stroke (width 0) (type default)) + (uuid c86bd24e-aac4-4cea-9cb9-0a734a59b3a6) + ) + + (wire (pts (xy 58.42 81.28) (xy 66.04 81.28)) + (stroke (width 0) (type default)) + (uuid c985212d-897a-4613-b3ce-9d42e5ab28a1) + ) + (wire (pts (xy -26.67 162.56) (xy -16.51 162.56)) + (stroke (width 0) (type default)) + (uuid c99f1d00-f491-4484-ad46-40922dd90aa7) + ) + (wire (pts (xy 38.1 170.18) (xy 30.48 170.18)) + (stroke (width 0) (type default)) + (uuid ca6c1f00-b9c3-4889-a4c8-3647fe42cc5c) + ) + (bus (pts (xy 256.54 134.62) (xy 256.54 137.16)) + (stroke (width 0) (type default)) + (uuid cbc030d9-7855-443c-9041-118679c18782) + ) + + (wire (pts (xy 85.09 142.24) (xy 85.09 144.78)) + (stroke (width 0) (type default)) + (uuid ccf6af59-631d-4ee3-8fbe-7b1b2db5f416) + ) + (bus (pts (xy 215.9 86.36) (xy 215.9 88.9)) + (stroke (width 0) (type default)) + (uuid cd393d63-41c4-4bdf-ae1a-df77dba22ef4) + ) + + (wire (pts (xy 58.42 83.82) (xy 66.04 83.82)) + (stroke (width 0) (type default)) + (uuid cf500fa5-9aac-4e10-8e9c-63248d9b3310) + ) + (wire (pts (xy -26.67 157.48) (xy -16.51 157.48)) + (stroke (width 0) (type default)) + (uuid d0ed7631-3a89-4bfa-8e47-4665e344dad9) + ) + (wire (pts (xy 38.1 114.3) (xy 30.48 114.3)) + (stroke (width 0) (type default)) + (uuid d13d63c5-d855-4681-8e4b-7e60d5af1b0e) + ) + (bus (pts (xy 256.54 147.32) (xy 256.54 149.86)) + (stroke (width 0) (type default)) + (uuid d4676907-8ad5-4591-a950-abede104c434) + ) + + (wire (pts (xy 38.1 106.68) (xy 30.48 106.68)) + (stroke (width 0) (type default)) + (uuid d55897fe-c9c7-4ba4-aa90-87f7532b700e) + ) + (wire (pts (xy 58.42 64.77) (xy 58.42 68.58)) + (stroke (width 0) (type default)) + (uuid d5ef0833-8348-4299-8040-5c485a5843f8) + ) + (bus (pts (xy 27.94 78.74) (xy 27.94 81.28)) + (stroke (width 0) (type default)) + (uuid d64eb953-23de-4cc9-95df-9158071318d2) + ) + + (wire (pts (xy 246.38 83.82) (xy 254 83.82)) + (stroke (width 0) (type default)) + (uuid d6ca29c0-7a37-4835-85f8-b62cc8de2550) + ) + (wire (pts (xy 226.06 101.6) (xy 218.44 101.6)) + (stroke (width 0) (type default)) + (uuid d88db052-d111-469c-8711-3ac5ca70a9bc) + ) + (wire (pts (xy 38.1 182.88) (xy 30.48 182.88)) + (stroke (width 0) (type default)) + (uuid d949b4cd-5722-4845-b6c8-2ced0f7e32b5) + ) + (bus (pts (xy 256.54 144.78) (xy 256.54 147.32)) + (stroke (width 0) (type default)) + (uuid d99b2da5-7972-40d7-969e-29dfd8e27393) + ) + (bus (pts (xy 27.94 152.4) (xy 27.94 154.94)) + (stroke (width 0) (type default)) + (uuid d9e24c6f-1778-44f6-9b9c-ae8ecc1f5456) + ) + + (wire (pts (xy 246.38 68.58) (xy 254 68.58)) + (stroke (width 0) (type default)) + (uuid da00c58e-c7e7-4c79-9ce0-3e571cfe6da6) + ) + (wire (pts (xy 58.42 116.84) (xy 66.04 116.84)) + (stroke (width 0) (type default)) + (uuid da11c84e-62de-4e1b-b4ae-1b4901b6fca4) + ) + (wire (pts (xy 58.42 149.86) (xy 66.04 149.86)) + (stroke (width 0) (type default)) + (uuid dbe87a98-1dba-44e3-b285-163b89ce4bc7) + ) + (bus (pts (xy 256.54 142.24) (xy 256.54 144.78)) + (stroke (width 0) (type default)) + (uuid dbfd2e29-cef6-4ef0-890e-fb572bad878a) + ) + + (wire (pts (xy 128.27 187.96) (xy 120.65 187.96)) + (stroke (width 0) (type default)) + (uuid dc92e8ad-2f58-47f5-90a6-63c485dae699) + ) + (wire (pts (xy 246.38 109.22) (xy 254 109.22)) + (stroke (width 0) (type default)) + (uuid df6143a5-8ae6-4c6a-9d92-b8d8b86c823c) + ) + (wire (pts (xy 246.38 137.16) (xy 254 137.16)) + (stroke (width 0) (type default)) + (uuid e05be3fa-c0f0-4f38-8a58-31706f138046) + ) + (wire (pts (xy 246.38 43.18) (xy 254 43.18)) + (stroke (width 0) (type default)) + (uuid e099ecd8-6fdf-44a5-98b8-a5c95562e261) + ) + (wire (pts (xy 135.89 154.94) (xy 135.89 149.86)) + (stroke (width 0) (type default)) + (uuid e180b1a0-6b84-440b-977f-bab56565c6ca) + ) + (bus (pts (xy 256.54 50.8) (xy 256.54 53.34)) + (stroke (width 0) (type default)) + (uuid e1c4cbd9-1c0f-4970-a180-79ce05d9edc0) + ) + (bus (pts (xy 27.94 142.24) (xy 27.94 144.78)) + (stroke (width 0) (type default)) + (uuid e3c0fa68-855f-4b06-9597-b4f70f121cab) + ) + + (wire (pts (xy 226.06 53.34) (xy 218.44 53.34)) + (stroke (width 0) (type default)) + (uuid e3c5f843-c44f-47b8-a465-916452fa8148) + ) + (wire (pts (xy 58.42 88.9) (xy 66.04 88.9)) + (stroke (width 0) (type default)) + (uuid e418880d-96c9-4f1a-8f1c-a7493f6f4e99) + ) + (bus (pts (xy 68.58 114.3) (xy 68.58 116.84)) + (stroke (width 0) (type default)) + (uuid e4885261-62a4-4bcc-b0c8-35bb22340f1d) + ) + (bus (pts (xy 256.54 81.28) (xy 256.54 83.82)) + (stroke (width 0) (type default)) + (uuid e4a73a7a-4464-45e2-a7fd-a7eabae99df3) + ) + + (wire (pts (xy 38.1 73.66) (xy 30.48 73.66)) + (stroke (width 0) (type default)) + (uuid e5e683ef-81a5-4bf8-b12c-04e4a05fdffb) + ) + (bus (pts (xy 87.63 190.5) (xy 87.63 187.96)) + (stroke (width 0) (type default)) + (uuid e6b1f3b1-51df-4c53-b3ce-6b05d8861ffe) + ) + + (wire (pts (xy 58.42 182.88) (xy 66.04 182.88)) + (stroke (width 0) (type default)) + (uuid e74de31c-a714-4ab9-b292-1b5a1f30425d) + ) + (wire (pts (xy 85.09 139.7) (xy 85.09 142.24)) + (stroke (width 0) (type default)) + (uuid e755a296-784e-42f8-87e1-439fa6aec466) + ) + (bus (pts (xy 215.9 76.2) (xy 215.9 78.74)) + (stroke (width 0) (type default)) + (uuid ea83a4b4-692f-4335-b331-823e58ce2181) + ) + + (wire (pts (xy 226.06 104.14) (xy 218.44 104.14)) + (stroke (width 0) (type default)) + (uuid eaf6b6a4-3c59-4bad-ad6b-d73e4442fa13) + ) + (wire (pts (xy 38.1 149.86) (xy 30.48 149.86)) + (stroke (width 0) (type default)) + (uuid ec1b55fc-e359-463a-bddd-7ec3501771a7) + ) + (wire (pts (xy 246.38 111.76) (xy 254 111.76)) + (stroke (width 0) (type default)) + (uuid ee8b0027-d773-4058-957a-f8e960887188) + ) + (wire (pts (xy 90.17 182.88) (xy 100.33 182.88)) + (stroke (width 0) (type default)) + (uuid f00372b0-e417-4b4a-b2bc-8c5beb4caa95) + ) + (bus (pts (xy 27.94 76.2) (xy 27.94 78.74)) + (stroke (width 0) (type default)) + (uuid f1d37f96-91f2-4006-bd60-6ce322495fad) + ) + (bus (pts (xy 257.81 40.64) (xy 256.54 40.64)) + (stroke (width 0) (type default)) + (uuid f1f44971-a489-4ddb-b76c-79eba8de32f3) + ) + + (wire (pts (xy 246.38 71.12) (xy 254 71.12)) + (stroke (width 0) (type default)) + (uuid f301a391-0117-43fc-9803-b0852722770a) + ) + (wire (pts (xy -57.15 162.56) (xy -46.99 162.56)) + (stroke (width 0) (type default)) + (uuid f477cd5c-fc8f-45c1-8d86-596229b11f74) + ) + (bus (pts (xy 215.9 139.7) (xy 215.9 142.24)) + (stroke (width 0) (type default)) + (uuid f5be2e52-00f5-441c-8f4e-89c88d03f3a2) + ) + (bus (pts (xy 68.58 154.94) (xy 68.58 157.48)) + (stroke (width 0) (type default)) + (uuid f6223277-dea7-4858-b325-f1609403b08d) + ) + + (wire (pts (xy 85.09 137.16) (xy 82.55 137.16)) + (stroke (width 0) (type default)) + (uuid f623202f-538b-4f82-8f85-66778f8961c8) + ) + (wire (pts (xy 58.42 71.12) (xy 66.04 71.12)) + (stroke (width 0) (type default)) + (uuid f625c77d-903b-4bca-a6c2-21ebe3ee8d04) + ) + (bus (pts (xy 68.58 139.7) (xy 68.58 142.24)) + (stroke (width 0) (type default)) + (uuid f7888a77-5bb5-4358-a2d9-7e5238521f7c) + ) + (bus (pts (xy 68.58 175.26) (xy 68.58 177.8)) + (stroke (width 0) (type default)) + (uuid f950b65c-24d3-474a-a260-0c766d2112e4) + ) + (bus (pts (xy 215.9 116.84) (xy 215.9 119.38)) + (stroke (width 0) (type default)) + (uuid fb24fd68-9791-4d5c-99f1-ba4effba3e4f) + ) + + (wire (pts (xy -36.83 152.4) (xy -26.67 152.4)) + (stroke (width 0) (type default)) + (uuid fc22d504-3f4c-4520-a5ee-6abf88434c6e) + ) + (wire (pts (xy 38.1 187.96) (xy 30.48 187.96)) + (stroke (width 0) (type default)) + (uuid fc5fe077-4377-47cb-b315-3def46ee6940) + ) + (wire (pts (xy 121.92 175.26) (xy 120.65 175.26)) + (stroke (width 0) (type default)) + (uuid fc6ba9c0-b57f-4cdb-8e2c-874660220225) + ) + (wire (pts (xy 226.06 111.76) (xy 218.44 111.76)) + (stroke (width 0) (type default)) + (uuid fed4d308-e2c1-4a2c-af10-6a5a768d1b7a) + ) + (wire (pts (xy 60.96 163.83) (xy 58.42 163.83)) + (stroke (width 0) (type default)) + (uuid ff9722ef-8f91-40ae-888c-c1ba733e3524) + ) + (bus (pts (xy 132.08 187.96) (xy 130.81 187.96)) + (stroke (width 0) (type default)) + (uuid ffa0b993-6aad-49c7-a292-a96903543b67) + ) + + (wire (pts (xy -26.67 147.32) (xy -16.51 147.32)) + (stroke (width 0) (type default)) + (uuid ffb74022-237a-4a29-b3cd-e0bc11182828) + ) + + (label "FSB_D28" (at 226.06 142.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0171ba49-82a0-47e7-b56d-fb5b8c0c0c8c) + ) + (label "FSB_A7" (at 38.1 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 07544b3e-f795-4693-981c-e0306e96d649) + ) + (label "FSB_A21" (at 38.1 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0a90be26-626b-4ef1-8ff7-db6204f7f78a) + ) + (label "FSB_D2" (at 226.06 50.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0acdf10e-eec3-4746-8843-22fbb620f79e) + ) + (label "CPU_A26" (at 58.42 175.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0ef4fc21-2478-4bef-a046-8d9c33bf96f0) + ) + (label "FSB_D26" (at 226.06 137.16 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0f7bee8e-85ee-4384-89a7-7e464b073fe5) + ) + (label "FC1" (at 120.65 180.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 108a707e-5108-4032-9d12-95192838b7f0) + ) + (label "FSB_D6" (at 226.06 48.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 13483578-53a4-4865-b9e9-1d5a0c9099f1) + ) + (label "FSB_A10" (at 38.1 109.22 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 13ceca8a-79b3-4bae-bb3e-a958de55ec18) + ) + (label "FSB_A2" (at 38.1 76.2 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 150f4da7-b17a-49af-8e63-8d28a7eaa68c) + ) + (label "CPU_A0" (at 58.42 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 182a3840-5f80-4fea-bb12-7237ea252292) + ) + (label "FSB_A30" (at 38.1 185.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 18cec46c-619a-4ca1-9b67-c9f4f86d41a5) + ) + (label "FSB_A23" (at 38.1 154.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1b05c7c5-4f8e-4841-8c85-17c1b8c958b2) + ) + (label "CPU_A12" (at 58.42 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1be12ec8-a957-4d7b-a18b-0a4fc91608a2) + ) + (label "CPU_A28" (at 58.42 180.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 21d52935-d8b9-45bc-9663-eba57c2d08e1) + ) + (label "FSB_A26" (at 38.1 175.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 23033f94-4dc3-47bf-b84d-4ef453dc2976) + ) + (label "CPU_D7" (at 246.38 50.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 237c1b87-bf89-4b7b-906f-31747f96645d) + ) + (label "FSB_A25" (at 38.1 172.72 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 238b6359-5e99-4d7c-a652-e8a23ca6895f) + ) + (label "CPU_D20" (at 246.38 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 29166b0d-ac7c-4f60-85a0-b687217ff27c) + ) + (label "DDIR" (at 100.33 175.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2dc5451e-d58c-40c1-aed8-881132ab4b28) + ) + (label "FSB_A29" (at 38.1 182.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2e6c319e-a6aa-4930-a6e7-d0c76fbaf097) + ) + (label "FSB_D9" (at 226.06 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2ef3a7af-a909-4bcc-8d04-623ad2a46475) + ) + (label "FSB_D1" (at 226.06 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2f4fe410-cbdd-4266-9df2-122f8998b114) + ) + (label "CPU_A30" (at 58.42 185.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2ff67588-72ed-447a-ae37-d7c875ed632f) + ) + (label "CPU_D1" (at 246.38 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3159853e-e5be-4514-84d5-a6a9ce58e26a) + ) + (label "FSB_A17" (at 38.1 139.7 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 31f81e14-65bb-45fa-b640-10652f27fc41) + ) + (label "FSB_A15" (at 38.1 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 35e106f5-4d02-4f4a-8715-13f3eebff48b) + ) + (label "SIZ1" (at 120.65 187.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3717369c-1420-4dfe-a483-fa865e570e9d) + ) + (label "DDIR" (at 246.38 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 375a7b39-2885-41a6-bda1-feefc5cc9c45) + ) + (label "FSB_D24" (at 226.06 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 376e64c0-4884-4a3d-b87b-d52b9b2648cf) + ) + (label "FSB_A4" (at 38.1 81.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3a748841-0910-494e-9a30-476746dc866f) + ) + (label "CPU_D29" (at 246.38 142.24 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3b28cc63-78ca-404f-a06a-d6608dae42ea) + ) + (label "CPU_D28" (at 246.38 139.7 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4095d187-b220-478f-8da8-eb4c3ff47a12) + ) + (label "CPU_D22" (at 246.38 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 41f17cc2-6de6-4dc6-8687-4ee7186f52db) + ) + (label "FSB_A16" (at 38.1 137.16 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 425f6dd0-e506-44ab-9998-24ff840742fd) + ) + (label "CPU_D27" (at 246.38 137.16 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 427e0e63-9649-414a-9cc9-17f0f41fd722) + ) + (label "CPU_A9" (at 58.42 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 45fc307e-c6f2-40f7-87ca-a1069c07a1fb) + ) + (label "FSB_D3" (at 226.06 45.72 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 497ecf18-053e-46c9-a717-751a5360308d) + ) + (label "FSB_A20" (at 38.1 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4a63d810-2ef1-4d72-ae88-a701b6a6ba21) + ) + (label "CPU_D12" (at 246.38 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4ae49c82-bb61-4bc3-af60-32251bad30f3) + ) + (label "FSB_D8" (at 226.06 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4b970dc1-a494-4a01-98ec-52e390ab03ab) + ) + (label "FSB_D4" (at 226.06 40.64 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4c425a04-0781-4836-b1b8-791d02be7f4d) + ) + (label "FSB_D23" (at 226.06 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5430d106-3501-4fb0-b875-4e5b54e1f248) + ) + (label "CPU_D3" (at 246.38 43.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 56b7329d-049c-44ad-9e16-d1c3c9813215) + ) + (label "FSB_D5" (at 226.06 43.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 57a7f256-6b85-408d-b33f-e8a1cdd9e193) + ) + (label "CPU_D21" (at 246.38 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 586c315b-2e2d-40ea-b72a-dce2b0db5aa4) + ) + (label "CPU_A7" (at 58.42 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 58a56845-73f5-4b00-a0d8-e71efed39d0e) + ) + (label "CPU_A10" (at 58.42 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 594240a8-1796-470e-a58a-3ef26de47867) + ) + (label "FSB_D21" (at 226.06 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5ed6f913-2158-4466-b973-1e57e960cb00) + ) + (label "CPU_D23" (at 246.38 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 603ce504-9daa-4a37-a4bd-a2e32b0ea055) + ) + (label "FSB_D17" (at 226.06 104.14 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 608f7c4d-5bd3-4eeb-8cbe-dd5f712fe031) + ) + (label "FSB_A8" (at 38.1 104.14 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 626cced8-d876-4334-aaba-f04a400219ba) + ) + (label "CPU_A31" (at 58.42 187.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 62abfa9b-e591-4206-8c29-8564f7f51d9a) + ) + (label "DDIR" (at 246.38 127 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6581272e-e95c-4f77-bc6a-dbbcf630b1d1) + ) + (label "FSB_D29" (at 226.06 144.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 666c7bca-c22d-44cb-8681-29e594951b5f) + ) + (label "FSB_D13" (at 226.06 83.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 67a4b356-26c8-4506-9651-d3f25e632e20) + ) + (label "FC2" (at 120.65 177.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 67c7da36-defd-4d63-8db1-bb5995f6776c) + ) + (label "FSB_D27" (at 226.06 139.7 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 680ecb32-9ce7-41d9-9c35-2401872cc3f8) + ) + (label "FSB_D0" (at 226.06 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6b353c31-1f2b-40ad-869e-2321d286974b) + ) + (label "CPU_A13" (at 58.42 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6b60ceab-e96b-42fd-b4f8-c580e80cc440) + ) + (label "CPU_D16" (at 246.38 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6be38421-f8cf-48c1-86f1-55305a8ba58a) + ) + (label "DDIR" (at 246.38 35.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6d079e5a-1240-431c-b7ef-d41182f23c32) + ) + (label "CPU_A17" (at 58.42 139.7 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 70881da9-dddb-4337-b6ee-e669d77cb7e4) + ) + (label "CPU_D13" (at 246.38 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 712a9829-68ca-4ca9-a58b-1f5d14941ad2) + ) + (label "FSB_D22" (at 226.06 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 737cf1d9-9603-4a6d-b426-2ea34f0b5b91) + ) + (label "FSB_A3" (at 38.1 78.74 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 73a09947-b93c-4a94-8f60-a1613a0f563f) + ) + (label "CPU_A4" (at 58.42 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 787b7e3c-188e-4bff-b262-733b8026a213) + ) + (label "CPU_D4" (at 246.38 38.1 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7b8ab0f4-1684-4ca7-9213-086fb0479363) + ) + (label "FSB_D18" (at 226.06 106.68 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7d80fd68-3db2-4226-8b3b-98270b7a53cb) + ) + (label "CSIZ1" (at 100.33 187.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7e09d63f-9308-48da-8b79-c7ca85bbd257) + ) + (label "CPU_A19" (at 58.42 144.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7f48f05e-8201-40a2-98d3-68c8b5b8f8e8) + ) + (label "CPU_A2" (at 58.42 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 81329d80-52b8-4eca-852b-ab6f064cd248) + ) + (label "FSB_D20" (at 226.06 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 818b9e1d-7f08-40d4-a2bd-0cd7367841a0) + ) + (label "CPU_D9" (at 246.38 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 83177a52-6ecd-45b8-ac85-a437a0898cdd) + ) + (label "FC0" (at 120.65 182.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 83aa8d59-ec35-411d-9e10-e6bb28e35d1c) + ) + (label "CPU_A16" (at 58.42 137.16 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 847cee5d-8c9b-4eba-9be6-7267b737c085) + ) + (label "CPU_A3" (at 58.42 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 86dd8d4e-2d2a-488b-8151-78af0b1ff191) + ) + (label "FSB_A14" (at 38.1 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8ac850d5-fd97-45c4-97f5-71a6d9e9e62b) + ) + (label "FSB_D11" (at 226.06 78.74 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8b1a6068-b512-4a39-ac62-c61a910d1dd5) + ) + (label "CSIZ0" (at 100.33 185.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8b3a7cff-d0fd-4956-8300-3d393095dacb) + ) + (label "CPU_D31" (at 246.38 147.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8d1b3153-62ce-4fa3-803f-dcc2803dc749) + ) + (label "FSB_A31" (at 38.1 187.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8fba3fd0-f3b0-4165-b2ab-7b5a073d6931) + ) + (label "CPU_A18" (at 58.42 142.24 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8fc6b08a-0012-4e1f-bf77-63a5d8e23926) + ) + (label "FSB_D10" (at 226.06 76.2 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 910e3ddf-0d19-42a5-aae3-e57a1e5207fd) + ) + (label "FSB_A22" (at 38.1 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 91233b26-c9e9-41f7-8e3d-8104dd7312b3) + ) + (label "CPU_D10" (at 246.38 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 949a7f47-6fa3-4218-b15c-8c0d73aabd8a) + ) + (label "FSB_A6" (at 38.1 86.36 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 95a92773-c86d-4d92-afa7-aef8593fc2bf) + ) + (label "CPU_D17" (at 246.38 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 95f41c74-215d-46fb-99cc-fd82727e1c9a) + ) + (label "FSB_D15" (at 226.06 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 960bcf02-d8e5-42a3-bd1c-38976a6752c5) + ) + (label "CPU_A25" (at 58.42 172.72 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 98690dc8-2c93-4718-9f3b-ecd8192a6503) + ) + (label "FSB_A0" (at 38.1 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 98825bf1-d9ed-4c91-93d7-ea48be531bfb) + ) + (label "FSB_A9" (at 38.1 106.68 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 992671eb-637d-4204-a80e-e6caac57aa83) + ) + (label "FSB_D30" (at 226.06 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 998d7084-970f-4fd0-9329-5c032bebbe71) + ) + (label "SIZ0" (at 120.65 185.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 99d45262-21cb-4bcf-94cc-08e90157d2f1) + ) + (label "CPU_D2" (at 246.38 48.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9b6895c6-c27c-4b40-9b81-a89100430abc) + ) + (label "CPU_D8" (at 246.38 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9defe4f5-c1a5-41af-b28e-eac352e387f6) + ) + (label "FSB_A19" (at 38.1 144.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a17d0223-a435-409d-96f0-41580a860bcb) + ) + (label "CPU_A20" (at 58.42 147.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a1e2dec2-71ac-4da3-a9ad-1ed4f25a0706) + ) + (label "FSB_D12" (at 226.06 81.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a2b3c459-616f-4883-9fe8-4e297134556d) + ) + (label "CPU_A15" (at 58.42 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a47e5800-47b2-4a90-9639-4182b51055d9) + ) + (label "FSB_A11" (at 38.1 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a6ee93f9-21e6-4b79-bbc1-360b10fab91a) + ) + (label "CPU_A8" (at 58.42 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a780a2ef-1568-44f6-883c-2b5d8a0469f1) + ) + (label "FSB_A27" (at 38.1 177.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid adf99e01-cd2b-419e-bbaf-5af3df328a09) + ) + (label "CPU_D26" (at 246.38 134.62 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ae77020c-05c8-4073-848c-11c2a6eec8bd) + ) + (label "CPU_A27" (at 58.42 177.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ae91d125-c2d4-478f-a1b5-0ea10983fb6e) + ) + (label "CPU_D19" (at 246.38 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid aeca4a4a-6c68-4cf7-a820-3c3abed676c0) + ) + (label "CFC1" (at 100.33 180.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b3e3d5f6-25dc-4761-b0e6-f67286bbb89f) + ) + (label "CPU_D5" (at 246.38 40.64 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b5f1ea21-05ec-4f51-bedb-23290f279949) + ) + (label "FSB_A5" (at 38.1 83.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b617667b-6a13-4d1a-b00a-6516e36dca5c) + ) + (label "CFC0" (at 100.33 182.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b64b8f07-2225-4090-bf66-01a5a189adce) + ) + (label "FSB_A28" (at 38.1 180.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b683876a-c460-48db-b725-76ca8e36f3ba) + ) + (label "CPU_A23" (at 58.42 154.94 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b75b2163-a670-4d66-ab8f-8390c6e6ce68) + ) + (label "FSB_A24" (at 38.1 170.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b773b8d6-9ef5-499a-8e0b-e8d729c91f86) + ) + (label "CPU_D24" (at 246.38 129.54 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b8bcb3f9-99ad-4545-a657-ce96863c18e4) + ) + (label "CPU_D30" (at 246.38 144.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b8ecf0a9-6c4a-46af-b045-ce099d29bb3d) + ) + (label "CPU_A24" (at 58.42 170.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b9e7ff59-7006-4316-8725-1eb5d985ebec) + ) + (label "FSB_D14" (at 226.06 86.36 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ba22302d-aec6-4056-a87e-7cdb2949d43f) + ) + (label "DDIR" (at 246.38 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bc781722-be0c-4fcf-90ae-864679b5e842) + ) + (label "CPU_D18" (at 246.38 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid be214907-7e63-40b3-90e7-5034232a7b9e) + ) + (label "CPU_D15" (at 246.38 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c38138e4-36a1-42de-95c3-7e1bbd5d6217) + ) + (label "CPU_D11" (at 246.38 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c5c6acf0-6cb6-430c-afd3-224b65cada3d) + ) + (label "CPU_D6" (at 246.38 45.72 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c8bd645e-dfe6-4859-859f-1b4b9426ac6e) + ) + (label "FSB_A1" (at 38.1 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ce112fb2-5399-4066-8559-25663886d560) + ) + (label "CPU_A6" (at 58.42 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ce716404-cb6a-47ba-9456-b329b3d4f89f) + ) + (label "FSB_A12" (at 38.1 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d2e3a11e-1c40-4a5b-920a-afc33bf675ac) + ) + (label "CPU_A1" (at 58.42 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d3aa87ed-3a70-47fa-8041-b403111aeb5d) + ) + (label "FSB_A13" (at 38.1 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d5b15c1a-f462-41b3-b528-c1e71c47c4b5) + ) + (label "FSB_D25" (at 226.06 134.62 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d6763566-0d12-4195-9bc1-e4f0266d1d40) + ) + (label "CPU_D0" (at 246.38 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d8a4699e-73d9-45ad-a77f-f6f49f329d97) + ) + (label "FSB_D16" (at 226.06 101.6 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid da73a765-76f8-4752-83ac-340ecf359ed2) + ) + (label "FSB_D31" (at 226.06 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid db73c951-01c3-435e-b537-33ccb2361bd7) + ) + (label "CPU_A21" (at 58.42 149.86 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dc8dd45b-fa30-4388-ab6d-c270a9567344) + ) + (label "CPU_A11" (at 58.42 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid de4e3e96-8faf-406f-ad24-6e02f6871f9d) + ) + (label "FSB_D7" (at 226.06 53.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e037a65c-1b68-4226-a0f4-bd822f499994) + ) + (label "CPU_D14" (at 246.38 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e158e364-cd94-4bfd-a7eb-86ea0bcf8b22) + ) + (label "FSB_D19" (at 226.06 109.22 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e22ab594-9c88-4f25-b19e-e7765871de9d) + ) + (label "CFC2" (at 100.33 177.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e464862e-8478-4288-8591-873452891e54) + ) + (label "CPU_D25" (at 246.38 132.08 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f1fd08b9-fa0a-493e-a0c9-25ef558a61be) + ) + (label "FSB_A18" (at 38.1 142.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f32f9d6a-ee0e-4ad8-81a1-598c37b661f5) + ) + (label "CPU_A29" (at 58.42 182.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fdb2e96d-c43f-4124-b8e6-0339af712efa) + ) + (label "CPU_A5" (at 58.42 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fefa6a1d-7bd0-40fd-a101-2b46d3036f3a) + ) + (label "CPU_A22" (at 58.42 152.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ff4ec846-af79-4e90-952d-533c54638b0f) + ) + (label "CPU_A14" (at 58.42 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ff87866e-976f-4502-b069-d0edc2f1d782) + ) + + (hierarchical_label "CD~{OE}" (shape input) (at 226.06 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0ae64880-1ad0-4bbf-8911-fb568e1d463b) + ) + (hierarchical_label "FC[2..0]" (shape input) (at 132.08 180.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 0bac7817-49ba-4285-bf92-7583caa465e3) + ) + (hierarchical_label "R~{W}" (shape input) (at 123.19 172.72 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 18df40de-99b6-4e71-8cc5-fec136f4f5d6) + ) + (hierarchical_label "CFC[2..0]" (shape output) (at 86.36 180.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1a7d5952-7de9-40e1-a998-f3f0c7175cda) + ) + (hierarchical_label "~{CBREQ}" (shape input) (at 120.65 152.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1e7a9450-a192-490d-96d4-3da96c7d9908) + ) + (hierarchical_label "CR~{W}" (shape output) (at 100.33 172.72 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 34688298-80d8-4b30-af60-eaf23cfa31c5) + ) + (hierarchical_label "CD~{OE}" (shape input) (at 226.06 38.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4aeab5a9-1f44-4ded-bc3e-97f25dde4305) + ) + (hierarchical_label "C~{CBREQ}" (shape output) (at 100.33 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5172b470-b24a-42f8-86dd-c499d5d67ad4) + ) + (hierarchical_label "~{RMC}" (shape input) (at 123.19 170.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 61d688e4-3d32-4463-aff5-1fe4d2f35344) + ) + (hierarchical_label "C~{AS}" (shape output) (at 100.33 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6aa8e046-5456-472c-b402-afa05684a5d5) + ) + (hierarchical_label "~{AS}" (shape input) (at 120.65 147.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 75262d88-2b95-42f6-b1ce-9fbdf6298b9b) + ) + (hierarchical_label "D[31..0]" (shape bidirectional) (at 257.81 40.64 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7cd3d01d-ad18-422a-9a3f-f65deff4ed65) + ) + (hierarchical_label "CD~{OE}" (shape input) (at 226.06 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 90c85d44-f2a8-4c61-8a63-0f3bc9cacff5) + ) + (hierarchical_label "CA[31..0]" (shape tri_state) (at 26.67 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a03d4142-2ccf-424c-9898-f7d3ead6344a) + ) + (hierarchical_label "SIZ[1..0]" (shape input) (at 132.08 187.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid aea69040-8d0f-4d44-995e-e1f179463988) + ) + (hierarchical_label "C~{RMC}" (shape output) (at 100.33 170.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c464f878-cca2-4f42-a71a-f7238c16142d) + ) + (hierarchical_label "CD[31..0]" (shape bidirectional) (at 214.63 43.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d4914bd4-5168-4f4b-9621-65f7449bcceb) + ) + (hierarchical_label "CD~{OE}" (shape input) (at 226.06 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f35c617a-f2e5-40c8-aabf-9b94cd234f0e) + ) + (hierarchical_label "CSIZ[1..0]" (shape output) (at 86.36 187.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f54ac834-d5b6-49a2-9c25-0f1a5b70b34a) + ) + (hierarchical_label "A[31..0]" (shape input) (at 69.85 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f76a944d-9c63-4093-80b2-3939e59c9f37) + ) + + (symbol (lib_id "Device:C_Small") (at -57.15 160.02 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061628088) + (property "Reference" "C?" (at -55.88 158.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -55.88 161.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -57.15 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -57.15 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 920a84b4-324b-43ca-a7a6-3c6bf6437341)) + (pin "2" (uuid 6ef911cb-23c9-4054-9b12-968aa457356b)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C38") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -46.99 160.02 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061628094) + (property "Reference" "C?" (at -45.72 158.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -45.72 161.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -46.99 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -46.99 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c9f29d1a-687d-4a84-9c58-e1f8583eb0b7)) + (pin "2" (uuid 9be7de60-86c6-4468-bb77-de0552f97320)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C40") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -36.83 160.02 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006162809e) + (property "Reference" "C?" (at -35.56 158.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -35.56 161.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -36.83 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -36.83 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0e741f0c-2fd9-4cc7-bc9d-e2e9482a1e3c)) + (pin "2" (uuid fad3b8f2-8b34-4b46-b673-04c7688692f8)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C42") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at -67.31 157.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616280a8) + (property "Reference" "#PWR?" (at -67.31 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at -67.31 153.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -67.31 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at -67.31 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 404961c8-939a-48ab-9d40-7b47620a6cd9)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0159") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -67.31 160.02 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616280af) + (property "Reference" "C?" (at -66.04 158.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -66.04 161.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -67.31 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -67.31 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 43865412-f1c0-498f-bfd2-bbe809889a69)) + (pin "2" (uuid 919a5fc4-47dc-4510-a7b9-174afd60a3c6)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C36") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -16.51 160.02 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616280b5) + (property "Reference" "C?" (at -15.24 158.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -15.24 161.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -16.51 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -16.51 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 352df29f-5847-4ec5-97f5-cd7cabdb9566)) + (pin "2" (uuid 0ad50fef-3442-4f55-9236-3b80205cd4e9)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C46") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -26.67 160.02 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616280bb) + (property "Reference" "C?" (at -25.4 158.75 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -25.4 161.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -26.67 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -26.67 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d2b81009-4d99-4332-b48e-6a9f4bed2430)) + (pin "2" (uuid 95b3fcc2-b544-48b4-aa6e-047585833ac4)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C44") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -57.15 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061d73204) + (property "Reference" "C?" (at -55.88 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -55.88 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -57.15 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -57.15 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9beb61f0-3efb-4843-b281-5e5bd84ce799)) + (pin "2" (uuid 6500da5a-69ad-44af-836c-aae6c88a120e)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C37") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -46.99 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061d73210) + (property "Reference" "C?" (at -45.72 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -45.72 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -46.99 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -46.99 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 50487bef-4a72-4947-865f-b6da60c01222)) + (pin "2" (uuid 0d1aadb1-e79d-4380-b629-e73560fe7c4f)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C39") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -36.83 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061d7321a) + (property "Reference" "C?" (at -35.56 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -35.56 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -36.83 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -36.83 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6d40f151-e294-4912-8635-5d075aac422d)) + (pin "2" (uuid 1e3a2766-d514-4b51-85af-dde5b5223434)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C41") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at -67.31 147.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061d73224) + (property "Reference" "#PWR?" (at -67.31 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at -67.31 143.51 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -67.31 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at -67.31 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 08090e1f-2bfd-4851-bab8-aaa71c74bcfc)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0161") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -67.31 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061d7322b) + (property "Reference" "C?" (at -66.04 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -66.04 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -67.31 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -67.31 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e9e6c377-8079-4e5d-8824-9e5effc495e8)) + (pin "2" (uuid 933bcc1b-c188-4eae-a733-ddcc4fa587c1)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C35") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -16.51 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061d73231) + (property "Reference" "C?" (at -15.24 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -15.24 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -16.51 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -16.51 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a3f789f1-5b17-44e7-92dc-1a7f645a91e8)) + (pin "2" (uuid 2d50c7ac-ddd1-4866-9bc2-3495dcb05c22)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C45") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 236.22 46.99 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006226e539) + (property "Reference" "U?" (at 236.22 31.75 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245APW" (at 236.22 46.99 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 236.22 63.5 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 236.22 44.45 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 09dab2b2-4bc9-4317-8f43-e149975aa375)) + (pin "10" (uuid a2747d39-45fa-4e1d-98c6-1125199563d7)) + (pin "11" (uuid 16201483-e7dd-4e66-a016-15660a54850c)) + (pin "12" (uuid 6d684f77-7134-4811-9811-6b46ce670e86)) + (pin "13" (uuid 22e0d6ba-f052-4292-8fba-7b02cb87ae9e)) + (pin "14" (uuid 49e33c83-8430-43ab-9e62-95498e6eeb3d)) + (pin "15" (uuid db83eade-9921-4fd4-8286-b6ae4b6af512)) + (pin "16" (uuid 7516ed4d-0c90-4dd5-8742-843f4d3cd8ec)) + (pin "17" (uuid 4882628c-0d6e-4c50-a135-06446b44c567)) + (pin "18" (uuid 8b4db897-b17f-47ef-a5be-707c2c7f8eed)) + (pin "19" (uuid 34751bdf-38aa-4a15-b5c2-7dbc2c0f983b)) + (pin "2" (uuid 61e55cd1-f24f-4b74-a594-b86e3749127a)) + (pin "20" (uuid 6820bdd5-9e5e-4103-80f2-fc9718601623)) + (pin "3" (uuid ad4c1cf9-f546-466e-827d-20eab88a6fad)) + (pin "4" (uuid 2bcc9fa5-9c7c-4456-8be2-660e816f2f4b)) + (pin "5" (uuid b4e7306d-0479-4aa1-baf5-2fe71e3c2abf)) + (pin "6" (uuid bcf40f52-84a1-4d95-9cf1-d28e72aae74b)) + (pin "7" (uuid 11bd8840-451f-4ef1-aa8a-9fdb63dfd199)) + (pin "8" (uuid c97758f0-419c-432d-9ae8-15b6736596db)) + (pin "9" (uuid fbba74b5-5b56-4982-847a-eefe8279892d)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U25") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 226.06 66.04 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000622ae846) + (property "Reference" "#PWR?" (at 226.06 69.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 226.06 62.23 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 226.06 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 226.06 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ef8e8969-2183-4883-baa6-e85193123b88)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0165") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 246.38 88.9 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000622ae84c) + (property "Reference" "#PWR?" (at 246.38 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 246.38 92.71 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 246.38 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 246.38 88.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 842b951d-8294-401d-80ba-ea4ac95eb165)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0166") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 236.22 77.47 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000622ae852) + (property "Reference" "U?" (at 236.22 62.23 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245APW" (at 236.22 77.47 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 236.22 93.98 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 236.22 74.93 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid b6c638e8-8f11-47bc-abf6-10e4c7b3d2c0)) + (pin "10" (uuid 118730c5-0667-43b7-966c-8d288eecc58f)) + (pin "11" (uuid 70892a8f-0b3d-4ec3-9af6-195714c7dc81)) + (pin "12" (uuid cc1567b6-a9c3-4359-841e-dd16fcda622d)) + (pin "13" (uuid 105aa5ea-6443-409c-872e-1e1653c0920b)) + (pin "14" (uuid e2e0d522-079c-41e7-baac-ca08d4eed470)) + (pin "15" (uuid 53c3d0ee-3904-46fe-a8e2-c6616565e3ff)) + (pin "16" (uuid 50b06436-32ea-4196-9ba0-ccdcd79b1086)) + (pin "17" (uuid b6592a07-7e01-4f4f-bd36-3db8d41f12ad)) + (pin "18" (uuid 4fba318c-453c-4962-8030-393149d67135)) + (pin "19" (uuid 93b7842f-5954-4373-8b8d-8d0ab76d3d5f)) + (pin "2" (uuid d101843b-06e3-4c4f-bbfc-490a9156d54b)) + (pin "20" (uuid 28d44cd0-1a0a-410e-a625-2c4d069671b5)) + (pin "3" (uuid d5bc167c-0c29-4607-bb34-8ac5f9834791)) + (pin "4" (uuid a8ca0daa-c93d-44ad-9643-bbfb61032d2a)) + (pin "5" (uuid f7a79f84-54b2-41fa-93be-45e316efe003)) + (pin "6" (uuid 8b0d1474-75b1-4b22-a847-28eeacba96cf)) + (pin "7" (uuid 7a2f341b-c1fe-47af-971a-0d3884be0971)) + (pin "8" (uuid 4083e8e8-7ea8-4b29-91bb-277b86e03c8b)) + (pin "9" (uuid bb50c01c-2878-4359-b0cc-c60d797cf610)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U26") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74244") (at 48.26 113.03 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000629e6572) + (property "Reference" "U19" (at 48.26 97.79 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC244APW" (at 48.26 128.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 48.26 129.54 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 48.26 110.49 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid e174a044-fde3-446d-86f3-f705f50176f2)) + (pin "10" (uuid 5337a4b8-f74b-49a9-8e70-9406c25370f1)) + (pin "11" (uuid 1d727780-b694-439e-a129-6e2aaa586e56)) + (pin "12" (uuid 2bb9a776-4396-49b7-998b-ab8d3a800f2d)) + (pin "13" (uuid 0b886446-0c68-4998-b057-79ce7c035a69)) + (pin "14" (uuid ad066166-6217-4b53-90cc-b70478c77b37)) + (pin "15" (uuid c51ce7f6-2d67-4080-b768-a8f6f0c2bb21)) + (pin "16" (uuid b3aa3ce1-ef7e-409d-9dd8-2488277ce6ec)) + (pin "17" (uuid f65bcfa5-754a-4532-a3d9-7b17cff496b9)) + (pin "18" (uuid bceff075-4cae-4cee-879d-7e1109830480)) + (pin "19" (uuid 3aa13c26-4d86-4fed-b3d6-c799b1d959e6)) + (pin "2" (uuid 9ecf3697-e144-460a-9861-a64e9b125173)) + (pin "20" (uuid 33cdfa23-be2e-42f2-969c-53605b9b304c)) + (pin "3" (uuid bfaaaebf-253c-46f9-be4a-a0a7303422a8)) + (pin "4" (uuid 5250cb5d-f5ec-42b9-b0d8-19c3458700db)) + (pin "5" (uuid 9c6eee68-101f-4993-9cde-6a059c6bc879)) + (pin "6" (uuid 0862c7c0-462e-429e-8642-cf6dab2a0aa4)) + (pin "7" (uuid 7fb70be9-0c5f-4b00-af06-97e0fec52583)) + (pin "8" (uuid 12d60ac5-07ff-4511-beaf-39b1aea0ef2a)) + (pin "9" (uuid 32c46d11-b523-4a4f-8bf6-0e903faf8423)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U19") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74244") (at 48.26 146.05 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000629f220f) + (property "Reference" "U20" (at 48.26 130.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC244APW" (at 48.26 161.29 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 48.26 162.56 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 48.26 143.51 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid ddc6969e-3b0d-4826-a03b-f698199dfc4c)) + (pin "10" (uuid 78328e52-ce54-4d2a-abc0-6a9f6a79ee74)) + (pin "11" (uuid a70663de-93c6-485d-863d-fc5a31ed629c)) + (pin "12" (uuid 66bf5be0-c29e-40f7-8d00-ac8b07226b5a)) + (pin "13" (uuid 4390d908-602c-4a44-94fb-c0f9df1f54fa)) + (pin "14" (uuid 901bb4b2-07f7-4447-a39a-231c2185105d)) + (pin "15" (uuid 5ca52ef8-8e72-4c10-bca9-440f047488dd)) + (pin "16" (uuid 90a9a8b8-ff2c-4569-b8c6-384e73ca1bfa)) + (pin "17" (uuid 36b30b25-d315-48ff-9f7a-f40a9caf35a7)) + (pin "18" (uuid f35a68d7-4828-47ef-b899-bbedd83a59dd)) + (pin "19" (uuid f82445ca-3790-40b7-bdc9-e47de32581fd)) + (pin "2" (uuid 29a73b6f-a021-4d94-a606-4cb8fb2649d4)) + (pin "20" (uuid bc7c235d-e6c2-48e7-8456-6934d822df65)) + (pin "3" (uuid 4a6d3786-bfda-4bf5-a872-5da44939a330)) + (pin "4" (uuid 1ffb361e-0bb0-4fc0-b59f-4368e173e7ac)) + (pin "5" (uuid ddb9c4de-0f5f-4f52-abf6-3cee1386c324)) + (pin "6" (uuid e0d1b38c-8d9b-49ce-8457-31d533e9b123)) + (pin "7" (uuid de95dbb7-3436-440c-a6a5-9c56ad85bd1f)) + (pin "8" (uuid 7318f86a-a837-4f2a-8127-fdeda7ddd517)) + (pin "9" (uuid 67394d84-1794-4eae-a0fa-7eb0e70d7e8d)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U20") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74244") (at 48.26 179.07 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000629fa6c8) + (property "Reference" "U21" (at 48.26 163.83 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC244APW" (at 48.26 194.31 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 48.26 195.58 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 48.26 176.53 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 3028f774-5de5-4144-bf14-396ad6d99653)) + (pin "10" (uuid 4d9c8888-f599-496b-a1b9-1bb764ea1603)) + (pin "11" (uuid 55c52473-c6eb-4b80-bb50-483243129738)) + (pin "12" (uuid 9cbe1a7e-b540-4d41-bdf5-649d974f72ba)) + (pin "13" (uuid 61561b5e-a1a0-49ec-a4eb-4173c295b278)) + (pin "14" (uuid 775c23a7-936c-40db-b8ec-85658cdffe4e)) + (pin "15" (uuid 26909ac8-04f1-406f-baff-3e8a7521254f)) + (pin "16" (uuid 32feccd6-3e3d-4f0c-bd11-648c168a85fd)) + (pin "17" (uuid f1e390e4-84d4-4049-a134-ddd248a83869)) + (pin "18" (uuid 9f58f1c4-3412-4b9f-ab9f-c9d889092020)) + (pin "19" (uuid 4f3f4a99-599e-40ca-9d09-f8c6b3d70392)) + (pin "2" (uuid 0d444f56-e3bf-4d37-9051-f9be3d8e1233)) + (pin "20" (uuid 15718321-8018-4275-9658-cd5aa2d57bd7)) + (pin "3" (uuid ac48b4de-75a9-48c1-914a-fb0574bb244d)) + (pin "4" (uuid dce988f0-4129-4064-aebe-8dc0e8e277a8)) + (pin "5" (uuid e4971c18-456b-4364-a1fa-3226c39c3a6f)) + (pin "6" (uuid 83f501de-568b-4cdb-8181-a1a05b40ddc5)) + (pin "7" (uuid 86b214c7-30e1-4f7f-ad31-a1c9a741c02d)) + (pin "8" (uuid e93c8c90-369a-449a-9d40-e4df41e2d665)) + (pin "9" (uuid fb3bbb0b-d190-4a34-9fcc-418a450cc557)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U21") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74244") (at 48.26 80.01 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000629fefba) + (property "Reference" "U18" (at 48.26 64.77 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC244APW" (at 48.26 95.25 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 48.26 96.52 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 48.26 77.47 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid de483551-44cc-4bb9-bac7-f81fc77fc651)) + (pin "10" (uuid 3bf1bd69-307d-4c59-86c4-3d9beb4dfd24)) + (pin "11" (uuid 7c590353-4a50-41bb-bcd6-cbf154b667b0)) + (pin "12" (uuid 9fc0b860-d626-4dc4-bc45-5a1b7f585f6d)) + (pin "13" (uuid e56dc3f6-06aa-4385-be86-039f0ea84d75)) + (pin "14" (uuid 62dd2390-b5ef-437d-a514-f113fd9c6e4b)) + (pin "15" (uuid 9c473b11-21f9-4ed9-81e0-f4bf4781cdf6)) + (pin "16" (uuid f6b481ee-260e-465c-a9b5-420174648903)) + (pin "17" (uuid a3462e8f-ce32-43a8-9fd8-6bb4104171ab)) + (pin "18" (uuid 2d655177-73f0-44ec-bc33-bb4c02ed3470)) + (pin "19" (uuid f7867381-52d6-4e5a-b74c-6f19daf94626)) + (pin "2" (uuid 5b34defa-14b9-4d99-8389-6754d24733b3)) + (pin "20" (uuid fd303d23-9b9a-4953-b0bf-860b77fde096)) + (pin "3" (uuid 24fbed0b-c490-4a4c-89fc-4584851e8e07)) + (pin "4" (uuid 461e24c3-4d24-4c9c-a94d-c8c7f6893afb)) + (pin "5" (uuid d086644f-3005-4e79-841e-a7ffb209add1)) + (pin "6" (uuid f3e481c7-f87b-428c-994d-f5f9b800d74d)) + (pin "7" (uuid 899cc805-353b-4415-8bc9-9fd470bd3329)) + (pin "8" (uuid 057b7805-b1ad-4537-a2e5-40e5c717dff4)) + (pin "9" (uuid 06ebe55b-d8c7-404a-90cf-fb7afc9279dc)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U18") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 38.1 190.5 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062a09484) + (property "Reference" "#PWR?" (at 38.1 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 38.1 194.31 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 38.1 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e22aef8b-9f03-4b94-b7b5-50c195c52685)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0171") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 38.1 157.48 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062a0cee4) + (property "Reference" "#PWR?" (at 38.1 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 38.1 161.29 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 38.1 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6397ef3f-a2a4-4c82-8413-bb92b2b16122)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0172") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 38.1 124.46 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062a102d0) + (property "Reference" "#PWR?" (at 38.1 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 38.1 128.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 38.1 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 89c99f18-07e9-4b92-bf81-b28ad63bd713)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0173") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 38.1 91.44 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062a13961) + (property "Reference" "#PWR?" (at 38.1 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 38.1 95.25 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 38.1 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 65240ee3-892e-4b86-9153-845d19c0eab5)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0174") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 38.1 68.58 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062a1730a) + (property "Reference" "#PWR?" (at 38.1 72.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 38.1 64.77 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 38.1 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0bd49834-00ee-48db-95b1-fb0560acfbfb)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0175") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 38.1 101.6 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062a1a8ac) + (property "Reference" "#PWR?" (at 38.1 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 38.1 97.79 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 38.1 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6fa20154-241d-41d7-9205-94831e2b4761)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0176") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 38.1 134.62 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062a1e0c4) + (property "Reference" "#PWR?" (at 38.1 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 38.1 130.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 38.1 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b0eb47ad-d824-40f5-a325-3f46417ed486)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0177") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 38.1 167.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062a21876) + (property "Reference" "#PWR?" (at 38.1 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 38.1 163.83 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 38.1 167.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 38.1 167.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid eb8e4262-493e-4f55-8b38-7afa64ee6768)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0178") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74244") (at 110.49 179.07 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062a2cf45) + (property "Reference" "U24" (at 110.49 163.83 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC244APW" (at 110.49 194.31 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 110.49 195.58 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 110.49 176.53 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 0ab23377-29cb-4bd1-932b-7df778c23e2d)) + (pin "10" (uuid c272b6b2-dc79-45ab-9fe6-bce39a6ffba3)) + (pin "11" (uuid dc0e542a-b80c-491b-9914-87bf326a5bc1)) + (pin "12" (uuid 0264639d-3fb5-4326-a00c-997a40cb0ffd)) + (pin "13" (uuid ccdd43fc-83a6-4ea8-8319-e6b0d16eda96)) + (pin "14" (uuid 0d32c235-b669-4f88-b755-7aeff5246047)) + (pin "15" (uuid f92f3f00-8728-4d9e-80fe-ec0a239ffa38)) + (pin "16" (uuid ec97ed6e-1a81-4f91-b750-b2016db67c42)) + (pin "17" (uuid 8d748566-370c-4b74-845f-64be0174a2b4)) + (pin "18" (uuid 8cb64a88-91b8-4649-bf18-e8d8f7da188e)) + (pin "19" (uuid 84f6505b-79e1-4d51-b75e-b546062c9d88)) + (pin "2" (uuid 7a941ef3-0063-4775-b049-ceffe754d6f2)) + (pin "20" (uuid 38490691-e820-407a-ae3f-4a577bc72ef8)) + (pin "3" (uuid 85037a12-17dd-4da0-a56b-4269ec57cd1a)) + (pin "4" (uuid 12f75dfb-d0d7-4566-be1b-292c5c695628)) + (pin "5" (uuid bb307996-2883-4e60-8914-4707d7a68661)) + (pin "6" (uuid 0c63d351-9347-4d71-944e-43b5bb4d988c)) + (pin "7" (uuid 55387239-c7a8-4ed1-a16b-ee4a8b51de5d)) + (pin "8" (uuid f8574589-faa9-49c8-a683-d088ae400a28)) + (pin "9" (uuid f6a309ba-65cf-4bc2-b813-ed9df1a02d6d)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U24") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 100.33 190.5 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062a2cf51) + (property "Reference" "#PWR?" (at 100.33 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 100.33 194.31 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 100.33 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 100.33 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 865847df-ea72-4d38-9d7b-d39d78393dc1)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0182") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 100.33 167.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062a2cf57) + (property "Reference" "#PWR?" (at 100.33 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 100.33 163.83 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 100.33 167.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 100.33 167.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid af8f19b8-c2c1-4288-b19b-3dfd58266b6d)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0179") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at -16.51 162.56 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262efb) + (property "Reference" "#PWR?" (at -16.51 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at -16.51 166.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -16.51 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at -16.51 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a13b17ac-2de3-42dc-b347-04ab0ec18037)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0160") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -26.67 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f02) + (property "Reference" "C?" (at -25.4 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -25.4 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -26.67 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -26.67 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 48cece5d-2759-4086-a298-3e72dbade3ab)) + (pin "2" (uuid 9545aa03-b259-4ae5-a49d-42758efff774)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C43") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at -16.51 152.4 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f03) + (property "Reference" "#PWR?" (at -16.51 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at -16.51 156.21 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -16.51 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at -16.51 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1cbb286f-5ea8-475d-9f47-cebe6f660984)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0162") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 246.38 58.42 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f05) + (property "Reference" "#PWR?" (at 246.38 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 246.38 62.23 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 246.38 58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 246.38 58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2675fbf3-f5d0-4774-916d-90fa31904479)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0164") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 226.06 35.56 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f06) + (property "Reference" "#PWR?" (at 226.06 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 226.06 31.75 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 226.06 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 226.06 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0cd589c3-fa6d-441f-a45c-2de891b82efe)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0163") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 226.06 96.52 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f0a) + (property "Reference" "#PWR?" (at 226.06 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 226.06 92.71 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 226.06 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 226.06 96.52 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 551cd3ef-cee8-4594-9fe2-f0321941257e)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0167") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 246.38 119.38 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f0b) + (property "Reference" "#PWR?" (at 246.38 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 246.38 123.19 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 246.38 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 246.38 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7d8f612a-e5cc-491d-8ea4-c510afc343aa)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0168") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 236.22 107.95 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f0c) + (property "Reference" "U?" (at 236.22 92.71 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245APW" (at 236.22 107.95 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 236.22 124.46 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 236.22 105.41 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid cde43af0-8080-4e77-a513-d2b59abf263b)) + (pin "10" (uuid 0a77c0fd-54ee-4b3a-9bbd-1f451f813daa)) + (pin "11" (uuid 10b16a71-e70d-42c8-a01b-a8f7906368f0)) + (pin "12" (uuid 5fad20c1-56df-4bab-8060-24f639a678bc)) + (pin "13" (uuid b7eb1258-72d9-4437-ba51-d350703aaa5b)) + (pin "14" (uuid f833724f-9e11-4793-8aa4-85e794e86698)) + (pin "15" (uuid 2bdc8e72-2a4d-47b6-8644-21a89aae0873)) + (pin "16" (uuid 84cafe2e-d6fb-48d4-976c-4daf3d182468)) + (pin "17" (uuid d726f97a-a78f-435f-896a-9b16540160d9)) + (pin "18" (uuid 2ef79d04-6f6e-4cf2-aed3-f793d9ca1f01)) + (pin "19" (uuid 2d1b9445-33a0-4746-8ed2-298b253d3281)) + (pin "2" (uuid 0bedeee3-d292-4a26-bc63-76c115d4dae5)) + (pin "20" (uuid f45691e5-e49e-42e7-b513-5b689d83c25c)) + (pin "3" (uuid 4d2dd01a-365f-4ee8-b79c-22439bf52dd3)) + (pin "4" (uuid de092ed6-72bf-4bff-bd76-128ba18cf2e8)) + (pin "5" (uuid 290d7b8a-d1f3-4d5e-950b-515c9cc7bd32)) + (pin "6" (uuid 533a1414-9f36-48c7-bcb0-cf405041716f)) + (pin "7" (uuid e5ced6d3-b2f5-42ed-9e21-b8dcaf28cba2)) + (pin "8" (uuid 01d42d9b-8d0a-4658-a6c6-9d568b91d75b)) + (pin "9" (uuid ce90b6f7-9548-4f67-b712-2572788c8b9c)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U27") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 226.06 127 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f0d) + (property "Reference" "#PWR?" (at 226.06 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 226.06 123.19 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 226.06 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 226.06 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8690203f-fc59-4aae-8e9a-6045087a5133)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0169") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 246.38 149.86 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f0e) + (property "Reference" "#PWR?" (at 246.38 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 246.38 153.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 246.38 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 246.38 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 77dfc624-4c68-431d-98e0-13196888ea04)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0170") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 236.22 138.43 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f0f) + (property "Reference" "U?" (at 236.22 123.19 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245APW" (at 236.22 138.43 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 236.22 154.94 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 236.22 135.89 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid e17d59fc-85b1-4868-b390-a1e8cb366041)) + (pin "10" (uuid 8980d8f7-2cbb-4f40-9c89-7de22111213e)) + (pin "11" (uuid 91fa5772-9a63-4972-bf7c-a74762cc9980)) + (pin "12" (uuid f4cc1841-a72b-423d-a288-72b87090a35b)) + (pin "13" (uuid 0e627c7a-5aa5-4dfb-94d4-84ab1ef6921f)) + (pin "14" (uuid 5cc01e09-4219-4ca0-9e98-8b69dc4f4f85)) + (pin "15" (uuid 152c08d7-f9fa-4aad-a8de-ee37763570b6)) + (pin "16" (uuid e124f411-9930-45c0-811b-b76cb1421dc5)) + (pin "17" (uuid bc55c6c4-09ce-490b-beb9-ab76e5d71712)) + (pin "18" (uuid 37490d10-4e91-482a-b5cd-ded849104042)) + (pin "19" (uuid e01f1a8c-da90-42e1-8b65-63782205ba8c)) + (pin "2" (uuid e3ded9cc-bda6-4c6e-b64f-61fc42bf250b)) + (pin "20" (uuid 1e8dbab5-a17f-40a2-8b9e-7c98715f3420)) + (pin "3" (uuid 31fa4b4c-98a2-4e38-b15d-c11a3f4db9e7)) + (pin "4" (uuid a1b98b61-4507-4ab5-8207-531ffc21d5eb)) + (pin "5" (uuid 6b998443-5b28-44ce-be84-ce24a34d6ddf)) + (pin "6" (uuid dc703cb3-993c-458e-aa01-bd177104d771)) + (pin "7" (uuid d1070464-6a60-417d-9424-9f4534637adf)) + (pin "8" (uuid b6e32797-99f1-427d-928e-5b898119f3b0)) + (pin "9" (uuid 0a62214e-8de7-4e18-9548-331083cd312b)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U28") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 100.33 134.62 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000639d3b7b) + (property "Reference" "#PWR?" (at 100.33 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 100.33 130.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 100.33 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 100.33 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 022dbe10-3d28-4e84-8c64-39f7930feada)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR0186") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 100.33 157.48 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 20ef91d0-f817-4558-8768-8d19af874d21) + (property "Reference" "#PWR?" (at 100.33 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 100.33 161.29 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 100.33 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 100.33 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6aa1c090-7f48-490a-a06d-0e53116dd37a)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR01") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74244") (at 110.49 146.05 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 25f3eec9-5e93-489a-97cc-8bcca30502cd) + (property "Reference" "U7" (at 110.49 130.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC244APW" (at 110.49 161.29 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 110.49 162.56 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 110.49 143.51 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 51026fcb-df89-4f50-af85-c5d08d1ea245)) + (pin "10" (uuid 6aa0f1de-af8a-4600-bbd9-bb6127a68431)) + (pin "11" (uuid 1e2fd3fb-5f69-42cd-8240-ba34cf9989b2)) + (pin "12" (uuid 7e3c840c-a484-4a4c-b14e-7ec9b0b1fab6)) + (pin "13" (uuid dd4358ea-7f04-4c09-a25a-d90dc4b3faf9)) + (pin "14" (uuid 69a4f18a-4b99-4e63-9e59-7230a0db8368)) + (pin "15" (uuid 0cd97892-c331-42fb-90e5-0a9e34cf2539)) + (pin "16" (uuid 5ff6bf2a-d0b8-453b-baee-558a70953de0)) + (pin "17" (uuid 49d56af0-719b-4e9f-b458-974226bd3e44)) + (pin "18" (uuid 4dd3eb90-cf80-4b32-b458-ed69e460ff6d)) + (pin "19" (uuid 4edde04d-0fec-4d83-93ea-72dfee9d3d21)) + (pin "2" (uuid 44a419e9-7b0f-483c-915e-a5887ebff983)) + (pin "20" (uuid e86cc132-f4f3-4f38-a8cd-c0dc3763ae04)) + (pin "3" (uuid ac7a3a56-b7ff-46e7-92f6-44a089d30387)) + (pin "4" (uuid c6ca6909-5000-4aba-b98e-cbef380659b5)) + (pin "5" (uuid e8e3248a-587e-483d-9d6f-298310afa92d)) + (pin "6" (uuid 274b2c99-b2d4-4d41-9f01-bceb1fbe99a6)) + (pin "7" (uuid 4ca224fb-80dc-4bd1-ae81-463c73490669)) + (pin "8" (uuid 75d14f49-2dc0-4784-8c86-bd4ffc4e6605)) + (pin "9" (uuid 5f7aabd8-046d-40af-a65d-2b2f5412ca64)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 60.96 97.79 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 27b071d0-4c2b-4192-a474-8c2d0e511e1c) + (property "Reference" "#PWR?" (at 60.96 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 60.96 101.6 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 60.96 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 60.96 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fe0a310b-b53a-422b-b078-c3317911af1a)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR010") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 58.42 124.46 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 39b118fc-3c42-438c-a5df-7583c400e908) + (property "Reference" "#PWR?" (at 58.42 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 58.42 128.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 58.42 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 58.42 124.46 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 295e5bb5-9c9b-46cd-973c-aabb572b5796)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR09") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 123.19 163.83 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 67d8d5ae-5fe7-46dc-bb67-9b191ee65ca1) + (property "Reference" "#PWR?" (at 123.19 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 123.19 167.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 123.19 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 123.19 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3f5d18f7-9861-4547-9812-2d02421b9749)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR03") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 138.43 134.62 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 768e60f2-ff2c-45cb-8338-916c03cb6e21) + (property "Reference" "#PWR?" (at 138.43 140.97 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 138.43 138.43 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 138.43 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 138.43 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f08393a9-269e-4b79-8d74-c8afd07f5f64)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR013") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 58.42 157.48 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 76dc6f74-cf2f-4e75-8890-a7611240af3a) + (property "Reference" "#PWR?" (at 58.42 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 58.42 161.29 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 58.42 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 58.42 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3bff54de-9ea9-463b-9b47-87b94892ee58)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR07") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 60.96 130.81 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 7b9530a1-4691-4625-bcad-f29caf5fee72) + (property "Reference" "#PWR?" (at 60.96 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 60.96 134.62 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 60.96 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 60.96 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b824016e-0eb3-44a4-8f68-13fc88919d47)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR08") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 82.55 137.16 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 7dbb2156-3b68-49e3-b411-cccc9637ad55) + (property "Reference" "#PWR?" (at 82.55 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 82.55 140.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 82.55 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 82.55 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 87d6c0eb-4c43-405d-aed1-9a7fc3d75b72)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR014") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 120.65 190.5 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 8392591d-89d8-4bf5-8244-755628426401) + (property "Reference" "#PWR?" (at 120.65 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 120.65 194.31 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 120.65 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 120.65 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1e94f8a0-4875-4cc7-b073-0a14ecc3c5de)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR02") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 60.96 163.83 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 8420ded7-37ca-4d6c-8c76-1d74afcff6bb) + (property "Reference" "#PWR?" (at 60.96 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 60.96 167.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 60.96 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 60.96 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a7fb3404-9366-476b-ae39-5d81025f684a)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR06") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 58.42 91.44 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b3dd9be7-6766-41d0-9c19-4a8c3ef99f56) + (property "Reference" "#PWR?" (at 58.42 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 58.42 95.25 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 58.42 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 58.42 91.44 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1d15962d-4476-416d-8667-42b02ecddb97)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR011") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 58.42 190.5 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d364ba3d-8c58-4466-bf1c-3ffd457f0807) + (property "Reference" "#PWR?" (at 58.42 196.85 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 58.42 194.31 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 58.42 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 58.42 190.5 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 88e593f3-4fd9-4950-9a9d-8f735357bb18)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR05") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 60.96 64.77 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid e26efca9-c866-43f4-8c60-6b2eda126be5) + (property "Reference" "#PWR?" (at 60.96 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 60.96 68.58 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 60.96 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 60.96 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b6fa1fe5-197b-423a-817d-0a773d54241e)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR012") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 120.65 157.48 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid f05081be-b9cd-412a-bf16-3862cc0b3f1f) + (property "Reference" "#PWR?" (at 120.65 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 120.65 161.29 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 120.65 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 120.65 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 554b73ad-3997-4600-8ac8-bf251e22e0bf)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR04") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) +) diff --git a/CPUBuf.sch b/CPUBuf.sch deleted file mode 100644 index 7aa1c35..0000000 --- a/CPUBuf.sch +++ /dev/null @@ -1,1825 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 7 7 -Title "" -Date "" -Rev "" -Comp "" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -$Comp -L Device:C_Small C? -U 1 1 61628088 -P -2250 6300 -AR Path="/61628088" Ref="C?" Part="1" -AR Path="/5F723173/61628088" Ref="C?" Part="1" -AR Path="/60941922/61628088" Ref="C?" Part="1" -AR Path="/629B7489/61628088" Ref="C?" Part="1" -AR Path="/629B918A/61628088" Ref="C38" Part="1" -F 0 "C38" H -2200 6350 50 0000 L CNN -F 1 "2u2" H -2200 6250 50 0000 L CNN -F 2 "stdpads:C_0603" H -2250 6300 50 0001 C CNN -F 3 "~" H -2250 6300 50 0001 C CNN - 1 -2250 6300 - 1 0 0 -1 -$EndComp -Wire Wire Line - -2650 6200 -2250 6200 -Connection ~ -2250 6200 -Wire Wire Line - -2250 6200 -1850 6200 -Wire Wire Line - -2650 6400 -2250 6400 -Connection ~ -2250 6400 -Wire Wire Line - -2250 6400 -1850 6400 -$Comp -L Device:C_Small C? -U 1 1 61628094 -P -1850 6300 -AR Path="/61628094" Ref="C?" Part="1" -AR Path="/5F723173/61628094" Ref="C?" Part="1" -AR Path="/60941922/61628094" Ref="C?" Part="1" -AR Path="/629B7489/61628094" Ref="C?" Part="1" -AR Path="/629B918A/61628094" Ref="C40" Part="1" -F 0 "C40" H -1800 6350 50 0000 L CNN -F 1 "2u2" H -1800 6250 50 0000 L CNN -F 2 "stdpads:C_0603" H -1850 6300 50 0001 C CNN -F 3 "~" H -1850 6300 50 0001 C CNN - 1 -1850 6300 - 1 0 0 -1 -$EndComp -Connection ~ -1850 6200 -Wire Wire Line - -1850 6200 -1450 6200 -Connection ~ -1850 6400 -Wire Wire Line - -1850 6400 -1450 6400 -$Comp -L Device:C_Small C? -U 1 1 6162809E -P -1450 6300 -AR Path="/6162809E" Ref="C?" Part="1" -AR Path="/5F723173/6162809E" Ref="C?" Part="1" -AR Path="/60941922/6162809E" Ref="C?" Part="1" -AR Path="/629B7489/6162809E" Ref="C?" Part="1" -AR Path="/629B918A/6162809E" Ref="C42" Part="1" -F 0 "C42" H -1400 6350 50 0000 L CNN -F 1 "2u2" H -1400 6250 50 0000 L CNN -F 2 "stdpads:C_0603" H -1450 6300 50 0001 C CNN -F 3 "~" H -1450 6300 50 0001 C CNN - 1 -1450 6300 - 1 0 0 -1 -$EndComp -Connection ~ -1450 6200 -Wire Wire Line - -1450 6200 -1050 6200 -Connection ~ -1450 6400 -Wire Wire Line - -1450 6400 -1050 6400 -$Comp -L power:+3V3 #PWR? -U 1 1 616280A8 -P -2650 6200 -AR Path="/616280A8" Ref="#PWR?" Part="1" -AR Path="/5F723173/616280A8" Ref="#PWR?" Part="1" -AR Path="/60941922/616280A8" Ref="#PWR?" Part="1" -AR Path="/629B7489/616280A8" Ref="#PWR?" Part="1" -AR Path="/629B918A/616280A8" Ref="#PWR0159" Part="1" -F 0 "#PWR0159" H -2650 6050 50 0001 C CNN -F 1 "+3V3" H -2650 6350 50 0000 C CNN -F 2 "" H -2650 6200 50 0001 C CNN -F 3 "" H -2650 6200 50 0001 C CNN - 1 -2650 6200 - 1 0 0 -1 -$EndComp -Connection ~ -2650 6200 -$Comp -L Device:C_Small C? -U 1 1 616280AF -P -2650 6300 -AR Path="/616280AF" Ref="C?" Part="1" -AR Path="/5F723173/616280AF" Ref="C?" Part="1" -AR Path="/60941922/616280AF" Ref="C?" Part="1" -AR Path="/629B7489/616280AF" Ref="C?" Part="1" -AR Path="/629B918A/616280AF" Ref="C36" Part="1" -F 0 "C36" H -2600 6350 50 0000 L CNN -F 1 "2u2" H -2600 6250 50 0000 L CNN -F 2 "stdpads:C_0603" H -2650 6300 50 0001 C CNN -F 3 "~" H -2650 6300 50 0001 C CNN - 1 -2650 6300 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 616280B5 -P -650 6300 -AR Path="/616280B5" Ref="C?" Part="1" -AR Path="/5F723173/616280B5" Ref="C?" Part="1" -AR Path="/60941922/616280B5" Ref="C?" Part="1" -AR Path="/629B7489/616280B5" Ref="C?" Part="1" -AR Path="/629B918A/616280B5" Ref="C46" Part="1" -F 0 "C46" H -600 6350 50 0000 L CNN -F 1 "2u2" H -600 6250 50 0000 L CNN -F 2 "stdpads:C_0603" H -650 6300 50 0001 C CNN -F 3 "~" H -650 6300 50 0001 C CNN - 1 -650 6300 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 616280BB -P -1050 6300 -AR Path="/616280BB" Ref="C?" Part="1" -AR Path="/5F723173/616280BB" Ref="C?" Part="1" -AR Path="/60941922/616280BB" Ref="C?" Part="1" -AR Path="/629B7489/616280BB" Ref="C?" Part="1" -AR Path="/629B918A/616280BB" Ref="C44" Part="1" -F 0 "C44" H -1000 6350 50 0000 L CNN -F 1 "2u2" H -1000 6250 50 0000 L CNN -F 2 "stdpads:C_0603" H -1050 6300 50 0001 C CNN -F 3 "~" H -1050 6300 50 0001 C CNN - 1 -1050 6300 - 1 0 0 -1 -$EndComp -Connection ~ -1050 6200 -Wire Wire Line - -1050 6200 -650 6200 -Connection ~ -1050 6400 -Wire Wire Line - -1050 6400 -650 6400 -$Comp -L power:GND #PWR? -U 1 1 63262EFB -P -650 6400 -AR Path="/63262EFB" Ref="#PWR?" Part="1" -AR Path="/5F723173/63262EFB" Ref="#PWR?" Part="1" -AR Path="/60941922/63262EFB" Ref="#PWR?" Part="1" -AR Path="/629B7489/63262EFB" Ref="#PWR?" Part="1" -AR Path="/629B918A/63262EFB" Ref="#PWR0160" Part="1" -F 0 "#PWR0160" H -650 6150 50 0001 C CNN -F 1 "GND" H -650 6250 50 0000 C CNN -F 2 "" H -650 6400 50 0001 C CNN -F 3 "" H -650 6400 50 0001 C CNN - 1 -650 6400 - -1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 61D73204 -P -2250 5900 -AR Path="/61D73204" Ref="C?" Part="1" -AR Path="/5F723173/61D73204" Ref="C?" Part="1" -AR Path="/60941922/61D73204" Ref="C?" Part="1" -AR Path="/629B7489/61D73204" Ref="C?" Part="1" -AR Path="/629B918A/61D73204" Ref="C37" Part="1" -F 0 "C37" H -2200 5950 50 0000 L CNN -F 1 "2u2" H -2200 5850 50 0000 L CNN -F 2 "stdpads:C_0603" H -2250 5900 50 0001 C CNN -F 3 "~" H -2250 5900 50 0001 C CNN - 1 -2250 5900 - 1 0 0 -1 -$EndComp -Wire Wire Line - -2650 5800 -2250 5800 -Connection ~ -2250 5800 -Wire Wire Line - -2250 5800 -1850 5800 -Wire Wire Line - -2650 6000 -2250 6000 -Connection ~ -2250 6000 -Wire Wire Line - -2250 6000 -1850 6000 -$Comp -L Device:C_Small C? -U 1 1 61D73210 -P -1850 5900 -AR Path="/61D73210" Ref="C?" Part="1" -AR Path="/5F723173/61D73210" Ref="C?" Part="1" -AR Path="/60941922/61D73210" Ref="C?" Part="1" -AR Path="/629B7489/61D73210" Ref="C?" Part="1" -AR Path="/629B918A/61D73210" Ref="C39" Part="1" -F 0 "C39" H -1800 5950 50 0000 L CNN -F 1 "2u2" H -1800 5850 50 0000 L CNN -F 2 "stdpads:C_0603" H -1850 5900 50 0001 C CNN -F 3 "~" H -1850 5900 50 0001 C CNN - 1 -1850 5900 - 1 0 0 -1 -$EndComp -Connection ~ -1850 5800 -Wire Wire Line - -1850 5800 -1450 5800 -Connection ~ -1850 6000 -Wire Wire Line - -1850 6000 -1450 6000 -$Comp -L Device:C_Small C? -U 1 1 61D7321A -P -1450 5900 -AR Path="/61D7321A" Ref="C?" Part="1" -AR Path="/5F723173/61D7321A" Ref="C?" Part="1" -AR Path="/60941922/61D7321A" Ref="C?" Part="1" -AR Path="/629B7489/61D7321A" Ref="C?" Part="1" -AR Path="/629B918A/61D7321A" Ref="C41" Part="1" -F 0 "C41" H -1400 5950 50 0000 L CNN -F 1 "2u2" H -1400 5850 50 0000 L CNN -F 2 "stdpads:C_0603" H -1450 5900 50 0001 C CNN -F 3 "~" H -1450 5900 50 0001 C CNN - 1 -1450 5900 - 1 0 0 -1 -$EndComp -Connection ~ -1450 5800 -Wire Wire Line - -1450 5800 -1050 5800 -Connection ~ -1450 6000 -Wire Wire Line - -1450 6000 -1050 6000 -$Comp -L power:+3V3 #PWR? -U 1 1 61D73224 -P -2650 5800 -AR Path="/61D73224" Ref="#PWR?" Part="1" -AR Path="/5F723173/61D73224" Ref="#PWR?" Part="1" -AR Path="/60941922/61D73224" Ref="#PWR?" Part="1" -AR Path="/629B7489/61D73224" Ref="#PWR?" Part="1" -AR Path="/629B918A/61D73224" Ref="#PWR0161" Part="1" -F 0 "#PWR0161" H -2650 5650 50 0001 C CNN -F 1 "+3V3" H -2650 5950 50 0000 C CNN -F 2 "" H -2650 5800 50 0001 C CNN -F 3 "" H -2650 5800 50 0001 C CNN - 1 -2650 5800 - 1 0 0 -1 -$EndComp -Connection ~ -2650 5800 -$Comp -L Device:C_Small C? -U 1 1 61D7322B -P -2650 5900 -AR Path="/61D7322B" Ref="C?" Part="1" -AR Path="/5F723173/61D7322B" Ref="C?" Part="1" -AR Path="/60941922/61D7322B" Ref="C?" Part="1" -AR Path="/629B7489/61D7322B" Ref="C?" Part="1" -AR Path="/629B918A/61D7322B" Ref="C35" Part="1" -F 0 "C35" H -2600 5950 50 0000 L CNN -F 1 "2u2" H -2600 5850 50 0000 L CNN -F 2 "stdpads:C_0603" H -2650 5900 50 0001 C CNN -F 3 "~" H -2650 5900 50 0001 C CNN - 1 -2650 5900 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 61D73231 -P -650 5900 -AR Path="/61D73231" Ref="C?" Part="1" -AR Path="/5F723173/61D73231" Ref="C?" Part="1" -AR Path="/60941922/61D73231" Ref="C?" Part="1" -AR Path="/629B7489/61D73231" Ref="C?" Part="1" -AR Path="/629B918A/61D73231" Ref="C45" Part="1" -F 0 "C45" H -600 5950 50 0000 L CNN -F 1 "2u2" H -600 5850 50 0000 L CNN -F 2 "stdpads:C_0603" H -650 5900 50 0001 C CNN -F 3 "~" H -650 5900 50 0001 C CNN - 1 -650 5900 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 63262F02 -P -1050 5900 -AR Path="/63262F02" Ref="C?" Part="1" -AR Path="/5F723173/63262F02" Ref="C?" Part="1" -AR Path="/60941922/63262F02" Ref="C?" Part="1" -AR Path="/629B7489/63262F02" Ref="C?" Part="1" -AR Path="/629B918A/63262F02" Ref="C43" Part="1" -F 0 "C43" H -1000 5950 50 0000 L CNN -F 1 "2u2" H -1000 5850 50 0000 L CNN -F 2 "stdpads:C_0603" H -1050 5900 50 0001 C CNN -F 3 "~" H -1050 5900 50 0001 C CNN - 1 -1050 5900 - 1 0 0 -1 -$EndComp -Connection ~ -1050 5800 -Wire Wire Line - -1050 5800 -650 5800 -Connection ~ -1050 6000 -Wire Wire Line - -1050 6000 -650 6000 -Connection ~ -650 6400 -$Comp -L power:GND #PWR? -U 1 1 63262F03 -P -650 6000 -AR Path="/63262F03" Ref="#PWR?" Part="1" -AR Path="/5F723173/63262F03" Ref="#PWR?" Part="1" -AR Path="/60941922/63262F03" Ref="#PWR?" Part="1" -AR Path="/629B7489/63262F03" Ref="#PWR?" Part="1" -AR Path="/629B918A/63262F03" Ref="#PWR0162" Part="1" -F 0 "#PWR0162" H -650 5750 50 0001 C CNN -F 1 "GND" H -650 5850 50 0000 C CNN -F 2 "" H -650 6000 50 0001 C CNN -F 3 "" H -650 6000 50 0001 C CNN - 1 -650 6000 - -1 0 0 -1 -$EndComp -Connection ~ -650 6000 -$Comp -L GW_Logic:74244 U19 -U 1 1 629E6572 -P 1900 4450 -F 0 "U19" H 1900 5050 50 0000 C CNN -F 1 "74LVC244" H 1900 3850 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 1900 3800 50 0001 C TNN -F 3 "" H 1900 4550 60 0001 C CNN - 1 1900 4450 - 1 0 0 -1 -$EndComp -Text Label 2300 4100 0 50 ~ 0 -CPU_A8 -Text HLabel 2300 4900 2 50 Input ~ 0 -A~OE~ -Text HLabel 2300 4000 2 50 Input ~ 0 -A~OE~ -Text Label 2300 4800 0 50 ~ 0 -CPU_A15 -$Comp -L GW_Logic:74244 U20 -U 1 1 629F220F -P 1900 5750 -F 0 "U20" H 1900 6350 50 0000 C CNN -F 1 "74LVC244" H 1900 5150 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 1900 5100 50 0001 C TNN -F 3 "" H 1900 5850 60 0001 C CNN - 1 1900 5750 - 1 0 0 -1 -$EndComp -Text Label 2300 5400 0 50 ~ 0 -CPU_A16 -Text HLabel 2300 6200 2 50 Input ~ 0 -A~OE~ -Text HLabel 2300 5300 2 50 Input ~ 0 -A~OE~ -Text Label 2300 6100 0 50 ~ 0 -CPU_A23 -$Comp -L GW_Logic:74244 U21 -U 1 1 629FA6C8 -P 1900 7050 -F 0 "U21" H 1900 7650 50 0000 C CNN -F 1 "74LVC244" H 1900 6450 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 1900 6400 50 0001 C TNN -F 3 "" H 1900 7150 60 0001 C CNN - 1 1900 7050 - 1 0 0 -1 -$EndComp -Text Label 2300 6700 0 50 ~ 0 -CPU_A24 -Text HLabel 2300 7500 2 50 Input ~ 0 -A~OE~ -Text HLabel 2300 6600 2 50 Input ~ 0 -A~OE~ -Text Label 2300 7400 0 50 ~ 0 -CPU_A31 -$Comp -L GW_Logic:74244 U18 -U 1 1 629FEFBA -P 1900 3150 -F 0 "U18" H 1900 3750 50 0000 C CNN -F 1 "74LVC244" H 1900 2550 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 1900 2500 50 0001 C TNN -F 3 "" H 1900 3250 60 0001 C CNN - 1 1900 3150 - 1 0 0 -1 -$EndComp -Text Label 2300 2800 0 50 ~ 0 -CPU_A0 -Text HLabel 2300 3600 2 50 Input ~ 0 -A~OE~ -Text HLabel 2300 2700 2 50 Input ~ 0 -A~OE~ -Text Label 2300 3500 0 50 ~ 0 -CPU_A7 -Text Label 1500 4100 2 50 ~ 0 -FSB_A8 -Text Label 1500 4800 2 50 ~ 0 -FSB_A15 -Text Label 1500 5400 2 50 ~ 0 -FSB_A16 -Text Label 1500 6100 2 50 ~ 0 -FSB_A23 -Text Label 1500 6700 2 50 ~ 0 -FSB_A24 -Text Label 1500 7400 2 50 ~ 0 -FSB_A31 -Text Label 1500 2800 2 50 ~ 0 -FSB_A0 -Text Label 1500 3500 2 50 ~ 0 -FSB_A7 -$Comp -L power:GND #PWR? -U 1 1 62A09484 -P 1500 7500 -AR Path="/62A09484" Ref="#PWR?" Part="1" -AR Path="/5F723173/62A09484" Ref="#PWR?" Part="1" -AR Path="/60941922/62A09484" Ref="#PWR?" Part="1" -AR Path="/629B7489/62A09484" Ref="#PWR?" Part="1" -AR Path="/629B918A/62A09484" Ref="#PWR0171" Part="1" -F 0 "#PWR0171" H 1500 7250 50 0001 C CNN -F 1 "GND" H 1500 7350 50 0000 C CNN -F 2 "" H 1500 7500 50 0001 C CNN -F 3 "" H 1500 7500 50 0001 C CNN - 1 1500 7500 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR? -U 1 1 62A0CEE4 -P 1500 6200 -AR Path="/62A0CEE4" Ref="#PWR?" Part="1" -AR Path="/5F723173/62A0CEE4" Ref="#PWR?" Part="1" -AR Path="/60941922/62A0CEE4" Ref="#PWR?" Part="1" -AR Path="/629B7489/62A0CEE4" Ref="#PWR?" Part="1" -AR Path="/629B918A/62A0CEE4" Ref="#PWR0172" Part="1" -F 0 "#PWR0172" H 1500 5950 50 0001 C CNN -F 1 "GND" H 1500 6050 50 0000 C CNN -F 2 "" H 1500 6200 50 0001 C CNN -F 3 "" H 1500 6200 50 0001 C CNN - 1 1500 6200 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR? -U 1 1 62A102D0 -P 1500 4900 -AR Path="/62A102D0" Ref="#PWR?" Part="1" -AR Path="/5F723173/62A102D0" Ref="#PWR?" Part="1" -AR Path="/60941922/62A102D0" Ref="#PWR?" Part="1" -AR Path="/629B7489/62A102D0" Ref="#PWR?" Part="1" -AR Path="/629B918A/62A102D0" Ref="#PWR0173" Part="1" -F 0 "#PWR0173" H 1500 4650 50 0001 C CNN -F 1 "GND" H 1500 4750 50 0000 C CNN -F 2 "" H 1500 4900 50 0001 C CNN -F 3 "" H 1500 4900 50 0001 C CNN - 1 1500 4900 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR? -U 1 1 62A13961 -P 1500 3600 -AR Path="/62A13961" Ref="#PWR?" Part="1" -AR Path="/5F723173/62A13961" Ref="#PWR?" Part="1" -AR Path="/60941922/62A13961" Ref="#PWR?" Part="1" -AR Path="/629B7489/62A13961" Ref="#PWR?" Part="1" -AR Path="/629B918A/62A13961" Ref="#PWR0174" Part="1" -F 0 "#PWR0174" H 1500 3350 50 0001 C CNN -F 1 "GND" H 1500 3450 50 0000 C CNN -F 2 "" H 1500 3600 50 0001 C CNN -F 3 "" H 1500 3600 50 0001 C CNN - 1 1500 3600 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR? -U 1 1 62A1730A -P 1500 2700 -AR Path="/62A1730A" Ref="#PWR?" Part="1" -AR Path="/5F723173/62A1730A" Ref="#PWR?" Part="1" -AR Path="/60941922/62A1730A" Ref="#PWR?" Part="1" -AR Path="/629B7489/62A1730A" Ref="#PWR?" Part="1" -AR Path="/629B918A/62A1730A" Ref="#PWR0175" Part="1" -F 0 "#PWR0175" H 1500 2550 50 0001 C CNN -F 1 "+3V3" H 1500 2850 50 0000 C CNN -F 2 "" H 1500 2700 50 0001 C CNN -F 3 "" H 1500 2700 50 0001 C CNN - 1 1500 2700 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR? -U 1 1 62A1A8AC -P 1500 4000 -AR Path="/62A1A8AC" Ref="#PWR?" Part="1" -AR Path="/5F723173/62A1A8AC" Ref="#PWR?" Part="1" -AR Path="/60941922/62A1A8AC" Ref="#PWR?" Part="1" -AR Path="/629B7489/62A1A8AC" Ref="#PWR?" Part="1" -AR Path="/629B918A/62A1A8AC" Ref="#PWR0176" Part="1" -F 0 "#PWR0176" H 1500 3850 50 0001 C CNN -F 1 "+3V3" H 1500 4150 50 0000 C CNN -F 2 "" H 1500 4000 50 0001 C CNN -F 3 "" H 1500 4000 50 0001 C CNN - 1 1500 4000 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR? -U 1 1 62A1E0C4 -P 1500 5300 -AR Path="/62A1E0C4" Ref="#PWR?" Part="1" -AR Path="/5F723173/62A1E0C4" Ref="#PWR?" Part="1" -AR Path="/60941922/62A1E0C4" Ref="#PWR?" Part="1" -AR Path="/629B7489/62A1E0C4" Ref="#PWR?" Part="1" -AR Path="/629B918A/62A1E0C4" Ref="#PWR0177" Part="1" -F 0 "#PWR0177" H 1500 5150 50 0001 C CNN -F 1 "+3V3" H 1500 5450 50 0000 C CNN -F 2 "" H 1500 5300 50 0001 C CNN -F 3 "" H 1500 5300 50 0001 C CNN - 1 1500 5300 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR? -U 1 1 62A21876 -P 1500 6600 -AR Path="/62A21876" Ref="#PWR?" Part="1" -AR Path="/5F723173/62A21876" Ref="#PWR?" Part="1" -AR Path="/60941922/62A21876" Ref="#PWR?" Part="1" -AR Path="/629B7489/62A21876" Ref="#PWR?" Part="1" -AR Path="/629B918A/62A21876" Ref="#PWR0178" Part="1" -F 0 "#PWR0178" H 1500 6450 50 0001 C CNN -F 1 "+3V3" H 1500 6750 50 0000 C CNN -F 2 "" H 1500 6600 50 0001 C CNN -F 3 "" H 1500 6600 50 0001 C CNN - 1 1500 6600 - 1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74244 U24 -U 1 1 62A2CF45 -P 4350 7050 -F 0 "U24" H 4350 7650 50 0000 C CNN -F 1 "74LVC244APW" H 4350 6450 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 4350 6400 50 0001 C TNN -F 3 "" H 4350 7150 60 0001 C CNN - 1 4350 7050 - 1 0 0 -1 -$EndComp -Text HLabel 4750 7500 2 50 Input ~ 0 -A~OE~ -Text HLabel 4750 6600 2 50 Input ~ 0 -A~OE~ -$Comp -L power:+3V3 #PWR? -U 1 1 62A2CF57 -P 3950 6600 -AR Path="/62A2CF57" Ref="#PWR?" Part="1" -AR Path="/5F723173/62A2CF57" Ref="#PWR?" Part="1" -AR Path="/60941922/62A2CF57" Ref="#PWR?" Part="1" -AR Path="/629B7489/62A2CF57" Ref="#PWR?" Part="1" -AR Path="/629B918A/62A2CF57" Ref="#PWR0179" Part="1" -F 0 "#PWR0179" H 3950 6450 50 0001 C CNN -F 1 "+3V3" H 3950 6750 50 0000 C CNN -F 2 "" H 3950 6600 50 0001 C CNN -F 3 "" H 3950 6600 50 0001 C CNN - 1 3950 6600 - 1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74244 U23 -U 1 1 62A56F1A -P 4350 5750 -F 0 "U23" H 4350 6350 50 0000 C CNN -F 1 "74LVC244APW" H 4350 5150 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 4350 5100 50 0001 C TNN -F 3 "" H 4350 5850 60 0001 C CNN - 1 4350 5750 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR? -U 1 1 62A56F22 -P 3950 6200 -AR Path="/62A56F22" Ref="#PWR?" Part="1" -AR Path="/5F723173/62A56F22" Ref="#PWR?" Part="1" -AR Path="/60941922/62A56F22" Ref="#PWR?" Part="1" -AR Path="/629B7489/62A56F22" Ref="#PWR?" Part="1" -AR Path="/629B918A/62A56F22" Ref="#PWR0180" Part="1" -F 0 "#PWR0180" H 3950 5950 50 0001 C CNN -F 1 "GND" H 3950 6050 50 0000 C CNN -F 2 "" H 3950 6200 50 0001 C CNN -F 3 "" H 3950 6200 50 0001 C CNN - 1 3950 6200 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR? -U 1 1 62A56F28 -P 3950 5300 -AR Path="/62A56F28" Ref="#PWR?" Part="1" -AR Path="/5F723173/62A56F28" Ref="#PWR?" Part="1" -AR Path="/60941922/62A56F28" Ref="#PWR?" Part="1" -AR Path="/629B7489/62A56F28" Ref="#PWR?" Part="1" -AR Path="/629B918A/62A56F28" Ref="#PWR0181" Part="1" -F 0 "#PWR0181" H 3950 5150 50 0001 C CNN -F 1 "+3V3" H 3950 5450 50 0000 C CNN -F 2 "" H 3950 5300 50 0001 C CNN -F 3 "" H 3950 5300 50 0001 C CNN - 1 3950 5300 - 1 0 0 -1 -$EndComp -Text Label 4750 6900 0 50 ~ 0 -CPU_FC2 -Text Label 4750 7100 0 50 ~ 0 -CPU_FC0 -Text Label 4750 7000 0 50 ~ 0 -CPU_FC1 -Text HLabel 4750 5500 2 50 Input ~ 0 -CPU_~CBREQ~ -Text HLabel 4750 5600 2 50 Input ~ 0 -CPU_~DS~ -Text HLabel 4750 5400 2 50 Input ~ 0 -CPU_~AS~ -Text HLabel 4750 6800 2 50 Input ~ 0 -CPU_R~W~ -Text HLabel 4750 6700 2 50 Input ~ 0 -CPU_~RMC~ -Text Label 3950 6000 2 50 ~ 0 -FSB_SIZ1 -Text Label 3950 5900 2 50 ~ 0 -FSB_SIZ0 -Text HLabel 3950 5600 0 50 Output ~ 0 -FSB_~DS~ -Text HLabel 3950 5400 0 50 Output ~ 0 -FSB_~AS~ -Text HLabel 3950 6800 0 50 3State ~ 0 -FSB_R~W~ -Text HLabel 3950 6700 0 50 3State ~ 0 -FSB_~RMC~ -Text Label 3950 6900 2 50 ~ 0 -FSB_FC2 -Text Label 3950 7100 2 50 ~ 0 -FSB_FC0 -Text Label 3950 7000 2 50 ~ 0 -FSB_FC1 -Text HLabel 3950 5800 0 50 Output ~ 0 -FSB_~CIOUT~ -Text Label 1500 2900 2 50 ~ 0 -FSB_A1 -Text Label 1500 3000 2 50 ~ 0 -FSB_A2 -Text Label 1500 3100 2 50 ~ 0 -FSB_A3 -Text Label 1500 3200 2 50 ~ 0 -FSB_A4 -Text Label 1500 3300 2 50 ~ 0 -FSB_A5 -Text Label 1500 3400 2 50 ~ 0 -FSB_A6 -Text Label 1500 4200 2 50 ~ 0 -FSB_A9 -Text Label 1500 4300 2 50 ~ 0 -FSB_A10 -Text Label 1500 4400 2 50 ~ 0 -FSB_A11 -Text Label 1500 4500 2 50 ~ 0 -FSB_A12 -Text Label 1500 4600 2 50 ~ 0 -FSB_A13 -Text Label 1500 4700 2 50 ~ 0 -FSB_A14 -Text Label 1500 5500 2 50 ~ 0 -FSB_A17 -Text Label 1500 5600 2 50 ~ 0 -FSB_A18 -Text Label 1500 5700 2 50 ~ 0 -FSB_A19 -Text Label 1500 5800 2 50 ~ 0 -FSB_A20 -Text Label 1500 5900 2 50 ~ 0 -FSB_A21 -Text Label 1500 6000 2 50 ~ 0 -FSB_A22 -Text Label 1500 6800 2 50 ~ 0 -FSB_A25 -Text Label 1500 6900 2 50 ~ 0 -FSB_A26 -Text Label 1500 7000 2 50 ~ 0 -FSB_A27 -Text Label 1500 7100 2 50 ~ 0 -FSB_A28 -Text Label 1500 7200 2 50 ~ 0 -FSB_A29 -Text Label 1500 7300 2 50 ~ 0 -FSB_A30 -Text Label 2300 7300 0 50 ~ 0 -CPU_A30 -Text Label 2300 7200 0 50 ~ 0 -CPU_A29 -Text Label 2300 7100 0 50 ~ 0 -CPU_A28 -Text Label 2300 7000 0 50 ~ 0 -CPU_A27 -Text Label 2300 6900 0 50 ~ 0 -CPU_A26 -Text Label 2300 6800 0 50 ~ 0 -CPU_A25 -Text Label 2300 6000 0 50 ~ 0 -CPU_A22 -Text Label 2300 5900 0 50 ~ 0 -CPU_A21 -Text Label 2300 5800 0 50 ~ 0 -CPU_A20 -Text Label 2300 5700 0 50 ~ 0 -CPU_A19 -Text Label 2300 5600 0 50 ~ 0 -CPU_A18 -Text Label 2300 5500 0 50 ~ 0 -CPU_A17 -Text Label 2300 4700 0 50 ~ 0 -CPU_A14 -Text Label 2300 4600 0 50 ~ 0 -CPU_A13 -Text Label 2300 4500 0 50 ~ 0 -CPU_A12 -Text Label 2300 4400 0 50 ~ 0 -CPU_A11 -Text Label 2300 4300 0 50 ~ 0 -CPU_A10 -Text Label 2300 4200 0 50 ~ 0 -CPU_A9 -Text Label 2300 3400 0 50 ~ 0 -CPU_A6 -Text Label 2300 3300 0 50 ~ 0 -CPU_A5 -Text Label 2300 3200 0 50 ~ 0 -CPU_A4 -Text Label 2300 3100 0 50 ~ 0 -CPU_A3 -Text Label 2300 3000 0 50 ~ 0 -CPU_A2 -Text Label 2300 2900 0 50 ~ 0 -CPU_A1 -Wire Wire Line - 1500 3500 1200 3500 -Wire Wire Line - 1500 3400 1200 3400 -Wire Wire Line - 1500 3300 1200 3300 -Wire Wire Line - 1500 3200 1200 3200 -Wire Wire Line - 1500 3100 1200 3100 -Wire Wire Line - 1500 3000 1200 3000 -Wire Wire Line - 1500 2900 1200 2900 -Wire Wire Line - 1500 2800 1200 2800 -Entry Wire Line - 1200 2800 1100 2900 -Entry Wire Line - 1200 2900 1100 3000 -Entry Wire Line - 1200 3000 1100 3100 -Entry Wire Line - 1200 3100 1100 3200 -Entry Wire Line - 1200 3200 1100 3300 -Entry Wire Line - 1200 3300 1100 3400 -Entry Wire Line - 1200 3400 1100 3500 -Entry Wire Line - 1200 3500 1100 3600 -Text HLabel 1050 2900 0 50 3State ~ 0 -FSB_A[31..0] -Wire Bus Line - 1050 2900 1100 2900 -Wire Wire Line - 1500 5400 1200 5400 -Wire Wire Line - 1500 5500 1200 5500 -Wire Wire Line - 1500 5700 1200 5700 -Wire Wire Line - 1500 5800 1200 5800 -Wire Wire Line - 1500 5900 1200 5900 -Wire Wire Line - 1500 6000 1200 6000 -Wire Wire Line - 1500 6100 1200 6100 -Wire Wire Line - 1500 6700 1200 6700 -Wire Wire Line - 1500 6800 1200 6800 -Wire Wire Line - 1500 7000 1200 7000 -Wire Wire Line - 1500 7200 1200 7200 -Wire Wire Line - 1500 7300 1200 7300 -Wire Wire Line - 1500 7400 1200 7400 -Wire Wire Line - 1500 4100 1200 4100 -Wire Wire Line - 1500 4200 1200 4200 -Wire Wire Line - 1500 4300 1200 4300 -Wire Wire Line - 1500 4400 1200 4400 -Wire Wire Line - 1500 4500 1200 4500 -Wire Wire Line - 1500 4600 1200 4600 -Wire Wire Line - 1500 4700 1200 4700 -Wire Wire Line - 1500 4800 1200 4800 -Entry Wire Line - 1200 4100 1100 4200 -Entry Wire Line - 1200 4200 1100 4300 -Entry Wire Line - 1200 4300 1100 4400 -Entry Wire Line - 1200 4400 1100 4500 -Entry Wire Line - 1200 4500 1100 4600 -Entry Wire Line - 1200 4600 1100 4700 -Entry Wire Line - 1200 4700 1100 4800 -Entry Wire Line - 1200 4800 1100 4900 -Entry Wire Line - 1200 5400 1100 5500 -Entry Wire Line - 1200 5500 1100 5600 -Entry Wire Line - 1200 5600 1100 5700 -Entry Wire Line - 1200 5700 1100 5800 -Entry Wire Line - 1200 5800 1100 5900 -Entry Wire Line - 1200 5900 1100 6000 -Entry Wire Line - 1200 6000 1100 6100 -Entry Wire Line - 1200 6100 1100 6200 -Entry Wire Line - 1200 6700 1100 6800 -Entry Wire Line - 1200 6800 1100 6900 -Entry Wire Line - 1200 6900 1100 7000 -Entry Wire Line - 1200 7000 1100 7100 -Entry Wire Line - 1200 7100 1100 7200 -Entry Wire Line - 1200 7200 1100 7300 -Entry Wire Line - 1200 7300 1100 7400 -Entry Wire Line - 1200 7400 1100 7500 -Wire Wire Line - 1500 5600 1200 5600 -Wire Wire Line - 1500 6900 1200 6900 -Wire Wire Line - 1500 7100 1200 7100 -Wire Wire Line - 2300 3500 2600 3500 -Wire Wire Line - 2300 3400 2600 3400 -Wire Wire Line - 2300 3300 2600 3300 -Wire Wire Line - 2300 3200 2600 3200 -Wire Wire Line - 2300 3100 2600 3100 -Wire Wire Line - 2300 3000 2600 3000 -Wire Wire Line - 2300 2900 2600 2900 -Wire Wire Line - 2300 2800 2600 2800 -Entry Wire Line - 2600 2800 2700 2900 -Entry Wire Line - 2600 2900 2700 3000 -Entry Wire Line - 2600 3000 2700 3100 -Entry Wire Line - 2600 3100 2700 3200 -Entry Wire Line - 2600 3200 2700 3300 -Entry Wire Line - 2600 3300 2700 3400 -Entry Wire Line - 2600 3400 2700 3500 -Entry Wire Line - 2600 3500 2700 3600 -Text HLabel 2750 2900 2 50 Input ~ 0 -CPU_A[31..0] -Wire Bus Line - 2750 2900 2700 2900 -Wire Wire Line - 2300 6100 2600 6100 -Wire Wire Line - 2300 6000 2600 6000 -Wire Wire Line - 2300 5500 2600 5500 -Wire Wire Line - 2300 5600 2600 5600 -Wire Wire Line - 2300 5700 2600 5700 -Wire Wire Line - 2300 5800 2600 5800 -Wire Wire Line - 2300 5900 2600 5900 -Wire Wire Line - 2300 7200 2600 7200 -Wire Wire Line - 2300 7300 2600 7300 -Wire Wire Line - 2300 6700 2600 6700 -Wire Wire Line - 2300 6900 2600 6900 -Wire Wire Line - 2300 7000 2600 7000 -Wire Wire Line - 2300 7100 2600 7100 -Wire Wire Line - 2300 4800 2600 4800 -Wire Wire Line - 2300 4100 2600 4100 -Wire Wire Line - 2300 4200 2600 4200 -Wire Wire Line - 2300 4300 2600 4300 -Wire Wire Line - 2300 4400 2600 4400 -Wire Wire Line - 2300 4500 2600 4500 -Wire Wire Line - 2300 4600 2600 4600 -Wire Wire Line - 2300 4700 2600 4700 -Entry Wire Line - 2600 4800 2700 4900 -Entry Wire Line - 2600 4100 2700 4200 -Entry Wire Line - 2600 4200 2700 4300 -Entry Wire Line - 2600 4300 2700 4400 -Entry Wire Line - 2600 4400 2700 4500 -Entry Wire Line - 2600 4500 2700 4600 -Entry Wire Line - 2600 4600 2700 4700 -Entry Wire Line - 2600 4700 2700 4800 -Entry Wire Line - 2600 6100 2700 6200 -Entry Wire Line - 2600 6000 2700 6100 -Entry Wire Line - 2600 5400 2700 5500 -Entry Wire Line - 2600 5500 2700 5600 -Entry Wire Line - 2600 5600 2700 5700 -Entry Wire Line - 2600 5700 2700 5800 -Entry Wire Line - 2600 5800 2700 5900 -Entry Wire Line - 2600 5900 2700 6000 -Entry Wire Line - 2600 7200 2700 7300 -Entry Wire Line - 2600 7300 2700 7400 -Entry Wire Line - 2600 7400 2700 7500 -Entry Wire Line - 2600 6700 2700 6800 -Entry Wire Line - 2600 6800 2700 6900 -Entry Wire Line - 2600 6900 2700 7000 -Entry Wire Line - 2600 7000 2700 7100 -Entry Wire Line - 2600 7100 2700 7200 -Wire Wire Line - 2300 5400 2600 5400 -Wire Wire Line - 2300 7400 2600 7400 -Wire Wire Line - 2300 6800 2600 6800 -Entry Wire Line - 3550 6900 3450 6800 -Entry Wire Line - 3550 7100 3450 7000 -Entry Wire Line - 3550 7000 3450 6900 -Text HLabel 3400 6800 0 50 3State ~ 0 -FSB_FC[2..0] -Wire Bus Line - 3400 6800 3450 6800 -Wire Wire Line - 3550 6900 3950 6900 -Wire Wire Line - 3950 7000 3550 7000 -Wire Wire Line - 3550 7100 3950 7100 -Wire Wire Line - 5150 6900 4750 6900 -Wire Wire Line - 4750 7000 5150 7000 -Wire Wire Line - 5150 7100 4750 7100 -Wire Bus Line - 5300 6800 5250 6800 -Text HLabel 5300 6800 2 50 Input ~ 0 -CPU_FC[2..0] -Entry Wire Line - 5150 7000 5250 6900 -Entry Wire Line - 5150 7100 5250 7000 -Entry Wire Line - 5150 6900 5250 6800 -Entry Wire Line - 3550 5900 3450 5800 -Entry Wire Line - 3550 6000 3450 5900 -Text HLabel 3400 5800 0 50 Output ~ 0 -FSB_SIZ[1..0] -Wire Bus Line - 3400 5800 3450 5800 -Wire Wire Line - 3550 5900 3950 5900 -Wire Wire Line - 3550 6000 3950 6000 -Wire Bus Line - 3450 5800 3450 5900 -Text HLabel 3950 5500 0 50 Output ~ 0 -FSB_~CBREQ~ -Wire Wire Line - 5150 6000 4750 6000 -Wire Wire Line - 4750 5900 5150 5900 -Entry Wire Line - 5150 6000 5250 5900 -Entry Wire Line - 5150 5900 5250 5800 -Text Label 4750 5900 0 50 ~ 0 -CPU_SIZ0 -Text Label 4750 6000 0 50 ~ 0 -CPU_SIZ1 -Text HLabel 4750 4100 2 50 Input ~ 0 -DSACK~OE~ -Text HLabel 3950 4200 0 50 3State ~ 0 -CPU_~DSACK~1 -Text HLabel 3950 4300 0 50 3State ~ 0 -CPU_~DSACK~0 -Text HLabel 4750 4200 2 50 Input ~ 0 -FSB_~DSACK~1 -Text HLabel 4750 4300 2 50 Input ~ 0 -FSB_~DSACK~0 -Text HLabel 4750 5700 2 50 Input ~ 0 -CPU_~ECS~ -Text HLabel 3950 5700 0 50 Output ~ 0 -FSB_~ECS~ -$Comp -L GW_Logic:74573 U? -U 1 1 6372B95C -P 4350 4550 -AR Path="/60941922/6372B95C" Ref="U?" Part="1" -AR Path="/629B7489/6372B95C" Ref="U?" Part="1" -AR Path="/629B918A/6372B95C" Ref="U22" Part="1" -AR Path="/62BBE81B/6372B95C" Ref="U?" Part="1" -F 0 "U22" H 4350 5150 50 0000 C CNN -F 1 "74AHCT573PW" V 4350 4550 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 4350 3900 50 0001 C TNN -F 3 "" H 4350 4650 60 0001 C CNN - 1 4350 4550 - -1 0 0 -1 -$EndComp -Text HLabel 4750 5800 2 50 Input ~ 0 -CPU_~CIOUT~ -$Comp -L power:GND #PWR? -U 1 1 62A2CF51 -P 3950 7500 -AR Path="/62A2CF51" Ref="#PWR?" Part="1" -AR Path="/5F723173/62A2CF51" Ref="#PWR?" Part="1" -AR Path="/60941922/62A2CF51" Ref="#PWR?" Part="1" -AR Path="/629B7489/62A2CF51" Ref="#PWR?" Part="1" -AR Path="/629B918A/62A2CF51" Ref="#PWR0182" Part="1" -F 0 "#PWR0182" H 3950 7250 50 0001 C CNN -F 1 "GND" H 3950 7350 50 0000 C CNN -F 2 "" H 3950 7500 50 0001 C CNN -F 3 "" H 3950 7500 50 0001 C CNN - 1 3950 7500 - -1 0 0 -1 -$EndComp -NoConn ~ 3950 7400 -$Comp -L power:GND #PWR? -U 1 1 637FDC9B -P 4850 7200 -AR Path="/637FDC9B" Ref="#PWR?" Part="1" -AR Path="/5F723173/637FDC9B" Ref="#PWR?" Part="1" -AR Path="/60941922/637FDC9B" Ref="#PWR?" Part="1" -AR Path="/629B7489/637FDC9B" Ref="#PWR?" Part="1" -AR Path="/629B918A/637FDC9B" Ref="#PWR0183" Part="1" -F 0 "#PWR0183" H 4850 6950 50 0001 C CNN -F 1 "GND" H 4850 7050 50 0000 C CNN -F 2 "" H 4850 7200 50 0001 C CNN -F 3 "" H 4850 7200 50 0001 C CNN - 1 4850 7200 - -1 0 0 -1 -$EndComp -Wire Wire Line - 4850 7200 4750 7200 -Wire Bus Line - 5250 5800 5250 5900 -Wire Bus Line - 5300 5800 5250 5800 -Text HLabel 5300 5800 2 50 Input ~ 0 -CPU_SIZ[1..0] -Wire Wire Line - 4750 7200 4750 7300 -Connection ~ 4750 7200 -Connection ~ 4750 7300 -Wire Wire Line - 4750 7300 4750 7400 -NoConn ~ 3950 7200 -NoConn ~ 3950 7300 -$Comp -L power:GND #PWR? -U 1 1 639465EC -P 4750 6200 -AR Path="/639465EC" Ref="#PWR?" Part="1" -AR Path="/5F723173/639465EC" Ref="#PWR?" Part="1" -AR Path="/60941922/639465EC" Ref="#PWR?" Part="1" -AR Path="/629B7489/639465EC" Ref="#PWR?" Part="1" -AR Path="/629B918A/639465EC" Ref="#PWR0184" Part="1" -F 0 "#PWR0184" H 4750 5950 50 0001 C CNN -F 1 "GND" H 4750 6050 50 0000 C CNN -F 2 "" H 4750 6200 50 0001 C CNN -F 3 "" H 4750 6200 50 0001 C CNN - 1 4750 6200 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR? -U 1 1 62A6DBAA -P 4850 5000 -AR Path="/62A6DBAA" Ref="#PWR?" Part="1" -AR Path="/5F723173/62A6DBAA" Ref="#PWR?" Part="1" -AR Path="/60941922/62A6DBAA" Ref="#PWR?" Part="1" -AR Path="/629B7489/62A6DBAA" Ref="#PWR?" Part="1" -AR Path="/629B918A/62A6DBAA" Ref="#PWR0185" Part="1" -F 0 "#PWR0185" H 4850 4750 50 0001 C CNN -F 1 "GND" H 4850 4850 50 0000 C CNN -F 2 "" H 4850 5000 50 0001 C CNN -F 3 "" H 4850 5000 50 0001 C CNN - 1 4850 5000 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4750 5300 4750 5000 -Wire Wire Line - 4750 5000 4850 5000 -Connection ~ 4750 5000 -$Comp -L power:+3V3 #PWR? -U 1 1 639D3B7B -P 3950 4100 -AR Path="/639D3B7B" Ref="#PWR?" Part="1" -AR Path="/5F723173/639D3B7B" Ref="#PWR?" Part="1" -AR Path="/60941922/639D3B7B" Ref="#PWR?" Part="1" -AR Path="/629B7489/639D3B7B" Ref="#PWR?" Part="1" -AR Path="/629B918A/639D3B7B" Ref="#PWR0186" Part="1" -F 0 "#PWR0186" H 3950 3950 50 0001 C CNN -F 1 "+3V3" H 3950 4250 50 0000 C CNN -F 2 "" H 3950 4100 50 0001 C CNN -F 3 "" H 3950 4100 50 0001 C CNN - 1 3950 4100 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR? -U 1 1 639D4094 -P 3750 5000 -AR Path="/639D4094" Ref="#PWR?" Part="1" -AR Path="/5F723173/639D4094" Ref="#PWR?" Part="1" -AR Path="/60941922/639D4094" Ref="#PWR?" Part="1" -AR Path="/629B7489/639D4094" Ref="#PWR?" Part="1" -AR Path="/629B918A/639D4094" Ref="#PWR0187" Part="1" -F 0 "#PWR0187" H 3750 4850 50 0001 C CNN -F 1 "+3V3" H 3750 5150 50 0000 C CNN -F 2 "" H 3750 5000 50 0001 C CNN -F 3 "" H 3750 5000 50 0001 C CNN - 1 3750 5000 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3750 5000 3950 5000 -Wire Wire Line - 4750 6100 4750 6200 -Connection ~ 4750 6200 -NoConn ~ 3950 6100 -Text HLabel 8450 1700 0 50 BiDi ~ 0 -FSB_D[31..0] -Text Label 9700 2100 0 50 ~ 0 -CPU_D1 -Text Label 8900 1700 2 50 ~ 0 -FSB_D5 -Entry Wire Line - 10000 5800 10100 5900 -Entry Wire Line - 10000 5700 10100 5800 -Entry Wire Line - 10000 5600 10100 5700 -Entry Wire Line - 10000 5500 10100 5600 -Entry Wire Line - 10000 5400 10100 5500 -Entry Wire Line - 10000 5300 10100 5400 -Entry Wire Line - 10000 5200 10100 5300 -Entry Wire Line - 10000 5100 10100 5200 -Entry Wire Line - 10000 4600 10100 4700 -Entry Wire Line - 10000 4500 10100 4600 -Entry Wire Line - 10000 4400 10100 4500 -Entry Wire Line - 10000 4300 10100 4400 -Entry Wire Line - 10000 4200 10100 4300 -Entry Wire Line - 10000 4100 10100 4200 -Entry Wire Line - 10000 4000 10100 4100 -Entry Wire Line - 10000 3900 10100 4000 -Entry Wire Line - 10000 3400 10100 3500 -Entry Wire Line - 10000 3300 10100 3400 -Entry Wire Line - 10000 3200 10100 3300 -Entry Wire Line - 10000 3100 10100 3200 -Entry Wire Line - 10000 3000 10100 3100 -Entry Wire Line - 10000 2900 10100 3000 -Entry Wire Line - 10000 2800 10100 2900 -Entry Wire Line - 10000 2700 10100 2800 -Wire Wire Line - 9700 3400 10000 3400 -Wire Wire Line - 9700 3300 10000 3300 -Wire Wire Line - 9700 3200 10000 3200 -Wire Wire Line - 9700 3100 10000 3100 -Wire Wire Line - 9700 3000 10000 3000 -Wire Wire Line - 9700 2900 10000 2900 -Wire Wire Line - 9700 2700 10000 2700 -Wire Wire Line - 9700 2800 10000 2800 -Wire Wire Line - 9700 5800 10000 5800 -Wire Wire Line - 9700 5700 10000 5700 -Wire Wire Line - 9700 5600 10000 5600 -Wire Wire Line - 9700 5500 10000 5500 -Wire Wire Line - 9700 5400 10000 5400 -Wire Wire Line - 9700 5300 10000 5300 -Wire Wire Line - 9700 5200 10000 5200 -Wire Wire Line - 9700 5100 10000 5100 -Wire Wire Line - 9700 4500 10000 4500 -Wire Wire Line - 9700 4600 10000 4600 -Wire Wire Line - 9700 4400 10000 4400 -Wire Wire Line - 9700 4300 10000 4300 -Wire Wire Line - 9700 4200 10000 4200 -Wire Wire Line - 9700 4100 10000 4100 -Wire Wire Line - 9700 4000 10000 4000 -Wire Wire Line - 9700 3900 10000 3900 -Wire Bus Line - 10150 1600 10100 1600 -Text HLabel 10150 1600 2 50 BiDi ~ 0 -CPU_D[31..0] -Entry Wire Line - 10000 2200 10100 2300 -Entry Wire Line - 10000 2100 10100 2200 -Entry Wire Line - 10000 2000 10100 2100 -Entry Wire Line - 10000 1900 10100 2000 -Entry Wire Line - 10000 1800 10100 1900 -Entry Wire Line - 10000 1700 10100 1800 -Entry Wire Line - 10000 1600 10100 1700 -Entry Wire Line - 10000 1500 10100 1600 -Wire Wire Line - 9700 2200 10000 2200 -Wire Wire Line - 9700 2100 10000 2100 -Wire Wire Line - 9700 1900 10000 1900 -Wire Wire Line - 9700 1700 10000 1700 -Wire Wire Line - 9700 1500 10000 1500 -Wire Wire Line - 9700 1600 10000 1600 -Wire Wire Line - 9700 1800 10000 1800 -Wire Wire Line - 9700 2000 10000 2000 -Text Label 9700 2000 0 50 ~ 0 -CPU_D7 -Text Label 9700 1800 0 50 ~ 0 -CPU_D6 -Text Label 9700 1600 0 50 ~ 0 -CPU_D5 -Text Label 9700 1500 0 50 ~ 0 -CPU_D4 -Text Label 9700 1700 0 50 ~ 0 -CPU_D3 -Text Label 9700 1900 0 50 ~ 0 -CPU_D2 -Text Label 9700 2200 0 50 ~ 0 -CPU_D0 -Text Label 9700 5800 0 50 ~ 0 -CPU_D31 -Text Label 9700 5700 0 50 ~ 0 -CPU_D30 -Text Label 9700 5600 0 50 ~ 0 -CPU_D29 -Text Label 9700 5500 0 50 ~ 0 -CPU_D28 -Text Label 9700 5400 0 50 ~ 0 -CPU_D27 -Text Label 9700 5300 0 50 ~ 0 -CPU_D26 -Text Label 9700 5200 0 50 ~ 0 -CPU_D25 -Text Label 9700 5100 0 50 ~ 0 -CPU_D24 -Text Label 9700 4500 0 50 ~ 0 -CPU_D23 -Text Label 9700 4600 0 50 ~ 0 -CPU_D22 -Text Label 9700 4400 0 50 ~ 0 -CPU_D21 -Text Label 9700 4300 0 50 ~ 0 -CPU_D20 -Text Label 9700 4200 0 50 ~ 0 -CPU_D19 -Text Label 9700 4100 0 50 ~ 0 -CPU_D18 -Text Label 9700 4000 0 50 ~ 0 -CPU_D17 -Text Label 9700 3900 0 50 ~ 0 -CPU_D16 -Text Label 9700 3400 0 50 ~ 0 -CPU_D15 -Text Label 9700 3300 0 50 ~ 0 -CPU_D14 -Text Label 9700 3200 0 50 ~ 0 -CPU_D13 -Text Label 9700 3100 0 50 ~ 0 -CPU_D12 -Text Label 9700 3000 0 50 ~ 0 -CPU_D11 -Text Label 9700 2900 0 50 ~ 0 -CPU_D10 -Text Label 9700 2700 0 50 ~ 0 -CPU_D9 -Text Label 9700 2800 0 50 ~ 0 -CPU_D8 -Text HLabel 9700 1400 2 50 Input ~ 0 -DDIR -Text HLabel 9700 2600 2 50 Input ~ 0 -DDIR -Text HLabel 9700 3800 2 50 Input ~ 0 -DDIR -Text HLabel 9700 5000 2 50 Input ~ 0 -DDIR -Text HLabel 8900 5100 0 50 Input ~ 0 -D~OE~ -Text HLabel 8900 3900 0 50 Input ~ 0 -D~OE~ -Entry Wire Line - 8600 5900 8500 6000 -Entry Wire Line - 8600 5800 8500 5900 -Entry Wire Line - 8600 5700 8500 5800 -Entry Wire Line - 8600 5600 8500 5700 -Entry Wire Line - 8600 5500 8500 5600 -Entry Wire Line - 8600 5400 8500 5500 -Entry Wire Line - 8600 5300 8500 5400 -Entry Wire Line - 8600 5200 8500 5300 -Entry Wire Line - 8600 4700 8500 4800 -Entry Wire Line - 8600 4600 8500 4700 -Entry Wire Line - 8600 4500 8500 4600 -Entry Wire Line - 8600 4400 8500 4500 -Entry Wire Line - 8600 4300 8500 4400 -Entry Wire Line - 8600 4200 8500 4300 -Entry Wire Line - 8600 4100 8500 4200 -Entry Wire Line - 8600 4000 8500 4100 -Entry Wire Line - 8600 3500 8500 3600 -Entry Wire Line - 8600 3400 8500 3500 -Entry Wire Line - 8600 3300 8500 3400 -Entry Wire Line - 8600 3200 8500 3300 -Entry Wire Line - 8600 3100 8500 3200 -Entry Wire Line - 8600 3000 8500 3100 -Entry Wire Line - 8600 2900 8500 3000 -Entry Wire Line - 8600 2800 8500 2900 -Wire Wire Line - 8900 3500 8600 3500 -Wire Wire Line - 8900 3400 8600 3400 -Wire Wire Line - 8900 3300 8600 3300 -Wire Wire Line - 8900 3200 8600 3200 -Wire Wire Line - 8900 3100 8600 3100 -Wire Wire Line - 8900 3000 8600 3000 -Wire Wire Line - 8900 2800 8600 2800 -Wire Wire Line - 8900 2900 8600 2900 -Wire Wire Line - 8900 5900 8600 5900 -Wire Wire Line - 8900 5800 8600 5800 -Wire Wire Line - 8900 5700 8600 5700 -Wire Wire Line - 8900 5600 8600 5600 -Wire Wire Line - 8900 5500 8600 5500 -Wire Wire Line - 8900 5400 8600 5400 -Wire Wire Line - 8900 5300 8600 5300 -Wire Wire Line - 8900 5200 8600 5200 -Wire Wire Line - 8900 4600 8600 4600 -Wire Wire Line - 8900 4700 8600 4700 -Wire Wire Line - 8900 4500 8600 4500 -Wire Wire Line - 8900 4400 8600 4400 -Wire Wire Line - 8900 4300 8600 4300 -Wire Wire Line - 8900 4200 8600 4200 -Wire Wire Line - 8900 4100 8600 4100 -Wire Wire Line - 8900 4000 8600 4000 -Wire Bus Line - 8450 1700 8500 1700 -Entry Wire Line - 8600 2300 8500 2400 -Entry Wire Line - 8600 2200 8500 2300 -Entry Wire Line - 8600 2100 8500 2200 -Entry Wire Line - 8600 2000 8500 2100 -Entry Wire Line - 8600 1900 8500 2000 -Entry Wire Line - 8600 1800 8500 1900 -Entry Wire Line - 8600 1700 8500 1800 -Entry Wire Line - 8600 1600 8500 1700 -Wire Wire Line - 8900 1700 8600 1700 -Wire Wire Line - 8900 1900 8600 1900 -Wire Wire Line - 8900 2100 8600 2100 -Text Label 8900 2100 2 50 ~ 0 -FSB_D7 -Text Label 8900 1900 2 50 ~ 0 -FSB_D6 -Text HLabel 8900 2700 0 50 Input ~ 0 -D~OE~ -Text HLabel 8900 1500 0 50 Input ~ 0 -D~OE~ -$Comp -L GW_Logic:74245 U? -U 1 1 63262F0F -P 9300 5450 -AR Path="/60941922/63262F0F" Ref="U?" Part="1" -AR Path="/629B7489/63262F0F" Ref="U?" Part="1" -AR Path="/629B918A/63262F0F" Ref="U28" Part="1" -F 0 "U28" H 9300 6050 50 0000 C CNN -F 1 "74AHC245APW" V 9300 5450 50 0000 C CNN -F 2 "" H 9300 4800 50 0001 C TNN -F 3 "" H 9300 5550 60 0001 C CNN - 1 9300 5450 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR? -U 1 1 63262F0E -P 9700 5900 -AR Path="/60941922/63262F0E" Ref="#PWR?" Part="1" -AR Path="/629B7489/63262F0E" Ref="#PWR?" Part="1" -AR Path="/629B918A/63262F0E" Ref="#PWR0170" Part="1" -F 0 "#PWR0170" H 9700 5650 50 0001 C CNN -F 1 "GND" H 9700 5750 50 0000 C CNN -F 2 "" H 9700 5900 50 0001 C CNN -F 3 "" H 9700 5900 50 0001 C CNN - 1 9700 5900 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR? -U 1 1 63262F0D -P 8900 5000 -AR Path="/60941922/63262F0D" Ref="#PWR?" Part="1" -AR Path="/629B7489/63262F0D" Ref="#PWR?" Part="1" -AR Path="/629B918A/63262F0D" Ref="#PWR0169" Part="1" -F 0 "#PWR0169" H 8900 4850 50 0001 C CNN -F 1 "+3V3" H 8900 5150 50 0000 C CNN -F 2 "" H 8900 5000 50 0001 C CNN -F 3 "" H 8900 5000 50 0001 C CNN - 1 8900 5000 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74245 U? -U 1 1 63262F0C -P 9300 4250 -AR Path="/60941922/63262F0C" Ref="U?" Part="1" -AR Path="/629B7489/63262F0C" Ref="U?" Part="1" -AR Path="/629B918A/63262F0C" Ref="U27" Part="1" -F 0 "U27" H 9300 4850 50 0000 C CNN -F 1 "74AHC245APW" V 9300 4250 50 0000 C CNN -F 2 "" H 9300 3600 50 0001 C TNN -F 3 "" H 9300 4350 60 0001 C CNN - 1 9300 4250 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR? -U 1 1 63262F0B -P 9700 4700 -AR Path="/60941922/63262F0B" Ref="#PWR?" Part="1" -AR Path="/629B7489/63262F0B" Ref="#PWR?" Part="1" -AR Path="/629B918A/63262F0B" Ref="#PWR0168" Part="1" -F 0 "#PWR0168" H 9700 4450 50 0001 C CNN -F 1 "GND" H 9700 4550 50 0000 C CNN -F 2 "" H 9700 4700 50 0001 C CNN -F 3 "" H 9700 4700 50 0001 C CNN - 1 9700 4700 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR? -U 1 1 63262F0A -P 8900 3800 -AR Path="/60941922/63262F0A" Ref="#PWR?" Part="1" -AR Path="/629B7489/63262F0A" Ref="#PWR?" Part="1" -AR Path="/629B918A/63262F0A" Ref="#PWR0167" Part="1" -F 0 "#PWR0167" H 8900 3650 50 0001 C CNN -F 1 "+3V3" H 8900 3950 50 0000 C CNN -F 2 "" H 8900 3800 50 0001 C CNN -F 3 "" H 8900 3800 50 0001 C CNN - 1 8900 3800 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74245 U? -U 1 1 622AE852 -P 9300 3050 -AR Path="/60941922/622AE852" Ref="U?" Part="1" -AR Path="/629B7489/622AE852" Ref="U?" Part="1" -AR Path="/629B918A/622AE852" Ref="U26" Part="1" -F 0 "U26" H 9300 3650 50 0000 C CNN -F 1 "74AHC245APW" V 9300 3050 50 0000 C CNN -F 2 "" H 9300 2400 50 0001 C TNN -F 3 "" H 9300 3150 60 0001 C CNN - 1 9300 3050 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR? -U 1 1 622AE84C -P 9700 3500 -AR Path="/60941922/622AE84C" Ref="#PWR?" Part="1" -AR Path="/629B7489/622AE84C" Ref="#PWR?" Part="1" -AR Path="/629B918A/622AE84C" Ref="#PWR0166" Part="1" -F 0 "#PWR0166" H 9700 3250 50 0001 C CNN -F 1 "GND" H 9700 3350 50 0000 C CNN -F 2 "" H 9700 3500 50 0001 C CNN -F 3 "" H 9700 3500 50 0001 C CNN - 1 9700 3500 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR? -U 1 1 622AE846 -P 8900 2600 -AR Path="/60941922/622AE846" Ref="#PWR?" Part="1" -AR Path="/629B7489/622AE846" Ref="#PWR?" Part="1" -AR Path="/629B918A/622AE846" Ref="#PWR0165" Part="1" -F 0 "#PWR0165" H 8900 2450 50 0001 C CNN -F 1 "+3V3" H 8900 2750 50 0000 C CNN -F 2 "" H 8900 2600 50 0001 C CNN -F 3 "" H 8900 2600 50 0001 C CNN - 1 8900 2600 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74245 U? -U 1 1 6226E539 -P 9300 1850 -AR Path="/60941922/6226E539" Ref="U?" Part="1" -AR Path="/629B7489/6226E539" Ref="U?" Part="1" -AR Path="/629B918A/6226E539" Ref="U25" Part="1" -F 0 "U25" H 9300 2450 50 0000 C CNN -F 1 "74AHC245APW" V 9300 1850 50 0000 C CNN -F 2 "" H 9300 1200 50 0001 C TNN -F 3 "" H 9300 1950 60 0001 C CNN - 1 9300 1850 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR? -U 1 1 63262F05 -P 9700 2300 -AR Path="/60941922/63262F05" Ref="#PWR?" Part="1" -AR Path="/629B7489/63262F05" Ref="#PWR?" Part="1" -AR Path="/629B918A/63262F05" Ref="#PWR0164" Part="1" -F 0 "#PWR0164" H 9700 2050 50 0001 C CNN -F 1 "GND" H 9700 2150 50 0000 C CNN -F 2 "" H 9700 2300 50 0001 C CNN -F 3 "" H 9700 2300 50 0001 C CNN - 1 9700 2300 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR? -U 1 1 63262F06 -P 8900 1400 -AR Path="/60941922/63262F06" Ref="#PWR?" Part="1" -AR Path="/629B7489/63262F06" Ref="#PWR?" Part="1" -AR Path="/629B918A/63262F06" Ref="#PWR0163" Part="1" -F 0 "#PWR0163" H 8900 1250 50 0001 C CNN -F 1 "+3V3" H 8900 1550 50 0000 C CNN -F 2 "" H 8900 1400 50 0001 C CNN -F 3 "" H 8900 1400 50 0001 C CNN - 1 8900 1400 - -1 0 0 -1 -$EndComp -Text Label 8900 5900 2 50 ~ 0 -FSB_D31 -Text Label 8900 5800 2 50 ~ 0 -FSB_D30 -Text Label 8900 5700 2 50 ~ 0 -FSB_D29 -Text Label 8900 5600 2 50 ~ 0 -FSB_D28 -Text Label 8900 5500 2 50 ~ 0 -FSB_D27 -Text Label 8900 5400 2 50 ~ 0 -FSB_D26 -Text Label 8900 5300 2 50 ~ 0 -FSB_D25 -Text Label 8900 5200 2 50 ~ 0 -FSB_D24 -Text Label 8900 4600 2 50 ~ 0 -FSB_D23 -Text Label 8900 4700 2 50 ~ 0 -FSB_D22 -Text Label 8900 4500 2 50 ~ 0 -FSB_D21 -Text Label 8900 4400 2 50 ~ 0 -FSB_D20 -Text Label 8900 4300 2 50 ~ 0 -FSB_D19 -Text Label 8900 4200 2 50 ~ 0 -FSB_D18 -Text Label 8900 4100 2 50 ~ 0 -FSB_D17 -Text Label 8900 4000 2 50 ~ 0 -FSB_D16 -Text Label 8900 3500 2 50 ~ 0 -FSB_D15 -Text Label 8900 3400 2 50 ~ 0 -FSB_D14 -Text Label 8900 3300 2 50 ~ 0 -FSB_D13 -Text Label 8900 3200 2 50 ~ 0 -FSB_D12 -Text Label 8900 3100 2 50 ~ 0 -FSB_D11 -Text Label 8900 3000 2 50 ~ 0 -FSB_D10 -Text Label 8900 2800 2 50 ~ 0 -FSB_D9 -Text Label 8900 2900 2 50 ~ 0 -FSB_D8 -Text Label 8900 2300 2 50 ~ 0 -FSB_D0 -Text Label 8900 2200 2 50 ~ 0 -FSB_D1 -Text Label 8900 2000 2 50 ~ 0 -FSB_D2 -Text Label 8900 1600 2 50 ~ 0 -FSB_D4 -Wire Wire Line - 8900 1600 8600 1600 -Wire Wire Line - 8900 1800 8600 1800 -Wire Wire Line - 8900 2000 8600 2000 -Wire Wire Line - 8900 2200 8600 2200 -Wire Wire Line - 8900 2300 8600 2300 -Text Label 8900 1800 2 50 ~ 0 -FSB_D3 -Wire Bus Line - 5250 6800 5250 7000 -Wire Bus Line - 3450 6800 3450 7000 -Wire Bus Line - 1100 2900 1100 7500 -Wire Bus Line - 2700 2900 2700 7500 -Wire Bus Line - 10100 1600 10100 5900 -Wire Bus Line - 8500 1700 8500 6000 -$EndSCHEMATC diff --git a/Control.kicad_sch b/Control.kicad_sch new file mode 100644 index 0000000..b10ee77 --- /dev/null +++ b/Control.kicad_sch @@ -0,0 +1,6271 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid e5babdba-56df-4f8c-91ab-ea64f649fd88) + + (paper "B") + + (title_block + (date "\\") + ) + + (lib_symbols + (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "C" (at 0.254 1.778 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C_Small" (at 0.254 -2.032 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "capacitor cap" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 -0.508) + (xy 1.524 -0.508) + ) + (stroke (width 0.3302) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.508) + (xy 1.524 0.508) + ) + (stroke (width 0.3048) (type default)) + (fill (type none)) + ) + ) + (symbol "C_Small_1_1" + (pin passive line (at 0 2.54 270) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_PLD:LFE5U-12F-BG256" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 19.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LFE5U-12F-BG256" (at -3.81 16.51 90) + (effects (font (size 1.27 1.27)) (justify right)) + ) + (property "Footprint" "stdpads:Lattice_CABGA-256" (at -6.35 16.51 90) + (effects (font (size 1.27 1.27)) (justify right top) hide) + ) + (property "Datasheet" "http://www.latticesemi.com/-/media/LatticeSemi/Documents/DataSheets/ECP5/FPGA-DS-02012-1-9-ECP5-ECP5G-Family-Data-Sheet.ashx?document_id=50461" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "LFE5U-12F-BG256_1_1" + (rectangle (start 12.7 17.78) (end -2.54 -53.34) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PT4A" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PT6A" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "PT6B" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "PT18A" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "PT18B" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "PCK00/PT29A" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "~{PCK}00/PT29B" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "PT4B" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "PT11B" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "PT15B" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "PT22B" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "~{PCK}01/PT27B" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "PT11A" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "PT15A" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "PT22A" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "PCK01/PT27A" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "PT9B" (effects (font (size 1.27 1.27)))) + (number "D4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "PT13B" (effects (font (size 1.27 1.27)))) + (number "D5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "PT20B" (effects (font (size 1.27 1.27)))) + (number "D6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "GR01/PT24B" (effects (font (size 1.27 1.27)))) + (number "D7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "PT9A" (effects (font (size 1.27 1.27)))) + (number "E4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "PT13A" (effects (font (size 1.27 1.27)))) + (number "E5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "PT20A" (effects (font (size 1.27 1.27)))) + (number "E6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "GR00/PT24A" (effects (font (size 1.27 1.27)))) + (number "E7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "F6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO0" (effects (font (size 1.27 1.27)))) + (number "F7" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_2_1" + (rectangle (start 12.7 -73.66) (end -2.54 17.78) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "PT42B" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "PT53A" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "PT53B" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "PT65A" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -66.04 180) (length 5.08) + (name "PT65B" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -71.12 180) (length 5.08) + (name "PT67B" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "PT42A" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "PT44A" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "PT49A" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "PT56A" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "PT60A" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "PT67A" (effects (font (size 1.27 1.27)))) + (number "B14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "~{PCK}10/PT35B" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "GR10/PT38A" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "PT44B" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "PT49B" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "PT56B" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "PT60B" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PCK10/PT35A" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "GR11/PT38B" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "PT47A" (effects (font (size 1.27 1.27)))) + (number "D10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "PT51A" (effects (font (size 1.27 1.27)))) + (number "D11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "PT58A" (effects (font (size 1.27 1.27)))) + (number "D12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -58.42 180) (length 5.08) + (name "PT62A" (effects (font (size 1.27 1.27)))) + (number "D13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "~{PCK}11/PT33B" (effects (font (size 1.27 1.27)))) + (number "D8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "PT40A" (effects (font (size 1.27 1.27)))) + (number "D9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "PT47B" (effects (font (size 1.27 1.27)))) + (number "E10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "PT51B" (effects (font (size 1.27 1.27)))) + (number "E11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "PT58B" (effects (font (size 1.27 1.27)))) + (number "E12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "PT62B" (effects (font (size 1.27 1.27)))) + (number "E13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PCK11/PT33A" (effects (font (size 1.27 1.27)))) + (number "E8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "PT40B" (effects (font (size 1.27 1.27)))) + (number "E9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "F10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO1" (effects (font (size 1.27 1.27)))) + (number "F11" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_3_1" + (rectangle (start -2.54 17.78) (end 12.7 -73.66) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "S0_IN/PR2B" (effects (font (size 1.27 1.27)))) + (number "B15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PR2A" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PR2C" (effects (font (size 1.27 1.27)))) + (number "C14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "PR5B" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "PR5A" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "PR2D" (effects (font (size 1.27 1.27)))) + (number "D14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "PR8A" (effects (font (size 1.27 1.27)))) + (number "D16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "PR5C" (effects (font (size 1.27 1.27)))) + (number "E14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "PR8B" (effects (font (size 1.27 1.27)))) + (number "E15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "PR11D" (effects (font (size 1.27 1.27)))) + (number "E16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "PR8D" (effects (font (size 1.27 1.27)))) + (number "F12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "PR8C" (effects (font (size 1.27 1.27)))) + (number "F13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "PR5D" (effects (font (size 1.27 1.27)))) + (number "F14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "PR11C" (effects (font (size 1.27 1.27)))) + (number "F15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "PR14A" (effects (font (size 1.27 1.27)))) + (number "F16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "PR11A" (effects (font (size 1.27 1.27)))) + (number "G12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "PR11B" (effects (font (size 1.27 1.27)))) + (number "G13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "Vref12/PR14C" (effects (font (size 1.27 1.27)))) + (number "G14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "PR14B" (effects (font (size 1.27 1.27)))) + (number "G15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "GR21/PR20A" (effects (font (size 1.27 1.27)))) + (number "G16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "H11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "PR17A" (effects (font (size 1.27 1.27)))) + (number "H12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "PR17B" (effects (font (size 1.27 1.27)))) + (number "H13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "PR14D" (effects (font (size 1.27 1.27)))) + (number "H14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "PR20B" (effects (font (size 1.27 1.27)))) + (number "H15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO2" (effects (font (size 1.27 1.27)))) + (number "J11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "PR17D" (effects (font (size 1.27 1.27)))) + (number "J12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "PR17C" (effects (font (size 1.27 1.27)))) + (number "J13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -58.42 180) (length 5.08) + (name "GR20/PR20C" (effects (font (size 1.27 1.27)))) + (number "J14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -66.04 180) (length 5.08) + (name "~{PCK}21/PR23B" (effects (font (size 1.27 1.27)))) + (number "J15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "PCK21/PR23A" (effects (font (size 1.27 1.27)))) + (number "J16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "PR20D" (effects (font (size 1.27 1.27)))) + (number "K14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -71.12 180) (length 5.08) + (name "~{PCK}20/PR23D" (effects (font (size 1.27 1.27)))) + (number "K15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "PCK20/PR23C" (effects (font (size 1.27 1.27)))) + (number "K16" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_4_1" + (rectangle (start -2.54 17.78) (end 12.7 -73.66) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "K11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "PR29B" (effects (font (size 1.27 1.27)))) + (number "K12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "GR30/PR29A" (effects (font (size 1.27 1.27)))) + (number "K13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO3" (effects (font (size 1.27 1.27)))) + (number "L11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "PR29D" (effects (font (size 1.27 1.27)))) + (number "L12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "GR31/PR26C" (effects (font (size 1.27 1.27)))) + (number "L13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "PR32C" (effects (font (size 1.27 1.27)))) + (number "L14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "~{PCK}31/PR26B" (effects (font (size 1.27 1.27)))) + (number "L15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PCK31/PR26A" (effects (font (size 1.27 1.27)))) + (number "L16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "PR47A" (effects (font (size 1.27 1.27)))) + (number "M11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -58.42 180) (length 5.08) + (name "PR44C" (effects (font (size 1.27 1.27)))) + (number "M12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "PR35C" (effects (font (size 1.27 1.27)))) + (number "M13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "PR32D" (effects (font (size 1.27 1.27)))) + (number "M14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "~{PCK}30/PR26D" (effects (font (size 1.27 1.27)))) + (number "M15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PCK30/PR26C" (effects (font (size 1.27 1.27)))) + (number "M16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -66.04 180) (length 5.08) + (name "PR47B" (effects (font (size 1.27 1.27)))) + (number "N11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "PR44D" (effects (font (size 1.27 1.27)))) + (number "N12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "PR38A" (effects (font (size 1.27 1.27)))) + (number "N13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "PR35D" (effects (font (size 1.27 1.27)))) + (number "N14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "PR32A" (effects (font (size 1.27 1.27)))) + (number "N16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "RPLL0/PR47C" (effects (font (size 1.27 1.27)))) + (number "P11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -71.12 180) (length 5.08) + (name "~{RPLL}0/PR47D" (effects (font (size 1.27 1.27)))) + (number "P12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "PR41A" (effects (font (size 1.27 1.27)))) + (number "P13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "PR38B" (effects (font (size 1.27 1.27)))) + (number "P14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "PR32B" (effects (font (size 1.27 1.27)))) + (number "P15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "PR35A" (effects (font (size 1.27 1.27)))) + (number "P16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "PR44A" (effects (font (size 1.27 1.27)))) + (number "R12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "PR41C" (effects (font (size 1.27 1.27)))) + (number "R13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "PR41B" (effects (font (size 1.27 1.27)))) + (number "R14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "PR38C" (effects (font (size 1.27 1.27)))) + (number "R15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "Vref13/PR35B" (effects (font (size 1.27 1.27)))) + (number "R16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "PR44B" (effects (font (size 1.27 1.27)))) + (number "T13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "PR41D" (effects (font (size 1.27 1.27)))) + (number "T14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "PR38D" (effects (font (size 1.27 1.27)))) + (number "T15" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_5_1" + (rectangle (start -2.54 17.78) (end 12.7 -73.66) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO6" (effects (font (size 1.27 1.27)))) + (number "J6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO6" (effects (font (size 1.27 1.27)))) + (number "J7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "GR60/PL29A" (effects (font (size 1.27 1.27)))) + (number "K4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "PL29B" (effects (font (size 1.27 1.27)))) + (number "K5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PCK61/PL26A" (effects (font (size 1.27 1.27)))) + (number "L1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "~{PCK}61/PL26B" (effects (font (size 1.27 1.27)))) + (number "L2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "PL32C" (effects (font (size 1.27 1.27)))) + (number "L3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "GR61/PL29C" (effects (font (size 1.27 1.27)))) + (number "L4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "PL29D" (effects (font (size 1.27 1.27)))) + (number "L5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PCK60/PL26C" (effects (font (size 1.27 1.27)))) + (number "M1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "~{PCK}60/PL26D" (effects (font (size 1.27 1.27)))) + (number "M2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "PL32D" (effects (font (size 1.27 1.27)))) + (number "M3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "PL35C" (effects (font (size 1.27 1.27)))) + (number "M4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -58.42 180) (length 5.08) + (name "PL44C" (effects (font (size 1.27 1.27)))) + (number "M5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "PL47A" (effects (font (size 1.27 1.27)))) + (number "M6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "PL32A" (effects (font (size 1.27 1.27)))) + (number "N1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "PL35D" (effects (font (size 1.27 1.27)))) + (number "N3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "PL38A" (effects (font (size 1.27 1.27)))) + (number "N4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "PL44D" (effects (font (size 1.27 1.27)))) + (number "N5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -66.04 180) (length 5.08) + (name "PL47B" (effects (font (size 1.27 1.27)))) + (number "N6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "PL35A" (effects (font (size 1.27 1.27)))) + (number "P1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "PL32B" (effects (font (size 1.27 1.27)))) + (number "P2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "PL38B" (effects (font (size 1.27 1.27)))) + (number "P3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "PL41A" (effects (font (size 1.27 1.27)))) + (number "P4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -71.12 180) (length 5.08) + (name "~{RPLL}0/PL47D" (effects (font (size 1.27 1.27)))) + (number "P5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "RPLL0/PL47C" (effects (font (size 1.27 1.27)))) + (number "P6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "Vref16/PL35B" (effects (font (size 1.27 1.27)))) + (number "R1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "PL38C" (effects (font (size 1.27 1.27)))) + (number "R2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "PL41B" (effects (font (size 1.27 1.27)))) + (number "R3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "PL41C" (effects (font (size 1.27 1.27)))) + (number "R4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "PL44A" (effects (font (size 1.27 1.27)))) + (number "R5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "PL38D" (effects (font (size 1.27 1.27)))) + (number "T2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "PL41D" (effects (font (size 1.27 1.27)))) + (number "T3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "PL44B" (effects (font (size 1.27 1.27)))) + (number "T4" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_6_1" + (rectangle (start -2.54 17.78) (end 12.7 -73.66) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PL2A" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "PL2B" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "PL5A" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "PL5B" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "PL2C" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "PL8A" (effects (font (size 1.27 1.27)))) + (number "D1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "PL2D" (effects (font (size 1.27 1.27)))) + (number "D3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -30.48 180) (length 5.08) + (name "PL11D" (effects (font (size 1.27 1.27)))) + (number "E1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "PL8B" (effects (font (size 1.27 1.27)))) + (number "E2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "PL5C" (effects (font (size 1.27 1.27)))) + (number "E3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -33.02 180) (length 5.08) + (name "PL14A" (effects (font (size 1.27 1.27)))) + (number "F1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -27.94 180) (length 5.08) + (name "PL11C" (effects (font (size 1.27 1.27)))) + (number "F2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "PL5D" (effects (font (size 1.27 1.27)))) + (number "F3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "PL8C" (effects (font (size 1.27 1.27)))) + (number "F4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "PL8D" (effects (font (size 1.27 1.27)))) + (number "F5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -53.34 180) (length 5.08) + (name "GR71/PL20A" (effects (font (size 1.27 1.27)))) + (number "G1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -35.56 180) (length 5.08) + (name "PL14B" (effects (font (size 1.27 1.27)))) + (number "G2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -38.1 180) (length 5.08) + (name "Vref17/PL14C" (effects (font (size 1.27 1.27)))) + (number "G3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "PL11B" (effects (font (size 1.27 1.27)))) + (number "G4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -22.86 180) (length 5.08) + (name "PL11A" (effects (font (size 1.27 1.27)))) + (number "G5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -55.88 180) (length 5.08) + (name "PL20B" (effects (font (size 1.27 1.27)))) + (number "H2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -40.64 180) (length 5.08) + (name "PL14D" (effects (font (size 1.27 1.27)))) + (number "H3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -45.72 180) (length 5.08) + (name "PL17B" (effects (font (size 1.27 1.27)))) + (number "H4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -43.18 180) (length 5.08) + (name "PL17A" (effects (font (size 1.27 1.27)))) + (number "H5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO7" (effects (font (size 1.27 1.27)))) + (number "H6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 17.78 12.7 180) (length 5.08) + (name "VccIO7" (effects (font (size 1.27 1.27)))) + (number "H7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -63.5 180) (length 5.08) + (name "PCK71/PL23A" (effects (font (size 1.27 1.27)))) + (number "J1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -66.04 180) (length 5.08) + (name "~{PCK}71/PL23B" (effects (font (size 1.27 1.27)))) + (number "J2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -58.42 180) (length 5.08) + (name "GR70/PL20C" (effects (font (size 1.27 1.27)))) + (number "J3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -48.26 180) (length 5.08) + (name "PL17C" (effects (font (size 1.27 1.27)))) + (number "J4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -50.8 180) (length 5.08) + (name "PL17D" (effects (font (size 1.27 1.27)))) + (number "J5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -68.58 180) (length 5.08) + (name "PCK70/PL23C" (effects (font (size 1.27 1.27)))) + (number "K1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -71.12 180) (length 5.08) + (name "~{PCK}70/PL23D" (effects (font (size 1.27 1.27)))) + (number "K2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -60.96 180) (length 5.08) + (name "PL20D" (effects (font (size 1.27 1.27)))) + (number "K3" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_7_1" + (rectangle (start -2.54 17.78) (end 12.7 -50.8) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin power_in line (at 17.78 15.24 180) (length 5.08) + (name "VccIO8" (effects (font (size 1.27 1.27)))) + (number "L6" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 17.78 -40.64 180) (length 5.08) + (name "TDO" (effects (font (size 1.27 1.27)))) + (number "M10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -2.54 180) (length 5.08) + (name "PB9B/D2" (effects (font (size 1.27 1.27)))) + (number "M7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -17.78 180) (length 5.08) + (name "~{CSO}/PB15B" (effects (font (size 1.27 1.27)))) + (number "M8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -20.32 180) (length 5.08) + (name "~{WRITE}/PB18A" (effects (font (size 1.27 1.27)))) + (number "M9" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -33.02 180) (length 5.08) + (name "CFG0" (effects (font (size 1.27 1.27)))) + (number "N10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 0 180) (length 5.08) + (name "PB9A/D3" (effects (font (size 1.27 1.27)))) + (number "N7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -15.24 180) (length 5.08) + (name "M~{CS}/PB15A" (effects (font (size 1.27 1.27)))) + (number "N8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -25.4 180) (length 5.08) + (name "CCLK" (effects (font (size 1.27 1.27)))) + (number "N9" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -35.56 180) (length 5.08) + (name "CFG1" (effects (font (size 1.27 1.27)))) + (number "P10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 2.54 180) (length 5.08) + (name "MOSI2/PB6B" (effects (font (size 1.27 1.27)))) + (number "P7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -12.7 180) (length 5.08) + (name "S~{CS}1/PB13B" (effects (font (size 1.27 1.27)))) + (number "P8" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 17.78 -30.48 180) (length 5.08) + (name "DONE" (effects (font (size 1.27 1.27)))) + (number "P9" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -38.1 180) (length 5.08) + (name "CFG2" (effects (font (size 1.27 1.27)))) + (number "R10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -45.72 180) (length 5.08) + (name "TDI" (effects (font (size 1.27 1.27)))) + (number "R11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 7.62 180) (length 5.08) + (name "PB4B" (effects (font (size 1.27 1.27)))) + (number "R6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 5.08 180) (length 5.08) + (name "MISO2/PB6A" (effects (font (size 1.27 1.27)))) + (number "R7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -10.16 180) (length 5.08) + (name "S~{CS}/PB13A" (effects (font (size 1.27 1.27)))) + (number "R8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -27.94 180) (length 5.08) + (name "~{PROGRAM}" (effects (font (size 1.27 1.27)))) + (number "R9" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -43.18 180) (length 5.08) + (name "TCK" (effects (font (size 1.27 1.27)))) + (number "T10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 17.78 -48.26 180) (length 5.08) + (name "TMS" (effects (font (size 1.27 1.27)))) + (number "T11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 10.16 180) (length 5.08) + (name "PB4A" (effects (font (size 1.27 1.27)))) + (number "T6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -5.08 180) (length 5.08) + (name "MISO/PB11A" (effects (font (size 1.27 1.27)))) + (number "T7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 17.78 -7.62 180) (length 5.08) + (name "MOSI/PB11B" (effects (font (size 1.27 1.27)))) + (number "T8" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at 17.78 -22.86 180) (length 5.08) + (name "~{INIT}" (effects (font (size 1.27 1.27)))) + (number "T9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "LFE5U-12F-BG256_8_1" + (rectangle (start 7.62 -78.74) (end -2.54 17.78) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin power_in line (at 12.7 -71.12 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -10.16 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -17.78 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -66.04 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "D2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -45.72 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "F8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -40.64 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "F9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -25.4 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "G10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 15.24 180) (length 5.08) + (name "VccAUX" (effects (font (size 1.27 1.27)))) + (number "G11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -5.08 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "G6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "G7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -48.26 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "G8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 5.08 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "G9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -73.66 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -27.94 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -12.7 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -50.8 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -35.56 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "H9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -30.48 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -53.34 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -38.1 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -33.02 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -60.96 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -58.42 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -55.88 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -43.18 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "K9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 7.62 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "L10" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 12.7 180) (length 5.08) + (name "VccAUX" (effects (font (size 1.27 1.27)))) + (number "L7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 0 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "L8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "L9" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -20.32 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "N15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -68.58 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "N2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -76.2 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "T1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -22.86 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "T12" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -15.24 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "T16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 12.7 -63.5 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "T5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+1V1" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V1" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+1V1\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+1V1_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+1V1_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+1V1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+2V5" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+2V5" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+2V5\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+2V5_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+2V5_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+2V5" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 86.36 232.41) (diameter 0) (color 0 0 0 0) + (uuid 057fc852-150b-415f-ad5b-b85c70f01d19) + ) + (junction (at 86.36 176.53) (diameter 0) (color 0 0 0 0) + (uuid 0dff4f2c-e3af-45b1-a671-a99b242f2e9a) + ) + (junction (at 137.16 31.75) (diameter 0) (color 0 0 0 0) + (uuid 0efceaf9-0e3f-4c14-bc91-cdd819535fa1) + ) + (junction (at 86.36 237.49) (diameter 0) (color 0 0 0 0) + (uuid 172aeedd-a799-41c4-b309-9b8b890d857d) + ) + (junction (at 86.36 217.17) (diameter 0) (color 0 0 0 0) + (uuid 17dcf3fd-2ce3-49b5-b335-28cad1572118) + ) + (junction (at 86.36 191.77) (diameter 0) (color 0 0 0 0) + (uuid 1a2d5958-0cb2-4ee8-8631-56a87812d6c3) + ) + (junction (at 86.36 184.15) (diameter 0) (color 0 0 0 0) + (uuid 1d4b3303-e6ac-4eca-be2a-c360208b833c) + ) + (junction (at 160.02 210.82) (diameter 0) (color 0 0 0 0) + (uuid 21522411-5a3a-4f92-903a-3008bb7c97f2) + ) + (junction (at 129.54 210.82) (diameter 0) (color 0 0 0 0) + (uuid 242e315f-a8d2-44fd-a495-a391cf06b113) + ) + (junction (at 184.15 31.75) (diameter 0) (color 0 0 0 0) + (uuid 27b7658a-62d6-4de1-a67d-c095d6796449) + ) + (junction (at 86.36 194.31) (diameter 0) (color 0 0 0 0) + (uuid 2d69ebb7-a734-4b1a-a362-11c56aec8658) + ) + (junction (at 86.36 219.71) (diameter 0) (color 0 0 0 0) + (uuid 3306798a-4cc8-48db-a3e3-0621d4461ae7) + ) + (junction (at 86.36 201.93) (diameter 0) (color 0 0 0 0) + (uuid 356689b7-5548-43d2-a3e4-30dc6c428627) + ) + (junction (at 90.17 30.48) (diameter 0) (color 0 0 0 0) + (uuid 3c1b026f-a86f-4c2b-9f5d-e4aaf737235f) + ) + (junction (at 43.18 30.48) (diameter 0) (color 0 0 0 0) + (uuid 40eccda2-b93d-4a2e-bf3f-0ccd9e56027d) + ) + (junction (at 86.36 196.85) (diameter 0) (color 0 0 0 0) + (uuid 467ad986-64c0-4f32-b302-d25f8dad991b) + ) + (junction (at 86.36 204.47) (diameter 0) (color 0 0 0 0) + (uuid 48575ff4-fcf6-40d2-bd0b-60c3d877318d) + ) + (junction (at 86.36 240.03) (diameter 0) (color 0 0 0 0) + (uuid 4ae15eb7-80c3-47ad-97fa-af0068043623) + ) + (junction (at 86.36 222.25) (diameter 0) (color 0 0 0 0) + (uuid 4bcfab84-5ee6-4013-8a9a-26500878201d) + ) + (junction (at 86.36 189.23) (diameter 0) (color 0 0 0 0) + (uuid 4e122b2b-ff0f-47a2-a1d1-63b4f6ca9c6c) + ) + (junction (at 86.36 181.61) (diameter 0) (color 0 0 0 0) + (uuid 52a2d66f-ad1d-4ca6-b1aa-3674250a8aee) + ) + (junction (at 86.36 186.69) (diameter 0) (color 0 0 0 0) + (uuid 5755f3be-5029-41ae-b8ad-72ef4d1f3b66) + ) + (junction (at 227.33 31.75) (diameter 0) (color 0 0 0 0) + (uuid 5a62f881-8f20-4683-acdc-93032f00c42b) + ) + (junction (at 170.18 215.9) (diameter 0) (color 0 0 0 0) + (uuid 5a70faa2-5d87-434f-808f-328e3de489d2) + ) + (junction (at 180.34 210.82) (diameter 0) (color 0 0 0 0) + (uuid 68d2f04b-20c1-41f2-a466-313e3852f6bc) + ) + (junction (at 86.36 199.39) (diameter 0) (color 0 0 0 0) + (uuid 6cdcce7c-294e-4867-9a92-1ada48040949) + ) + (junction (at 160.02 215.9) (diameter 0) (color 0 0 0 0) + (uuid 6fac4d5b-83f8-4a99-987c-7bdfb4e1e566) + ) + (junction (at 190.5 215.9) (diameter 0) (color 0 0 0 0) + (uuid 70117a59-6e6a-44b6-a195-7db2b3a19640) + ) + (junction (at 86.36 148.59) (diameter 0) (color 0 0 0 0) + (uuid 73589bde-1586-40b3-a95a-b535c8a803d3) + ) + (junction (at 86.36 166.37) (diameter 0) (color 0 0 0 0) + (uuid 8659f02f-d9dd-4e79-94a2-2e8ff81444f3) + ) + (junction (at 86.36 212.09) (diameter 0) (color 0 0 0 0) + (uuid 93c05b04-d0b1-4032-bab2-00ac68f82ec9) + ) + (junction (at 86.36 179.07) (diameter 0) (color 0 0 0 0) + (uuid 93ee9d7f-6b1f-4c92-8dda-15562ba01ce6) + ) + (junction (at 86.36 234.95) (diameter 0) (color 0 0 0 0) + (uuid a79d8a60-d992-4b13-8ef7-6acef5834f9c) + ) + (junction (at 86.36 209.55) (diameter 0) (color 0 0 0 0) + (uuid a9cab996-3d01-4d9d-904e-5e201320265f) + ) + (junction (at 139.7 215.9) (diameter 0) (color 0 0 0 0) + (uuid b6dfb82f-a969-492d-9425-bf28d22781bd) + ) + (junction (at 86.36 163.83) (diameter 0) (color 0 0 0 0) + (uuid c7ecb562-557c-452a-b425-c322a1d8df3c) + ) + (junction (at 86.36 229.87) (diameter 0) (color 0 0 0 0) + (uuid cab9e4d5-30ac-441f-a452-c4cdc8df0cc1) + ) + (junction (at 149.86 210.82) (diameter 0) (color 0 0 0 0) + (uuid cb9452f3-3296-4620-877a-3e9ba7945caa) + ) + (junction (at 149.86 215.9) (diameter 0) (color 0 0 0 0) + (uuid cbd03ca0-dc3b-4071-8117-891e56a8befc) + ) + (junction (at 139.7 210.82) (diameter 0) (color 0 0 0 0) + (uuid cdbaafea-b223-45a4-b321-960754d69fdc) + ) + (junction (at 86.36 207.01) (diameter 0) (color 0 0 0 0) + (uuid cdf47f7a-726e-4f60-90c2-3b46092405dd) + ) + (junction (at 86.36 161.29) (diameter 0) (color 0 0 0 0) + (uuid d5c667fd-7db5-475f-b2af-5600609155e4) + ) + (junction (at 180.34 215.9) (diameter 0) (color 0 0 0 0) + (uuid d700d482-55cd-4aaa-9725-c47d1685bd3c) + ) + (junction (at 86.36 158.75) (diameter 0) (color 0 0 0 0) + (uuid d95c215e-7878-40d2-a5d1-bed0f0373467) + ) + (junction (at 86.36 156.21) (diameter 0) (color 0 0 0 0) + (uuid dac8a73d-4421-4289-ae7c-bcda0d8d097c) + ) + (junction (at 86.36 227.33) (diameter 0) (color 0 0 0 0) + (uuid e7eb39b0-54b4-4100-a609-b17e99a96951) + ) + (junction (at 276.86 31.75) (diameter 0) (color 0 0 0 0) + (uuid f52622c8-b7dd-4b6d-a979-a798d7529373) + ) + (junction (at 170.18 210.82) (diameter 0) (color 0 0 0 0) + (uuid f9810f0a-719c-4038-b1df-01e91600fc94) + ) + (junction (at 86.36 214.63) (diameter 0) (color 0 0 0 0) + (uuid fc6e055b-3f08-4d71-a3bb-4cb7ba40d711) + ) + (junction (at 86.36 224.79) (diameter 0) (color 0 0 0 0) + (uuid fc83ce19-1505-42b9-bebb-28197d736995) + ) + + (bus_entry (at 405.13 137.16) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 030cbaee-511a-4dc5-b68d-d600c803796c) + ) + (bus_entry (at 325.12 78.74) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 03b9c6c6-d6f8-4edb-afac-cfa44c0f35e4) + ) + (bus_entry (at 405.13 147.32) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 071bd3d9-1b61-40a0-b581-3f79ff021da1) + ) + (bus_entry (at 325.12 119.38) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0dd50ea0-d959-4ead-9dce-c9d0fdae92f7) + ) + (bus_entry (at 405.13 73.66) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 11c5f36b-fb67-4538-b569-d4fa238bcd63) + ) + (bus_entry (at 325.12 96.52) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 14b827b0-06a8-43a7-9358-63e6228ae06c) + ) + (bus_entry (at 325.12 66.04) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 15c7eb90-7256-43b4-a1f8-3fa35de19ca4) + ) + (bus_entry (at 405.13 43.18) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 16d67e7c-86de-4e98-9a3c-0d8a19273a26) + ) + (bus_entry (at 375.92 68.58) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 187ae0cc-97ad-4573-8a4a-61f02aba930f) + ) + (bus_entry (at 354.33 153.67) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 23d4e570-17a1-4bf1-a444-3d1b886aa8d4) + ) + (bus_entry (at 325.12 53.34) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 25111ed7-0240-4b08-bf5c-044298fc2e2a) + ) + (bus_entry (at 405.13 86.36) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 257d1ac0-0f96-48e0-af3e-955ee1056fa7) + ) + (bus_entry (at 325.12 55.88) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 25b677eb-cd03-40d8-b43e-39002d09291d) + ) + (bus_entry (at 354.33 57.15) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 29aedd98-dbd9-4ea0-92b6-ba0f0be3ef7d) + ) + (bus_entry (at 375.92 66.04) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 2a2d7ac3-0884-46c9-84f6-7d02a635b5d9) + ) + (bus_entry (at 405.13 144.78) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2f9e9022-155a-479b-8199-299d4c8942d5) + ) + (bus_entry (at 325.12 83.82) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 31bdeb56-8f0e-44de-8285-1d10eb50c3b9) + ) + (bus_entry (at 325.12 60.96) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 325c8ec9-f652-4482-9071-d344a94a391e) + ) + (bus_entry (at 405.13 132.08) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 380b3bdf-a7b9-4f27-942b-ea076b3f32fe) + ) + (bus_entry (at 325.12 114.3) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 390c250f-2b64-4790-919c-9c8cc8900d72) + ) + (bus_entry (at 375.92 71.12) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 3d7e3c0f-9150-4337-9c63-fd9ebbfa79e1) + ) + (bus_entry (at 354.33 123.19) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3ee4b056-7cd6-4a61-90be-236eb03d1e28) + ) + (bus_entry (at 354.33 52.07) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 40f5f10b-0888-4f8a-810d-c118c85bc6c6) + ) + (bus_entry (at 354.33 118.11) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 46804780-4800-4b9e-ba40-649cb62cadc0) + ) + (bus_entry (at 354.33 62.23) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4e0b24d6-d52f-4210-89df-2153c38c19bf) + ) + (bus_entry (at 325.12 121.92) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4efcfeae-47c2-426a-b273-4758f4391e60) + ) + (bus_entry (at 325.12 88.9) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4eff2aa3-fea2-4561-9002-39fb76f50d22) + ) + (bus_entry (at 354.33 92.71) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 53925e64-3be4-4134-949f-414d0397eee1) + ) + (bus_entry (at 354.33 67.31) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 55f600f9-d0e8-465d-b1d5-7b9a6e3da26b) + ) + (bus_entry (at 354.33 128.27) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 56ed175f-6707-4a6e-bd23-2bfb9d67757c) + ) + (bus_entry (at 354.33 64.77) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 57ca0006-2a60-405c-8011-d6ff13e5aa4e) + ) + (bus_entry (at 405.13 119.38) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5920c506-260c-4c7a-843a-3b0808ae19aa) + ) + (bus_entry (at 405.13 88.9) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 59a990ad-7196-4fca-8b16-4a9c08aaf3b3) + ) + (bus_entry (at 354.33 140.97) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5a35ed1d-55c6-46ad-8355-8cbf8dd302cd) + ) + (bus_entry (at 325.12 124.46) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 64dacd7e-80ce-4016-9008-e11232926fbf) + ) + (bus_entry (at 325.12 93.98) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 65fe9fe9-5224-496f-afe1-d62099bba0de) + ) + (bus_entry (at 405.13 78.74) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 69d8c5a6-4a81-4682-939c-cc09836ae75f) + ) + (bus_entry (at 405.13 40.64) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6ae82098-b64e-4a28-9367-b0d1de88a0b9) + ) + (bus_entry (at 354.33 148.59) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6f8a41c2-62d7-4152-9ad8-ee352ed3865b) + ) + (bus_entry (at 375.92 76.2) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 6ff1be5c-2d3c-49d1-97cc-f50d951690c4) + ) + (bus_entry (at 354.33 110.49) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 72d37f53-d8d6-4a15-a0ce-dbe0a0d605af) + ) + (bus_entry (at 354.33 85.09) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 74f4fb00-ad20-4a58-adb1-afe825826599) + ) + (bus_entry (at 325.12 127) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 76195b14-c1ee-40d5-a616-7bfa747f2131) + ) + (bus_entry (at 354.33 97.79) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 797e2314-4048-48bd-9073-1d85f83ce376) + ) + (bus_entry (at 354.33 158.75) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7b0a919e-85a3-4233-b9d9-34eb9bdce83f) + ) + (bus_entry (at 354.33 143.51) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7f58f506-d393-47d2-926b-8cdbc1f32122) + ) + (bus_entry (at 405.13 149.86) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 82b5869e-30ed-4147-9612-091945c0e18e) + ) + (bus_entry (at 405.13 109.22) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8404a3b5-cd5c-4881-bfd0-43fc8cbd33ea) + ) + (bus_entry (at 405.13 48.26) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 87fb1d37-0be5-454c-ad78-3c4c6a45f69d) + ) + (bus_entry (at 405.13 81.28) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 898c5daa-58f2-4ec6-8db0-3c77dda21dd5) + ) + (bus_entry (at 405.13 53.34) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8c547824-cd66-4852-97ee-bb98c37f6d53) + ) + (bus_entry (at 325.12 139.7) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8e898122-ec80-49dd-81a4-3650d96d6d67) + ) + (bus_entry (at 325.12 147.32) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8feae3a0-74f3-40a6-adfc-8871a39da778) + ) + (bus_entry (at 354.33 80.01) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9128d339-8700-4c64-af74-10e4b2eed444) + ) + (bus_entry (at 325.12 81.28) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 919b3d3b-cafb-4701-9745-dddb25da6f9a) + ) + (bus_entry (at 405.13 139.7) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 92e6a49d-8ffc-49e0-8e04-a0996e1e8258) + ) + (bus_entry (at 354.33 113.03) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 94709b5d-1bf2-4b1a-88ca-fc187135bce9) + ) + (bus_entry (at 405.13 50.8) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 94db911e-73fc-4c80-b1c1-64583bd061e4) + ) + (bus_entry (at 405.13 114.3) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 97dd4dab-c5ee-45e7-bb9f-7a2ce28337ca) + ) + (bus_entry (at 354.33 95.25) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9aae4851-8854-4923-88b0-5f9b207728fb) + ) + (bus_entry (at 325.12 109.22) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9daaca00-079d-4dfd-b2e3-39fd5a928857) + ) + (bus_entry (at 405.13 134.62) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a066ad33-38dd-4ac8-89a4-809f66849dd0) + ) + (bus_entry (at 354.33 87.63) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a0cc3a9b-1a61-41ea-a4d5-1bab215d31c8) + ) + (bus_entry (at 405.13 116.84) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a220438b-a369-4a44-82ad-ca655a370d51) + ) + (bus_entry (at 325.12 91.44) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a3b01168-e9b4-412c-847f-91b0f59c9121) + ) + (bus_entry (at 405.13 83.82) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a4704c3d-2b36-4703-a609-77febb510982) + ) + (bus_entry (at 405.13 71.12) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a980e228-855f-49d4-a2fe-5c19283c4f2d) + ) + (bus_entry (at 354.33 49.53) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b639c9e3-8f08-421e-baba-70eb8d1d69c6) + ) + (bus_entry (at 354.33 146.05) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b7fdf6a7-a755-4e59-a4b5-352395e29856) + ) + (bus_entry (at 354.33 151.13) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c0d1e53e-d3d8-43e9-b885-ef1fe5384fc1) + ) + (bus_entry (at 354.33 115.57) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c41825c0-3e69-451e-a967-4c85ad6de2bd) + ) + (bus_entry (at 405.13 101.6) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c6ef8365-c7c9-4de9-b009-67326bdb2a88) + ) + (bus_entry (at 354.33 156.21) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c8f5eeed-2c15-4200-90d1-c92034aca49f) + ) + (bus_entry (at 405.13 58.42) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid cca706e0-792c-40df-96a5-6a9fd4b670d6) + ) + (bus_entry (at 325.12 144.78) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d1fb3c3c-e140-4829-8234-321827812895) + ) + (bus_entry (at 354.33 120.65) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d41af5cb-0044-417b-8d78-763798c53cf5) + ) + (bus_entry (at 354.33 82.55) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid dc515716-ec2c-4f64-bc64-26fb3e9be7fa) + ) + (bus_entry (at 325.12 63.5) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid dd66ce5c-ed87-45af-be19-144303e16f84) + ) + (bus_entry (at 375.92 73.66) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid e00c5daa-675e-4102-a734-d4c6bbbced16) + ) + (bus_entry (at 354.33 90.17) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e03c753a-f472-4cde-9b8f-58b0f481024e) + ) + (bus_entry (at 405.13 106.68) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e7cc12cb-ce5a-4132-9138-6967aa78d368) + ) + (bus_entry (at 405.13 45.72) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e86e561f-16be-4a9d-8508-d6835293c95a) + ) + (bus_entry (at 325.12 142.24) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e9b3b053-115e-496e-9e5f-99e764f3aab7) + ) + (bus_entry (at 354.33 54.61) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e9de2574-40b3-4dec-8bb8-2ca5c8c01250) + ) + (bus_entry (at 405.13 111.76) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid eb0cadeb-9009-42a6-aff4-487b0c26f1a5) + ) + (bus_entry (at 325.12 58.42) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid eb34b6f0-eb06-4b19-8f12-930438815322) + ) + (bus_entry (at 325.12 116.84) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid eb42acc0-960f-45b0-9fc6-ab859a2d8d8c) + ) + (bus_entry (at 405.13 142.24) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid eebc87e2-e6f2-40ea-8780-9dfa4ec8bdbb) + ) + (bus_entry (at 405.13 55.88) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid ef08f5f3-67c1-4e49-b8aa-04e32dddecf8) + ) + (bus_entry (at 354.33 125.73) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f0d0b208-0f2c-4c85-b4bb-ddcb288aaa93) + ) + (bus_entry (at 354.33 59.69) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f2517559-c66d-4663-8c03-2bb55515bd2a) + ) + (bus_entry (at 325.12 86.36) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f533c479-c43a-4c9f-8998-be918942a959) + ) + (bus_entry (at 405.13 76.2) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f7addc5e-9e1d-41e4-b386-f2aab7043dff) + ) + (bus_entry (at 325.12 111.76) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f8d1ed40-6872-4fd7-8cd1-f7d1e9d7ab15) + ) + (bus_entry (at 405.13 104.14) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid fc85b864-3754-4a8c-9c4f-96b0a5d13e93) + ) + + (wire (pts (xy 86.36 224.79) (xy 86.36 227.33)) + (stroke (width 0) (type default)) + (uuid 0017b52c-979a-4413-be03-f6ddc312774a) + ) + (wire (pts (xy 160.02 210.82) (xy 170.18 210.82)) + (stroke (width 0) (type default)) + (uuid 0073c52b-6ce1-4134-a2a7-95760bd19c0c) + ) + (wire (pts (xy 346.71 90.17) (xy 354.33 90.17)) + (stroke (width 0) (type default)) + (uuid 03d1a85f-be52-4799-90da-7301d061dfe5) + ) + (wire (pts (xy 346.71 110.49) (xy 354.33 110.49)) + (stroke (width 0) (type default)) + (uuid 06112061-02ad-4521-bc55-5f2f07f4a9fc) + ) + (wire (pts (xy 86.36 189.23) (xy 86.36 191.77)) + (stroke (width 0) (type default)) + (uuid 06285b43-6025-4b1d-8be1-8ece3e6a8d07) + ) + (wire (pts (xy 86.36 156.21) (xy 86.36 158.75)) + (stroke (width 0) (type default)) + (uuid 075e69d9-63d5-4306-a656-8ccc5ea00f5a) + ) + (bus (pts (xy 407.67 55.88) (xy 407.67 58.42)) + (stroke (width 0) (type default)) + (uuid 08dbb873-dac9-49cb-b514-dc72cbfb558e) + ) + + (wire (pts (xy 180.34 215.9) (xy 190.5 215.9)) + (stroke (width 0) (type default)) + (uuid 08dfcb0e-5da4-49eb-b5fc-8bbb8c617c2b) + ) + (wire (pts (xy 86.36 163.83) (xy 86.36 166.37)) + (stroke (width 0) (type default)) + (uuid 09cd459b-9162-42c4-87ba-65dfb6dabad4) + ) + (wire (pts (xy 86.36 217.17) (xy 86.36 219.71)) + (stroke (width 0) (type default)) + (uuid 0ab6bcb0-ac40-4c2e-95ae-19fb7850ae0e) + ) + (wire (pts (xy 397.51 86.36) (xy 405.13 86.36)) + (stroke (width 0) (type default)) + (uuid 0aebc5e9-19bb-4570-befd-67548c8d13c8) + ) + (bus (pts (xy 356.87 87.63) (xy 356.87 90.17)) + (stroke (width 0) (type default)) + (uuid 0ce7bced-0591-41ea-b245-a57a06b10a03) + ) + (bus (pts (xy 327.66 96.52) (xy 327.66 99.06)) + (stroke (width 0) (type default)) + (uuid 0e7b9747-4ead-4ef8-afa1-c86a4adb1616) + ) + + (wire (pts (xy 86.36 237.49) (xy 86.36 240.03)) + (stroke (width 0) (type default)) + (uuid 0f4b9e9c-19e5-4c83-a0cd-e3ecb653eb0a) + ) + (bus (pts (xy 356.87 143.51) (xy 356.87 146.05)) + (stroke (width 0) (type default)) + (uuid 10f2a166-8370-409e-ba01-c1b0412b1bdb) + ) + + (wire (pts (xy 346.71 120.65) (xy 354.33 120.65)) + (stroke (width 0) (type default)) + (uuid 11ac7e19-5301-4f8a-a49b-2a0040bdaf51) + ) + (bus (pts (xy 327.66 81.28) (xy 327.66 83.82)) + (stroke (width 0) (type default)) + (uuid 12730a70-647f-451a-b692-0e0bce694776) + ) + (bus (pts (xy 327.66 63.5) (xy 327.66 66.04)) + (stroke (width 0) (type default)) + (uuid 127868d8-3406-4b47-be1e-5b920a68a796) + ) + + (wire (pts (xy 137.16 31.75) (xy 137.16 34.29)) + (stroke (width 0) (type default)) + (uuid 13b09a79-5db0-4e1b-9baa-4ea5d575ac03) + ) + (bus (pts (xy 356.87 115.57) (xy 356.87 118.11)) + (stroke (width 0) (type default)) + (uuid 15bc090a-6d67-43d9-9a19-7d563dae1f3b) + ) + (bus (pts (xy 356.87 130.81) (xy 356.87 143.51)) + (stroke (width 0) (type default)) + (uuid 160ad1a2-9e2e-4660-8d2b-0f0acda0eb0f) + ) + (bus (pts (xy 356.87 153.67) (xy 356.87 156.21)) + (stroke (width 0) (type default)) + (uuid 162faaf4-5fd8-472c-8447-64263a7a4a05) + ) + (bus (pts (xy 356.87 118.11) (xy 356.87 120.65)) + (stroke (width 0) (type default)) + (uuid 175a8781-79c1-483b-a09d-c2ffae192fe6) + ) + (bus (pts (xy 407.67 88.9) (xy 407.67 91.44)) + (stroke (width 0) (type default)) + (uuid 18cccf19-4043-442b-b1b6-89b03f10bab8) + ) + + (wire (pts (xy 314.96 88.9) (xy 325.12 88.9)) + (stroke (width 0) (type default)) + (uuid 1a36f5f0-9103-409c-b123-c116a8b9b03d) + ) + (wire (pts (xy 346.71 49.53) (xy 354.33 49.53)) + (stroke (width 0) (type default)) + (uuid 1b9fb9c3-84fd-40f0-9a98-10628d499fe0) + ) + (wire (pts (xy 86.36 234.95) (xy 86.36 237.49)) + (stroke (width 0) (type default)) + (uuid 1c471695-3ff1-4338-b88c-15920b34f474) + ) + (wire (pts (xy 314.96 111.76) (xy 325.12 111.76)) + (stroke (width 0) (type default)) + (uuid 1c53326f-40d9-4de9-a843-820e347a7aec) + ) + (wire (pts (xy 397.51 116.84) (xy 405.13 116.84)) + (stroke (width 0) (type default)) + (uuid 204589eb-3d32-487d-85df-84017018f59d) + ) + (wire (pts (xy 346.71 118.11) (xy 354.33 118.11)) + (stroke (width 0) (type default)) + (uuid 21971cb7-8b01-4c4b-87ce-93e37076044e) + ) + (bus (pts (xy 407.67 58.42) (xy 407.67 60.96)) + (stroke (width 0) (type default)) + (uuid 220fc0a1-de62-4762-a385-86107f68bf51) + ) + + (wire (pts (xy 129.54 215.9) (xy 139.7 215.9)) + (stroke (width 0) (type default)) + (uuid 2302f793-3372-46db-9e1e-2c65612b1f94) + ) + (bus (pts (xy 407.67 91.44) (xy 407.67 104.14)) + (stroke (width 0) (type default)) + (uuid 24a7604c-dee0-4e17-a9b4-6afe79c80c83) + ) + + (wire (pts (xy 86.36 209.55) (xy 86.36 212.09)) + (stroke (width 0) (type default)) + (uuid 251408e0-b025-4b54-baa6-e4a2e15a8c2a) + ) + (bus (pts (xy 327.66 114.3) (xy 327.66 116.84)) + (stroke (width 0) (type default)) + (uuid 2723a146-3e44-4e23-808b-f86af869081f) + ) + + (wire (pts (xy 397.51 81.28) (xy 405.13 81.28)) + (stroke (width 0) (type default)) + (uuid 27fb2fd5-bd93-4094-9ae6-71e11212802a) + ) + (wire (pts (xy 314.96 127) (xy 325.12 127)) + (stroke (width 0) (type default)) + (uuid 282df3bb-c2a9-4bc7-a84b-a623c436d1f7) + ) + (bus (pts (xy 327.66 60.96) (xy 327.66 63.5)) + (stroke (width 0) (type default)) + (uuid 28397bba-bbd0-4500-86f6-590c439290e2) + ) + + (wire (pts (xy 397.51 53.34) (xy 405.13 53.34)) + (stroke (width 0) (type default)) + (uuid 285b33ea-73f1-4509-9744-90695490dfd6) + ) + (bus (pts (xy 407.67 83.82) (xy 407.67 86.36)) + (stroke (width 0) (type default)) + (uuid 29922d2e-b5be-4bc8-89a5-363fa21d4cc3) + ) + + (wire (pts (xy 86.36 232.41) (xy 86.36 234.95)) + (stroke (width 0) (type default)) + (uuid 29c4548d-3985-4a01-8ff4-b419d46f5b90) + ) + (wire (pts (xy 346.71 59.69) (xy 354.33 59.69)) + (stroke (width 0) (type default)) + (uuid 2a1b0eff-49d0-427a-9958-93c78bbc4b99) + ) + (wire (pts (xy 314.96 60.96) (xy 325.12 60.96)) + (stroke (width 0) (type default)) + (uuid 2aa54ef0-3120-4df5-8535-d8e93b62466b) + ) + (wire (pts (xy 346.71 115.57) (xy 354.33 115.57)) + (stroke (width 0) (type default)) + (uuid 2b748333-8215-458c-85d5-6eff09125b04) + ) + (bus (pts (xy 356.87 62.23) (xy 356.87 64.77)) + (stroke (width 0) (type default)) + (uuid 2c0cce09-4c80-416a-a512-88040110dbd8) + ) + + (wire (pts (xy 314.96 53.34) (xy 325.12 53.34)) + (stroke (width 0) (type default)) + (uuid 2e205e18-daca-4f1d-9c8f-000874b9385e) + ) + (bus (pts (xy 407.67 149.86) (xy 407.67 152.4)) + (stroke (width 0) (type default)) + (uuid 2eae7fa5-4a8d-4579-a0fb-c2841688320a) + ) + (bus (pts (xy 407.67 50.8) (xy 407.67 53.34)) + (stroke (width 0) (type default)) + (uuid 2ed6555b-d0f8-47dc-9769-b1df8cfa9c0a) + ) + + (wire (pts (xy 346.71 82.55) (xy 354.33 82.55)) + (stroke (width 0) (type default)) + (uuid 2f387ebd-39e9-4486-b128-bc9950b46427) + ) + (wire (pts (xy 86.36 186.69) (xy 86.36 189.23)) + (stroke (width 0) (type default)) + (uuid 30fd066e-56ce-4868-8a0f-c56da16c94fa) + ) + (wire (pts (xy 397.51 88.9) (xy 405.13 88.9)) + (stroke (width 0) (type default)) + (uuid 3157a78d-dddb-4bbe-83e1-fca22ac6a7ea) + ) + (wire (pts (xy 397.51 71.12) (xy 405.13 71.12)) + (stroke (width 0) (type default)) + (uuid 3256cf5d-2440-449c-b18c-45c055cdbcf4) + ) + (bus (pts (xy 407.67 139.7) (xy 407.67 142.24)) + (stroke (width 0) (type default)) + (uuid 336e8c3c-317a-41b2-bd14-111a35c840fe) + ) + (bus (pts (xy 356.87 113.03) (xy 356.87 115.57)) + (stroke (width 0) (type default)) + (uuid 3426c4fa-5e80-4e87-8f38-a50e3d8eb11b) + ) + + (wire (pts (xy 346.71 92.71) (xy 354.33 92.71)) + (stroke (width 0) (type default)) + (uuid 3495c0ac-75d1-4f03-83d6-e11e0da3ff0c) + ) + (bus (pts (xy 407.67 73.66) (xy 407.67 76.2)) + (stroke (width 0) (type default)) + (uuid 36715e7d-98ce-459e-8f7d-c52363cbbfa5) + ) + (bus (pts (xy 356.87 92.71) (xy 356.87 95.25)) + (stroke (width 0) (type default)) + (uuid 377a7b28-07a0-414d-b1d8-d1c445e85537) + ) + (bus (pts (xy 356.87 123.19) (xy 356.87 125.73)) + (stroke (width 0) (type default)) + (uuid 37c627ff-904e-47be-951e-88b6e3aee62c) + ) + (bus (pts (xy 356.87 90.17) (xy 356.87 92.71)) + (stroke (width 0) (type default)) + (uuid 38708d74-e317-417d-8ca5-52e4cd9c1eec) + ) + + (wire (pts (xy 397.51 119.38) (xy 405.13 119.38)) + (stroke (width 0) (type default)) + (uuid 39c2012e-a056-420d-b55f-31965923c26c) + ) + (wire (pts (xy 346.71 113.03) (xy 354.33 113.03)) + (stroke (width 0) (type default)) + (uuid 3a4888ab-0fbc-4cfd-8c26-eba628897edc) + ) + (bus (pts (xy 407.67 81.28) (xy 407.67 83.82)) + (stroke (width 0) (type default)) + (uuid 3ba6ef10-36c4-49f3-8062-ef3992bfa1dc) + ) + + (wire (pts (xy 314.96 86.36) (xy 325.12 86.36)) + (stroke (width 0) (type default)) + (uuid 3c103d40-a199-403d-af32-30ee50ec35d6) + ) + (bus (pts (xy 327.66 111.76) (xy 327.66 114.3)) + (stroke (width 0) (type default)) + (uuid 3c730e41-1714-4810-b0a9-8d33c6608323) + ) + + (wire (pts (xy 346.71 151.13) (xy 354.33 151.13)) + (stroke (width 0) (type default)) + (uuid 3ca24d41-0d21-4d73-b878-c991e60b820b) + ) + (wire (pts (xy 86.36 201.93) (xy 86.36 204.47)) + (stroke (width 0) (type default)) + (uuid 3d4a287b-7a9a-4a67-adef-3d7652516e1e) + ) + (wire (pts (xy 397.51 109.22) (xy 405.13 109.22)) + (stroke (width 0) (type default)) + (uuid 3da8dfea-01a8-45a2-a2d9-74af000326b7) + ) + (wire (pts (xy 314.96 93.98) (xy 325.12 93.98)) + (stroke (width 0) (type default)) + (uuid 3e075d0d-fdeb-4eba-8e60-a1b4cb424601) + ) + (bus (pts (xy 356.87 54.61) (xy 356.87 57.15)) + (stroke (width 0) (type default)) + (uuid 4131ba76-bee9-4910-837c-c276ef87bb87) + ) + (bus (pts (xy 408.94 43.18) (xy 407.67 43.18)) + (stroke (width 0) (type default)) + (uuid 43a83aaa-18aa-4567-b3d1-06e170d2b3f6) + ) + + (wire (pts (xy 346.71 153.67) (xy 354.33 153.67)) + (stroke (width 0) (type default)) + (uuid 43edee84-4bb1-4994-b123-d1a02d46fb77) + ) + (wire (pts (xy 346.71 85.09) (xy 354.33 85.09)) + (stroke (width 0) (type default)) + (uuid 45636e47-aadf-4cec-b91a-eefc7de4b0a4) + ) + (wire (pts (xy 227.33 31.75) (xy 227.33 34.29)) + (stroke (width 0) (type default)) + (uuid 497610b2-d8a0-417e-82a1-04ceb9a5b981) + ) + (wire (pts (xy 314.96 116.84) (xy 325.12 116.84)) + (stroke (width 0) (type default)) + (uuid 49d8b7cc-8483-405d-b940-be0b80a4324d) + ) + (wire (pts (xy 375.92 71.12) (xy 388.62 71.12)) + (stroke (width 0) (type default)) + (uuid 4bf8609d-7f34-4aff-b973-c5b23517ef0b) + ) + (wire (pts (xy 397.51 142.24) (xy 405.13 142.24)) + (stroke (width 0) (type default)) + (uuid 4c72f42c-2d17-4c93-8e7d-89eb2a8001a7) + ) + (wire (pts (xy 346.71 52.07) (xy 354.33 52.07)) + (stroke (width 0) (type default)) + (uuid 4ed58c5e-ea5f-4375-96bb-1872969c45e2) + ) + (bus (pts (xy 327.66 91.44) (xy 327.66 93.98)) + (stroke (width 0) (type default)) + (uuid 4f7aaca5-3c82-41c6-a9ae-08871480030e) + ) + + (wire (pts (xy 86.36 207.01) (xy 86.36 209.55)) + (stroke (width 0) (type default)) + (uuid 4f941560-b1a0-4fb4-b5f4-d9f821a01992) + ) + (wire (pts (xy 346.71 54.61) (xy 354.33 54.61)) + (stroke (width 0) (type default)) + (uuid 501aeeee-7cfd-4da1-94f8-8b42c03f359f) + ) + (wire (pts (xy 86.36 148.59) (xy 86.36 151.13)) + (stroke (width 0) (type default)) + (uuid 50323334-eff4-4a1a-867f-59498f8d5275) + ) + (wire (pts (xy 375.92 76.2) (xy 388.62 76.2)) + (stroke (width 0) (type default)) + (uuid 51a36646-3828-496e-a847-9d2d12ae5aba) + ) + (bus (pts (xy 407.67 60.96) (xy 407.67 73.66)) + (stroke (width 0) (type default)) + (uuid 51aaaa2a-4743-4709-9f87-dd712c97fdcd) + ) + + (wire (pts (xy 397.51 50.8) (xy 405.13 50.8)) + (stroke (width 0) (type default)) + (uuid 52b6d5b1-0d8e-481e-a814-af5f261510a5) + ) + (bus (pts (xy 407.67 86.36) (xy 407.67 88.9)) + (stroke (width 0) (type default)) + (uuid 53b28e4e-25b0-4dd3-a787-f103ce2e527d) + ) + + (wire (pts (xy 346.71 148.59) (xy 354.33 148.59)) + (stroke (width 0) (type default)) + (uuid 54b34ae0-0ec6-437c-ba70-34740f231dbd) + ) + (bus (pts (xy 327.66 83.82) (xy 327.66 86.36)) + (stroke (width 0) (type default)) + (uuid 550068d8-95c3-42ba-a6dc-c8d7760508d3) + ) + + (wire (pts (xy 314.96 144.78) (xy 325.12 144.78)) + (stroke (width 0) (type default)) + (uuid 56b4b19d-af88-42a5-9eec-6dca050b86ef) + ) + (wire (pts (xy 160.02 215.9) (xy 170.18 215.9)) + (stroke (width 0) (type default)) + (uuid 56e25ea7-9a36-4edc-9624-2b7ed1b39968) + ) + (wire (pts (xy 346.71 123.19) (xy 354.33 123.19)) + (stroke (width 0) (type default)) + (uuid 573c3f06-58fd-4a4c-b447-466b577d78d7) + ) + (wire (pts (xy 314.96 142.24) (xy 325.12 142.24)) + (stroke (width 0) (type default)) + (uuid 57ada7ff-3739-400e-80f7-8a669b0478ba) + ) + (wire (pts (xy 397.51 76.2) (xy 405.13 76.2)) + (stroke (width 0) (type default)) + (uuid 5d344326-db1f-4e98-82ef-2c8d919db52d) + ) + (wire (pts (xy 86.36 179.07) (xy 86.36 181.61)) + (stroke (width 0) (type default)) + (uuid 5d38ebdf-64a0-41ac-8dd0-189a4dff0d16) + ) + (bus (pts (xy 356.87 57.15) (xy 356.87 59.69)) + (stroke (width 0) (type default)) + (uuid 5dd43481-fb01-451b-846d-11a281cb1d94) + ) + (bus (pts (xy 356.87 69.85) (xy 356.87 82.55)) + (stroke (width 0) (type default)) + (uuid 5e369ffd-4d0f-4a0a-b69d-522bbe1cf9a4) + ) + + (wire (pts (xy 314.96 78.74) (xy 325.12 78.74)) + (stroke (width 0) (type default)) + (uuid 5e395e8b-84b6-4c60-b421-cf24f6d8fe1c) + ) + (wire (pts (xy 346.71 146.05) (xy 354.33 146.05)) + (stroke (width 0) (type default)) + (uuid 5ef4682b-0fda-4f46-a310-d7231157fcb7) + ) + (wire (pts (xy 397.51 134.62) (xy 405.13 134.62)) + (stroke (width 0) (type default)) + (uuid 5f7799c1-3860-44d4-b3c7-c2518a3e8d4e) + ) + (wire (pts (xy 314.96 58.42) (xy 325.12 58.42)) + (stroke (width 0) (type default)) + (uuid 600b4f35-42bd-4fb7-841f-b9a61d3878e5) + ) + (bus (pts (xy 327.66 88.9) (xy 327.66 91.44)) + (stroke (width 0) (type default)) + (uuid 6036f163-1a8c-4a66-9d6a-07eeb02353d9) + ) + (bus (pts (xy 327.66 147.32) (xy 327.66 149.86)) + (stroke (width 0) (type default)) + (uuid 6038519b-f4f6-4cd5-9d4b-eb731faec14a) + ) + + (wire (pts (xy 314.96 91.44) (xy 325.12 91.44)) + (stroke (width 0) (type default)) + (uuid 60dc9ebc-6918-4262-a521-36be04294034) + ) + (bus (pts (xy 327.66 68.58) (xy 327.66 81.28)) + (stroke (width 0) (type default)) + (uuid 69582ce4-7f32-4cac-84f4-564662ca4034) + ) + (bus (pts (xy 327.66 116.84) (xy 327.66 119.38)) + (stroke (width 0) (type default)) + (uuid 69aa109d-d7d4-4dec-be00-2232b122eae5) + ) + + (wire (pts (xy 346.71 97.79) (xy 354.33 97.79)) + (stroke (width 0) (type default)) + (uuid 6c824825-3760-4c37-95fe-4abd674e2022) + ) + (wire (pts (xy 86.36 184.15) (xy 86.36 186.69)) + (stroke (width 0) (type default)) + (uuid 6c8ba409-2f10-467d-8bf5-48c01c2fd696) + ) + (wire (pts (xy 314.96 119.38) (xy 325.12 119.38)) + (stroke (width 0) (type default)) + (uuid 6deaddb5-24c2-4f53-9b57-b58e3e8ee018) + ) + (bus (pts (xy 356.87 100.33) (xy 356.87 113.03)) + (stroke (width 0) (type default)) + (uuid 717771c2-59ce-4bec-91fb-71cbae5ea361) + ) + + (wire (pts (xy 170.18 210.82) (xy 180.34 210.82)) + (stroke (width 0) (type default)) + (uuid 71a1ba2e-0f77-45bb-9a18-fd2af02a5a15) + ) + (wire (pts (xy 314.96 63.5) (xy 325.12 63.5)) + (stroke (width 0) (type default)) + (uuid 7200372f-a10a-45dd-9124-9c4f94a4f2d1) + ) + (wire (pts (xy 397.51 101.6) (xy 405.13 101.6)) + (stroke (width 0) (type default)) + (uuid 73303b8d-229c-4616-aae0-d9aa5a46946b) + ) + (bus (pts (xy 327.66 144.78) (xy 327.66 147.32)) + (stroke (width 0) (type default)) + (uuid 775ad387-d7b2-4c2b-b1ae-c5930d513e60) + ) + + (wire (pts (xy 397.51 104.14) (xy 405.13 104.14)) + (stroke (width 0) (type default)) + (uuid 78363d8a-546b-479c-b9ba-d8fa1d3a3474) + ) + (wire (pts (xy 86.36 191.77) (xy 86.36 194.31)) + (stroke (width 0) (type default)) + (uuid 79c2b9a5-2346-49d6-a170-a81ea6a90287) + ) + (bus (pts (xy 407.67 111.76) (xy 407.67 114.3)) + (stroke (width 0) (type default)) + (uuid 79d0e4a8-b119-4a7f-ac66-768f65761b28) + ) + + (wire (pts (xy 397.51 147.32) (xy 405.13 147.32)) + (stroke (width 0) (type default)) + (uuid 7bb7ed08-7a33-43ea-866b-c7dd199bf3aa) + ) + (bus (pts (xy 407.67 144.78) (xy 407.67 147.32)) + (stroke (width 0) (type default)) + (uuid 7cd00ae4-7f14-497a-8a53-ed1d658d1827) + ) + (bus (pts (xy 372.11 68.58) (xy 373.38 68.58)) + (stroke (width 0) (type default)) + (uuid 7f783ece-e6a2-4e3b-9165-1f30cc674a9d) + ) + + (wire (pts (xy 346.71 57.15) (xy 354.33 57.15)) + (stroke (width 0) (type default)) + (uuid 7fa95f7a-02f0-4331-997a-d2de37db8df7) + ) + (wire (pts (xy 86.36 166.37) (xy 86.36 168.91)) + (stroke (width 0) (type default)) + (uuid 81677f28-523d-4b36-9c9a-03d304ebe990) + ) + (bus (pts (xy 407.67 53.34) (xy 407.67 55.88)) + (stroke (width 0) (type default)) + (uuid 81ea124d-3836-4e94-a9f8-2400b235d62b) + ) + (bus (pts (xy 407.67 104.14) (xy 407.67 106.68)) + (stroke (width 0) (type default)) + (uuid 823494b6-c3ae-4ee6-8c2e-14133c36b7ad) + ) + (bus (pts (xy 407.67 76.2) (xy 407.67 78.74)) + (stroke (width 0) (type default)) + (uuid 8250ff69-c007-4553-a158-fae29a1852b1) + ) + + (wire (pts (xy 86.36 194.31) (xy 86.36 196.85)) + (stroke (width 0) (type default)) + (uuid 833c27fd-cea0-4853-a5cd-eebca26b0af7) + ) + (bus (pts (xy 407.67 78.74) (xy 407.67 81.28)) + (stroke (width 0) (type default)) + (uuid 83e9aaf5-091d-4648-9199-0b8cf86bc7fc) + ) + (bus (pts (xy 407.67 43.18) (xy 407.67 45.72)) + (stroke (width 0) (type default)) + (uuid 8407a0bc-5984-4226-a342-1a2ba240024e) + ) + + (wire (pts (xy 397.51 132.08) (xy 405.13 132.08)) + (stroke (width 0) (type default)) + (uuid 851d2d92-aeb8-4d9f-8bc4-02cac6c3d55b) + ) + (wire (pts (xy 86.36 229.87) (xy 86.36 232.41)) + (stroke (width 0) (type default)) + (uuid 85753f2e-2263-453c-b67a-15492f9ae432) + ) + (bus (pts (xy 356.87 64.77) (xy 356.87 67.31)) + (stroke (width 0) (type default)) + (uuid 8863b219-12b2-470f-819d-d86018646944) + ) + + (wire (pts (xy 86.36 214.63) (xy 86.36 217.17)) + (stroke (width 0) (type default)) + (uuid 89b025bf-dcbc-4682-8189-0f5900df9dbc) + ) + (bus (pts (xy 356.87 120.65) (xy 356.87 123.19)) + (stroke (width 0) (type default)) + (uuid 8bbc114a-1d24-4d41-857f-9a5296cd00bd) + ) + + (wire (pts (xy 397.51 111.76) (xy 405.13 111.76)) + (stroke (width 0) (type default)) + (uuid 8bf646d3-563a-47a8-a82d-dba35b483a4f) + ) + (wire (pts (xy 346.71 64.77) (xy 354.33 64.77)) + (stroke (width 0) (type default)) + (uuid 8d51c98c-351a-4262-84a8-9da4daead6b1) + ) + (wire (pts (xy 86.36 212.09) (xy 86.36 214.63)) + (stroke (width 0) (type default)) + (uuid 9000f5ac-f4a0-4924-a2ef-ec1dbfbf4d24) + ) + (wire (pts (xy 397.51 78.74) (xy 405.13 78.74)) + (stroke (width 0) (type default)) + (uuid 938e347d-f580-498b-aa19-d7e65f689250) + ) + (wire (pts (xy 314.96 66.04) (xy 325.12 66.04)) + (stroke (width 0) (type default)) + (uuid 9406cb44-c1fd-4920-8432-65cbc1fecb83) + ) + (bus (pts (xy 356.87 52.07) (xy 356.87 54.61)) + (stroke (width 0) (type default)) + (uuid 95014ab2-0f75-4c9c-8ccb-5676ea49f3da) + ) + (bus (pts (xy 327.66 142.24) (xy 327.66 144.78)) + (stroke (width 0) (type default)) + (uuid 9571e647-fe95-4886-b487-2ec5ec54aff3) + ) + + (wire (pts (xy 375.92 66.04) (xy 388.62 66.04)) + (stroke (width 0) (type default)) + (uuid 96250191-84a1-4701-bc1a-9db23759e933) + ) + (wire (pts (xy 86.36 176.53) (xy 86.36 179.07)) + (stroke (width 0) (type default)) + (uuid 97e34ceb-efe6-4290-aa39-3960051494e1) + ) + (bus (pts (xy 328.93 55.88) (xy 327.66 55.88)) + (stroke (width 0) (type default)) + (uuid 99492ba9-f233-4d10-8dae-fc8e9ad918fe) + ) + (bus (pts (xy 327.66 58.42) (xy 327.66 60.96)) + (stroke (width 0) (type default)) + (uuid 9b3c6ece-c79f-423c-a85f-3ccf9a997e60) + ) + (bus (pts (xy 327.66 93.98) (xy 327.66 96.52)) + (stroke (width 0) (type default)) + (uuid 9c389853-f1b5-4eff-b4dd-c5586e46f5a9) + ) + (bus (pts (xy 407.67 114.3) (xy 407.67 116.84)) + (stroke (width 0) (type default)) + (uuid 9efe33f3-21fd-4ee9-931f-44d6b6f5dfcc) + ) + (bus (pts (xy 407.67 116.84) (xy 407.67 119.38)) + (stroke (width 0) (type default)) + (uuid a1554f7a-9e78-4e89-8f6f-c712b66ac3a7) + ) + (bus (pts (xy 407.67 48.26) (xy 407.67 50.8)) + (stroke (width 0) (type default)) + (uuid a181d2f1-f2ff-474a-8faf-405757d3eb89) + ) + + (wire (pts (xy 86.36 199.39) (xy 86.36 201.93)) + (stroke (width 0) (type default)) + (uuid a213f69f-a212-412b-af34-e2a375df3a26) + ) + (wire (pts (xy 314.96 83.82) (xy 325.12 83.82)) + (stroke (width 0) (type default)) + (uuid a2531b3d-191e-4f48-a72d-5b3bc6868bd6) + ) + (bus (pts (xy 356.87 146.05) (xy 356.87 148.59)) + (stroke (width 0) (type default)) + (uuid a2cfe7c1-295f-4e2d-848a-5e3fbb451460) + ) + (bus (pts (xy 407.67 119.38) (xy 407.67 121.92)) + (stroke (width 0) (type default)) + (uuid a4668f77-bd28-4ecd-b8d5-a38a9e70a25b) + ) + (bus (pts (xy 356.87 59.69) (xy 356.87 62.23)) + (stroke (width 0) (type default)) + (uuid a4a79915-3330-497a-b49b-385b3db22b9a) + ) + (bus (pts (xy 356.87 95.25) (xy 356.87 97.79)) + (stroke (width 0) (type default)) + (uuid a56c6878-3985-4104-bf87-864f463aeb41) + ) + (bus (pts (xy 356.87 148.59) (xy 356.87 151.13)) + (stroke (width 0) (type default)) + (uuid a74f9751-0f4b-445a-94c8-a15e2327f673) + ) + (bus (pts (xy 407.67 109.22) (xy 407.67 111.76)) + (stroke (width 0) (type default)) + (uuid a8c2a555-2093-42c3-887d-8e790ef1634d) + ) + (bus (pts (xy 407.67 137.16) (xy 407.67 139.7)) + (stroke (width 0) (type default)) + (uuid a8edbb0a-7de3-44ef-a845-e587c3f54c38) + ) + + (wire (pts (xy 314.96 147.32) (xy 325.12 147.32)) + (stroke (width 0) (type default)) + (uuid aaa3668f-400f-40bd-97c6-c7c766a9e702) + ) + (bus (pts (xy 356.87 82.55) (xy 356.87 85.09)) + (stroke (width 0) (type default)) + (uuid ab042532-105f-489c-a8cf-c747155ed805) + ) + + (wire (pts (xy 170.18 215.9) (xy 180.34 215.9)) + (stroke (width 0) (type default)) + (uuid ab1a5442-5150-489f-9f3e-4565f641418d) + ) + (bus (pts (xy 356.87 128.27) (xy 356.87 130.81)) + (stroke (width 0) (type default)) + (uuid acb76a04-e76e-4254-8c20-fc2bf5b4b031) + ) + (bus (pts (xy 356.87 85.09) (xy 356.87 87.63)) + (stroke (width 0) (type default)) + (uuid add6c18c-cf1a-40e6-b3f2-d773b5036b28) + ) + + (wire (pts (xy 180.34 210.82) (xy 190.5 210.82)) + (stroke (width 0) (type default)) + (uuid aea23211-1a1d-4824-868b-37e5ce861de6) + ) + (wire (pts (xy 397.51 40.64) (xy 405.13 40.64)) + (stroke (width 0) (type default)) + (uuid af4f56ec-25d2-4a44-a89f-92094503057a) + ) + (bus (pts (xy 327.66 121.92) (xy 327.66 124.46)) + (stroke (width 0) (type default)) + (uuid af616090-5147-4de7-b89a-a5da6832398e) + ) + + (wire (pts (xy 397.51 139.7) (xy 405.13 139.7)) + (stroke (width 0) (type default)) + (uuid af8221ba-cdd0-4971-abd8-82e935b3de1d) + ) + (wire (pts (xy 375.92 68.58) (xy 388.62 68.58)) + (stroke (width 0) (type default)) + (uuid b14fc293-082e-4eba-87ee-cd63639a06dd) + ) + (wire (pts (xy 397.51 83.82) (xy 405.13 83.82)) + (stroke (width 0) (type default)) + (uuid b5860200-5604-4475-a272-34f8d1a815e0) + ) + (wire (pts (xy 314.96 139.7) (xy 325.12 139.7)) + (stroke (width 0) (type default)) + (uuid b5d3e34d-70ce-40f2-a914-768ef3b596ee) + ) + (bus (pts (xy 407.67 106.68) (xy 407.67 109.22)) + (stroke (width 0) (type default)) + (uuid b7ee4a5a-4822-4ceb-b721-a8147fab5c87) + ) + + (wire (pts (xy 86.36 161.29) (xy 86.36 163.83)) + (stroke (width 0) (type default)) + (uuid b996958b-86cc-43fd-bc5f-856a94ab765f) + ) + (wire (pts (xy 346.71 80.01) (xy 354.33 80.01)) + (stroke (width 0) (type default)) + (uuid b9f0cdc9-5782-45c0-8a31-c9a59c387221) + ) + (bus (pts (xy 327.66 99.06) (xy 327.66 111.76)) + (stroke (width 0) (type default)) + (uuid bb0c62a8-8467-4ef1-af32-84efc28a39ee) + ) + + (wire (pts (xy 397.51 114.3) (xy 405.13 114.3)) + (stroke (width 0) (type default)) + (uuid bbc49a52-37ef-411f-aa94-93e892a1f5ca) + ) + (wire (pts (xy 346.71 62.23) (xy 354.33 62.23)) + (stroke (width 0) (type default)) + (uuid bbd653c0-25ff-4288-8ab2-08998299dd6f) + ) + (bus (pts (xy 356.87 156.21) (xy 356.87 158.75)) + (stroke (width 0) (type default)) + (uuid bbdc43af-d3c4-428f-ba5f-fd5b76e5b64d) + ) + + (wire (pts (xy 86.36 158.75) (xy 86.36 161.29)) + (stroke (width 0) (type default)) + (uuid bcc01d36-df3e-4738-99e1-e1649ca9e182) + ) + (wire (pts (xy 314.96 81.28) (xy 325.12 81.28)) + (stroke (width 0) (type default)) + (uuid c1b1ea5b-f27e-4d22-9867-d53b080a3b8e) + ) + (wire (pts (xy 43.18 30.48) (xy 43.18 33.02)) + (stroke (width 0) (type default)) + (uuid c2e9f81c-c2af-4d8f-9b46-e081a9b36160) + ) + (bus (pts (xy 358.14 52.07) (xy 356.87 52.07)) + (stroke (width 0) (type default)) + (uuid c3321e28-38c7-4761-a3bc-5befe3cb4f9b) + ) + (bus (pts (xy 373.38 71.12) (xy 373.38 73.66)) + (stroke (width 0) (type default)) + (uuid c49f6fbf-6e26-4552-8c3e-1961a45b2231) + ) + + (wire (pts (xy 129.54 210.82) (xy 139.7 210.82)) + (stroke (width 0) (type default)) + (uuid c4ac0785-7dfc-4866-bc9c-0593fe017401) + ) + (wire (pts (xy 397.51 55.88) (xy 405.13 55.88)) + (stroke (width 0) (type default)) + (uuid c5b03706-c2bb-493e-ae84-6289e328ece1) + ) + (bus (pts (xy 327.66 124.46) (xy 327.66 127)) + (stroke (width 0) (type default)) + (uuid c6e7953a-ce7e-4f6d-8a54-032f5e22d5ce) + ) + + (wire (pts (xy 90.17 30.48) (xy 90.17 33.02)) + (stroke (width 0) (type default)) + (uuid c7192a78-17e0-422f-835d-b2bc2fb58674) + ) + (wire (pts (xy 86.36 204.47) (xy 86.36 207.01)) + (stroke (width 0) (type default)) + (uuid c7907966-c7e0-4a24-8473-cb263fefcbcd) + ) + (bus (pts (xy 356.87 67.31) (xy 356.87 69.85)) + (stroke (width 0) (type default)) + (uuid c7bd3190-249a-417f-b4f5-1b5596123504) + ) + + (wire (pts (xy 139.7 215.9) (xy 149.86 215.9)) + (stroke (width 0) (type default)) + (uuid ca039161-71f5-4d0d-9ad3-37d17fb42b00) + ) + (wire (pts (xy 397.51 144.78) (xy 405.13 144.78)) + (stroke (width 0) (type default)) + (uuid ca6ade7c-c8ce-45ee-a499-87b39d41522e) + ) + (wire (pts (xy 184.15 31.75) (xy 184.15 34.29)) + (stroke (width 0) (type default)) + (uuid cb7b7b80-8abd-4d93-a730-3955c81b2ad1) + ) + (bus (pts (xy 373.38 68.58) (xy 373.38 71.12)) + (stroke (width 0) (type default)) + (uuid cbd2b572-efb2-4f0e-aeea-e4f084851bf0) + ) + + (wire (pts (xy 397.51 43.18) (xy 405.13 43.18)) + (stroke (width 0) (type default)) + (uuid cbeb8eb3-258f-4517-9f8d-baa2e12d235d) + ) + (wire (pts (xy 139.7 210.82) (xy 149.86 210.82)) + (stroke (width 0) (type default)) + (uuid ccdce2d5-8802-4332-9e1f-3ca58c4f2bb4) + ) + (wire (pts (xy 314.96 124.46) (xy 325.12 124.46)) + (stroke (width 0) (type default)) + (uuid cd315e10-60df-4d6a-9b37-03eeab4b2564) + ) + (wire (pts (xy 397.51 45.72) (xy 405.13 45.72)) + (stroke (width 0) (type default)) + (uuid ce8fa145-5706-446a-b7ff-998330a4ed2f) + ) + (wire (pts (xy 397.51 73.66) (xy 405.13 73.66)) + (stroke (width 0) (type default)) + (uuid cfbaa624-748b-4fcf-a48e-fb60a93417d8) + ) + (bus (pts (xy 407.67 121.92) (xy 407.67 134.62)) + (stroke (width 0) (type default)) + (uuid d06d24ff-d7d0-4dfa-9c3a-ca586fb30652) + ) + + (wire (pts (xy 314.96 121.92) (xy 325.12 121.92)) + (stroke (width 0) (type default)) + (uuid d0e632db-8983-4cfc-9f26-540b39721915) + ) + (wire (pts (xy 276.86 31.75) (xy 276.86 34.29)) + (stroke (width 0) (type default)) + (uuid d204cd4e-3853-4c87-bcda-e7563f41846d) + ) + (wire (pts (xy 314.96 109.22) (xy 325.12 109.22)) + (stroke (width 0) (type default)) + (uuid d29f5417-d4b7-4953-bf11-8d9b52459e48) + ) + (wire (pts (xy 86.36 222.25) (xy 86.36 224.79)) + (stroke (width 0) (type default)) + (uuid d45f67ce-8c6a-4977-be9a-6d355705871b) + ) + (bus (pts (xy 407.67 134.62) (xy 407.67 137.16)) + (stroke (width 0) (type default)) + (uuid d63b6028-8ae5-4e71-bb00-9edb3b4f3da4) + ) + + (wire (pts (xy 346.71 156.21) (xy 354.33 156.21)) + (stroke (width 0) (type default)) + (uuid d649b1a1-0ebd-438d-96d9-8016914420ca) + ) + (wire (pts (xy 397.51 58.42) (xy 405.13 58.42)) + (stroke (width 0) (type default)) + (uuid d686322d-06d7-44c1-bdc9-78ebf1c06981) + ) + (wire (pts (xy 314.96 96.52) (xy 325.12 96.52)) + (stroke (width 0) (type default)) + (uuid d91f63bf-97a3-4d40-b384-be8570ff4515) + ) + (wire (pts (xy 388.62 73.66) (xy 375.92 73.66)) + (stroke (width 0) (type default)) + (uuid d946dc4f-a222-489e-aebc-528174c277d0) + ) + (wire (pts (xy 314.96 55.88) (xy 325.12 55.88)) + (stroke (width 0) (type default)) + (uuid d96330a3-b084-4f9c-8076-d24ddeeff2f5) + ) + (wire (pts (xy 86.36 219.71) (xy 86.36 222.25)) + (stroke (width 0) (type default)) + (uuid d9912df8-e3e3-4ce1-a8af-d8de8c8a0bca) + ) + (bus (pts (xy 327.66 129.54) (xy 327.66 142.24)) + (stroke (width 0) (type default)) + (uuid da28a759-dede-43c0-8d25-16904433d79d) + ) + + (wire (pts (xy 397.51 137.16) (xy 405.13 137.16)) + (stroke (width 0) (type default)) + (uuid dba7ddb9-576a-4ea7-a0c0-d6d06e4347e1) + ) + (wire (pts (xy 346.71 158.75) (xy 354.33 158.75)) + (stroke (width 0) (type default)) + (uuid dc3a4244-300d-4fca-af32-5c655f65be42) + ) + (wire (pts (xy 86.36 173.99) (xy 86.36 176.53)) + (stroke (width 0) (type default)) + (uuid dd7e8227-ce89-4c93-b467-d07bfcce9bf2) + ) + (wire (pts (xy 149.86 215.9) (xy 160.02 215.9)) + (stroke (width 0) (type default)) + (uuid df7ab213-2a3b-45ca-975b-111f1bc7f9f9) + ) + (bus (pts (xy 356.87 125.73) (xy 356.87 128.27)) + (stroke (width 0) (type default)) + (uuid df814dcd-47b0-4f8a-8f23-84c3800c8e1b) + ) + + (wire (pts (xy 346.71 67.31) (xy 354.33 67.31)) + (stroke (width 0) (type default)) + (uuid e24d6837-fd5e-4ddf-a21f-4eca7736986e) + ) + (bus (pts (xy 372.11 63.5) (xy 373.38 63.5)) + (stroke (width 0) (type default)) + (uuid e27c8a28-29af-417c-8bb3-1ba64d561596) + ) + + (wire (pts (xy 346.71 128.27) (xy 354.33 128.27)) + (stroke (width 0) (type default)) + (uuid e7b2f565-0332-4ddd-af7b-cff5e3e1a8c0) + ) + (wire (pts (xy 346.71 87.63) (xy 354.33 87.63)) + (stroke (width 0) (type default)) + (uuid e8729a07-6eb8-4efa-ae61-f13edc5d3f73) + ) + (bus (pts (xy 327.66 86.36) (xy 327.66 88.9)) + (stroke (width 0) (type default)) + (uuid e88270b1-809b-49b9-90de-64767d441c65) + ) + (bus (pts (xy 356.87 158.75) (xy 356.87 161.29)) + (stroke (width 0) (type default)) + (uuid ec1bd451-b855-49c7-9eb1-11b38903d5f3) + ) + (bus (pts (xy 327.66 66.04) (xy 327.66 68.58)) + (stroke (width 0) (type default)) + (uuid ecef4373-9867-4874-bdd8-5d93225a1b64) + ) + + (wire (pts (xy 314.96 114.3) (xy 325.12 114.3)) + (stroke (width 0) (type default)) + (uuid edd8229f-e41b-4f0f-9e6f-65f43b62c808) + ) + (wire (pts (xy 346.71 143.51) (xy 354.33 143.51)) + (stroke (width 0) (type default)) + (uuid edf86b8a-afa1-4c66-b540-b11321ad3c9b) + ) + (bus (pts (xy 407.67 142.24) (xy 407.67 144.78)) + (stroke (width 0) (type default)) + (uuid efd74b99-c65e-45bc-9eb4-409de2987ac9) + ) + (bus (pts (xy 373.38 63.5) (xy 373.38 66.04)) + (stroke (width 0) (type default)) + (uuid f072e64c-e518-4d11-8ab7-5e55ba41775a) + ) + (bus (pts (xy 327.66 55.88) (xy 327.66 58.42)) + (stroke (width 0) (type default)) + (uuid f1fb336c-0f79-4997-9256-d6a87ac29c5f) + ) + + (wire (pts (xy 397.51 149.86) (xy 405.13 149.86)) + (stroke (width 0) (type default)) + (uuid f2f927fd-c611-46ee-b37c-b484bf5114db) + ) + (bus (pts (xy 356.87 97.79) (xy 356.87 100.33)) + (stroke (width 0) (type default)) + (uuid f3945ffe-8874-4324-a27b-02b6e3e60fdc) + ) + + (wire (pts (xy 346.71 95.25) (xy 354.33 95.25)) + (stroke (width 0) (type default)) + (uuid f4402803-6dcf-4abd-9957-a11e88283a57) + ) + (wire (pts (xy 397.51 48.26) (xy 405.13 48.26)) + (stroke (width 0) (type default)) + (uuid f53dd0a5-3dc9-40d9-8459-681932b9eb57) + ) + (wire (pts (xy 86.36 181.61) (xy 86.36 184.15)) + (stroke (width 0) (type default)) + (uuid f8a38af6-ecc5-4bf3-9a8c-24f582d58ac4) + ) + (bus (pts (xy 327.66 127) (xy 327.66 129.54)) + (stroke (width 0) (type default)) + (uuid f8a97678-ee66-4bc7-9eab-1d2a6bf2c973) + ) + (bus (pts (xy 407.67 147.32) (xy 407.67 149.86)) + (stroke (width 0) (type default)) + (uuid f9373654-2562-4165-b312-7d5407a1ff38) + ) + + (wire (pts (xy 397.51 106.68) (xy 405.13 106.68)) + (stroke (width 0) (type default)) + (uuid f940bf10-7a52-4623-823c-92295bdffb31) + ) + (wire (pts (xy 346.71 140.97) (xy 354.33 140.97)) + (stroke (width 0) (type default)) + (uuid f97e93fd-3719-4d67-869a-29a38094e632) + ) + (wire (pts (xy 346.71 125.73) (xy 354.33 125.73)) + (stroke (width 0) (type default)) + (uuid f9d2fcf5-f934-494f-8b07-f49604d00e7c) + ) + (bus (pts (xy 327.66 119.38) (xy 327.66 121.92)) + (stroke (width 0) (type default)) + (uuid fab237a3-5346-49b5-85c0-87549cbc3072) + ) + + (wire (pts (xy 149.86 210.82) (xy 160.02 210.82)) + (stroke (width 0) (type default)) + (uuid faeea4af-ae83-48a6-80e1-13b2523350ad) + ) + (bus (pts (xy 356.87 151.13) (xy 356.87 153.67)) + (stroke (width 0) (type default)) + (uuid fb55f868-2934-4ddc-a689-77b960978d4b) + ) + + (wire (pts (xy 86.36 196.85) (xy 86.36 199.39)) + (stroke (width 0) (type default)) + (uuid fbfae120-00a5-487c-9a52-bcff91e346c8) + ) + (bus (pts (xy 407.67 45.72) (xy 407.67 48.26)) + (stroke (width 0) (type default)) + (uuid fc9f7583-de27-4fc3-8f16-8869fb192a0d) + ) + + (wire (pts (xy 86.36 227.33) (xy 86.36 229.87)) + (stroke (width 0) (type default)) + (uuid fee006b4-a177-4c1e-9cf2-8cf2145048fb) + ) + + (label "FSB_D20" (at 397.51 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0169dd72-0994-44fd-9070-dea152b1a6ba) + ) + (label "FSB_D19" (at 397.51 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 01d96669-d83b-4b82-9a69-e103e1251633) + ) + (label "IOC_D13" (at 346.71 92.71 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 02887060-5ba9-4063-91b9-e880c73881cb) + ) + (label "FSB_A27" (at 314.96 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 02ff65f2-c05f-4936-af3e-826c6640a4f3) + ) + (label "FSB_D26" (at 397.51 137.16 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 063b43fe-5230-4737-a571-51debe51cc42) + ) + (label "FSB_D14" (at 397.51 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0a696e2a-833a-4576-adfb-6a014cfbb984) + ) + (label "IOC_D14" (at 346.71 95.25 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0bde489e-506c-4585-a10e-ea7e67e4cc3d) + ) + (label "FSB_D8" (at 397.51 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0d01ea0f-23bc-4ecd-ba03-ca2aec260db8) + ) + (label "FSB_D2" (at 397.51 45.72 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0f2b139c-a16a-4300-927d-71c591194a89) + ) + (label "FSB_D25" (at 397.51 134.62 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0fcf4a91-091f-499c-9f41-bcea96399301) + ) + (label "IOC_D20" (at 346.71 120.65 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 11b85482-6698-48d6-b602-72668b3b7f11) + ) + (label "FSB_D7" (at 397.51 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 145fc418-de29-47b8-b439-18292fd33048) + ) + (label "FSB_D18" (at 397.51 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 146fc6b0-75a3-49c9-a704-d611ed0f5989) + ) + (label "FSB_D11" (at 397.51 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1637010e-411e-4ae6-93e3-60ea823606bb) + ) + (label "FSB_A17" (at 314.96 93.98 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 204d7676-7ea3-465a-85d7-8814b1388182) + ) + (label "IOC_D5" (at 346.71 62.23 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 222c5e2a-a688-45f4-a644-ca6b63bc17c1) + ) + (label "FSB_D29" (at 397.51 144.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 27c2c613-c9e7-4e0d-87f3-ff83ae0dcc61) + ) + (label "IOC_D7" (at 346.71 67.31 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 28213068-db14-4bd3-a96f-f92b7d84522a) + ) + (label "IOC_D26" (at 346.71 146.05 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2b5cb4fa-9f3c-4be2-9507-152518ad646e) + ) + (label "FSB_D10" (at 397.51 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2c366986-9f74-48d8-8d6e-c6ca3a7fd5af) + ) + (label "FSB_A13" (at 314.96 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2d5a7e8b-470c-4fd2-87e0-f6403bf6f9ea) + ) + (label "FSB_D21" (at 397.51 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2de6bc63-10be-4cb6-9dbc-70b6e46b4b15) + ) + (label "FSB_A31" (at 314.96 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2f5bf7f7-6b6e-41de-aa34-e8aab00bc64f) + ) + (label "DONE" (at 46.99 199.39 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 31465e75-1e66-4531-b82d-24088be07f02) + ) + (label "FSB_D22" (at 397.51 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 31c31afd-b945-4867-8911-452ff46bc420) + ) + (label "TDI" (at 46.99 214.63 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 332e59f9-5d74-441b-8fa1-45f9e923ce3b) + ) + (label "IOC_D9" (at 346.71 82.55 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 342715ac-e464-4209-aefb-b36b027449be) + ) + (label "FSB_D15" (at 397.51 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3474414a-fa51-4eea-bf49-21e28d6589bc) + ) + (label "IOC_D31" (at 346.71 158.75 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 38680d84-7e2a-486a-804f-2b89792b2fbb) + ) + (label "FSB_FC0" (at 388.62 76.2 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3b1cb89b-bd6f-4a67-aa6f-f29a4b608c2d) + ) + (label "FSB_A23" (at 314.96 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3f4d0c95-22aa-4cbf-b5bf-671924803f69) + ) + (label "FSB_SIZ1" (at 388.62 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4516538d-d250-48af-a938-d373bccb83a1) + ) + (label "IOC_D16" (at 346.71 110.49 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 476a79f3-1fad-4b98-88ce-e188c57e8114) + ) + (label "FSB_A4" (at 314.96 147.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 482acaeb-6d85-4dbe-979f-f1f411c7dd6c) + ) + (label "FSB_A19" (at 314.96 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4a99424a-395a-4238-9363-4bf12682ebf4) + ) + (label "IOC_D24" (at 346.71 140.97 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 51340e7a-ffba-45bc-b8bb-bce86c782df6) + ) + (label "FSB_A22" (at 314.96 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 52031338-bd0d-4231-b92c-b08161e3a777) + ) + (label "FSB_A26" (at 314.96 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 55a27e67-f6a5-42e4-ac83-3a6a4c11c4c7) + ) + (label "IOC_D1" (at 346.71 52.07 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5a5755d1-e542-442b-b91c-de6d6ebfff0a) + ) + (label "FSB_D27" (at 397.51 139.7 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5bc5c8d8-cec9-48df-9e24-d150937641b8) + ) + (label "IOC_D22" (at 346.71 125.73 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5df70945-1564-4a11-84ec-eb452a7dd50c) + ) + (label "FSB_D17" (at 397.51 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5e6ab4f4-3355-4075-b540-464340f59edc) + ) + (label "IOC_D4" (at 346.71 59.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 61cc674e-0dfb-4552-8078-f4ef972cba05) + ) + (label "FSB_A7" (at 314.96 139.7 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 64cd6375-e80b-4063-954c-b508e3a1c8b8) + ) + (label "FSB_D23" (at 397.51 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6710fb29-09af-45c9-a705-aa9febebcd19) + ) + (label "FSB_A9" (at 314.96 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 673857d1-b48d-4662-83b2-b27de678ca98) + ) + (label "IOC_D17" (at 346.71 113.03 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 69d5ec3f-3b6e-4937-a96a-04a77ceab6e8) + ) + (label "FSB_A12" (at 314.96 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6a437da9-39ad-4f06-b59d-48fcba85f30f) + ) + (label "FSB_A20" (at 314.96 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 72cd105f-c1c6-4764-80e0-5d5e0dc1d1d7) + ) + (label "FSB_D0" (at 397.51 40.64 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7433428e-9fb9-479a-a549-7388d0a13bd7) + ) + (label "FSB_A6" (at 314.96 142.24 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7beffcc5-438d-4bdf-b334-cb02db76d18f) + ) + (label "IOC_D18" (at 346.71 115.57 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7bf89ab8-41ce-4380-92ad-a3857a475c08) + ) + (label "IOC_D27" (at 346.71 148.59 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7c5f1dd9-7e45-4aa5-beb2-5daec9b82109) + ) + (label "FSB_D12" (at 397.51 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7e31050d-9ae2-4b0d-b8fd-8c1993b29c75) + ) + (label "IOC_D3" (at 346.71 57.15 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 85eb5cc5-b6f9-4818-8ab3-014cddaa3909) + ) + (label "FSB_D1" (at 397.51 43.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8960961e-7784-48b3-9afa-44c3bbe08ecc) + ) + (label "FSB_D30" (at 397.51 147.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8a56d14d-03e9-44d6-bd2a-8d410a3c83fe) + ) + (label "IOC_D29" (at 346.71 153.67 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8ad27e0e-a5a4-4c79-8bf3-8967bd7a7ed5) + ) + (label "IOC_D30" (at 346.71 156.21 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8d0f06a7-d07e-4b8b-9ae4-3a00653d0345) + ) + (label "MISO" (at 46.99 173.99 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8dfacd4f-956b-42ed-abd1-a3aa87571739) + ) + (label "FSB_A16" (at 314.96 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 92ea9bfc-0dba-4cb3-86ac-b5d7c6409260) + ) + (label "FSB_D9" (at 397.51 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9362604e-2cc9-4e58-a02d-57a24f20a16f) + ) + (label "IOC_D12" (at 346.71 90.17 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 96c620a7-cc1d-45a1-9ff0-7e75ea2153e3) + ) + (label "FSB_A8" (at 314.96 127 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9c2b4989-529a-4021-9e78-55911f0181af) + ) + (label "FSB_D6" (at 397.51 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a04fe078-9516-45ab-8be5-bcccad3b5f7f) + ) + (label "IOC_D8" (at 346.71 80.01 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a34b0b45-15a9-4e3e-8a92-12df87d706e8) + ) + (label "FSB_D31" (at 397.51 149.86 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a386196f-12bc-4c88-a9e8-d866ab74dabd) + ) + (label "FSB_A5" (at 314.96 144.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a504ed54-2e75-4dca-8eb5-b7236631256e) + ) + (label "IOC_D11" (at 346.71 87.63 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a7b959eb-c440-4a64-9e2c-2414c0bf16ff) + ) + (label "IOC_D23" (at 346.71 128.27 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ab341c2f-32d5-41dd-a446-83ddd997a8bd) + ) + (label "IOC_D10" (at 346.71 85.09 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ab49a746-221b-463d-891d-39df3ea7a039) + ) + (label "~{INIT}" (at 46.99 191.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ab929e17-3b25-4dff-be74-063a7ce2efcd) + ) + (label "FSB_A24" (at 314.96 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ac516d18-511d-40a8-8738-569a951e58cd) + ) + (label "FSB_A21" (at 314.96 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ac6efc4b-b045-4120-bc28-719f6c017f70) + ) + (label "TDO" (at 46.99 209.55 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid af476c49-919f-4d1e-86c9-61c3111809bc) + ) + (label "IOC_D2" (at 346.71 54.61 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b5de46ad-a1d8-427e-9103-877290dae9a9) + ) + (label "FSB_D3" (at 397.51 48.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b93e63bb-12e1-4458-843f-610d39e7bd98) + ) + (label "CCLK" (at 46.99 194.31 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid be25131e-1e42-45c6-8597-ebca337ddd02) + ) + (label "FSB_FC2" (at 388.62 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c90f173a-ea28-4cbe-b8aa-4567eecfac74) + ) + (label "FSB_D28" (at 397.51 142.24 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c9125d9d-a28c-45a1-8b70-213c71a3b8db) + ) + (label "FSB_FC1" (at 388.62 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ca7c43e3-384d-401c-87b4-9c57666601e2) + ) + (label "FSB_A18" (at 314.96 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cb3abe5b-b9f6-4a42-ab6a-06ba7ef29958) + ) + (label "FSB_A30" (at 314.96 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cbdaeeef-bfca-4a8a-9ba1-b7a182217072) + ) + (label "IOC_D21" (at 346.71 123.19 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cc88bc28-c31d-4c33-9457-2bda3693fbff) + ) + (label "IOC_D25" (at 346.71 143.51 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d206dd15-418b-48e9-9ff0-5ed57dfc77ee) + ) + (label "TMS" (at 46.99 217.17 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d323c732-194d-4d9f-8b90-4e38aae33540) + ) + (label "FSB_D5" (at 397.51 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d4a24f66-c8e6-4e52-b63a-5bb8a439893f) + ) + (label "~{CSO}" (at 46.99 186.69 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d7fe88b1-92d9-4d28-9735-8da8fe0c2177) + ) + (label "~{PROG}" (at 46.99 196.85 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dab11307-a8c4-4f0d-813a-2ea5176a1351) + ) + (label "IOC_D6" (at 346.71 64.77 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid db2af21e-1c8c-4706-8eac-55dbc9704cc8) + ) + (label "FSB_D24" (at 397.51 132.08 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dc128905-46f9-40d4-9e9b-846078388560) + ) + (label "FSB_A25" (at 314.96 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dd3392f6-dda5-4fef-a59f-eb06f1e93cf5) + ) + (label "FSB_SIZ0" (at 388.62 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ddca6830-f376-4056-8cf2-61068cf58147) + ) + (label "FSB_D4" (at 397.51 50.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid de3037ae-c0a1-419f-a97b-9f0b10c66147) + ) + (label "FSB_A14" (at 314.96 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e24cca42-0bd8-4008-9932-e22bfb0c16b8) + ) + (label "IOC_D0" (at 346.71 49.53 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e2aeecfc-502b-4179-9eb3-06408eb943c1) + ) + (label "IOC_D19" (at 346.71 118.11 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e8e7b0f4-170d-4727-8854-8e5ea2f1e3b1) + ) + (label "IOC_D15" (at 346.71 97.79 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f2e1a707-b958-47e4-b263-23ad95f78d0f) + ) + (label "FSB_D16" (at 397.51 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f3439a37-a3ff-46de-94c4-d701aa7633d0) + ) + (label "FSB_A11" (at 314.96 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f547480c-e11a-4910-939e-e8373796a3d9) + ) + (label "MOSI" (at 46.99 176.53 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f5cf4689-a79d-406a-92d8-0c6661f5a053) + ) + (label "TCK" (at 46.99 212.09 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f7a6d471-8325-4acb-9910-7e427b0b1258) + ) + (label "FSB_A10" (at 314.96 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f8e451a0-b980-490a-a4ae-479f595a1fa1) + ) + (label "FSB_D13" (at 397.51 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fbdeb7c2-f3cf-4a32-b097-f436a36184e4) + ) + (label "IOC_D28" (at 346.71 151.13 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fd340aee-4fd6-4d2b-8344-5dd39be44422) + ) + (label "FSB_A15" (at 314.96 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ff94931c-5a9d-49a9-b852-58a71d5ba250) + ) + + (hierarchical_label "R~{RAS}" (shape output) (at 388.62 137.16 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 051becf5-1b9e-43fc-923c-3def5c7743fc) + ) + (hierarchical_label "CSIZ[1..0]" (shape input) (at 372.11 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0c737291-e95f-4def-acb0-451b6c526fa6) + ) + (hierarchical_label "RDQM[3..0]" (shape output) (at 388.62 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0ef9b36a-b233-4142-9877-ff695cdaef3d) + ) + (hierarchical_label "IOAoutLE" (shape output) (at 314.96 17.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0fbb27af-3876-40d0-a114-c4cfcd8964f4) + ) + (hierarchical_label "IOSIZ[1..0]" (shape output) (at 314.96 25.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1c9948cd-740e-4ffd-8e28-f80a98347a4f) + ) + (hierarchical_label "CA[31..0]" (shape input) (at 328.93 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 28479b01-34ef-4135-9eb1-d3f2a60126bc) + ) + (hierarchical_label "IOA~{OE}" (shape output) (at 314.96 15.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 28d0e222-a98c-4fff-8bda-967fc530b166) + ) + (hierarchical_label "IO~{DSACK}0" (shape input) (at 346.71 24.13 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 29f932d6-f2f1-4cc4-8193-648f6f8af9c8) + ) + (hierarchical_label "C~{RMC}" (shape input) (at 388.62 59.69 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 356102d3-ffa2-4932-a576-3b150bd916df) + ) + (hierarchical_label "R~{CS}[2..0]" (shape output) (at 388.62 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3a8940e0-71f3-48be-81f2-6b1971e8b97e) + ) + (hierarchical_label "IO~{BERR}" (shape input) (at 346.71 19.05 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3e931774-ac23-4525-a2b2-323cc2ccb568) + ) + (hierarchical_label "IOD~{OE}" (shape output) (at 346.71 41.91 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 4a8071fa-dda4-4e35-a760-345ee9379249) + ) + (hierarchical_label "IOAD[31..0]" (shape bidirectional) (at 358.14 52.07 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 4c80dd4a-e176-4367-b09a-da62387761c7) + ) + (hierarchical_label "C~{AS}" (shape input) (at 388.62 27.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4d82300c-bba2-4100-beb3-48114da18aa8) + ) + (hierarchical_label "IO~{DS}" (shape output) (at 314.96 35.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 55027e09-b90d-44e7-b23d-0a4fac99b43b) + ) + (hierarchical_label "RESET~{OE}" (shape output) (at 346.71 13.97 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 647284b7-a7a3-4c8f-9cbe-d22f71e53948) + ) + (hierarchical_label "IO~{AS}" (shape output) (at 314.96 30.48 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6aa88122-d57a-4da6-ac0a-f8509bc0b871) + ) + (hierarchical_label "CD[31..0]" (shape bidirectional) (at 408.94 43.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7409ccb2-6265-4c4f-9fba-f0068951b6f6) + ) + (hierarchical_label "C16M" (shape input) (at 346.71 29.21 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 76fbce2b-2f2b-4f92-b618-469f4141e6da) + ) + (hierarchical_label "CFC[2..0]" (shape bidirectional) (at 372.11 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7d76f22b-67e2-444a-a70d-ab88428202d2) + ) + (hierarchical_label "CR~{W}" (shape input) (at 388.62 62.23 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7d948f7a-767e-41ec-bcad-2195e425137c) + ) + (hierarchical_label "RA[12..0]" (shape output) (at 388.62 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 82550345-8080-42d6-b1d3-db8bb58dd2ae) + ) + (hierarchical_label "~{RESET}" (shape input) (at 346.71 16.51 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 83c3fd0a-2fe1-4894-b40e-83fa176df732) + ) + (hierarchical_label "IOR~{W}" (shape output) (at 314.96 22.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8f60bc9e-51cf-4544-848a-e400fd78bea3) + ) + (hierarchical_label "RCKE" (shape output) (at 388.62 144.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 91417b1c-dd30-44a2-bd40-4603e07a2355) + ) + (hierarchical_label "RCLK[5..0]" (shape output) (at 388.62 154.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 93df95fa-0c8b-4623-b954-453fcf3f3a55) + ) + (hierarchical_label "CASTERM~{EN}" (shape output) (at 388.62 109.22 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9452c5e1-b0f9-49aa-b234-f514322c3999) + ) + (hierarchical_label "CCLK" (shape output) (at 387.35 95.25 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9bc9dae8-81e0-481f-83f2-93a367a9667c) + ) + (hierarchical_label "FCLK" (shape output) (at 387.35 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9c923f05-ffae-42be-9bec-3b90352b2fc3) + ) + (hierarchical_label "VD[6..0]" (shape output) (at 388.62 162.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 9ccac67d-86e5-4286-a3fe-89ce6301b3e5) + ) + (hierarchical_label "IO~{DSACK}1" (shape input) (at 346.71 26.67 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a56f1607-b89a-466a-bbee-2142f0c8d4c1) + ) + (hierarchical_label "C~{CBREQ}" (shape input) (at 388.62 30.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a5b3a488-40a3-4a8c-90f0-68452d77b2db) + ) + (hierarchical_label "R~{CAS}" (shape output) (at 388.62 139.7 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid a99d85ce-62d4-45b2-a1b8-8dd5c3950087) + ) + (hierarchical_label "IO~{CBREQ}" (shape output) (at 314.96 33.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid ac4a1a21-1655-4dfc-822c-32efb0aefe3e) + ) + (hierarchical_label "BA[1..0]" (shape output) (at 388.62 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ad1406dc-6865-43f3-9aca-32e7d2a13bfc) + ) + (hierarchical_label "R~{WE}" (shape output) (at 388.62 142.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b1fb94c2-bff4-49b5-84f0-36eca85e5015) + ) + (hierarchical_label "IOSoutLE" (shape output) (at 314.96 20.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b5310145-85d1-49f7-b64a-0eebf79fa053) + ) + (hierarchical_label "VCLK" (shape output) (at 388.62 165.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b986a1a5-c7ae-41d1-b168-032fdf962458) + ) + (hierarchical_label "C~{BERR}" (shape output) (at 387.35 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid c388570f-cca7-46da-aa3e-648ea0d46108) + ) + (hierarchical_label "CS~{STERM}" (shape output) (at 387.35 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d117f7b7-b113-45be-a5b8-7cfe539abe68) + ) + (hierarchical_label "IO~{HALT}" (shape input) (at 346.71 21.59 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid dfea251c-6f76-409a-a52b-df9f702f64c6) + ) + (hierarchical_label "C~{CIIN}" (shape output) (at 387.35 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e0ea8358-29a0-4c0a-a08b-36aef81acf60) + ) + (hierarchical_label "CD~{OE}" (shape output) (at 406.4 25.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid e4546692-6462-4d60-adfa-ec5ed675d87b) + ) + (hierarchical_label "C~{CBACK}" (shape output) (at 387.35 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid fa013212-1248-4e25-9766-791767126623) + ) + + (symbol (lib_id "Device:C_Small") (at 139.7 213.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616131d5) + (property "Reference" "C29" (at 140.97 212.09 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 140.97 214.63 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 139.7 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 139.7 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid eec7196c-c50c-4d75-a3e9-169804a9e946)) + (pin "2" (uuid b53e541a-6aa5-407e-9301-864664172a8f)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C29") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 149.86 213.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616131e1) + (property "Reference" "C30" (at 151.13 212.09 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 151.13 214.63 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 149.86 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 149.86 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b0cba357-23c9-4b32-aaba-f38e5de630c7)) + (pin "2" (uuid 031ceb16-f3e7-426a-b6b3-772cc9a106ba)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C30") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 160.02 213.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616131eb) + (property "Reference" "C31" (at 161.29 212.09 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 161.29 214.63 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 160.02 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 160.02 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3a8e5880-ec47-42d2-bb47-811c01cbe040)) + (pin "2" (uuid 863d90c2-2865-45e3-aab6-75aec8af6985)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C31") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 129.54 210.82 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616131f5) + (property "Reference" "#PWR0157" (at 129.54 214.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 129.54 207.01 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 129.54 210.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 129.54 210.82 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f70a27b1-654c-491a-815e-dd4acd9be62a)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR0157") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 129.54 213.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616131fc) + (property "Reference" "C28" (at 130.81 212.09 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 130.81 214.63 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 129.54 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 129.54 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2fc98666-5884-43e1-b1cc-04070ca653a4)) + (pin "2" (uuid f9292d19-0102-4e1c-a609-2d201422bfd8)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C28") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 180.34 213.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061613208) + (property "Reference" "C33" (at 181.61 212.09 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 181.61 214.63 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 180.34 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 180.34 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e2f94f1b-fd02-41c5-a6cb-3dc5f2ada025)) + (pin "2" (uuid c988a337-84d3-4896-81b5-2ee642c5d692)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C33") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 170.18 213.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006161320e) + (property "Reference" "C32" (at 171.45 212.09 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 171.45 214.63 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 170.18 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 170.18 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 266a8453-bc58-44d3-9a2f-f0f92ebe7ca5)) + (pin "2" (uuid ab417122-4a2d-4940-9c6a-96b63d749342)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C32") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 190.5 215.9 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616151a9) + (property "Reference" "#PWR0158" (at 190.5 222.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 190.5 219.71 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 190.5 215.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 190.5 215.9 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a4cade40-6c12-40e3-bb56-b09ad6e71604)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR0158") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 190.5 213.36 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616151af) + (property "Reference" "C34" (at 191.77 212.09 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at 191.77 214.63 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at 190.5 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 190.5 213.36 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bbc86b0e-7ce5-4abf-b6d9-6accc57e9883)) + (pin "2" (uuid 83711bb0-b580-4d52-b4fd-20683f97132f)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C34") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_PLD:LFE5U-12F-BG256") (at 73.66 163.83 0) (unit 8) + (in_bom yes) (on_board yes) (dnp no) + (uuid 13e386cd-8916-4ab2-9de3-c63c9ad83d64) + (property "Reference" "U3" (at 76.2 142.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LFE5U-12F-BG256" (at 73.66 144.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Lattice_CABGA-256_14x14mm" (at 67.31 147.32 90) + (effects (font (size 1.27 1.27)) (justify right top) hide) + ) + (property "Datasheet" "http://www.latticesemi.com/-/media/LatticeSemi/Documents/DataSheets/ECP5/FPGA-DS-02012-1-9-ECP5-ECP5G-Family-Data-Sheet.ashx?document_id=50461" (at 73.66 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A2" (uuid 90607d29-96a4-45c3-be84-a2d43c6e0a46)) + (pin "A3" (uuid b7aef6f5-4793-40e8-b80f-1b6a4129cffc)) + (pin "A4" (uuid 16f59a1e-a44d-4d88-ac9e-f298ff4dcd37)) + (pin "A5" (uuid 7cc4858e-fd82-4255-843f-3e73fccf016a)) + (pin "A6" (uuid f00d7f74-2c1e-45f9-97f4-01776f606a5c)) + (pin "A7" (uuid 45db566e-579d-49c2-b1db-aa918a22df3c)) + (pin "A8" (uuid f93b9882-546b-4319-bbc4-89bb6d36df53)) + (pin "B3" (uuid 619f580a-08e8-4b4d-a4c3-4868fffaab78)) + (pin "B4" (uuid 6bba1156-cc27-40f7-8731-e1ec2202287e)) + (pin "B5" (uuid 4d93f1a4-653c-449d-80a0-61700ffa02ce)) + (pin "B6" (uuid 27001289-754d-43e6-a3d9-10c1fc907b71)) + (pin "B7" (uuid 9997b5e3-f0f1-4485-87f1-c472381f2d2d)) + (pin "C4" (uuid 3c4c7f42-69d5-4d23-8f63-bd38e4cc5edd)) + (pin "C5" (uuid 467d2e50-6394-409b-9371-caa74e203468)) + (pin "C6" (uuid 6d2a3d84-f5a5-4b9f-9f52-cff7160d5a43)) + (pin "C7" (uuid 01e664f5-de79-4296-9432-27d572e06feb)) + (pin "D4" (uuid 9f855544-4637-4b73-a735-5563166b259e)) + (pin "D5" (uuid f1f3517e-6b1f-40f6-a8cf-3af895dba621)) + (pin "D6" (uuid 9df89813-3f2b-4703-ad8c-2f3a7271520f)) + (pin "D7" (uuid e9e00455-f16e-4d53-98b2-fa62745136c0)) + (pin "E4" (uuid c97927a5-169c-4c43-b50f-e3df57fd87d7)) + (pin "E5" (uuid a71c0a29-bcdd-43ad-a403-fdf0064f4351)) + (pin "E6" (uuid df092cb2-4ddc-406b-b204-be04ae4a9b22)) + (pin "E7" (uuid fa26305a-56d9-47d8-9997-728faa237b87)) + (pin "F6" (uuid bf93ba2d-10f1-4b51-9240-f04238fbb010)) + (pin "F7" (uuid 2aa45476-f84f-442d-8cbf-c6cccfd937fa)) + (pin "A10" (uuid 18648b37-fb7f-4158-92ae-92404eff82b5)) + (pin "A11" (uuid 674b30ad-902d-410a-85e9-7bf58df6d1ca)) + (pin "A12" (uuid bd0c6cea-099c-4d88-a721-2f8a3ef59de2)) + (pin "A13" (uuid 7c0edbe9-dd04-460c-a33f-462fdd51c4cf)) + (pin "A14" (uuid 53214e9d-d08a-495a-a8db-4bdb0df77a00)) + (pin "A15" (uuid e1f8a045-1916-402c-b1a9-37e23cdfac8d)) + (pin "A9" (uuid 07a96b36-f5d2-4d07-8a8f-1d347c6afa25)) + (pin "B10" (uuid 73d6280f-1263-41c4-8dd6-0ca3989187b8)) + (pin "B11" (uuid 7eabf37f-812a-42cd-811d-645f5b7db994)) + (pin "B12" (uuid 28a26e37-0367-410f-9ccb-544f8c4a3289)) + (pin "B13" (uuid 80b269ee-19a4-48f6-a627-911d99a80262)) + (pin "B14" (uuid 07e1a701-dd78-4ce5-8b71-282988a87333)) + (pin "B8" (uuid b8d1f705-e7ee-426c-89ec-50907ffeb90d)) + (pin "B9" (uuid 32c340b0-3e8b-4431-9438-56bf28c2cddc)) + (pin "C10" (uuid c960befd-03dd-4433-87e7-090dfc9d362f)) + (pin "C11" (uuid 1abe679f-b502-4c1c-aec2-bedd75568fda)) + (pin "C12" (uuid b5ee1ae1-4031-48e8-b942-767308661869)) + (pin "C13" (uuid 781b1a15-bed1-47d4-b5d3-3ea7c564fd26)) + (pin "C8" (uuid 60240973-96e8-4152-a74b-41456771f53c)) + (pin "C9" (uuid d3cb3ae0-dd23-41b5-b88e-556e249692d9)) + (pin "D10" (uuid 2692365e-267e-4e43-bc1b-e4bb63a98fbf)) + (pin "D11" (uuid 93a04ef5-3ce8-418a-93e6-2dd59223b2f9)) + (pin "D12" (uuid 7da0c456-f775-48e2-98be-0995c314204b)) + (pin "D13" (uuid 782d8784-1fe3-493b-b580-54e90b8ba7ed)) + (pin "D8" (uuid b2691ed4-e884-4cb1-b946-4b1beb6cd110)) + (pin "D9" (uuid 631a1b0f-ffd3-46d1-a886-c6a042ded706)) + (pin "E10" (uuid 6007870d-a491-40a4-ab7f-296020d041a3)) + (pin "E11" (uuid cbcab2b0-f699-40aa-89bb-386fb45faa73)) + (pin "E12" (uuid dabaff12-c871-417d-9a4c-d548e45e38f1)) + (pin "E13" (uuid 3edc187a-1c5d-4e09-88d2-b8f85f949b80)) + (pin "E8" (uuid 64f52327-4b0d-466d-8940-a211db1695f6)) + (pin "E9" (uuid 16d3ff7b-3116-46a8-ae3d-00fc73e714f0)) + (pin "F10" (uuid e2abe6df-8a5d-44dc-8720-0a2ef6ccb7c6)) + (pin "F11" (uuid 5e8dbfba-4c65-4de8-8715-b2f4bb2a8131)) + (pin "B15" (uuid f6d63252-52af-4c76-931e-e012386460cf)) + (pin "B16" (uuid 57ec2ed4-add6-4160-8972-71bfab8500a1)) + (pin "C14" (uuid 428f67c9-3d32-49fd-9401-0c7640647934)) + (pin "C15" (uuid bee0f7c6-1b54-494f-9d5b-e248c31cc89e)) + (pin "C16" (uuid d4d96376-3f53-4475-87d5-103cfbb25783)) + (pin "D14" (uuid fd1668e0-1013-4194-948f-d8b2be9ce9e3)) + (pin "D16" (uuid c2129f2b-0bf2-4e10-8791-3637e5f9575c)) + (pin "E14" (uuid 77de4a22-9853-4f4a-99c0-4d70f2c4adfb)) + (pin "E15" (uuid df90f340-8b18-490d-bd28-cefe10ba3160)) + (pin "E16" (uuid 66d90b65-82b7-4f80-aa93-d5c79a1b5094)) + (pin "F12" (uuid ddd9ccdb-358c-4814-a43f-5fef7bc4c694)) + (pin "F13" (uuid 62924ee9-9987-4845-8b51-c7ff2c82b9d4)) + (pin "F14" (uuid ddf84c8f-da08-4dc6-8ab9-90a0dacbc4e6)) + (pin "F15" (uuid 930f1c55-b8d7-49af-8605-4c6a0b1b806b)) + (pin "F16" (uuid d5797a7e-da24-4cc3-be97-56bc1cfd5212)) + (pin "G12" (uuid 5e9352d5-ce95-49af-beef-d60208d56b5f)) + (pin "G13" (uuid 5b65d057-2f1a-42b3-9574-1ca98bf60bc1)) + (pin "G14" (uuid c1d817ef-cc84-4524-88af-13937fea1f7a)) + (pin "G15" (uuid 2f0fe5f4-2164-4c9b-adb2-e0ce1caa0e77)) + (pin "G16" (uuid a38d6777-d808-4581-95c1-a58a1dc53e98)) + (pin "H11" (uuid 2312152e-20ce-4852-98cd-aef4998cbd69)) + (pin "H12" (uuid 5f42de29-d744-4c5e-aeab-2d9350e2cf0d)) + (pin "H13" (uuid 179ebfab-23ee-4590-bb20-03e963f269c8)) + (pin "H14" (uuid e68939eb-d9c7-426e-aae9-db2c4fb72c4c)) + (pin "H15" (uuid 907e0192-73cb-41e5-8112-372f1dc8235a)) + (pin "J11" (uuid f704cee9-a311-4847-96f1-c4da37df60c0)) + (pin "J12" (uuid 1c39fd8f-6d21-4c10-a68f-bf199962a8c3)) + (pin "J13" (uuid 1f0f0ceb-8f2f-49f0-9bc8-4f4bd107147b)) + (pin "J14" (uuid 5fc78187-3317-4775-b9d8-b5fc3360342f)) + (pin "J15" (uuid 1214bdcc-d4a3-4466-b09e-1ea93051c991)) + (pin "J16" (uuid 9de59b09-45b5-4263-80da-ebadb515df4a)) + (pin "K14" (uuid fb323e44-dcba-40ef-95d3-22a48c8ae77a)) + (pin "K15" (uuid d62553cd-3b42-4cc3-998f-f62d1bf475aa)) + (pin "K16" (uuid 971ea149-007e-4fab-8762-167a2e5be1b9)) + (pin "K11" (uuid 41dfd3ab-a39e-4216-b1a2-0cb647385a8d)) + (pin "K12" (uuid e687b350-1498-4384-bb97-35ad2d3b41f8)) + (pin "K13" (uuid 95b37c9b-1472-469e-9549-c0e644788130)) + (pin "L11" (uuid 4ec60bbe-16fd-41ac-91c7-b7f0e41f9c8d)) + (pin "L12" (uuid 217b056b-2771-403c-9a85-0307a473cf10)) + (pin "L13" (uuid f366aa24-2350-4d05-bdb0-7c0a00407e3f)) + (pin "L14" (uuid ff4537f8-221d-443b-b19b-2d644e0c9c58)) + (pin "L15" (uuid 8a4075ee-6e1a-40b1-be0d-e5514bcaa4b0)) + (pin "L16" (uuid 161d59ee-5b9a-4860-a407-37bcd89f0083)) + (pin "M11" (uuid 0cefeff2-c8a3-472f-a330-b93395c340a2)) + (pin "M12" (uuid 28f0c34f-bba7-496c-9d67-214b4d2bf042)) + (pin "M13" (uuid 9fb77bd0-261b-4411-afe3-c9c998c8f306)) + (pin "M14" (uuid e22a45d0-57e3-4a5f-93e5-542ca51b05b9)) + (pin "M15" (uuid e39b9331-102f-49ff-b775-e77299db24a4)) + (pin "M16" (uuid a1e4a092-0518-4549-af78-3386930fbeee)) + (pin "N11" (uuid c13d7047-415a-4165-bfcd-7bac322db99b)) + (pin "N12" (uuid 71510ced-ba1e-4bb1-8d53-ebe9a4cfd172)) + (pin "N13" (uuid 00349b79-ab73-4e9a-89a8-ad2606b635a4)) + (pin "N14" (uuid ca06e918-2c5e-47e9-ae32-f7c5dbe05509)) + (pin "N16" (uuid 16a6b8e4-7f86-42b4-a025-688b3b388516)) + (pin "P11" (uuid 5ce35bb3-6d55-4349-a912-02d56ed6238c)) + (pin "P12" (uuid a28d1d6a-3c1a-468b-933f-454dda0c65c3)) + (pin "P13" (uuid 2d1fbbc4-b4c8-45c0-8608-35661a8a5c6d)) + (pin "P14" (uuid 58cb87a2-c59e-42c8-97fe-064ad7da20bd)) + (pin "P15" (uuid f62ac533-fc84-492a-8e30-75028216badb)) + (pin "P16" (uuid dd47538c-f602-4ed1-b2fb-c71f68471421)) + (pin "R12" (uuid 0be95464-4282-4eb8-a2df-07ee255eb1a1)) + (pin "R13" (uuid 4f23d5f0-eac8-4c4a-84d3-dd180ad4cc36)) + (pin "R14" (uuid 3cc2bcf0-3712-4724-9f5d-2ba130b02755)) + (pin "R15" (uuid bfbb51c4-d1f1-47a4-8090-10279254b0d0)) + (pin "R16" (uuid b6f1c9b1-8d92-4523-99f6-1c39fe5cb8b3)) + (pin "T13" (uuid 379294cd-1dc9-4439-b1d0-e32edc8123b1)) + (pin "T14" (uuid fd116217-e5f8-4573-a164-2d540b8fcb4f)) + (pin "T15" (uuid c2575e6e-5b00-4708-99a3-8fc0c7eceacf)) + (pin "J6" (uuid c28ad17c-9ed6-4c26-8b89-7719b6bdbb39)) + (pin "J7" (uuid a2fa936a-1952-4fd1-a7f9-6b0eb46aa0d0)) + (pin "K4" (uuid 32f078f7-391a-4c38-8bcb-95925f0a76d3)) + (pin "K5" (uuid e84436ac-c588-4062-bc5e-3247f21a56de)) + (pin "L1" (uuid da9079e6-2367-4dd4-a1f2-a5da4407fb2d)) + (pin "L2" (uuid 07d7cfd1-8d82-42dd-a019-1a4ae7198134)) + (pin "L3" (uuid 591b7c8e-2d0f-438c-a53d-98788e53c972)) + (pin "L4" (uuid 105881a2-ef34-408d-ac5c-dd9a6fc2ee56)) + (pin "L5" (uuid 977874e2-a61b-4316-8019-d5633aceb2d9)) + (pin "M1" (uuid 723318e0-16ac-45df-a27d-4ebab113f024)) + (pin "M2" (uuid a3caf5e7-416d-4289-91cb-793fd9345916)) + (pin "M3" (uuid ec5a9cd0-ee35-4e18-bdc2-a1cc3f180e97)) + (pin "M4" (uuid 970484c2-9fed-40f9-9dd9-64e7c34cdbcc)) + (pin "M5" (uuid c86d7f28-7345-4cd0-bbfb-a0e57702d1f7)) + (pin "M6" (uuid 0076b802-e72e-48e7-9101-8ad01f22c0be)) + (pin "N1" (uuid d04364b9-dac1-4f8e-93ec-a114f98eb00f)) + (pin "N3" (uuid 6c1e1ada-34d8-4b2e-87d5-ba0b76c602e7)) + (pin "N4" (uuid 2a14e50b-0806-44b0-a704-00accdb76667)) + (pin "N5" (uuid cc02f2fe-4314-429e-aea9-0ffca2eabc4c)) + (pin "N6" (uuid 54030801-0bce-4670-94ed-c614f1275f11)) + (pin "P1" (uuid b7ff2ab5-7d0e-432b-8c45-c20d8e78f8cc)) + (pin "P2" (uuid 2906576f-c920-4138-b6ad-39a1d9e2206e)) + (pin "P3" (uuid 0c64af90-4037-4b1c-89e9-f3028146afab)) + (pin "P4" (uuid 51d99baa-da40-4237-93ab-d6af1f2a3361)) + (pin "P5" (uuid 9f40ad79-99dd-49c8-ab43-04f0038ee6ba)) + (pin "P6" (uuid 724faf12-774d-4691-b5ab-5a8bb1bbf17d)) + (pin "R1" (uuid a15fb190-2134-4070-8932-03c35cf87d81)) + (pin "R2" (uuid d7532e95-279a-4190-bf22-089ea5f36fe3)) + (pin "R3" (uuid 5b5f7c96-5961-47ae-a501-94709249142c)) + (pin "R4" (uuid 32f7fc43-de95-4ad6-b178-a081ceff2313)) + (pin "R5" (uuid 4c3d4ba4-a1af-4d6e-9e96-cc13ed930e4f)) + (pin "T2" (uuid 2b788e92-7c46-40ff-a90b-3d0d6288ae7c)) + (pin "T3" (uuid 99052107-d3a1-4633-81b7-f8686bbf6c23)) + (pin "T4" (uuid bdcac487-5e70-4a79-a1cd-38b736d560bb)) + (pin "B1" (uuid 470784df-7b00-4b40-9165-e067c112267c)) + (pin "B2" (uuid c1a9fbb0-5cf0-47fd-bdd3-cd0568d84752)) + (pin "C1" (uuid 75356696-edc1-4080-84bf-02ad66ff792d)) + (pin "C2" (uuid dbad0add-6456-4ac0-8cf1-fbd74acfbe7f)) + (pin "C3" (uuid f9032efa-962a-47d1-9426-41585a146e86)) + (pin "D1" (uuid 07436473-1181-41da-83b4-8c1362af568e)) + (pin "D3" (uuid e20b2907-4697-4634-81b2-4c72cb457503)) + (pin "E1" (uuid cf0af6f8-2916-48c5-aad0-157f5c9a7334)) + (pin "E2" (uuid f9600fa9-6bdf-4c99-b08e-0d6013dfd7a4)) + (pin "E3" (uuid 3673d10e-28f3-4c49-b12a-0eea794f67ca)) + (pin "F1" (uuid b3e7498a-93e4-4108-a277-9ff5b1152786)) + (pin "F2" (uuid 19ca6ce8-2cf5-46c2-9f6a-95e9cf8f2dbb)) + (pin "F3" (uuid 65520e7b-fb11-48d6-94ec-60266ef2d04f)) + (pin "F4" (uuid d218558c-7857-43ee-b584-b2f53d11f7a3)) + (pin "F5" (uuid 909c2891-c04c-45f6-b2a5-108eb2c878aa)) + (pin "G1" (uuid be70767a-49ff-48d7-93a6-c7a0e15bc60c)) + (pin "G2" (uuid a76ce616-b6a9-4fee-bb64-197052dde99b)) + (pin "G3" (uuid e7b7fbba-dfec-441e-a8f1-88762d2a9db7)) + (pin "G4" (uuid 89aa2514-3142-4ebd-af99-485bb2e4a4a1)) + (pin "G5" (uuid d34ba19f-3c48-4778-a5dd-533b0244b7b4)) + (pin "H2" (uuid 7c0aafa0-ed42-4a7b-87f2-13feb2f02da4)) + (pin "H3" (uuid 40fa9d66-186b-4b87-8459-872f2084be3e)) + (pin "H4" (uuid 2b360dfe-8840-412d-b3a1-2d25e2e163ef)) + (pin "H5" (uuid 314d54e2-8545-4f67-b265-d7ee07142389)) + (pin "H6" (uuid ab90d1a1-0e70-4881-897f-71faea2d2d07)) + (pin "H7" (uuid dd8f50a5-d878-4309-9845-c7c3a47d1940)) + (pin "J1" (uuid a209bad3-d9ae-4dc7-96bf-e4d8e6aef984)) + (pin "J2" (uuid 3fc609e6-d79b-4006-8766-a1b08c11e620)) + (pin "J3" (uuid 0b2a477c-01eb-4910-89bc-d2e73e2f0573)) + (pin "J4" (uuid 328d320a-ef7e-4084-a266-fab7cbf8db21)) + (pin "J5" (uuid 5ed59c7a-6dcf-4dd4-a25b-cc9428dd56d0)) + (pin "K1" (uuid ff91bf7f-6582-44ec-b9f1-316ce636e547)) + (pin "K2" (uuid fe41cea1-4328-4128-85b6-02d964d8af50)) + (pin "K3" (uuid 405649ac-fd88-4adf-969b-53d2b23ba84c)) + (pin "L6" (uuid 7e1868ff-98ee-4b26-80a1-b6b9e890fde3)) + (pin "M10" (uuid b44eddb9-ae2d-4c0a-ac09-ee9a67152614)) + (pin "M7" (uuid 6ddd1cb5-c1d1-4094-89ce-912de1bd6572)) + (pin "M8" (uuid 0dc1f782-5a9a-446c-a8d4-e8cebcdf921b)) + (pin "M9" (uuid e2ecd781-bdad-4107-9d12-94d022369ac9)) + (pin "N10" (uuid d26981f5-9746-41c8-8cac-6b4f49283ed2)) + (pin "N7" (uuid 1bd38729-9d57-430e-b49d-a6154dc25052)) + (pin "N8" (uuid 9cf48c18-08df-4206-96b9-92ceee0b7b8c)) + (pin "N9" (uuid dc643fd5-af4b-4c28-a8e7-08cbac5ef7f8)) + (pin "P10" (uuid 59689f68-3c0a-4265-b395-cb7b73e5703b)) + (pin "P7" (uuid 6c65216c-d8f8-4303-b164-3ff07977169e)) + (pin "P8" (uuid e0c39be6-3c61-41fb-97fa-1b922b815717)) + (pin "P9" (uuid cc0e31fa-88bb-4d95-a230-025d8e1c25a3)) + (pin "R10" (uuid 541ede96-9dc5-463b-acda-e63a1d54fcb3)) + (pin "R11" (uuid b42c060a-a610-4bfe-b555-92f693c62e94)) + (pin "R6" (uuid f1baeb67-7f73-44fa-b2e4-133e45823c8e)) + (pin "R7" (uuid 1d7e594b-9508-41dc-b7c6-fd43f6d01952)) + (pin "R8" (uuid 0eae748a-c2f9-4267-9aa2-fe1492486e43)) + (pin "R9" (uuid 44980f22-94ef-499b-8e51-968142bb908a)) + (pin "T10" (uuid d542c9a6-0984-47eb-a927-aa80af196be2)) + (pin "T11" (uuid 94291254-98f3-482e-b6cd-3e27b3c216a8)) + (pin "T6" (uuid 6f818378-e867-4786-8072-317b4f8d1e24)) + (pin "T7" (uuid 9596892f-9c07-46e4-831b-0b05229e179b)) + (pin "T8" (uuid d4377921-730c-4274-8bb7-cb38a9ade438)) + (pin "T9" (uuid 381014e7-8452-48e2-83d6-923d933731a7)) + (pin "A1" (uuid 267eec03-ba74-49b2-9fee-33305e3c560e)) + (pin "A16" (uuid 62c3963d-fb73-45b1-b5f1-84ffca880d4b)) + (pin "D15" (uuid 45006b3e-a6e5-4dad-aae5-9e24f44a4a63)) + (pin "D2" (uuid 1d6b9a30-e1d9-4a0c-a344-6b717fea1525)) + (pin "F8" (uuid caea42a9-a985-44e9-b481-b1bdfd05633c)) + (pin "F9" (uuid 01ff7081-ef8b-413d-b37a-7f60c9d989f9)) + (pin "G10" (uuid 99557789-2008-4896-9f64-e32686470c6c)) + (pin "G11" (uuid 4222648f-8c14-4757-8e23-6d7df5f2f83e)) + (pin "G6" (uuid bec58d77-e818-4e62-ae6e-8f08c3f79110)) + (pin "G7" (uuid c5da90f4-d208-4472-8d74-1172def6d0f2)) + (pin "G8" (uuid 3a3a9970-5d3b-4670-9b3a-a1380dce5344)) + (pin "G9" (uuid d75783e6-c6ba-4a23-9ce8-e2889c0e121b)) + (pin "H1" (uuid 4a2b0363-d22d-4a7f-82da-6cad163ede67)) + (pin "H10" (uuid 2d0dd028-485a-4553-9c57-8c05a14a2e89)) + (pin "H16" (uuid 903fb7e6-dc19-49a3-a1c4-022a685b8a9d)) + (pin "H8" (uuid f0697958-f1ab-4b8d-982c-8120c2a25885)) + (pin "H9" (uuid 9f3bbda9-96d1-4254-a056-ab0a11667de4)) + (pin "J10" (uuid 5f50f8da-8d42-4481-b893-af9b45ad1b7a)) + (pin "J8" (uuid c5f197bf-b1e9-4457-9dbb-1a85a19f1c00)) + (pin "J9" (uuid 167ea9d5-aa8e-4787-a79f-afac90ccceb8)) + (pin "K10" (uuid dc43b1d1-6099-4eef-8f06-af5fe5fbc06f)) + (pin "K6" (uuid 8cce6a26-2ffb-4205-b6d4-248a4724fd98)) + (pin "K7" (uuid c1bb1488-ca46-4b3c-af89-37e4e743c56f)) + (pin "K8" (uuid dc0ea8c6-49f3-48c2-a438-e476821e6621)) + (pin "K9" (uuid bee35177-7f15-49fb-8695-da96c46010c1)) + (pin "L10" (uuid 1486161f-d605-47f9-880c-d60c75b972d7)) + (pin "L7" (uuid 24d2edc6-88f4-4ce5-97d3-cb1de52f6b2e)) + (pin "L8" (uuid 3842be72-8a2b-406e-b8fc-3d5c22bbb4f8)) + (pin "L9" (uuid 3eb0d1a6-79a7-42c9-86d6-641656d754ee)) + (pin "N15" (uuid 8abd1f86-14c3-4149-8c98-490c9727d888)) + (pin "N2" (uuid a39af291-cd54-4fdd-b524-921dfcba0823)) + (pin "T1" (uuid 372e069b-3e97-4ae5-968b-f100fba2e602)) + (pin "T12" (uuid ce11fe61-8937-4ae2-ae52-c6c70258e095)) + (pin "T16" (uuid 40f83567-78ef-4b1a-a42c-235d97586079)) + (pin "T5" (uuid 143e16e9-638e-4fab-9ed7-2510c9360258)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "U3") (unit 8) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 276.86 31.75 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 5c3d3dc0-938d-4da1-a9a2-aed278a5b6a3) + (property "Reference" "#PWR033" (at 276.86 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 276.86 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 276.86 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 276.86 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5fcc8dd0-9d8e-4221-ac69-e9d3c4cc3b8a)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR033") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_PLD:LFE5U-12F-BG256") (at 29.21 168.91 0) (unit 7) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 7673ea46-b768-4f02-ac3b-8791f6350a80) + (property "Reference" "U3" (at 34.29 147.32 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LFE5U-12F-BG256" (at 34.29 149.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Lattice_CABGA-256_14x14mm" (at 22.86 152.4 90) + (effects (font (size 1.27 1.27)) (justify right top) hide) + ) + (property "Datasheet" "http://www.latticesemi.com/-/media/LatticeSemi/Documents/DataSheets/ECP5/FPGA-DS-02012-1-9-ECP5-ECP5G-Family-Data-Sheet.ashx?document_id=50461" (at 29.21 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A2" (uuid d53dd162-002f-462d-be76-6dd39903a0e1)) + (pin "A3" (uuid 1f55722c-c130-44de-bd61-a3f04d5a8dd5)) + (pin "A4" (uuid cd47e769-3ea5-4c6c-9d06-43590d206408)) + (pin "A5" (uuid 303f43e3-86b3-4fdf-b592-dcaeb9364119)) + (pin "A6" (uuid 64c17f2e-65c3-4585-b008-e7f8a02972f2)) + (pin "A7" (uuid ee8273df-b5d3-4b62-86e0-7dd0c3ba9686)) + (pin "A8" (uuid 5210d88a-41ca-490b-ae7e-d48627fbf7c8)) + (pin "B3" (uuid 1a8674e9-3311-4cde-800f-f6b07b0d00a9)) + (pin "B4" (uuid df8b3f98-ee4e-4133-9043-135e5c9396d9)) + (pin "B5" (uuid b9601f71-272f-4423-94de-f3083623c9a1)) + (pin "B6" (uuid 3723b04c-8760-4049-b27e-12bc2f9fc0d8)) + (pin "B7" (uuid 4d8ecaae-6724-452f-ae0e-bd3f928d8fbd)) + (pin "C4" (uuid 7cc33ed9-a9f3-4563-b301-3697f0a2b8e2)) + (pin "C5" (uuid 58d75727-3d9c-4948-8e32-0d06fb48994a)) + (pin "C6" (uuid 80000499-a2ab-48d9-8274-4e4f15cd565b)) + (pin "C7" (uuid d9264da1-f846-4ba9-b593-b98ab7fec6df)) + (pin "D4" (uuid 89382263-4d6b-4e49-b842-f0d5051cc62e)) + (pin "D5" (uuid 0e678178-4f46-4823-9947-74d238dedb58)) + (pin "D6" (uuid ba977aef-2f0a-46a2-b86c-0747232a57fd)) + (pin "D7" (uuid 0202f5e2-6194-4d51-b600-c629904350b9)) + (pin "E4" (uuid 4db50de2-2c74-48ac-b58b-22b3b5f2da02)) + (pin "E5" (uuid e654114a-d497-405f-84fc-46896c2d3348)) + (pin "E6" (uuid c82468a2-a7ea-42e1-ab5b-652b95cb0c41)) + (pin "E7" (uuid 8a8126c9-92a3-4e1f-af77-92e2d30dccbd)) + (pin "F6" (uuid 1ec9fa6e-9ca1-4817-97cb-a68576db75a9)) + (pin "F7" (uuid 80e3bc3e-2df8-48ec-a74d-53b1dcd40759)) + (pin "A10" (uuid 10a404e3-2ac0-48b0-be22-dbd618db4aa6)) + (pin "A11" (uuid 746f4736-d9eb-48ae-ba75-a7f97b049f27)) + (pin "A12" (uuid a40493c3-4b7b-4e7e-8060-601a1f233b00)) + (pin "A13" (uuid 410873fd-0e9e-4c3b-80d8-3ba13317bcc0)) + (pin "A14" (uuid 2c74b966-26f0-4906-aaa1-3154d2d375e0)) + (pin "A15" (uuid 0ccbce04-4de2-4019-b8eb-334ed7cf5767)) + (pin "A9" (uuid 69c21212-b046-4d62-81fa-37f62ce52766)) + (pin "B10" (uuid f4b7b959-63ab-4f24-8c5a-6328ed585187)) + (pin "B11" (uuid 964bc8a6-da72-4dfb-8eb7-fc13cde1fcd3)) + (pin "B12" (uuid 6ac10d31-b1a9-4dcd-8d40-7202d51705ac)) + (pin "B13" (uuid 0ffba701-ed82-4f05-939a-3e23f1d33846)) + (pin "B14" (uuid c09bd4c2-cd25-41cb-b3cf-50d147594e15)) + (pin "B8" (uuid 22a20b9f-1dcc-4222-aa68-ca169735ef0a)) + (pin "B9" (uuid e0669327-6381-4d1e-a702-015c6246823f)) + (pin "C10" (uuid 9b4e2e88-70a4-45e2-a739-285a168325b2)) + (pin "C11" (uuid c1bba4de-ca15-4c75-be12-660db7986c2c)) + (pin "C12" (uuid 8d8bc1a1-278b-4a7e-933b-75b5030390e2)) + (pin "C13" (uuid 25e1ad5c-e11b-4c1e-832f-1b02a1958777)) + (pin "C8" (uuid 008f5f41-c6ec-4d57-8e68-8940463340d9)) + (pin "C9" (uuid 7df826b0-66fe-406f-83d7-47fb0d645c23)) + (pin "D10" (uuid bfcd7c59-b738-4328-9351-e469934bddf2)) + (pin "D11" (uuid 24c4c3f6-ec1e-49f2-8192-9f8644b43400)) + (pin "D12" (uuid 50fa217d-9949-4581-801a-2a15c00d6f3d)) + (pin "D13" (uuid 33d17601-01d2-4e19-bba1-a0913a1519a4)) + (pin "D8" (uuid 2290ed21-2f1b-4d14-9268-577ddf35a635)) + (pin "D9" (uuid 01556d9e-5b19-40a8-bebf-44b887514743)) + (pin "E10" (uuid 3c997b76-b124-49e5-967b-560f0ff1edfa)) + (pin "E11" (uuid 3f9efd2e-62b4-4cb7-b8f2-97f830be6621)) + (pin "E12" (uuid 2b0c7caf-7cd7-4f74-ac69-a00e0949eb66)) + (pin "E13" (uuid 61996d83-2131-4d7a-b057-d69683b8c421)) + (pin "E8" (uuid d33e25d6-070e-4e1c-8a6a-896323201ebd)) + (pin "E9" (uuid b9f12f06-a144-4bc4-bdff-e1f7c197c446)) + (pin "F10" (uuid b46cbbf3-6a55-425c-8cdd-db210521fd38)) + (pin "F11" (uuid e5333903-8af7-499d-8c50-3136f4df1b20)) + (pin "B15" (uuid 5a65627f-a790-42a2-b040-10529eaf3116)) + (pin "B16" (uuid 81d0bf47-0f80-470f-b6b1-81b7eddcd9ac)) + (pin "C14" (uuid da0bf92b-7ecb-4f46-8291-21d7eb325d4c)) + (pin "C15" (uuid de517567-3e71-414a-9cdd-6bae02d8a506)) + (pin "C16" (uuid 9f4e76b1-7fa6-42ce-97e3-e96edc09590c)) + (pin "D14" (uuid be76eb3c-6244-42e7-8509-eeb4c4ca4671)) + (pin "D16" (uuid a35d6628-3dea-4d12-8bb1-2b63d9767e9a)) + (pin "E14" (uuid bab86724-0780-45f8-a9ae-f412e9ef1d26)) + (pin "E15" (uuid cf95bb7b-881e-4760-b947-7f6e80397876)) + (pin "E16" (uuid 01a361db-b860-48fa-8338-ce0782cae9b2)) + (pin "F12" (uuid eabb8971-52ce-4948-8232-c5aee0e34c6a)) + (pin "F13" (uuid 1266eae1-a747-4883-b92f-f4c1f7c76b3f)) + (pin "F14" (uuid 6fe87ab5-a658-4a3c-8920-43e8136573d4)) + (pin "F15" (uuid f5b026af-94b2-4c66-8ec7-f4c1e76db16a)) + (pin "F16" (uuid b3231fa7-a268-44d9-9914-f647cd2341bc)) + (pin "G12" (uuid 04f33a16-7c3f-43f5-bb6d-27b3b714a68f)) + (pin "G13" (uuid 03df9b24-84a3-4e5f-9970-a83d9d14ccdf)) + (pin "G14" (uuid cf024bb4-606c-46b5-aad3-6ffbe9f05f54)) + (pin "G15" (uuid 06899f31-bf3c-498f-a469-73faae8b737e)) + (pin "G16" (uuid d4c2f05f-2324-473d-a70c-1ed172cf1ac0)) + (pin "H11" (uuid 87253c8c-3706-414d-badf-ba0065bd563f)) + (pin "H12" (uuid b7a36b99-089e-4355-8ba8-285b6f96cb82)) + (pin "H13" (uuid 3c45438d-6ab6-47bb-9b23-6904036c140d)) + (pin "H14" (uuid a0535fb8-c741-4e0f-88c8-174a1e2071c8)) + (pin "H15" (uuid d801773e-1243-4a60-a6c5-ea300e09ec42)) + (pin "J11" (uuid 29171348-d885-402e-bf16-861f7602d3c7)) + (pin "J12" (uuid 2f9240a2-4391-4d34-8bd1-3a21c0bdf2b7)) + (pin "J13" (uuid c24f4329-ef42-4eb8-b299-2d2a8730be9e)) + (pin "J14" (uuid 4946f41f-7f41-4b2b-ac87-aef5f94282e1)) + (pin "J15" (uuid 2bc68025-eab2-46d6-96ea-4f8aaa6dcacd)) + (pin "J16" (uuid 0599bb03-d148-4705-baa6-8275b3039587)) + (pin "K14" (uuid 60325dfd-050e-45d3-b4c0-b71772968c7c)) + (pin "K15" (uuid a670d1b3-278f-4d02-bb55-a06b2329426d)) + (pin "K16" (uuid ea6c0cf1-a8e6-4d1d-88ea-18ca74d7271e)) + (pin "K11" (uuid add06b3b-d431-4af2-8c08-9a958766eb00)) + (pin "K12" (uuid b6725a54-6c73-4c8f-9f4d-35bcfc042960)) + (pin "K13" (uuid c62937be-699b-4de4-96cf-b35e2bc85620)) + (pin "L11" (uuid 8e38d9c4-05ad-4e67-916d-826d1b6cb2cb)) + (pin "L12" (uuid e24cbbe2-b7fe-4fa7-894c-9955d3323982)) + (pin "L13" (uuid fc78390d-0d4c-4bb4-93f8-3904875c8c74)) + (pin "L14" (uuid cd031f78-4d61-4328-a545-560c3e66d689)) + (pin "L15" (uuid 0f0e0b58-d2d3-4b09-838b-12beeeec278d)) + (pin "L16" (uuid 3a1a715c-89ea-40ad-b7da-a54465fe30ea)) + (pin "M11" (uuid f3ff634b-f9e4-4c76-b944-aa83fd01a47f)) + (pin "M12" (uuid b60bdb88-b8ef-42bf-89b8-99ae8d7f7cde)) + (pin "M13" (uuid 7aa4bc33-9cdc-4396-8bb9-e669d1952cee)) + (pin "M14" (uuid d607c147-d9fd-49e1-b08f-84fd745c9621)) + (pin "M15" (uuid a908b380-04d9-4cdd-a2a1-f99fc0e886e8)) + (pin "M16" (uuid ad9f00c0-f8a0-45f6-bbc1-a2886395d75b)) + (pin "N11" (uuid 9df09ec0-1559-48bd-901a-a2f352a854d3)) + (pin "N12" (uuid 41cca97d-2a01-428e-bc69-194dbf5b2da6)) + (pin "N13" (uuid d217b94c-9d7a-4f36-9221-24f5c74a2e91)) + (pin "N14" (uuid 2360be9f-1fe4-4041-afe6-4fc49dcfdead)) + (pin "N16" (uuid 84a33afe-1a96-4574-b383-e628e98b078c)) + (pin "P11" (uuid 70e34538-9d6a-4bf8-bc68-1b1825c50e8b)) + (pin "P12" (uuid 20f2f563-89d5-48e1-819a-33b45699f819)) + (pin "P13" (uuid 3ee03d8f-0336-4714-b140-0dd764bcb436)) + (pin "P14" (uuid 9c409cd7-1096-41b2-b9ff-2878f7cc57e3)) + (pin "P15" (uuid 3db596a2-7ce5-442d-95b6-fc0afe9a620c)) + (pin "P16" (uuid 96beebc7-340d-4fb4-969b-aa917c2660d9)) + (pin "R12" (uuid f57f1fa3-1bca-4f76-ab01-c86702727f41)) + (pin "R13" (uuid b666a176-5ad2-4a5f-9e7c-f0df8d01e09a)) + (pin "R14" (uuid d1b983f6-3530-4cca-8a2a-66bacacab529)) + (pin "R15" (uuid 13300b2c-725f-47fb-b313-b88dab07405b)) + (pin "R16" (uuid 10744ee3-5705-40e3-b9c6-005fe91a5fba)) + (pin "T13" (uuid d6c0126c-60db-4cec-91b5-0d1588ef3396)) + (pin "T14" (uuid beb1d901-ec71-4f5d-ba57-4277d295e632)) + (pin "T15" (uuid 68508b97-e4cd-4b82-84c2-bcb324e03bc7)) + (pin "J6" (uuid f8517085-c1fb-4b76-9ab2-d9f1e1ac7357)) + (pin "J7" (uuid ee745f34-a730-41f1-a4a8-e48ba02038ac)) + (pin "K4" (uuid 4a692f23-f2a0-4f85-b7d4-a6634f542a55)) + (pin "K5" (uuid e4ba59b0-6d23-4fd5-848c-08234cc8dce5)) + (pin "L1" (uuid b3d2fb22-563b-48c6-8a1a-8c6669384f1b)) + (pin "L2" (uuid 78efc262-cd86-44a5-8367-7aff96c64a86)) + (pin "L3" (uuid 968687bd-7570-4fb0-b0e7-5793671b84a3)) + (pin "L4" (uuid 86e99123-2566-48bd-bbac-91c44dc5abd8)) + (pin "L5" (uuid c6e49a5f-254c-465b-bdd0-2ee7306f02a6)) + (pin "M1" (uuid c2e76170-3aed-4a4f-8485-5985f572b57b)) + (pin "M2" (uuid edebac60-7733-43ce-b74f-e9cae603a39a)) + (pin "M3" (uuid 8f47d80b-3a83-474e-8903-08fdc1e2979f)) + (pin "M4" (uuid 0b8515f8-c4f2-48c8-b1d7-3db7f1f5abe3)) + (pin "M5" (uuid d1a3400e-5ceb-4af5-9765-b0b587be24b2)) + (pin "M6" (uuid ff10f8a8-57d9-43d8-bab9-4de03a520e90)) + (pin "N1" (uuid d05d6bfd-be2a-403b-90e9-bf3e28bbcaa6)) + (pin "N3" (uuid 86ae6751-d145-42f6-8f6f-e60104938779)) + (pin "N4" (uuid 5c4b4abf-334d-4078-be58-610cad200d36)) + (pin "N5" (uuid 6b97d75d-cfe8-4035-9d80-d9b9bb571947)) + (pin "N6" (uuid c0ef0c19-370e-4daa-871b-b2560139ebda)) + (pin "P1" (uuid a6b748ca-14f8-4638-bf0d-9cf051f57ef7)) + (pin "P2" (uuid 445be05f-e013-4d05-8e5c-82f489af4f5f)) + (pin "P3" (uuid ef24ec94-448a-45e6-8a2b-27f84e454ffa)) + (pin "P4" (uuid 2b57c6ca-ec42-428c-adce-83d5abacf02e)) + (pin "P5" (uuid 99465c80-74d6-48e8-89f4-d8f5c168c063)) + (pin "P6" (uuid 99d36345-8a2b-42b7-96d9-834658683902)) + (pin "R1" (uuid 09d77fec-9464-45c0-92b3-010047a3bb53)) + (pin "R2" (uuid 8687b140-25f9-47e3-88bf-bcefd093490a)) + (pin "R3" (uuid c7b9ce9b-aca7-49ce-ace6-e5cd9cf27bd7)) + (pin "R4" (uuid ef1a00ac-78bf-4889-a066-d424ae06c020)) + (pin "R5" (uuid 42af7232-c9e1-4527-8735-8fec0cd76887)) + (pin "T2" (uuid 8af35171-d7ef-41a3-9d97-271976158207)) + (pin "T3" (uuid 28d85400-e539-4e4f-a2ae-878b145211e3)) + (pin "T4" (uuid f6b5d392-0390-44a2-b8aa-438fdf85e134)) + (pin "B1" (uuid c4dbeb68-63dd-4217-bd05-a92d699b97df)) + (pin "B2" (uuid 21355c6a-b734-4537-b0e6-d56261a532bc)) + (pin "C1" (uuid 4ab907bf-cfdd-4246-ad2c-665608326879)) + (pin "C2" (uuid 5b01c1ac-ffe8-4662-ba7a-38b47f3c0555)) + (pin "C3" (uuid 4879e89a-5e66-46d7-b447-d4ffba249eb7)) + (pin "D1" (uuid c5dd4324-0392-47a1-97a5-6e6b3bff5de3)) + (pin "D3" (uuid c4df1221-183f-42f2-88f7-8a502b8e869f)) + (pin "E1" (uuid f3c5f10c-0033-48b3-a158-9592ce979023)) + (pin "E2" (uuid e076a849-332a-4244-af69-b925ae8b0e51)) + (pin "E3" (uuid 98c66127-97c8-43aa-82d1-1e0cee346bac)) + (pin "F1" (uuid 1aae78eb-b509-4d06-bbf2-262dc1deb9f5)) + (pin "F2" (uuid a91cfd12-f51d-46c1-8452-2a18291682b1)) + (pin "F3" (uuid 3aa43ead-e60a-45e4-bbd1-ae9c252b8b98)) + (pin "F4" (uuid 9616d3c4-b4b4-4452-923d-7edb642bbe4d)) + (pin "F5" (uuid 9a99cf2b-ef52-411b-9e6c-ed9492da47d8)) + (pin "G1" (uuid 556ea9b6-ce81-4319-ba5f-7bbe0366d33e)) + (pin "G2" (uuid 3db4fa24-7091-4e79-943a-29142484d025)) + (pin "G3" (uuid d27c0350-cf82-42d1-ade7-6b7a3e68fe49)) + (pin "G4" (uuid 651a7ff5-6b84-4324-8a99-92634a1b7b21)) + (pin "G5" (uuid 9834c135-7b36-4def-a0e4-41d0716b09ff)) + (pin "H2" (uuid 3aacfffd-827e-40d7-b4b5-d69844d1dc77)) + (pin "H3" (uuid 75cdc1ad-146a-4853-807f-961498e2ca74)) + (pin "H4" (uuid 2ac129ac-8d0d-4f47-a0d5-2d91fd8f5c06)) + (pin "H5" (uuid 448a5df0-8449-4df8-bbe8-201fa2a4692c)) + (pin "H6" (uuid 35a230cc-6b62-45ed-9fb4-596d1bcb30dc)) + (pin "H7" (uuid dfce9b44-882f-40cb-b678-ee1f76c0c9b2)) + (pin "J1" (uuid e6a887f4-aae1-4d8a-90da-c3247088e4cd)) + (pin "J2" (uuid 8de64e91-0749-47c8-b029-7e48592a28c3)) + (pin "J3" (uuid 0cec4762-a96e-400f-8109-57742b332350)) + (pin "J4" (uuid d57cfc20-153e-4572-82b1-b9a553aa2ee9)) + (pin "J5" (uuid 64e3db1d-1b0a-4cb9-807c-451a872250b0)) + (pin "K1" (uuid 8687b3ce-30c2-453e-b467-3a2a199aeb39)) + (pin "K2" (uuid 51870e5a-d410-4dcc-b245-d4ba15ec61f0)) + (pin "K3" (uuid ac2eea21-bc46-4f76-af48-80a4e9566107)) + (pin "L6" (uuid 406ad6b7-fe5e-4f30-b5a2-5d83cd38fa22)) + (pin "M10" (uuid 2accf937-7867-4066-ace8-8417faf93201)) + (pin "M7" (uuid 2f93871c-22c9-4bad-b013-9000f3e7d79e)) + (pin "M8" (uuid 1b1409e6-df44-43ce-ac71-f019c12ba499)) + (pin "M9" (uuid 95939cac-5d47-40d0-b410-b04255e8c196)) + (pin "N10" (uuid 5ca38550-93db-4312-b77a-d883eda16917)) + (pin "N7" (uuid 3e218fdf-e323-4117-8e0d-ed1ef6d4ae61)) + (pin "N8" (uuid 06954ea5-45e4-40bf-b9e6-a47f2768a0dc)) + (pin "N9" (uuid 32d7a9cd-1b6a-452c-b670-27be6d669d1c)) + (pin "P10" (uuid c77c1a84-b3eb-4fa4-a19a-e253364abfae)) + (pin "P7" (uuid b48e6458-957f-4787-998f-631b749cb601)) + (pin "P8" (uuid 3fd26406-cb31-4c14-9468-aece01822b35)) + (pin "P9" (uuid c1681e3d-f8f5-42c8-81fe-161bc0f03af2)) + (pin "R10" (uuid a92b227c-bec1-4bb8-b50b-c3634180e8b1)) + (pin "R11" (uuid 2000413f-2adc-4840-8d3f-2f9b80b708fa)) + (pin "R6" (uuid d89a51c4-8899-4388-93c3-0d40529c723e)) + (pin "R7" (uuid 284cac74-89fa-4feb-8d1b-d206e64b2d81)) + (pin "R8" (uuid 8047dc86-9a28-44f6-9958-aa57c2af018a)) + (pin "R9" (uuid d660eb50-17fb-4d09-bdf4-f887488e2926)) + (pin "T10" (uuid cf1824b6-8b38-4a76-aba5-3645b11d9deb)) + (pin "T11" (uuid 1747f892-209d-4aee-8c0f-6b7dd4567adf)) + (pin "T6" (uuid 15bec0a1-6e74-480d-9b2f-befcf79eb94d)) + (pin "T7" (uuid 37a03bf7-01bd-440f-a749-fab017898465)) + (pin "T8" (uuid a412b349-a112-42cc-90fc-0087960707a7)) + (pin "T9" (uuid bb24092b-5fd6-45a1-bfe9-fb0e91964622)) + (pin "A1" (uuid 29560a75-1026-4c82-a18d-c16ab34e168e)) + (pin "A16" (uuid f00297a1-f114-4048-8bde-7c6f492d8cdf)) + (pin "D15" (uuid e96f99da-09cc-4e46-92fe-e1740c772438)) + (pin "D2" (uuid 02c2eeac-1d0d-4a16-843a-bbffa9aa31db)) + (pin "F8" (uuid 12071c8c-8a74-4489-8b8f-51332cbcba71)) + (pin "F9" (uuid 8a258c70-79a4-4163-8959-4f4c4d4ecf77)) + (pin "G10" (uuid 0ea7680e-3331-403f-919a-8f7444c6701f)) + (pin "G11" (uuid 0277712e-cf77-49f0-97a7-93c56769d3a1)) + (pin "G6" (uuid 0de49e30-07d6-40e2-8eff-36093d596231)) + (pin "G7" (uuid fb03ca77-8428-405c-8680-85a5a4247495)) + (pin "G8" (uuid f990719c-7326-49f0-8f6d-9dbb111ea0ba)) + (pin "G9" (uuid e4164405-8d88-4396-948f-86a894644dd5)) + (pin "H1" (uuid bc012761-2c28-43b9-9763-ad001633417d)) + (pin "H10" (uuid d679c136-61b8-467c-8170-9929f57fadd4)) + (pin "H16" (uuid 90735bd6-ab30-44ae-9c78-fd0a7190ba3a)) + (pin "H8" (uuid af855864-71c7-4191-ab09-c9197243dcaa)) + (pin "H9" (uuid 84301cb1-2133-4ba1-b02e-0c990e55664e)) + (pin "J10" (uuid bdc95936-899c-4222-88eb-473317762759)) + (pin "J8" (uuid 45729644-1844-4e6e-b5ae-3bfb1391f650)) + (pin "J9" (uuid 14c0bc28-9e9e-43c2-94a3-9375f6e026d1)) + (pin "K10" (uuid 2b691c8d-4c7c-44d4-b874-4d0f00cd32af)) + (pin "K6" (uuid ecb3ad79-7621-4998-8539-aa1aef7c7dae)) + (pin "K7" (uuid 347b28b1-87d5-4c6a-baa3-e771b09c4c3a)) + (pin "K8" (uuid b639dc40-5c1b-4a21-8b2c-29b02b95255e)) + (pin "K9" (uuid a0f3921f-bd1b-4fb7-a076-300f9186ce14)) + (pin "L10" (uuid 86a18269-7afc-466c-93ae-afc387ee2190)) + (pin "L7" (uuid 0817a319-bc86-4091-9d17-5b0251d34a74)) + (pin "L8" (uuid 02b410c1-cc2c-4009-b7a6-5b4ddfdd6567)) + (pin "L9" (uuid 1b919351-d629-427b-9ae6-de7585bb7620)) + (pin "N15" (uuid e8a522f9-4edb-42f6-9be8-bc5c20d98b4c)) + (pin "N2" (uuid 51da4125-aaf1-42bf-b455-0ad95742b608)) + (pin "T1" (uuid bd79eae9-374d-4067-add4-28ed66791b0d)) + (pin "T12" (uuid e4df8eee-3257-471d-91fa-43b63f6e3513)) + (pin "T16" (uuid 61006061-580a-4b8b-b8ac-a4f188597d73)) + (pin "T5" (uuid f8fb2876-92a6-43f0-9662-2ffb03eafce7)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "U3") (unit 7) + ) + ) + ) + ) + + (symbol (lib_id "power:+2V5") (at 86.36 148.59 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 84b25983-cf31-45aa-bdec-1df53c580050) + (property "Reference" "#PWR034" (at 86.36 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+2V5" (at 86.36 144.78 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 86.36 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 86.36 148.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a270a1e3-e84c-44f8-90ff-bc5472f55a91)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR034") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 184.15 31.75 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 87522e94-25c5-4c6c-a0be-b6e6b1520926) + (property "Reference" "#PWR030" (at 184.15 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 184.15 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 184.15 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 184.15 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f2dde8cf-07bf-4873-852f-7cef4bfcc523)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR030") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 46.99 153.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 8a79a4d2-efce-42f8-9e87-e3b25115b9d0) + (property "Reference" "#PWR027" (at 46.99 157.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 46.99 149.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 46.99 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid efbbc3df-375d-4d61-9611-4394b2116484)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR027") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_PLD:LFE5U-12F-BG256") (at 209.55 46.99 0) (unit 5) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 8fc5ed2d-7cd3-453a-ac97-3cff5d47ab6d) + (property "Reference" "U3" (at 214.63 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LFE5U-12F-BG256" (at 214.63 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Lattice_CABGA-256_14x14mm" (at 203.2 30.48 90) + (effects (font (size 1.27 1.27)) (justify right top) hide) + ) + (property "Datasheet" "http://www.latticesemi.com/-/media/LatticeSemi/Documents/DataSheets/ECP5/FPGA-DS-02012-1-9-ECP5-ECP5G-Family-Data-Sheet.ashx?document_id=50461" (at 209.55 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A2" (uuid 61068ae0-e886-43cf-8378-7fb22d23254e)) + (pin "A3" (uuid 7144337c-6b50-4e36-a743-73642661b7a4)) + (pin "A4" (uuid 3c4c1bb2-c099-40db-86e9-90e2479a60e5)) + (pin "A5" (uuid b805c3c9-b453-4729-8e24-ecdeecb15b4c)) + (pin "A6" (uuid c9b8aca9-9011-4d22-b6fa-13057101a733)) + (pin "A7" (uuid 3c496de4-cdce-41ef-ac6c-76b151ab8da8)) + (pin "A8" (uuid 61a46a01-1b18-44bf-b0c2-8a1f3b154772)) + (pin "B3" (uuid 6be846be-9249-4871-924b-b2cdb4928358)) + (pin "B4" (uuid 0b529f53-50c0-4580-86c4-38e39b8bafad)) + (pin "B5" (uuid 2bde8e62-c9af-4a4a-8a93-80109b248977)) + (pin "B6" (uuid 6c415bf0-60f2-4533-8dbf-02d5038fec0e)) + (pin "B7" (uuid e916bb01-1f26-421f-b513-9d4a063eb7d7)) + (pin "C4" (uuid 22d69356-f1bd-41f7-a115-ca11ef839ac3)) + (pin "C5" (uuid 06472b9b-94d3-4c2f-a0d4-f27537e7cc6c)) + (pin "C6" (uuid 2534c7e8-3458-4793-95ca-f486041eb355)) + (pin "C7" (uuid 3c9fefed-f214-48e1-84fe-166c7ba02e50)) + (pin "D4" (uuid 77e10fac-0fe1-46e5-a9b8-ff932fe35519)) + (pin "D5" (uuid bfcefa41-de2e-43a5-9ca1-cf0d7b28a395)) + (pin "D6" (uuid 902c0225-3a53-4451-a2b8-822fc881dde7)) + (pin "D7" (uuid c69bcfa5-4b6a-4187-9357-b75d5dce7389)) + (pin "E4" (uuid 2e57c262-bcea-4698-a0a3-1f743d8fa44f)) + (pin "E5" (uuid 301423c4-ae98-486b-a676-d8ba78f19909)) + (pin "E6" (uuid de47a41e-166b-43ef-bef6-10c82ed6b1cf)) + (pin "E7" (uuid ea8032c3-6567-4474-b014-3153beba421e)) + (pin "F6" (uuid c3d2c1a5-440e-4c20-aece-42b40583d1c2)) + (pin "F7" (uuid e6cd4a8f-c79e-4c18-a08e-17615d079a9a)) + (pin "A10" (uuid 01e3e864-84f2-4c06-937b-5bf90056f0c1)) + (pin "A11" (uuid 1cfb314c-3df2-461c-94e9-a7af591e4650)) + (pin "A12" (uuid e8d544a3-b701-49f8-9ee2-a4be18f29f88)) + (pin "A13" (uuid 35021bef-4ad1-4007-9fb6-623ce687b4ca)) + (pin "A14" (uuid b5bdd9c2-6e1b-4e7f-97fe-8b6a52532fa9)) + (pin "A15" (uuid 31717391-d75c-484f-b68b-7f4859eb94f5)) + (pin "A9" (uuid f8e86276-3b4d-4cc5-b30f-c2d3f050294b)) + (pin "B10" (uuid b2bcf161-2930-4dd6-ac74-d29e7f8dd077)) + (pin "B11" (uuid 337e6fb4-01ac-4ec1-a93b-3a4d52145899)) + (pin "B12" (uuid 4da3fde9-8ff9-4b87-9cde-02eec35ef214)) + (pin "B13" (uuid 3af53387-af01-48ef-bb3e-6b061863c226)) + (pin "B14" (uuid 5364d28a-dd7f-4a5e-9950-c47323ec5f88)) + (pin "B8" (uuid 97959bf3-4aa6-425e-92b1-ee837db6a47a)) + (pin "B9" (uuid 1cf940e4-ccfd-4f1b-96a5-76ed5b7d9795)) + (pin "C10" (uuid bdfadd11-104d-4026-86c6-48890c710cb0)) + (pin "C11" (uuid 9e9158e5-b61f-412b-8793-c071023c9108)) + (pin "C12" (uuid 6c419ad7-5ef9-4c9e-aa67-32e4ea73c835)) + (pin "C13" (uuid 55dfb880-0358-4499-af6b-0f33e2d206ee)) + (pin "C8" (uuid 0318deae-e75f-4a90-806c-50ce865071e3)) + (pin "C9" (uuid cb5cb1d5-7c7f-4ddb-b349-f298da20da1b)) + (pin "D10" (uuid 7e9a1fe4-46bf-4bb7-8105-d4b4ef2905d5)) + (pin "D11" (uuid d331e43d-197e-4f0c-b969-408f433ce1ab)) + (pin "D12" (uuid 3de8c316-af05-4f4f-af84-cec304b52348)) + (pin "D13" (uuid 05235a89-d69e-42a2-a0b3-6ea061c7beaa)) + (pin "D8" (uuid f9ab4e51-f403-43f2-8c34-2ee90100d2c9)) + (pin "D9" (uuid df60f733-65ea-491e-9a28-310e8b64dbbc)) + (pin "E10" (uuid ef0d5475-344a-49e0-80d6-f7bed2e434f2)) + (pin "E11" (uuid a0eaf002-f4ca-4eb2-8150-48aee681ee60)) + (pin "E12" (uuid d3e68266-4e31-4ad2-95ee-871a90c63089)) + (pin "E13" (uuid 60bf5ca7-3605-413a-8af4-8b16428bb6cc)) + (pin "E8" (uuid 1bd9e5d8-8c15-44d5-9cc3-edb3e62920d7)) + (pin "E9" (uuid abf83b77-307e-46c2-8d8a-6aabd8238b1e)) + (pin "F10" (uuid 3aa83d90-267a-4e64-9a88-66acd71cd8f1)) + (pin "F11" (uuid 74f799e5-6f99-4ec1-99c8-208f8b5452d0)) + (pin "B15" (uuid 60846888-b84b-4b09-b173-bd230f3f95ec)) + (pin "B16" (uuid bf13d822-661b-45fc-a597-b31b2d7df9f0)) + (pin "C14" (uuid 569b019e-7dcf-44fb-86d6-c760fbec935a)) + (pin "C15" (uuid c981ddad-b3cc-4731-a67b-e9767d01172c)) + (pin "C16" (uuid 1176f809-56e8-4a74-a34d-ca8c55491088)) + (pin "D14" (uuid 599fba6b-c5ae-4a7b-93eb-150d4eb29e2c)) + (pin "D16" (uuid 8e3c8186-4bbf-45fe-80ce-e84d0f4c09c1)) + (pin "E14" (uuid 94803382-3a0b-4bb6-b7b3-1fb23f71413d)) + (pin "E15" (uuid 3a6d906f-251c-4ebd-afdc-5f03ed34c589)) + (pin "E16" (uuid fe0d9e23-6e34-44f4-9aee-b650f364b211)) + (pin "F12" (uuid 427ef5f0-32d4-4e80-884d-0c741608c94a)) + (pin "F13" (uuid 013d84cc-a55d-420b-9e73-82d83d00f451)) + (pin "F14" (uuid e4bb9d7d-c943-4ec3-99a9-5bc207f9c782)) + (pin "F15" (uuid 4b5ebf83-73d3-4825-a283-077dcedd1145)) + (pin "F16" (uuid 07839e9f-1a61-4e18-a2fd-a02ddb47eada)) + (pin "G12" (uuid 5a5ae715-d671-4f7e-a788-0bb6eff9f0a7)) + (pin "G13" (uuid 6e06d275-3dde-4017-9b7f-8b8656168384)) + (pin "G14" (uuid cff3387f-ccdc-4e60-b82e-1f2ee511fced)) + (pin "G15" (uuid caec9129-65e6-4eab-82d9-81de92ddf754)) + (pin "G16" (uuid 117a7f29-42c2-44bb-b520-a7f37b331545)) + (pin "H11" (uuid 00a7540d-618a-404e-a3c3-68dd18dece11)) + (pin "H12" (uuid 8d3533a8-5fc7-457b-9aea-21d72fa0d4a1)) + (pin "H13" (uuid 61e35853-d9c3-4d24-bab1-e9230e2dc18e)) + (pin "H14" (uuid b16d9d8c-84e4-4807-badf-88db4e8d1266)) + (pin "H15" (uuid 352c9f27-0d6b-414f-96ae-e48032301c0a)) + (pin "J11" (uuid 4c8445bc-b88a-4e4c-8154-c1dbd95af55d)) + (pin "J12" (uuid 1007fada-cc1d-4728-87a7-cd6f0b50c9bc)) + (pin "J13" (uuid b7bdd360-b4e1-4e98-8f38-d3093038d448)) + (pin "J14" (uuid 1978518e-b559-4fbd-81b3-2d424f9320c5)) + (pin "J15" (uuid 38e852e6-40c8-4384-81f8-70fc75fd3b46)) + (pin "J16" (uuid ecbf3ddb-bd0a-4b8a-9ddb-46bdc28e87e9)) + (pin "K14" (uuid 477da61b-ca2d-443f-828c-d40f32928060)) + (pin "K15" (uuid c0251343-0c3b-4d5b-b5cc-663a129e5d53)) + (pin "K16" (uuid f2886dc4-a37a-44b5-8a75-95b40b957b7c)) + (pin "K11" (uuid 4527a5e6-901a-4f0f-ae0d-85adbb175e40)) + (pin "K12" (uuid 0191def8-ba45-4a52-a165-e71f95c0eb7a)) + (pin "K13" (uuid f5c05d27-0d65-417f-a5f9-9cfd5dbd302a)) + (pin "L11" (uuid 7cdcdc19-b352-4a7d-b37f-6f1920127b60)) + (pin "L12" (uuid a19d87c6-dd31-4ca0-843b-9ff5b2bd2193)) + (pin "L13" (uuid 15f22b7b-a2f5-4a86-b99b-4d4e9a73eb40)) + (pin "L14" (uuid f8548e5d-e8b8-44a3-bb19-79dbb47f5b84)) + (pin "L15" (uuid 3125348b-4c11-4752-aca9-b63d30bc8186)) + (pin "L16" (uuid 37bfd4f1-ef36-41e4-bbc8-bf90993e255b)) + (pin "M11" (uuid 373e57d4-adb8-4eaa-9de4-4e8fd1bfab40)) + (pin "M12" (uuid e818c0d5-1dcf-4c54-a005-99287c9fe314)) + (pin "M13" (uuid 401f89e8-f65c-4b33-99a6-ea8b85ab4003)) + (pin "M14" (uuid 704128ad-e346-48a7-bc2e-f8147efb4214)) + (pin "M15" (uuid d796aa56-09d4-4e9e-9c70-98d0b5685509)) + (pin "M16" (uuid d980ec5f-6559-4fb2-8e5c-3be0a7d92b33)) + (pin "N11" (uuid 4f7bd451-559c-4c6f-9c09-3a466d3baad2)) + (pin "N12" (uuid 5cdc7251-f475-4e06-8681-c875499e6e73)) + (pin "N13" (uuid f7251f84-456d-4592-ab0b-4581c4eb19ee)) + (pin "N14" (uuid ab44f3b8-71f9-43f9-91d6-1948b7037534)) + (pin "N16" (uuid d4407534-55d5-494f-93d5-5d9789c88cff)) + (pin "P11" (uuid 8ee75079-a0b1-48ea-9a75-b7df893948ef)) + (pin "P12" (uuid 707c7490-ec59-4dc0-81d9-f5bb346954fe)) + (pin "P13" (uuid 8190646c-3efc-47eb-95f3-d5e4ecfc1c74)) + (pin "P14" (uuid d18aa2d7-9cab-4d38-b0f5-af783f776005)) + (pin "P15" (uuid 55ad0a43-c6bc-4b03-b9f8-cee7e816a2c0)) + (pin "P16" (uuid a89d6db1-bb6d-4eed-b478-11cd997d50b8)) + (pin "R12" (uuid 39111a6c-37f4-4c54-b554-929762068bde)) + (pin "R13" (uuid 35b5a888-c2e6-40fc-b6db-79d5d3ad9e86)) + (pin "R14" (uuid 0f585b46-bbe4-4fc9-a254-86a0c04e45e9)) + (pin "R15" (uuid c2161ef4-1d00-4ee5-bfa9-8960ce8d34b3)) + (pin "R16" (uuid 88c58189-14b3-4fa7-aa86-45b69582cf15)) + (pin "T13" (uuid af480604-e035-4bff-ade5-a88350ba812b)) + (pin "T14" (uuid d8209ea5-ad58-4b07-9d8e-e93eebb5e323)) + (pin "T15" (uuid e8c76d5b-b7ab-4130-97c6-c6543a731e90)) + (pin "J6" (uuid 617b3e2d-2719-46c6-b675-62c616a88235)) + (pin "J7" (uuid 4f6ebeba-4b78-484a-a65a-c51b4ac2066f)) + (pin "K4" (uuid bdff3b75-717c-48ec-a72e-0074c70b4493)) + (pin "K5" (uuid cc75732d-5ddb-48e6-ad3d-104e93a5661c)) + (pin "L1" (uuid b5f6df4e-e1a6-4d4f-a939-3a91a70ff470)) + (pin "L2" (uuid 2934254f-66e8-46ed-a381-36231fd1432f)) + (pin "L3" (uuid d606be33-c8f1-4177-b77c-2714080f3e46)) + (pin "L4" (uuid 5943ab00-a6fe-4b28-8859-6edb73ff899f)) + (pin "L5" (uuid 2d8ac08e-5274-40be-8ee9-ca3df503e8f7)) + (pin "M1" (uuid 7dd4a938-6886-492c-9ba3-71c6bbe5b91f)) + (pin "M2" (uuid 640db0da-d67b-4acd-aead-6f52b17f2c30)) + (pin "M3" (uuid 8df1e372-dc05-4251-bac2-d46b7d0243e4)) + (pin "M4" (uuid 4be850dd-1164-4be8-bd59-1d7486c946ca)) + (pin "M5" (uuid bbbf6539-98ab-4191-8182-c6a7f683b06d)) + (pin "M6" (uuid ac70aa73-e8b0-4acf-85a5-9a22c0212bbb)) + (pin "N1" (uuid 4ea4beaa-1751-4b79-8263-f52d354ee4cb)) + (pin "N3" (uuid f51fed52-1488-495b-8517-635e6e9bf583)) + (pin "N4" (uuid cbab2c03-9d25-46cb-9d81-45b131e90352)) + (pin "N5" (uuid 8c85d1d8-e049-410f-a4f3-27c6be73d157)) + (pin "N6" (uuid 19ef92f8-a08d-40f1-a36d-ba9d979c0b40)) + (pin "P1" (uuid b2dbe541-702e-4bf2-bf94-404bce9046cb)) + (pin "P2" (uuid e2cbed09-8b61-40eb-b2a8-179d8d28a8d2)) + (pin "P3" (uuid 4800df46-8cc9-42c6-a19c-996bf83ef09a)) + (pin "P4" (uuid 0b8993db-095a-4356-b458-290eacb0731d)) + (pin "P5" (uuid 4fc7431c-a0fb-4437-9214-7e3b79c9b260)) + (pin "P6" (uuid 558c6440-a151-4488-8e78-8304d4d085d5)) + (pin "R1" (uuid 4fc0b67d-b536-4115-b7f4-f54a49e81751)) + (pin "R2" (uuid 65864b1b-4f49-4e40-8034-aa0893c1b40a)) + (pin "R3" (uuid fc64ea8d-f3d9-48af-ae36-57c42194101d)) + (pin "R4" (uuid b5eb0891-d780-4e3b-97db-c207b8564263)) + (pin "R5" (uuid 4afd2ae9-d3aa-4ef0-8ebb-444b074485b2)) + (pin "T2" (uuid 7622da25-7b77-4368-98fb-d4faeba54669)) + (pin "T3" (uuid dd9f1df3-f74d-412e-8666-c6ae64a6bf68)) + (pin "T4" (uuid 9f951a24-953d-4eb9-8f0c-c92b4f66c0f7)) + (pin "B1" (uuid 8ad34ae6-beac-4378-88ba-06a3f677c70a)) + (pin "B2" (uuid 8fcfcf8c-6cf8-419e-afc8-091016ef538d)) + (pin "C1" (uuid eb3cbd18-bfed-414b-b35e-89368005bc12)) + (pin "C2" (uuid fd5e2835-d364-4b0d-af1f-f9a84c8f2f09)) + (pin "C3" (uuid cda48200-9466-4068-87aa-5568d2634685)) + (pin "D1" (uuid efc50093-cf75-4ab5-9eac-c099b86c08f5)) + (pin "D3" (uuid 5c752f12-0e87-43d5-b13a-fb182c5550ac)) + (pin "E1" (uuid 60bb4ab6-8e2c-48b2-a263-284a67eb9192)) + (pin "E2" (uuid 6355f0d7-57c3-4d3b-87ce-40c52c3c5698)) + (pin "E3" (uuid 7b7b0869-be50-4480-9f64-f3828a6c5366)) + (pin "F1" (uuid 39dc4254-0ed9-4202-9206-1dacf8e00351)) + (pin "F2" (uuid ef331f5d-07c0-445c-a615-1359da0e0809)) + (pin "F3" (uuid be12bdd0-5be1-460d-aa66-6ed17984f7e2)) + (pin "F4" (uuid 7c481c71-0794-4f37-8039-2673e8347448)) + (pin "F5" (uuid 6efe4c5f-dab3-4539-8229-950740dd8f27)) + (pin "G1" (uuid e8bbd92b-e397-4faf-8394-3265a5472060)) + (pin "G2" (uuid de97023e-3644-4a86-bac4-997fc23323af)) + (pin "G3" (uuid 8ccb43f1-dbd2-4b8c-a744-879eb4bca099)) + (pin "G4" (uuid ea34eded-9bbc-4547-a1c0-cf8694ad9bc1)) + (pin "G5" (uuid 71db9bf7-78a0-40b1-82d1-666b1afd83c0)) + (pin "H2" (uuid d6d84b7f-c602-4c51-b966-fbb477242722)) + (pin "H3" (uuid 5c727c76-9047-47a9-a78c-42927b30769b)) + (pin "H4" (uuid 2660be64-21f5-43f7-a348-233e2c41ca91)) + (pin "H5" (uuid 7ebaa839-ebab-4f1d-9568-3fc867273f52)) + (pin "H6" (uuid 7b9ba58f-2a65-4ace-8230-17c407140b94)) + (pin "H7" (uuid d922aab9-9c9a-4db7-a666-bbbf709b6548)) + (pin "J1" (uuid 2b0f1e6e-8cbf-44e6-bc35-aeea3a197e7f)) + (pin "J2" (uuid 6a43b740-3468-4fa3-a493-cb767a111d09)) + (pin "J3" (uuid 9f942e50-e36b-4fea-9a17-7901eb972e77)) + (pin "J4" (uuid e523b66e-d495-44fa-9462-b032197d60f3)) + (pin "J5" (uuid 1a04ae32-dfb7-417f-bb1a-dd28945ccf6b)) + (pin "K1" (uuid 8f537a06-c407-45e9-89c9-70751b7ad222)) + (pin "K2" (uuid 154bffcd-5e42-4157-829f-f47048bb3ed3)) + (pin "K3" (uuid 8afbcfb9-15fd-466f-8722-af97edf2d015)) + (pin "L6" (uuid b3f539fc-d84f-4295-a956-0e89ee6a7113)) + (pin "M10" (uuid 9bacc792-cd55-426d-b9b7-d23d1b77d725)) + (pin "M7" (uuid 71c0f5c2-0c67-4bfe-a452-ed13dd5e98c7)) + (pin "M8" (uuid 1153ddab-f498-43e9-893c-cafe20fe6c10)) + (pin "M9" (uuid 24fd932a-672a-4ae3-a1c2-02786028d12d)) + (pin "N10" (uuid 9b904fe8-e290-460c-8d6f-066df7d90829)) + (pin "N7" (uuid 9bff3612-1eb9-4037-9a51-f22d577cceb5)) + (pin "N8" (uuid d8851de3-34f8-451f-a81b-dabf6345608f)) + (pin "N9" (uuid 553c975a-33c4-4750-bf3d-b38dc78194f9)) + (pin "P10" (uuid 8f9f1c4a-3c77-4e14-8c8a-d2bd840707b0)) + (pin "P7" (uuid be47490c-e0b3-436b-aa10-dca0119bfed1)) + (pin "P8" (uuid 296430f8-d371-4e5f-90dc-2ab2e1b24da7)) + (pin "P9" (uuid 6907f090-71d2-45a2-9ce1-0e3d90b50417)) + (pin "R10" (uuid 4d5cc9c6-cd46-4497-abd6-427b07aecbc4)) + (pin "R11" (uuid 46ed9ee5-254c-4027-b36c-876c8058c251)) + (pin "R6" (uuid 5c26bd92-be5a-4072-9484-bf76f6194399)) + (pin "R7" (uuid 037f45bd-986d-4043-9c17-f01b2d074178)) + (pin "R8" (uuid a83902f7-95bf-40e3-be28-99d5671229db)) + (pin "R9" (uuid 25eb05e3-26c0-41ac-b7b8-19edc1cc07f4)) + (pin "T10" (uuid 0d9dc76d-495b-4d59-9187-c63ff3b21d0c)) + (pin "T11" (uuid deb40903-5bf3-426e-bc13-4c99a831fca8)) + (pin "T6" (uuid d3e87e05-342f-487e-a165-8f74e1484dfe)) + (pin "T7" (uuid ab15447a-b903-4a29-8991-660ad7f664c5)) + (pin "T8" (uuid 157cf17d-f2db-40ab-8522-dd6f97dd72cb)) + (pin "T9" (uuid c73f7b7f-91ec-424f-bdb2-5dfe3432ad1f)) + (pin "A1" (uuid 0d6a1bc3-8bbd-44fe-891d-49e3c65abc6c)) + (pin "A16" (uuid 639c6438-b2c3-4c84-8b0d-a83d6e633f4e)) + (pin "D15" (uuid ca3a7795-dbed-432f-b319-3a21470d3adf)) + (pin "D2" (uuid 00e866a3-a66c-4151-83c3-dff128c4eb19)) + (pin "F8" (uuid aff6c3c2-27b9-4880-aa70-74ef920b7d06)) + (pin "F9" (uuid 7f5d6638-1846-463c-8ebc-590a8d892fcd)) + (pin "G10" (uuid 65924f04-9f94-4c50-9ef0-3b95998186c8)) + (pin "G11" (uuid 6c263b9f-83cb-4d4b-943a-606fb659361b)) + (pin "G6" (uuid 537a13ef-3d31-433c-8d0f-c1f7e494d73d)) + (pin "G7" (uuid a8a292bd-ab92-447e-954c-f5028f241b40)) + (pin "G8" (uuid d1580fe6-f9e7-4afa-97ec-6b71f8223bff)) + (pin "G9" (uuid f05e3fab-ae9e-4de0-b2ca-f0a803b1fa3b)) + (pin "H1" (uuid a715882b-67ed-4f6a-8119-98a6318cc7ca)) + (pin "H10" (uuid e1d54bb8-d28e-47e7-98a5-885d8ed0fbd0)) + (pin "H16" (uuid 2ffde4e9-ed65-4d57-ae85-ec0583a9d4e4)) + (pin "H8" (uuid a8c96c87-bf39-4771-ae23-3ae46a19c930)) + (pin "H9" (uuid cac90bf2-1f94-466e-9aff-17caf823f598)) + (pin "J10" (uuid 4556a92a-b766-40c7-ac8d-03fd974b6239)) + (pin "J8" (uuid 18243062-6554-497d-8a9f-61705556d82a)) + (pin "J9" (uuid 59e3389e-7c0f-4433-ab33-5dc763113477)) + (pin "K10" (uuid 0df00ff7-8031-4839-a673-8c3388fb2cfb)) + (pin "K6" (uuid 889127df-bd91-4663-8d32-c21ebce49b6d)) + (pin "K7" (uuid c5616865-de4f-47e8-b5e5-edd619d3b1cc)) + (pin "K8" (uuid 11c05093-bc19-4292-a52b-1b1c552ebeec)) + (pin "K9" (uuid 01173ac2-3735-4bf3-9931-222042dc538d)) + (pin "L10" (uuid dddb7caf-0a36-4e58-a9d9-1660c6e816f0)) + (pin "L7" (uuid 517f216f-a8e8-4b40-8720-9ffaa5fbbe61)) + (pin "L8" (uuid 4b6db534-8e0a-42f1-92a0-c5d4e1919277)) + (pin "L9" (uuid ba028db6-0c63-4b0d-925c-dabe61de1be8)) + (pin "N15" (uuid 56062f6b-6458-4b7b-aa21-4ce6ac60770b)) + (pin "N2" (uuid a60019ca-191f-4b25-9689-3f566c6a134e)) + (pin "T1" (uuid de6e8884-4968-4172-80e4-c470fb402a4b)) + (pin "T12" (uuid ef11d413-1698-4322-8dea-83318fabb183)) + (pin "T16" (uuid 7e37ca06-43f9-4afc-b724-b67c62c72005)) + (pin "T5" (uuid 9ee3dc05-40a0-49a9-baa0-455b9005ee65)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "U3") (unit 5) + ) + ) + ) + ) + + (symbol (lib_id "GW_PLD:LFE5U-12F-BG256") (at 259.08 46.99 0) (unit 6) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 9103b626-2112-4220-8d41-acd8a7ab04f7) + (property "Reference" "U3" (at 264.16 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LFE5U-12F-BG256" (at 264.16 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Lattice_CABGA-256_14x14mm" (at 252.73 30.48 90) + (effects (font (size 1.27 1.27)) (justify right top) hide) + ) + (property "Datasheet" "http://www.latticesemi.com/-/media/LatticeSemi/Documents/DataSheets/ECP5/FPGA-DS-02012-1-9-ECP5-ECP5G-Family-Data-Sheet.ashx?document_id=50461" (at 259.08 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A2" (uuid 67d76ded-5be9-4e0e-8878-246414d2d0db)) + (pin "A3" (uuid 380daa0f-e123-425b-b9d0-65ee263ac78a)) + (pin "A4" (uuid d4ac771a-d3ce-403a-a225-c76b66e267df)) + (pin "A5" (uuid ec833299-6ad9-4c37-ad02-86f2ca5bc8d3)) + (pin "A6" (uuid ba6c6e71-bda4-4f63-a956-9c635c3c12c8)) + (pin "A7" (uuid d5e94e20-370b-4cc5-98dd-8a5244625f5e)) + (pin "A8" (uuid 5a321b21-1a9d-41fa-9ddd-93f052b5a8df)) + (pin "B3" (uuid 484b9da9-97a8-49df-bd1b-4489a6ef5079)) + (pin "B4" (uuid 607d8206-a574-4d10-82b6-9b5578671467)) + (pin "B5" (uuid 387b68bd-084b-4460-b827-a41ed32fae28)) + (pin "B6" (uuid e5013ff3-45c9-4930-960f-a723a1b1f040)) + (pin "B7" (uuid fc5c77d7-4218-4eda-9497-c20a642bba56)) + (pin "C4" (uuid 8f862574-1ac1-48df-a50d-157e9181a19c)) + (pin "C5" (uuid 5c76acd8-2c92-4797-939e-c78de5c53792)) + (pin "C6" (uuid f23fa2d1-7579-4cec-b48b-b41f2388cc5f)) + (pin "C7" (uuid 62bb8df4-3297-4c6f-bf6d-964e95115aee)) + (pin "D4" (uuid dc59472a-5e53-4a1c-ad0f-1d7b379c5039)) + (pin "D5" (uuid 522b0263-d239-4e4d-85e8-3d6506dae3e5)) + (pin "D6" (uuid 97208d81-3250-4997-8628-1cf75c181ac5)) + (pin "D7" (uuid fad33f13-da36-4ff6-975f-bba74f0c275d)) + (pin "E4" (uuid 3449b81f-de7f-4186-899d-a66b98dfc362)) + (pin "E5" (uuid 538114f8-6c71-4a11-8015-8dd207bfdd21)) + (pin "E6" (uuid 6496d35f-16bc-4163-9f74-f1897bc9a667)) + (pin "E7" (uuid 3b078f1c-ac0c-492a-8767-45ba4cbc0cc1)) + (pin "F6" (uuid 1d9727d3-72d7-4d04-b8de-6064c2d60057)) + (pin "F7" (uuid e8726f57-c0b7-42e1-ad75-58ae2141308e)) + (pin "A10" (uuid d0d5390f-1a25-4b85-ad66-b866844d55f5)) + (pin "A11" (uuid 09c8beac-881f-4a91-b292-624c32e4c944)) + (pin "A12" (uuid 4157c55a-64bf-432e-a148-e9798d73c933)) + (pin "A13" (uuid 6325e4b5-595c-49e7-8909-2cbab51e3f7a)) + (pin "A14" (uuid 1dfc782e-c7ed-4b10-85ea-3752d176ae41)) + (pin "A15" (uuid b9a2cfa4-2ea2-48b9-8133-09d0573f4a9c)) + (pin "A9" (uuid d843c723-6b1c-4e1b-90db-18d7b01c47d0)) + (pin "B10" (uuid e8a8f8c3-ee91-4a9e-acdd-bd0fa99d8ecf)) + (pin "B11" (uuid dd5ed448-30f9-46b0-af38-083b74cafb6f)) + (pin "B12" (uuid 825fd8e4-32fa-44fc-b0ac-78311f6a69fe)) + (pin "B13" (uuid 842fc684-fb72-4031-be2f-c19264994bf9)) + (pin "B14" (uuid 0f046b0f-b89e-40de-93c9-151931600ffc)) + (pin "B8" (uuid aabf945d-e76c-4111-9096-dafb50dca718)) + (pin "B9" (uuid 7175206c-c9e7-4141-983e-90897cd7461c)) + (pin "C10" (uuid edcb5a51-b1ba-415b-8a14-91549e65d348)) + (pin "C11" (uuid f4a43810-a206-46c9-8a84-4d52b0b3e8dd)) + (pin "C12" (uuid 85e060d2-5fd9-46ae-8495-a3396ece2a4d)) + (pin "C13" (uuid c79d5ea0-886b-4955-8965-062b8a734ac4)) + (pin "C8" (uuid 8aedc4ef-7442-4d95-8014-0a633e172f26)) + (pin "C9" (uuid c16a1d82-9da2-4b0f-88b4-201f24732e67)) + (pin "D10" (uuid f83fe604-3462-4cfc-b56d-db24ec5a0e84)) + (pin "D11" (uuid 454806d6-d22b-456c-8523-a37c5333090b)) + (pin "D12" (uuid 0736bce4-26af-42f2-9762-34ae7811d468)) + (pin "D13" (uuid 0dfcf89c-62dc-4919-9052-b8dee1cd6ae1)) + (pin "D8" (uuid c7fcc543-9afa-4846-9610-36c20d746fc0)) + (pin "D9" (uuid 6c082c7a-c8a2-4cbf-959e-60127bcd1a03)) + (pin "E10" (uuid 488a6adc-13ad-485a-8472-a8319852608c)) + (pin "E11" (uuid 087e2551-ce30-421e-8e48-6f53f62688ee)) + (pin "E12" (uuid 3b11a49c-44ea-4dd4-bedf-3228f50a6d84)) + (pin "E13" (uuid 3b185bb5-9411-4ede-a553-2f3738a57a02)) + (pin "E8" (uuid 3c7d976b-a8db-4e2a-8e03-c4dad492b4e2)) + (pin "E9" (uuid b4f2b613-01dd-49a3-a544-40859a378c4e)) + (pin "F10" (uuid 59427559-d1ca-45ae-b3c5-abcc99156b99)) + (pin "F11" (uuid db77077e-f2ba-485c-a79d-48ddc846b788)) + (pin "B15" (uuid 78c0c52c-448e-461f-9e14-2fd09ed602cf)) + (pin "B16" (uuid c330668e-de06-4f7a-80af-f4b38c70076e)) + (pin "C14" (uuid 71654ee3-6e61-4b98-87ee-dbbcbb74de29)) + (pin "C15" (uuid 185289c6-87bf-47d9-afbe-2f12154b4523)) + (pin "C16" (uuid 7c5b5670-d01c-4691-95e2-f1e99a356429)) + (pin "D14" (uuid 8a23b3cb-66ce-4116-aa44-486d71dcc245)) + (pin "D16" (uuid 9216a2cf-6fc0-45a8-a569-e7f05abb0442)) + (pin "E14" (uuid df160c70-41c7-4ef1-90a8-51046f8a486e)) + (pin "E15" (uuid 318d8d33-bab2-457c-8955-adf4459c4e98)) + (pin "E16" (uuid 196933ae-1489-4276-8161-7637911236b5)) + (pin "F12" (uuid a99200f1-f0dd-488a-affb-8b896fcd79dd)) + (pin "F13" (uuid bb92249f-cdad-4ff8-8dc7-2669c0e5ab2a)) + (pin "F14" (uuid 18bfa9bb-8096-4b61-b05d-8fac43038fde)) + (pin "F15" (uuid 60378b92-b705-43a7-8a46-bbd8b3dae6bc)) + (pin "F16" (uuid 4fbc7770-5b55-404d-85db-e807cbe8a030)) + (pin "G12" (uuid 1bdad26d-ab02-4b1b-ae89-a715b4608a8e)) + (pin "G13" (uuid d344ba52-c005-43ae-a84c-a9ed68744a73)) + (pin "G14" (uuid 15c201c0-ad2d-4aed-911c-b864e2b922b8)) + (pin "G15" (uuid cfae8270-381f-41ab-9655-3dd8485d5379)) + (pin "G16" (uuid ad4b47fc-f42d-400f-9f0d-7dac9eb02c7d)) + (pin "H11" (uuid 87dfc943-be48-462d-b933-dae58af0127b)) + (pin "H12" (uuid 2c086a11-fc11-466b-98a5-f8c530a280c2)) + (pin "H13" (uuid 5a7fdcb4-ca51-48e4-83e7-44be76b56476)) + (pin "H14" (uuid 8899799b-0312-46a6-8a09-aa52c82ebdbf)) + (pin "H15" (uuid 573d1865-99c1-4368-a9e9-91cc416f8da5)) + (pin "J11" (uuid 3ad551d7-fcde-48a8-ac2a-9f95ae305303)) + (pin "J12" (uuid 18414f54-62a4-42c8-aa01-5a7340f24b1c)) + (pin "J13" (uuid 067f6ef4-75c8-4ac8-a19f-2062e332dd8e)) + (pin "J14" (uuid 61e48a1d-1453-49e3-91be-9dfe8967aced)) + (pin "J15" (uuid 06fa89c0-49e4-44e7-91e3-32c957de88a6)) + (pin "J16" (uuid a62875bd-6026-4054-8a21-c3cd3b58e02e)) + (pin "K14" (uuid fef7815a-2570-4146-bd84-69fec52dcf1b)) + (pin "K15" (uuid 69c97941-0004-46ee-8630-1c382ddc97c5)) + (pin "K16" (uuid ce0a63d9-8eeb-446b-b4e9-3be0ff2856a7)) + (pin "K11" (uuid ade8e435-d7c3-417e-8afb-45b7b49ff57c)) + (pin "K12" (uuid c96de310-595a-4b19-a2bf-832dd3792b4b)) + (pin "K13" (uuid 39ec90a7-5847-48eb-bd8c-83e174c38d68)) + (pin "L11" (uuid 3c43f128-b5a8-49f5-a461-447afb2d9a26)) + (pin "L12" (uuid 4ab6ee33-67c6-4c4b-bcbc-e801d5dd74a0)) + (pin "L13" (uuid e4646461-7bcd-4d55-aee2-4ffba40e143c)) + (pin "L14" (uuid 026d1df0-8de0-4062-868d-556cd9f8662b)) + (pin "L15" (uuid c9561bb5-a37b-4529-96f0-ff7ad75ad1db)) + (pin "L16" (uuid 990ea9c0-92a1-4dbc-b6df-ba55af96573c)) + (pin "M11" (uuid 507db893-1392-46ec-93f9-f8166d2ccc9f)) + (pin "M12" (uuid 239e14a5-ba73-4045-85eb-b3d3e0f5ac43)) + (pin "M13" (uuid 8d83ba2a-defe-4487-955b-f613ef4d332b)) + (pin "M14" (uuid 261546bf-38b7-4ab1-a5e8-65a81d5e156b)) + (pin "M15" (uuid b1d6d220-85ff-4dc8-853e-c80717142892)) + (pin "M16" (uuid af7d9be0-78a9-4494-af2a-bfe2a77fbf3d)) + (pin "N11" (uuid 06247cc4-636f-4c6d-9126-9b7e913b79d8)) + (pin "N12" (uuid 79a304e9-8ba3-4612-8155-ae98d0dead25)) + (pin "N13" (uuid 8ba89536-d4f4-4cf0-ab1b-0ef1bcca34c1)) + (pin "N14" (uuid 7725c6cc-fb1b-4882-ae2c-7cce12a85b67)) + (pin "N16" (uuid 4aa445d2-2b4b-4009-9c0b-8d253ff6f2a5)) + (pin "P11" (uuid 6f8c8054-27aa-4440-86cc-c0ffc17bb042)) + (pin "P12" (uuid 3fa89f66-6019-4e90-b68d-b30e78a3c4c3)) + (pin "P13" (uuid 283a9f0c-ae04-4f90-8c32-271cf142d6cb)) + (pin "P14" (uuid 292de844-e6a8-45d3-8973-298c589b236d)) + (pin "P15" (uuid d7d22608-2168-4688-b784-a0fd82ab0e45)) + (pin "P16" (uuid 34e62a86-3785-44d4-884a-4999af3b2de6)) + (pin "R12" (uuid f85b4fe8-ee1d-4d92-bb9e-bdad2c5e1c1e)) + (pin "R13" (uuid d2e0d70c-6742-42ed-84f9-6f41a9c20fce)) + (pin "R14" (uuid 02995267-17ab-449a-9dc6-31f26c30294f)) + (pin "R15" (uuid aab36f3c-6a54-4ef2-aa75-d085acb11ccd)) + (pin "R16" (uuid d1305441-c0cb-4fe0-81b7-bbfac5a22463)) + (pin "T13" (uuid 9baedbf4-ebdb-462d-a2d9-86a74aeb1934)) + (pin "T14" (uuid 487f61c0-1824-4eac-968c-ea3969cadaa2)) + (pin "T15" (uuid 5ffde966-5981-441d-ba68-54f8a0e5e376)) + (pin "J6" (uuid 841be2db-995e-43fe-814d-1064fc832fd4)) + (pin "J7" (uuid f6ba1a03-cf46-4315-ab01-47d913d4a1d5)) + (pin "K4" (uuid 72840e8f-7660-48fb-91e7-bb13f7f9243e)) + (pin "K5" (uuid f7828c7a-27f7-4022-8e6e-85ec0beed175)) + (pin "L1" (uuid dded9c0c-ed33-4a75-aba7-74b0bda65bcd)) + (pin "L2" (uuid 3c99fe09-2877-402c-8d33-e8cf2010cf67)) + (pin "L3" (uuid 1616bc63-8d1d-4620-a5c6-872e93d71d52)) + (pin "L4" (uuid d66aada2-d9cb-4d57-80de-e6e4e81364ec)) + (pin "L5" (uuid 843856fa-f7ef-42c2-9aae-19b8f0a84181)) + (pin "M1" (uuid 8bfb137e-e3ba-4152-bde1-d0691f9875dc)) + (pin "M2" (uuid 30d12938-68a9-4ff5-9b45-e0c324c8cb5a)) + (pin "M3" (uuid 3149448a-587c-4ebd-b3d8-a4d3c5391fcd)) + (pin "M4" (uuid 1a774503-efb5-4abb-8de9-93fc96538ad6)) + (pin "M5" (uuid a90b4ea4-6853-4609-afea-5080694d9926)) + (pin "M6" (uuid 614bcdfb-40a5-4803-931d-bd713333aada)) + (pin "N1" (uuid bd9dbe45-b94a-439b-96c4-6a70bd64d788)) + (pin "N3" (uuid 080eba59-1170-4715-8200-dee47491ad65)) + (pin "N4" (uuid 0fe6e33b-7bbb-4295-8d85-9e44a011d7ad)) + (pin "N5" (uuid 38e2434e-7d1d-438a-b2a5-375b63c4af2c)) + (pin "N6" (uuid f4bb6205-33a7-437f-a300-52771205eb05)) + (pin "P1" (uuid b120bc24-7e2c-48e9-ad18-f21f8ea7edb0)) + (pin "P2" (uuid 498c5e4f-e0f9-4c97-976d-48f8297e54f7)) + (pin "P3" (uuid 4fa84d32-b4c0-4061-bd51-f866c8f56793)) + (pin "P4" (uuid 610f38b5-245b-4463-9d06-11879e2bf8b9)) + (pin "P5" (uuid 620a9ce4-151e-435a-b634-4fe5032dd457)) + (pin "P6" (uuid 11256d32-f8d3-4d04-b772-fef69d4c4f7e)) + (pin "R1" (uuid 98da0315-5dbb-4995-8dbc-ebdc64ea5a57)) + (pin "R2" (uuid 706a2f81-4eb0-47c3-8a41-6da49a90e55c)) + (pin "R3" (uuid b58df453-4486-45e8-ac4a-f4076178366a)) + (pin "R4" (uuid 3540c65e-7615-45b9-b151-eaaa634f0513)) + (pin "R5" (uuid 501f2df9-7c0e-42af-bf00-287825c23f4c)) + (pin "T2" (uuid 8e3e980d-6cea-4a3b-8fed-a53f4d8d2886)) + (pin "T3" (uuid 374c4a8a-1d1c-4a4e-8ece-d40fb986f2c2)) + (pin "T4" (uuid 0eb99e1a-1af3-48d0-93d7-e025ede6278a)) + (pin "B1" (uuid c56acc12-bc1e-4460-aff4-642dbe0d5eed)) + (pin "B2" (uuid fe1d80f5-9b4e-43f3-9d48-f121ebe839e0)) + (pin "C1" (uuid 0c6e0fdd-6eb5-4c09-88f3-0a90c990e0ca)) + (pin "C2" (uuid 920b096d-9ac4-4edc-8ff2-cbe5683cb6a8)) + (pin "C3" (uuid b727af72-23f3-4d53-aef6-9bed0b95aa16)) + (pin "D1" (uuid ae60f457-cda1-4113-9cdf-0a9eda37dcfa)) + (pin "D3" (uuid d989f10d-b9a4-440b-b520-6016a6ef4ac5)) + (pin "E1" (uuid 0dafe37f-7f69-4a16-8c1e-f01c8cf26a0c)) + (pin "E2" (uuid 44753a26-e96b-492d-8537-9d1abca8695f)) + (pin "E3" (uuid 11293255-e220-42b7-93ae-d894335e35dd)) + (pin "F1" (uuid 2a030e05-3069-4ddd-bfd2-1cc3d0ae7dff)) + (pin "F2" (uuid 6f0323ff-5056-46b8-a111-4d62434d8c65)) + (pin "F3" (uuid 9a979240-c038-4fca-ab02-ff1defb18bca)) + (pin "F4" (uuid a9b51e1d-b314-4163-b98e-c9b735e598c5)) + (pin "F5" (uuid a1e394f1-66aa-45e1-a35f-6b8e26acbd4a)) + (pin "G1" (uuid 6e534164-6362-4b3d-8a0b-41014547aa01)) + (pin "G2" (uuid 154e2932-fb96-4067-8968-8f02be2e91a9)) + (pin "G3" (uuid 9314994e-ab3a-44c5-964f-dc2c2ddafe5c)) + (pin "G4" (uuid 599459bb-d65d-4049-93a7-e41296de2f85)) + (pin "G5" (uuid eb2f3e8e-e897-49b5-9999-0d6f2c643a64)) + (pin "H2" (uuid eabcb48c-2459-4f4f-aba7-18e1b05ccfaf)) + (pin "H3" (uuid cda4437b-5b5a-4c16-92b8-11d6b605aff6)) + (pin "H4" (uuid 23a8aa64-59d9-4c03-9be3-d731641956d2)) + (pin "H5" (uuid 0555404d-35cc-41f8-9711-f4afc061e1d6)) + (pin "H6" (uuid 0be9f92c-8bf6-467b-ac3a-0d45b4e624c4)) + (pin "H7" (uuid c7d00010-8902-4f89-943b-059755a972b6)) + (pin "J1" (uuid fcd59d3c-7705-4e0a-bb4a-5097f0b8077e)) + (pin "J2" (uuid f0421fbd-456d-48a7-bd33-e9d37643214c)) + (pin "J3" (uuid f590e0fd-12ce-4aa7-aa99-f2615c13fd0f)) + (pin "J4" (uuid 8c2134f9-2999-4af7-bee4-87677f506160)) + (pin "J5" (uuid fad4b7d5-6f16-4595-8d57-bbd0041ed96f)) + (pin "K1" (uuid a3eee991-c225-44de-b1b3-0735207b053f)) + (pin "K2" (uuid 22fd84ea-2f48-412f-9c7c-880bcbe237da)) + (pin "K3" (uuid 8819f6fc-d8ad-403b-b088-411c306c3792)) + (pin "L6" (uuid 22577af8-a433-455a-b2fd-9690d9e79aa6)) + (pin "M10" (uuid 46bab98f-c52b-4147-9819-2637e26e5b0c)) + (pin "M7" (uuid f53abc8c-eb89-4d0a-9eb4-28096778768c)) + (pin "M8" (uuid 9e389f6f-1f64-4cc9-9f0a-2b2921d4383c)) + (pin "M9" (uuid d3b0ed3f-0a16-4a34-a71d-f031904670fc)) + (pin "N10" (uuid cc0fd80a-ac30-4641-b47a-562d19e93a3b)) + (pin "N7" (uuid 72d3e21c-c7de-44b6-8496-bc76b2aee343)) + (pin "N8" (uuid e05b6fc3-d4f3-424b-8336-0f975b3ba96c)) + (pin "N9" (uuid b84ef40d-53a2-4129-84a9-0d32c2c131f1)) + (pin "P10" (uuid 2f14f0cd-3243-438f-a779-1b47b55cc3e2)) + (pin "P7" (uuid 8e1dd1b5-6566-4787-b60b-21e861923a90)) + (pin "P8" (uuid 0ce0245a-37c3-4c8b-be3c-e24e3c13ebec)) + (pin "P9" (uuid 2d6f42e5-6505-4ed1-986c-e0c5248104dc)) + (pin "R10" (uuid 2f85f95f-9c93-4f96-95bb-00355f1b73b5)) + (pin "R11" (uuid 807079d4-f582-447a-9762-9d2321033ffc)) + (pin "R6" (uuid a1b57e61-e7f3-482e-8ccb-b63e01b85856)) + (pin "R7" (uuid ce7a1e99-1ace-45d1-bfd4-81e86a0f1c30)) + (pin "R8" (uuid fde34bb7-dfc3-46cb-85b7-f3f9331bf2cb)) + (pin "R9" (uuid fe73c0f4-6fa7-43cf-bb56-c705245f6f2f)) + (pin "T10" (uuid 020bb831-afbe-4437-af59-dd8f565e4f8c)) + (pin "T11" (uuid 020284fd-0baa-48a0-bdaf-549209f5224f)) + (pin "T6" (uuid 784b8897-2335-4cc4-9d61-7a0c60354467)) + (pin "T7" (uuid a4cf1f8d-79a9-4e7b-b432-fcb57c6f7b24)) + (pin "T8" (uuid 246d261a-5ee9-4f1c-bcc7-dd85a0432be3)) + (pin "T9" (uuid 5b737274-7378-4ce7-be9b-e9127b8c1f10)) + (pin "A1" (uuid e0c31cc7-7a79-47a0-b57c-34372a641ceb)) + (pin "A16" (uuid 9fcac927-76c2-428f-b8f2-65c0972e9697)) + (pin "D15" (uuid 7ec7a542-6e4c-4052-936d-14f60d17f90d)) + (pin "D2" (uuid 2fc973a9-a24c-46e0-8378-14e4ba1955dc)) + (pin "F8" (uuid 15d9fc70-5004-4c0a-81bf-6e2e7731fe6f)) + (pin "F9" (uuid d9778df4-939e-4628-9ec0-67d54279c40e)) + (pin "G10" (uuid 57092117-81c0-426e-8ec5-5100e45f250a)) + (pin "G11" (uuid 3b1f6ff6-96d9-4c63-9229-55dfc81d24ce)) + (pin "G6" (uuid b335d865-3e7c-40fd-bbbd-c6dbdf1763ea)) + (pin "G7" (uuid 2f5c979a-16b8-49d3-b211-8cbfad89925d)) + (pin "G8" (uuid 30a2be58-42d4-479b-80f8-1b7d0d675157)) + (pin "G9" (uuid f0a67ea6-5b9a-429f-961e-441c0ceb2ef7)) + (pin "H1" (uuid c8b3e8c9-90de-4d61-8f6d-ebd5bb08c909)) + (pin "H10" (uuid b18c030d-2e31-44eb-a84e-01e06af0af96)) + (pin "H16" (uuid 2c4c2011-8820-4e79-9ed5-9f193746c53a)) + (pin "H8" (uuid 26829096-d257-425c-b84c-13cb3cab2328)) + (pin "H9" (uuid 8f09bc9b-a9cc-4132-a564-e48e841b591e)) + (pin "J10" (uuid 85afc641-a711-4618-8536-431875196732)) + (pin "J8" (uuid 991787a4-803b-409d-ae50-df6fcf574c3b)) + (pin "J9" (uuid dc15fdff-ee5b-4a2a-829f-3931ec7e4b18)) + (pin "K10" (uuid b593d107-dbc3-45ba-ac72-a43a7bd4af63)) + (pin "K6" (uuid 915eaabd-e19e-4148-b12f-a700e9e34b16)) + (pin "K7" (uuid e759cfa0-be31-4547-a8de-d8066116ba1a)) + (pin "K8" (uuid 3705c6f7-87a1-46cb-8b80-ce4a5fee7d37)) + (pin "K9" (uuid 53069e1d-7666-4c92-9eb2-56cd945a5ef5)) + (pin "L10" (uuid daed2ac2-c761-43d8-9bf9-b6575733d5e7)) + (pin "L7" (uuid e3ce7007-c60b-4d39-8ff6-ddf84d6b7882)) + (pin "L8" (uuid 6d3f4541-d931-4c19-a919-8f898cbd66ca)) + (pin "L9" (uuid 5ce5a129-c8d1-4a19-a7a5-25f434f21efc)) + (pin "N15" (uuid 72eee2bb-2fb5-4ec4-944f-e0bbeb3b4353)) + (pin "N2" (uuid 30d42a74-37b3-411f-8335-24c313012340)) + (pin "T1" (uuid ede81266-af6c-45f1-91d7-1e18faaf1889)) + (pin "T12" (uuid 2da46c58-0f61-4cfd-af2e-dd4a02efc89e)) + (pin "T16" (uuid 98bb895e-dc52-4926-884d-61aa0a1339e7)) + (pin "T5" (uuid d85638e2-6c4f-4829-bf2b-54e1f1280f5c)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "U3") (unit 6) + ) + ) + ) + ) + + (symbol (lib_id "GW_PLD:LFE5U-12F-BG256") (at 72.39 45.72 0) (unit 2) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 9a845b73-f18d-48c3-b4a1-5cb2cfde019e) + (property "Reference" "U3" (at 77.47 24.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LFE5U-12F-BG256" (at 77.47 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Lattice_CABGA-256_14x14mm" (at 66.04 29.21 90) + (effects (font (size 1.27 1.27)) (justify right top) hide) + ) + (property "Datasheet" "http://www.latticesemi.com/-/media/LatticeSemi/Documents/DataSheets/ECP5/FPGA-DS-02012-1-9-ECP5-ECP5G-Family-Data-Sheet.ashx?document_id=50461" (at 72.39 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A2" (uuid 34ca8977-8140-4432-9739-7e82a7ca5365)) + (pin "A3" (uuid 6e1e5131-7762-4e5c-93bf-185208d6bc41)) + (pin "A4" (uuid 76ab010e-2d10-43a6-98a9-11d8748ccf96)) + (pin "A5" (uuid f732dbdf-0437-4b8b-9f75-23e9117c1dc0)) + (pin "A6" (uuid 3584977e-f37e-4204-8171-23272fc0f499)) + (pin "A7" (uuid 3595b7e2-507f-4253-a612-e8ca2242b952)) + (pin "A8" (uuid a360c539-9f63-4ffd-b1d8-67859f5d6a5c)) + (pin "B3" (uuid 82ae3927-c5dc-49d7-abff-296d2b9e3ad6)) + (pin "B4" (uuid 0912d0a5-43df-45ab-a9ed-52e7a1904207)) + (pin "B5" (uuid 290d0ffb-d862-40b9-be5d-d59d0032d0ad)) + (pin "B6" (uuid 2a726625-b650-44d8-bd0a-e8728a7a9013)) + (pin "B7" (uuid 2fd23a4b-ec25-4ec8-aeae-305a08e53bfd)) + (pin "C4" (uuid a4d77980-0fc7-4c51-9741-090ff3f154b5)) + (pin "C5" (uuid 2411a502-41d4-444c-9807-ef5ac96733a0)) + (pin "C6" (uuid b43e3300-7ea2-45d5-82ba-554fcd9c5ac2)) + (pin "C7" (uuid 15e2e8f4-5276-47f5-9952-eab79d3db569)) + (pin "D4" (uuid a211da27-37fa-4808-bde0-12cf68a678d9)) + (pin "D5" (uuid aee6ca72-63b8-4027-a57a-7f48f3e995d8)) + (pin "D6" (uuid 70d4ab79-8277-4fe5-a5ce-72bc602ad5c2)) + (pin "D7" (uuid df130783-20f6-40ae-ac0d-128692ae9eaf)) + (pin "E4" (uuid faa4aefa-ea4e-4ffc-8cc4-bb0f5707281b)) + (pin "E5" (uuid b93e7751-538e-4148-b283-444fe17dcb71)) + (pin "E6" (uuid 082d00ce-1148-4d94-9e22-f935d740cafc)) + (pin "E7" (uuid 54f91e50-96bd-4e59-b73c-22f04fc0ff4e)) + (pin "F6" (uuid 9dc4cdd2-2181-4427-a07f-867a067d5a0a)) + (pin "F7" (uuid cbd5a2f4-f4e0-4558-97c9-4e82e291a25e)) + (pin "A10" (uuid e6bb0506-552d-467f-84e2-f80a16311bd0)) + (pin "A11" (uuid 4221d98f-cb3c-4ef5-a567-96ae7cee42bb)) + (pin "A12" (uuid 740b2cde-ece1-4902-913e-8da6d015c85c)) + (pin "A13" (uuid c83686db-47b7-447f-871f-8605fadd629c)) + (pin "A14" (uuid 933d0aa6-7aa5-466a-8d0a-3f62d36a4af0)) + (pin "A15" (uuid 380d86d6-ffb0-4718-ade7-fa1747362c24)) + (pin "A9" (uuid 5afaa344-88af-4e57-91b3-3d2cb40d2149)) + (pin "B10" (uuid 54001d55-ef76-4a0d-8317-1ddc8e6d6f14)) + (pin "B11" (uuid b9c473e0-78d3-414d-8f55-64fd1e60b4e0)) + (pin "B12" (uuid c5d2533a-1ec9-4fcb-84fb-27d228b3ad54)) + (pin "B13" (uuid 8d4cc467-8126-4422-84ee-b0a5491a49c6)) + (pin "B14" (uuid 3fbb4387-dc25-4900-842b-a2dcca1eaadf)) + (pin "B8" (uuid 01d683ae-006a-46ee-8eb4-3c3f3a73ea1a)) + (pin "B9" (uuid 02aa8e95-1367-446d-b20d-c22bdfc7585c)) + (pin "C10" (uuid aa079876-0aa9-4b49-a47c-82c9243ef2f4)) + (pin "C11" (uuid f6017988-4e4f-442b-bfec-063a4b3a4f9d)) + (pin "C12" (uuid d8498333-aa19-41bc-8f25-560d385547b3)) + (pin "C13" (uuid d36a31f9-1e45-405c-8e40-da17e1789b2f)) + (pin "C8" (uuid 840dd5be-0551-48ad-a8dc-1058e10282db)) + (pin "C9" (uuid c90bf0fa-c8d0-43f2-afc6-b56d11cb1e7a)) + (pin "D10" (uuid 8d3e54ff-9d8c-4138-8626-62b50b92f4e2)) + (pin "D11" (uuid e0bcf5d5-8930-416b-a0d2-ac69c53f9d97)) + (pin "D12" (uuid 2371fc19-962b-43d1-a9fa-d65d43014fbb)) + (pin "D13" (uuid 5ecd6b30-4b17-48b7-8f91-7eadca6486d2)) + (pin "D8" (uuid a7118006-fe04-4b59-8a7f-58949eeaaa35)) + (pin "D9" (uuid 973d3b16-c829-49d2-9096-233bf637d241)) + (pin "E10" (uuid 0ce9fe3d-f9f7-4f9e-b89a-7a3da1bbc629)) + (pin "E11" (uuid 6a6c506f-9253-4764-9b29-bd133d95ab62)) + (pin "E12" (uuid 9eb495cf-be14-4be0-9a68-f25421d7a3b1)) + (pin "E13" (uuid 8dd67934-aab6-46ad-bedb-767319c34472)) + (pin "E8" (uuid 3e0b0ea8-ce86-41a9-93cd-23c59df31c2b)) + (pin "E9" (uuid d2b9bb9f-090c-4bec-aafe-d552e464b248)) + (pin "F10" (uuid d86a1e2e-13f3-4a24-a615-2560f2df43d5)) + (pin "F11" (uuid 2de4d816-bac2-4d4d-ada4-a1b19d3e0b87)) + (pin "B15" (uuid 6f8d6fe0-cd25-4639-a977-71c20da744a3)) + (pin "B16" (uuid dea5473d-fd6a-4114-8260-ca36ac75e121)) + (pin "C14" (uuid 892e3c9a-dbb4-4123-b94c-88bb64c061e5)) + (pin "C15" (uuid 081a9d14-5aac-4dc7-8def-2b574b998a72)) + (pin "C16" (uuid 456096a7-ef31-4438-8544-a3389e87736d)) + (pin "D14" (uuid b415e794-a2d1-4d6c-9295-f0b126d551d2)) + (pin "D16" (uuid d42b0c75-6c94-4fe1-a7b7-3a7eb78374d2)) + (pin "E14" (uuid afe955bf-a9a6-4065-b963-82e45b248deb)) + (pin "E15" (uuid 98c13d3c-c0f7-43be-94a8-06e60c01d3e9)) + (pin "E16" (uuid 122c7aab-75e9-469a-b580-a9a56b862245)) + (pin "F12" (uuid 13e81a9d-fcd4-4cd9-ad67-59a01ead2505)) + (pin "F13" (uuid 04c7be5a-b51f-4835-8a87-c540a4bacea9)) + (pin "F14" (uuid 7ab42ea6-9c31-40af-afb2-d75d8a4b8916)) + (pin "F15" (uuid 2dc78d9c-860f-4ddd-a372-43facd4e1e65)) + (pin "F16" (uuid e3169eef-0c1f-4778-9781-1c5d1753e2c7)) + (pin "G12" (uuid cf333eca-0607-4542-8f35-3af254b0cc8c)) + (pin "G13" (uuid 4d5cc890-3d90-46a3-8a44-c3b545c868b5)) + (pin "G14" (uuid cb1ea5e4-9b36-47cb-b60d-064813f520f7)) + (pin "G15" (uuid af7fceb5-d5fe-47ea-8a4f-f8236c3828ca)) + (pin "G16" (uuid df2c24c8-c18f-4f34-8cf6-49b4c9007a4a)) + (pin "H11" (uuid d4597d2f-5871-4299-8ff4-3f3ebb26cbca)) + (pin "H12" (uuid dd937d7e-a19b-4ced-bf6e-07df7cf5d0a1)) + (pin "H13" (uuid 7f68fb6e-cadc-4109-8368-71fe7998da79)) + (pin "H14" (uuid 2eff721a-b748-4543-bec1-15761592e46c)) + (pin "H15" (uuid 51228723-d820-4084-b703-f479ad034ebd)) + (pin "J11" (uuid f3295117-151d-4872-bd1f-3941568dc2e6)) + (pin "J12" (uuid c7aa2dbf-b71d-4132-a860-7c36552e266b)) + (pin "J13" (uuid d02d5b42-7cce-47aa-996a-61d7317851eb)) + (pin "J14" (uuid 87b7f3a5-ce8e-4d37-b230-525164d9007d)) + (pin "J15" (uuid 3cd44a86-7a21-41f3-ba68-d8867ddd64b8)) + (pin "J16" (uuid 68dc2edb-0e07-46bb-a20f-5045bb546c15)) + (pin "K14" (uuid f2f5af99-5e67-43e3-b537-0b743d6f11d8)) + (pin "K15" (uuid daf1dab3-605b-4da3-b063-de531f493a84)) + (pin "K16" (uuid 01bc7bf7-10f5-47b7-902f-310f92e88cb5)) + (pin "K11" (uuid dc51132e-a4a6-4ab3-9fd3-e8ab2630e747)) + (pin "K12" (uuid 9e445cec-4078-42e9-9432-92ebe9bf03f4)) + (pin "K13" (uuid 4eb61961-5dcc-4f9e-9fb1-1f8c8e5f2cfe)) + (pin "L11" (uuid 5387a63f-7c2c-4b41-a793-8c1233ce2e53)) + (pin "L12" (uuid 3a795ee0-bf78-4d7a-9bd4-9b84d1e1c6e9)) + (pin "L13" (uuid 95918c30-01f3-40d6-a412-528020277d17)) + (pin "L14" (uuid 04a3e396-e299-4fe6-a6cb-c153ac2ba8ff)) + (pin "L15" (uuid b6fda951-aff4-45dc-b3fd-f7de3b1fcc38)) + (pin "L16" (uuid 762b446c-8be8-4366-90ec-6687c5fcee88)) + (pin "M11" (uuid 67a79dab-306a-49ae-b8df-6cef8970084d)) + (pin "M12" (uuid bc8822e5-0f00-4bf7-81f3-0130b056623c)) + (pin "M13" (uuid 46760f31-1cec-410c-8ac6-8d79cc210e91)) + (pin "M14" (uuid e7f46140-8f02-4f8d-888e-f21050220ba2)) + (pin "M15" (uuid 3a758488-d744-4a56-bca6-0c0bcbbeb01a)) + (pin "M16" (uuid 740a1fdc-43d7-4bb8-9eb5-926dfd6d17ea)) + (pin "N11" (uuid 4342bcce-78e2-4f0b-8bb8-d740a914926a)) + (pin "N12" (uuid 2d7e1823-a446-4b89-bb64-6dc9d91a358e)) + (pin "N13" (uuid 49a06166-8a02-41bb-9103-bc442577cac1)) + (pin "N14" (uuid 12ac5a1a-ee14-4970-a559-7e3610e4cb0d)) + (pin "N16" (uuid 19a44e7e-02ef-4d33-a49c-3797521cc4e4)) + (pin "P11" (uuid 93788054-6c97-442d-b042-16c7d733e3ec)) + (pin "P12" (uuid be1c58a0-f7b3-413f-8746-7cde9693bc69)) + (pin "P13" (uuid 4818c75a-6bef-4db8-a2a0-0e06d833dc15)) + (pin "P14" (uuid c95a0d9b-d1f0-46a7-afc1-114521a82e90)) + (pin "P15" (uuid 34b2b6eb-9e46-4cfa-a40b-b39fcbe0bfc8)) + (pin "P16" (uuid 089ea439-0fdb-498d-9e7a-36f84a36f319)) + (pin "R12" (uuid 43e806f3-afe8-442f-b084-cbea96df3836)) + (pin "R13" (uuid e08060c8-33d4-4499-aec9-4948e7d2cbfa)) + (pin "R14" (uuid 787ec3d8-03cb-4a63-8222-4882fa59730c)) + (pin "R15" (uuid 5ade9263-6f56-415c-9090-9bf7b02bffb5)) + (pin "R16" (uuid fae80b38-cbe7-45e1-9b63-7cec415fa02c)) + (pin "T13" (uuid 058fdb87-b742-4ecf-9108-8e9fd0447e71)) + (pin "T14" (uuid 5900520a-0745-4fb1-9ff1-6380cf7b76c3)) + (pin "T15" (uuid e0c216c8-31d7-49bf-ad06-e035c9027f59)) + (pin "J6" (uuid 4f9500d4-903f-4961-adcc-41f463dea129)) + (pin "J7" (uuid 9cf12e5b-95d2-45ae-8353-960c5b39edae)) + (pin "K4" (uuid de0ffed5-cf8d-4f83-b673-a54164f6c04f)) + (pin "K5" (uuid dfa2cc00-cc60-4881-8257-f557383223fb)) + (pin "L1" (uuid 8e3fa673-4cf1-48dd-af5e-8461ac3415ec)) + (pin "L2" (uuid 02a34b76-d84d-4d70-bf9d-e0a4314faa0a)) + (pin "L3" (uuid 8e3c4bd7-f1da-4854-912d-e6a77c89230c)) + (pin "L4" (uuid f811db7d-b569-4095-813c-b3fcd17adb7b)) + (pin "L5" (uuid a4caf65a-5b87-46de-be9a-afc726696c5f)) + (pin "M1" (uuid f0c14e79-02f6-4fca-8b4e-96c8dc9e5d80)) + (pin "M2" (uuid 36afcf0f-0dfc-4366-a5f9-9233f03e1917)) + (pin "M3" (uuid ba902c94-e7a3-496c-8976-3f549c3cda5f)) + (pin "M4" (uuid ca856321-cf12-4bf6-8fd7-64c5d468a761)) + (pin "M5" (uuid b4de351c-3871-451c-a6b5-0bd11e46c241)) + (pin "M6" (uuid c95a41f6-0cc5-492d-aaff-27c5b31d041e)) + (pin "N1" (uuid b50bb783-c548-4dd2-9422-0adb729ba2c9)) + (pin "N3" (uuid b42224a0-69d9-48a7-a5b0-ebf84bc3d1b1)) + (pin "N4" (uuid cce22657-82e9-4dcb-8f6e-3e6e5aeeda74)) + (pin "N5" (uuid 41e78924-d47b-4018-ad04-e902e518681e)) + (pin "N6" (uuid f2c00a2f-9c19-47b9-9f19-84a8ee68f150)) + (pin "P1" (uuid 77c50a53-a683-4af3-8a85-b30af350a3c3)) + (pin "P2" (uuid 7b1390fc-b9b3-4d42-aef6-7ecc2ad8b0cf)) + (pin "P3" (uuid e4c4945f-d1a4-47e7-ba8b-2cdf23fa2fa4)) + (pin "P4" (uuid 96e61ae9-bb61-44f7-b284-bfb734cba0bd)) + (pin "P5" (uuid eba54f31-2a9d-4df9-aa09-31c9c42b295d)) + (pin "P6" (uuid e3cdd55e-2420-433d-9d9c-93dffda89ad4)) + (pin "R1" (uuid 2b546a19-ebbc-4737-b5b7-d0012d7f625c)) + (pin "R2" (uuid b67e18aa-7944-4812-9543-d4b472d19a1f)) + (pin "R3" (uuid 2934b727-af9c-485e-aa4b-97258cde9023)) + (pin "R4" (uuid 93036f50-02f8-4a1f-9e95-8838d811fe47)) + (pin "R5" (uuid 90332aa2-b851-40c6-b3a0-60d1e9fe39b1)) + (pin "T2" (uuid 360d8b0d-0cd2-4fe2-b6a8-2802580d40a4)) + (pin "T3" (uuid f7315b59-7a9d-4000-adce-197cc118e76f)) + (pin "T4" (uuid 4c4154b5-5f00-47be-870d-802ee03c1441)) + (pin "B1" (uuid d59973d7-9c95-4370-ad0b-80a86e5bdcf4)) + (pin "B2" (uuid 1a603337-fb4a-4eea-8bf1-0aca15d6e4d2)) + (pin "C1" (uuid 27c91c38-83ef-4873-b685-a5273012ff6d)) + (pin "C2" (uuid e6c364e7-1dc2-4d92-be17-f047c9d456c4)) + (pin "C3" (uuid 51274380-2367-4d43-94fe-9e0d5c1d1d4e)) + (pin "D1" (uuid e81bec90-f82d-473d-8d15-cc174a09199f)) + (pin "D3" (uuid eecd1405-17a4-4d0b-a2d8-bc354a68212d)) + (pin "E1" (uuid 2de2d000-5f62-46ce-b6c7-fe0eec10e960)) + (pin "E2" (uuid cca08dc0-76c0-4574-a5a9-88ef2f9208b2)) + (pin "E3" (uuid b47d31a3-401f-412f-b807-9ad78e373268)) + (pin "F1" (uuid 08174888-9c63-41de-9513-fa2bb05c1da9)) + (pin "F2" (uuid e70ec73f-4722-4fed-b0b8-d151a06ab989)) + (pin "F3" (uuid 909b6da7-363f-4318-982a-63f96854f802)) + (pin "F4" (uuid a38774a4-dc12-4002-833b-520e9aeb4d0a)) + (pin "F5" (uuid b9f765f8-6632-44a1-a87c-42255fb49967)) + (pin "G1" (uuid b6da5169-b516-4ef6-b5e1-b648eab35ab9)) + (pin "G2" (uuid af33b9fb-c3e3-4809-a087-1d372b7b2ac4)) + (pin "G3" (uuid e8d42e2e-0237-44a3-bcca-8816e6cc1aba)) + (pin "G4" (uuid 7b193191-ce3b-474d-b6a4-e3b1c541177b)) + (pin "G5" (uuid 5bd020e6-7ca1-4fa5-8a34-19ce2a7baac4)) + (pin "H2" (uuid de8c6e56-e2ad-41af-b891-ff3d75ac8b0b)) + (pin "H3" (uuid 22fd1f87-e71f-45b5-9110-56492d05f88c)) + (pin "H4" (uuid db4a6548-34cc-4203-bd23-6b3b234dc441)) + (pin "H5" (uuid e572e987-f0de-4926-8256-c5485e002070)) + (pin "H6" (uuid 75327e50-55c4-4934-b2f0-ef3087e08c5d)) + (pin "H7" (uuid 6bb736a7-5935-4c4e-ab4f-42c6e3d5fc2d)) + (pin "J1" (uuid 9bfde606-1f8c-4cde-afd6-84a15d09eb44)) + (pin "J2" (uuid 386a3e1f-0ee5-4b88-8481-9dfe7a7ee1df)) + (pin "J3" (uuid 69bd3902-ef92-4d18-ad47-be282b285bb9)) + (pin "J4" (uuid b780b6ee-9bbf-46b7-98b9-9257d7abbb1e)) + (pin "J5" (uuid 3659551f-6d3f-435d-ae9b-91f8c93c9cd1)) + (pin "K1" (uuid 069f2857-1292-4579-8dcf-a4e33ab3023d)) + (pin "K2" (uuid c1606776-6282-4599-a9e8-88315988b26d)) + (pin "K3" (uuid d0fed3d7-0069-4428-b5b0-ba9a8378f451)) + (pin "L6" (uuid 26dc80d4-d349-4db1-83a1-b0687f646700)) + (pin "M10" (uuid b64d1007-4d98-4248-92ac-8e583f0a68f4)) + (pin "M7" (uuid 1f014a66-de83-4c95-aea8-d3693deaac8b)) + (pin "M8" (uuid 14e63d17-c641-41d3-9f15-9f0b7096c101)) + (pin "M9" (uuid 177dda16-a08a-469f-8a63-64949576496f)) + (pin "N10" (uuid 8fcecd31-e219-4324-9e21-8c51e77d1f2c)) + (pin "N7" (uuid 0d3fe185-df25-4042-a463-68f7b2457ca9)) + (pin "N8" (uuid 14eb72ee-8585-4a83-b955-7b690a4e76fb)) + (pin "N9" (uuid 0525fac7-66c4-4fc7-8c5c-7f1b9892199f)) + (pin "P10" (uuid 676d024d-e631-4568-8aa7-b70812d11e07)) + (pin "P7" (uuid e83088ea-2c21-4aa6-a802-77c9c86c171e)) + (pin "P8" (uuid 8989965f-b5ad-4470-a69b-3eec2bbf09b0)) + (pin "P9" (uuid b8b1a661-d846-4ce5-81db-d74c87d082bd)) + (pin "R10" (uuid f2f32fb1-28cd-4076-8742-2ca39f9bf4af)) + (pin "R11" (uuid 94a059d2-a616-46be-851e-e93a7af60834)) + (pin "R6" (uuid 4f883b89-b046-447d-8d88-d5e822f7152e)) + (pin "R7" (uuid 4ab8cb1d-e749-4c20-8d3b-f543071f52d6)) + (pin "R8" (uuid 73b3437d-686b-45f1-ba27-febee0853a09)) + (pin "R9" (uuid 60834197-f9a1-4e81-bd1a-0a48ffd085aa)) + (pin "T10" (uuid 20fe328a-6961-4300-921e-6bd806518636)) + (pin "T11" (uuid 4686f644-a19a-4a65-b7fb-62e0a8f13b0a)) + (pin "T6" (uuid 279f38d6-0b55-456e-b031-afb0f6bc9600)) + (pin "T7" (uuid c226bb8e-841f-48f2-9fab-93d9c76566be)) + (pin "T8" (uuid 5ed8751c-d3f8-4656-ab70-193090315a42)) + (pin "T9" (uuid 503e4f81-497d-4888-80dc-1055f9bb59c2)) + (pin "A1" (uuid 3afddbf8-c764-4961-b2aa-93fc15d487c2)) + (pin "A16" (uuid 151b9129-a365-473c-a639-9b6bf7260a2d)) + (pin "D15" (uuid 3668b255-69cf-49ef-beb7-e56a1f053a34)) + (pin "D2" (uuid 9a134245-6439-4291-afe8-7e1d0be8185b)) + (pin "F8" (uuid 0c8ec0ec-4546-4f8b-ada1-dfd10dcdfaf7)) + (pin "F9" (uuid dbe44747-1dcb-4346-bcf3-04603a92028b)) + (pin "G10" (uuid 5a2f36db-5df5-49d7-a022-bd5304ae37f2)) + (pin "G11" (uuid 4e00a223-faa1-4597-a174-fb8d82d27f58)) + (pin "G6" (uuid d6c0f077-fb5e-4221-82e9-e505daa51c98)) + (pin "G7" (uuid e6dc01a0-f0df-43b0-bb84-2ec795d9faed)) + (pin "G8" (uuid 6fc918c9-3959-44a7-9c4b-e7bfb85ec092)) + (pin "G9" (uuid 4a98aeec-d333-425a-a1f1-9c3d6ed7d883)) + (pin "H1" (uuid 9aa7ba88-263c-46ef-ad13-c06ccac71b6d)) + (pin "H10" (uuid 9f28e2b3-7984-4fa7-8091-3dc15d16dc87)) + (pin "H16" (uuid 563bab9c-b9dc-416d-b869-ca7fd278d0b9)) + (pin "H8" (uuid c946a9da-bb59-456d-9f4b-ef0e8dc66db4)) + (pin "H9" (uuid a6a9c6d2-7256-4f7d-b552-c58de4c37a5b)) + (pin "J10" (uuid a4ac6ec8-b8ce-4c5c-aa32-b66bd47b069e)) + (pin "J8" (uuid 80f00369-1e96-44ff-b791-daca27466a8e)) + (pin "J9" (uuid 056d6d9d-da7f-4e8e-aaf3-3fafda2b0966)) + (pin "K10" (uuid ca7860a1-b627-4652-be33-7c360cdf563e)) + (pin "K6" (uuid 7f2d484d-eb17-4ce1-b7ce-99e36ff99840)) + (pin "K7" (uuid a3cd3cca-92d5-4a8e-bda9-8accd96309bb)) + (pin "K8" (uuid 719a2761-0e92-4d8a-9da5-4d45f7f10a7a)) + (pin "K9" (uuid 3d440b53-1675-4c6e-83ec-7f0714e4ec84)) + (pin "L10" (uuid dd41755e-6442-456d-af33-77aa753b7aac)) + (pin "L7" (uuid 16be90e7-e0f0-4455-b4fe-55e3f6a8e8d1)) + (pin "L8" (uuid 589b1ba5-f22d-43b9-bb9d-28856913b237)) + (pin "L9" (uuid 83cb2a5e-abd0-49cf-873e-462023de28e2)) + (pin "N15" (uuid 79fb17be-643c-4c6f-bcbd-8346803c73f9)) + (pin "N2" (uuid 49402654-8e16-40bf-8f79-3bcc93fcead7)) + (pin "T1" (uuid 9dc2fc87-7193-4ef4-aa8a-7c8b7ab685de)) + (pin "T12" (uuid f02b9126-ba5e-486a-853d-7e204b11be53)) + (pin "T16" (uuid f8e43a07-daff-411d-9bc5-9e8a4228534e)) + (pin "T5" (uuid 3731e693-53ae-46a7-988e-e07cf11ad15d)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "U3") (unit 2) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 86.36 240.03 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b4ba05d5-417a-48a7-996e-d633cdc2f881) + (property "Reference" "#PWR036" (at 86.36 246.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 86.36 243.84 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 86.36 240.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 86.36 240.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7488b533-3f34-4d5a-a74b-bf2ca0defe96)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR036") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V1") (at 86.36 156.21 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid b99d0f3a-a208-4665-a8d2-202570514838) + (property "Reference" "#PWR035" (at 86.36 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V1" (at 86.36 152.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 86.36 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 86.36 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 95b1af75-62b4-4b74-86a4-418ae849e3c2)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR035") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_PLD:LFE5U-12F-BG256") (at 166.37 46.99 0) (unit 4) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid c2363bc7-e6f5-42fb-811d-400e45b7776b) + (property "Reference" "U3" (at 171.45 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LFE5U-12F-BG256" (at 171.45 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Lattice_CABGA-256_14x14mm" (at 160.02 30.48 90) + (effects (font (size 1.27 1.27)) (justify right top) hide) + ) + (property "Datasheet" "http://www.latticesemi.com/-/media/LatticeSemi/Documents/DataSheets/ECP5/FPGA-DS-02012-1-9-ECP5-ECP5G-Family-Data-Sheet.ashx?document_id=50461" (at 166.37 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A2" (uuid 802aa36a-b289-4406-96fc-d27dcd21aa2a)) + (pin "A3" (uuid b2b71836-f8e9-4e9c-9723-5d55ed652ae9)) + (pin "A4" (uuid 086d91d2-2aaf-4c71-bc0b-9a825b48ba64)) + (pin "A5" (uuid 8369776c-94d6-4f39-a03c-b3fe73676f67)) + (pin "A6" (uuid 8e0d81b4-508c-4e82-b42e-c6a552c248c7)) + (pin "A7" (uuid d50491cd-b3ff-49cb-82d7-e03cca71c81f)) + (pin "A8" (uuid 78b2977a-5828-4571-a32b-dc17232e4ed1)) + (pin "B3" (uuid 849a9708-2b0b-418f-9835-06e71685ace0)) + (pin "B4" (uuid af092f9b-e4d8-4f8a-9611-159469df32e3)) + (pin "B5" (uuid 3420a3f8-2f1d-446a-a8de-a4fad3e4d2f8)) + (pin "B6" (uuid 35231130-76ff-4b45-ac89-05e443913647)) + (pin "B7" (uuid c75faaef-1b2e-4b8f-93ab-df6da8948275)) + (pin "C4" (uuid bff6d1aa-dac0-45a1-85b6-0a75aa6a7487)) + (pin "C5" (uuid bbef1698-1366-4858-9311-8ebddc45f439)) + (pin "C6" (uuid 2e67bccf-7bf2-4b83-80cc-fc4b3208ef0b)) + (pin "C7" (uuid 9c6e28d0-f0b0-49ce-8fae-1acfb2678e5b)) + (pin "D4" (uuid 5c7c3cb6-54bd-4a2f-95fe-fe14ec9f17f5)) + (pin "D5" (uuid 1822178f-d630-4ca9-a27f-f0c9cc61065c)) + (pin "D6" (uuid 3b3aab5c-07a7-4f07-b6e3-1b2449ed06c0)) + (pin "D7" (uuid 430a7fb7-ea30-4bd6-aeed-e4f70793faf3)) + (pin "E4" (uuid c39f93f8-c248-4f24-9b36-35ff546f6216)) + (pin "E5" (uuid 88461a88-2439-415f-8ce0-a8bcdb1c14c2)) + (pin "E6" (uuid 0d98090b-887c-47a8-b4a7-ffaf74175d2d)) + (pin "E7" (uuid 7676a2fe-5bdc-4ef6-8157-db416ee26564)) + (pin "F6" (uuid 79ddb435-860c-45fd-9369-38c9313cc840)) + (pin "F7" (uuid e51e2ecc-6573-4f0b-b431-1fea9b55b712)) + (pin "A10" (uuid 11a87bb5-8265-4f92-9db0-1d47f8afc30b)) + (pin "A11" (uuid b8108b95-d4a8-4770-8438-e78904944ef2)) + (pin "A12" (uuid 9debf8de-aaff-4ac0-a1ea-9073ee462e85)) + (pin "A13" (uuid c08d6756-8872-4d0b-a4f7-ff72017b5347)) + (pin "A14" (uuid 3fc2d3e9-8009-4009-9947-63f2d8661a24)) + (pin "A15" (uuid fd6023a3-5b49-4187-87f3-3a685c6005bf)) + (pin "A9" (uuid d7926bd6-8f9c-42d0-a835-49a140d5cf86)) + (pin "B10" (uuid eff4a532-0285-45d6-a858-6066bd03aa64)) + (pin "B11" (uuid 17edf6ea-bd58-486a-802f-5a7e05678dc4)) + (pin "B12" (uuid 47842c81-fc13-4283-9ddc-213e9a3a8dba)) + (pin "B13" (uuid 0a126f74-fb9c-4c71-9ed8-73d66bb9b7de)) + (pin "B14" (uuid 47319e06-f20a-47c3-af9f-50ef7ee64b7c)) + (pin "B8" (uuid 05bf2c1f-d056-4895-b8aa-df01de623c95)) + (pin "B9" (uuid fc059625-7f00-4744-915f-72c48f3fee95)) + (pin "C10" (uuid c550b0a8-1f64-4df7-9831-56fbfa08b19e)) + (pin "C11" (uuid 93e9531f-11ec-423a-817a-458c7406950d)) + (pin "C12" (uuid 270e6d6b-0e4c-4cef-8d63-cab01212bf2e)) + (pin "C13" (uuid bfa986c1-877a-4ce7-a79d-89d315e35029)) + (pin "C8" (uuid bfa9e9b5-af81-449e-ab28-3349145415b3)) + (pin "C9" (uuid c166c266-39dc-46ec-ac16-dfbff97501ee)) + (pin "D10" (uuid c8852025-bd2d-467a-8627-a24f4b66b20b)) + (pin "D11" (uuid a3c64f9f-6596-4b52-9582-9677fb924d87)) + (pin "D12" (uuid 510860a8-17c2-4aa0-ad3d-80b9401a67d1)) + (pin "D13" (uuid fb4e9401-8837-4e71-9eb2-c080abb0b9bf)) + (pin "D8" (uuid b96dfc3e-d69f-4f44-b59d-d9f5bc3debf2)) + (pin "D9" (uuid b069065a-8119-42ac-9a58-b94129af6fdc)) + (pin "E10" (uuid 75a0a431-833c-49a0-bb71-0363a9656563)) + (pin "E11" (uuid d57c4ade-05eb-4af0-bc7c-a9eb695597b7)) + (pin "E12" (uuid e7c46ec9-d49f-48a9-849f-dd6f7d7637c6)) + (pin "E13" (uuid d5d65e53-da5c-471a-a90a-164cdc007fe0)) + (pin "E8" (uuid 34fc76ff-42fa-407e-ad21-c27e70608816)) + (pin "E9" (uuid 127cea94-3d9b-4304-9903-e7f3fdbf81f0)) + (pin "F10" (uuid af77720a-4325-4d7a-8b4b-41a0d909b28f)) + (pin "F11" (uuid d2871153-b21a-4299-8f0e-4eecd5dc876d)) + (pin "B15" (uuid 9aa34d82-59ba-4161-af89-16d4a01bb7e3)) + (pin "B16" (uuid 99c15cb2-e1f6-40e4-8ed0-673ea29a3979)) + (pin "C14" (uuid 411de999-85a9-4269-94cc-193a51f1880f)) + (pin "C15" (uuid 79b8ecaa-8659-40d0-8066-a2554258769d)) + (pin "C16" (uuid 7f1006b1-043a-4384-95b6-682a9b501574)) + (pin "D14" (uuid 317451df-bb85-4c58-8a31-fc73107bf519)) + (pin "D16" (uuid fb386bfd-6e98-45d8-bfba-0be4ae72b21e)) + (pin "E14" (uuid af09b82e-ba80-4a07-b7ef-5bad3edd7c10)) + (pin "E15" (uuid 0704a19b-bc76-4962-9904-e4c3b71f1621)) + (pin "E16" (uuid 5129eb96-aa33-45ac-b0e8-033ca8c214aa)) + (pin "F12" (uuid 65ccf9d1-dd9e-4987-a04d-95a16f5207d7)) + (pin "F13" (uuid d1796b36-7415-4912-8ad5-15cd680aeb50)) + (pin "F14" (uuid c6abab11-439a-42a5-8bf0-181e48f320ac)) + (pin "F15" (uuid b4270d06-3e96-4f9f-85a6-4ca1d7f0af26)) + (pin "F16" (uuid cb11e72c-315b-4462-9df7-501a9a80cc43)) + (pin "G12" (uuid 0fb6ba07-e80a-4e2b-bd97-2de85e5e3a80)) + (pin "G13" (uuid 5cbbf46c-6862-4070-aa90-25e00be0ed49)) + (pin "G14" (uuid 32f5db82-2e80-4013-8bdc-3e2408262bb0)) + (pin "G15" (uuid d52d1074-3d43-4916-b827-9d60d1979963)) + (pin "G16" (uuid 8496c74d-2114-4156-96a0-f73d51bca856)) + (pin "H11" (uuid 94770838-38d1-4531-973d-6d9b0b48e09a)) + (pin "H12" (uuid 3d002422-2913-4b0b-875b-d3cf3042d5c7)) + (pin "H13" (uuid d684b14e-1795-4854-a142-b00259b5d820)) + (pin "H14" (uuid 677b6e28-3e62-4a18-b19b-20b0b18f17e2)) + (pin "H15" (uuid 60789903-c597-44c7-bdf0-40e215b02afa)) + (pin "J11" (uuid 4f0980a6-437d-47c7-882a-46086f612748)) + (pin "J12" (uuid 9d079bb8-fc48-4c88-a4ba-9a158f499c3c)) + (pin "J13" (uuid 046b9505-98eb-4dd1-b3e6-f3ab43c9f6b1)) + (pin "J14" (uuid ee971f1e-027b-432b-baa2-3b0ca785e698)) + (pin "J15" (uuid 4e530d20-4d21-4154-b069-b8acba711b34)) + (pin "J16" (uuid 08ac0bd4-a822-4448-9484-240e6a691dd9)) + (pin "K14" (uuid cf11e182-6456-492d-b00b-d0b63199aa30)) + (pin "K15" (uuid 6e7c11cf-d182-4a9b-93e8-b7c283055481)) + (pin "K16" (uuid 99a5fdb8-bc08-4672-9628-f97303311ca0)) + (pin "K11" (uuid 40d04c66-1517-4881-9fef-012394abccfb)) + (pin "K12" (uuid c79469a8-f8e7-499b-aebb-87b55419675b)) + (pin "K13" (uuid 1531413f-020e-4abf-9c48-39b9f4e4d73a)) + (pin "L11" (uuid 753a1340-cb34-4cc1-be8d-408266fbfb18)) + (pin "L12" (uuid 7f0ad5eb-d039-47d4-96a8-260b474d8610)) + (pin "L13" (uuid 32543271-7dc9-40d6-9877-e0d986370678)) + (pin "L14" (uuid adfa17c2-726e-4ac4-b4a6-33f4e2890638)) + (pin "L15" (uuid 59f8630d-49d8-49f8-bd96-90f78d156075)) + (pin "L16" (uuid 527974b9-8e6a-4e9e-b179-cf3cc42ed969)) + (pin "M11" (uuid 89294ac7-bcdd-451b-9bb4-a3442fc268d7)) + (pin "M12" (uuid 1d515104-116c-4a83-ade9-4b12e3f6dee4)) + (pin "M13" (uuid 5068dd74-65b6-45e3-ad0c-325f69241048)) + (pin "M14" (uuid cc1dc496-3e88-46ab-98c4-6cf49f1716dd)) + (pin "M15" (uuid 973d8f29-2741-4c43-922c-cf612c3499bc)) + (pin "M16" (uuid a18a3b9c-abd5-4c65-8277-faf94b197de3)) + (pin "N11" (uuid 4fb29e9e-db68-4e5d-b6b8-cb0dbe7bd474)) + (pin "N12" (uuid 83912c29-7e1d-49ec-ade2-2f0db90f9d84)) + (pin "N13" (uuid 2a31a03f-82b6-4530-a736-e8136204218f)) + (pin "N14" (uuid 45af404e-2b2a-4372-912d-51a931298aa8)) + (pin "N16" (uuid b36616e5-e603-4e82-92fb-aba06015d36f)) + (pin "P11" (uuid 1a6b95d5-e886-41a7-9945-f8e7b3310da0)) + (pin "P12" (uuid ea8c06bd-e92a-41bc-a61f-3623f4fd26a0)) + (pin "P13" (uuid ebe6ba84-b681-4986-be2c-be9ecd79f6e3)) + (pin "P14" (uuid 0476cda4-33bc-4ad5-a61c-202594e16bb3)) + (pin "P15" (uuid 5d7f0f19-74fc-497e-8a12-21e42a3e8e23)) + (pin "P16" (uuid 2cef6c3e-ac20-48b6-b6ad-7297580f534b)) + (pin "R12" (uuid 2ad2f02b-684b-4b2f-973d-7ce1a470e2ef)) + (pin "R13" (uuid e7145f1b-c2b3-41d2-bf33-50ea992d77e4)) + (pin "R14" (uuid 93d58706-858c-48d4-bb4e-ac8caca3d2c5)) + (pin "R15" (uuid 2ae7904c-56ca-4318-929e-9902102e9679)) + (pin "R16" (uuid a2518936-d4a8-4984-b5aa-4392bef257f9)) + (pin "T13" (uuid 23642b29-c820-45a1-bb2c-0b6fcf32d69a)) + (pin "T14" (uuid bd4fb879-3ec7-4ef2-b9d6-cda00b0d57c0)) + (pin "T15" (uuid ace6f0fa-6af4-44ca-be54-c9cf9b7522ce)) + (pin "J6" (uuid d4c9705d-2c1c-408c-84c0-1380f6bdc4a8)) + (pin "J7" (uuid 3fc61078-c93a-469e-a8d6-72029d22c143)) + (pin "K4" (uuid b0d94f1a-5d96-4ecc-820d-a544b25506a9)) + (pin "K5" (uuid 30180aef-ef5a-456f-a2b9-d10bb733efb4)) + (pin "L1" (uuid cda0914a-3f22-4ada-8192-ffcd8d9b2ddd)) + (pin "L2" (uuid 79285049-3013-4fde-a945-3bb03f0bdd96)) + (pin "L3" (uuid 980ad6f4-490f-471c-b1f5-7c22adc12cd2)) + (pin "L4" (uuid e44a0f27-4813-4fd3-a7a0-aa33b7b3f0e7)) + (pin "L5" (uuid 4ed80fd5-bac4-42c9-b86f-d8a12804ad94)) + (pin "M1" (uuid abd174dc-6cb4-4b4d-b413-9468626d7042)) + (pin "M2" (uuid 6caa75f6-41b3-4f60-9641-2aa8a8807a01)) + (pin "M3" (uuid a4d31361-f8a7-4d00-94c6-38a511f68c48)) + (pin "M4" (uuid 8d7210ed-db8f-49af-bb5f-efb8d00c7da7)) + (pin "M5" (uuid af2f6a6b-015b-4f4e-97fd-82b3d0e7945f)) + (pin "M6" (uuid 874a1a56-d200-4fb2-8ff9-2e04778510f8)) + (pin "N1" (uuid b132e9c7-62a9-497f-9441-0a9446814944)) + (pin "N3" (uuid 8b8e0990-b59a-4a4e-a167-036e38e784ed)) + (pin "N4" (uuid d798533d-c29a-4baa-a097-23767de2372e)) + (pin "N5" (uuid 4971086f-f4b2-451b-8de0-1b14daca2dcf)) + (pin "N6" (uuid 04bcb888-c88e-4638-9779-0e48dc3f9f66)) + (pin "P1" (uuid 9d9ea3c3-37cf-4e0e-960a-f203d795af0e)) + (pin "P2" (uuid 6f88f72f-8a56-426a-95c3-dac2b876af43)) + (pin "P3" (uuid a561a0e2-5127-49fc-9896-439d26ab1b4c)) + (pin "P4" (uuid a549f79c-f59d-49d2-8b15-4d4f07095b2c)) + (pin "P5" (uuid 7f4860de-bcf5-42ff-9aa9-99611c33e259)) + (pin "P6" (uuid f52ade74-e160-42f5-b667-8b4d4d851fed)) + (pin "R1" (uuid 930a7f43-03d8-4c6d-bcdd-b5695f4117fd)) + (pin "R2" (uuid 41c847bd-764e-455e-8469-ee2910daeb09)) + (pin "R3" (uuid 9643d63f-2190-46f7-9667-8888d154ab6e)) + (pin "R4" (uuid 15fec43e-1135-4557-8431-f715d53e0800)) + (pin "R5" (uuid 93cf7a34-5c25-4468-afb8-27c128fea2d7)) + (pin "T2" (uuid 70863adb-0353-4800-b979-5b3ffe4aecf8)) + (pin "T3" (uuid 0b69a2b2-c532-4641-84ed-c40cbea1bd98)) + (pin "T4" (uuid 81c8815e-318f-4d0a-ad2b-b118215b86e0)) + (pin "B1" (uuid 62e6e52b-3f38-4384-9b09-39b802079ab8)) + (pin "B2" (uuid 301151e9-53cb-4140-9932-77848e0304be)) + (pin "C1" (uuid 2db04894-404b-4610-bc08-91a776c57ade)) + (pin "C2" (uuid 2387d3b6-b239-4841-9474-cf86d274886b)) + (pin "C3" (uuid 0e8c5932-009d-4f09-87cc-0979ac7befb9)) + (pin "D1" (uuid 76131deb-62d1-4e92-891b-ce06c1003d96)) + (pin "D3" (uuid 96b29697-3917-4e46-8abd-4b5e6bad8463)) + (pin "E1" (uuid 200a8697-751d-40e7-934e-42822857f8cb)) + (pin "E2" (uuid 533535ad-457a-4dfa-9382-8e650f48ee7a)) + (pin "E3" (uuid 26fae341-f059-4f6c-a781-d904dbdd6409)) + (pin "F1" (uuid 374f15e0-9203-4386-a21c-2d400e1c9439)) + (pin "F2" (uuid 3a5a17bd-7881-4e72-8568-4c0f3c840ea7)) + (pin "F3" (uuid 976bd916-3ce1-4bf4-b222-451253950d6a)) + (pin "F4" (uuid 4cdc76d5-8642-4ed2-8803-f5f8bf74ec74)) + (pin "F5" (uuid 63ce3ecb-844e-479e-b6c6-562af818831a)) + (pin "G1" (uuid f4e32910-01f1-4c7c-b4a0-38f7dd350fb8)) + (pin "G2" (uuid 45c750ed-37d1-457a-9b51-1cda93a03997)) + (pin "G3" (uuid a75c0df6-aaa1-440a-acec-18afd9b97783)) + (pin "G4" (uuid 22fa82b8-9a3d-457a-b2dc-3f3c2c1b6da1)) + (pin "G5" (uuid 9f52eb38-9a57-4ac1-8429-d10317ff6788)) + (pin "H2" (uuid a8e7268f-ec89-41e8-9b9a-956370441eba)) + (pin "H3" (uuid 3533d81e-5045-41af-b871-b7759650796c)) + (pin "H4" (uuid 7209f064-48ce-4fda-8234-0c21f7d66a95)) + (pin "H5" (uuid f9880bc8-8163-4288-9931-d218b4cc8c34)) + (pin "H6" (uuid 28aa574f-cae7-4ea6-884d-2bf5ef71599e)) + (pin "H7" (uuid c1c7f639-8929-4ac6-bd72-24ebf5bc86f7)) + (pin "J1" (uuid 99335a88-8543-4beb-8c1a-c3dc4aa427bb)) + (pin "J2" (uuid 6eef21a9-3e20-44e0-8470-0006421beb88)) + (pin "J3" (uuid be26232f-348a-44af-a9b4-cae3335a61fa)) + (pin "J4" (uuid 7baad99b-389b-46bf-8b8a-e1316a503197)) + (pin "J5" (uuid 9ea74bc0-54fb-4613-b648-78d0ef076f7f)) + (pin "K1" (uuid 76577e65-90c7-4b6d-bbb6-3bc8fdaab186)) + (pin "K2" (uuid 9ec0deff-181a-4fc9-8030-daa9d553874d)) + (pin "K3" (uuid 8f5d80ab-3059-4812-ac5a-a5fd6609eecb)) + (pin "L6" (uuid be63bc56-b294-48b5-91f4-041e104ccd59)) + (pin "M10" (uuid 9b0dbf17-de60-4c30-8339-34bcb3a7e196)) + (pin "M7" (uuid 8a0c989a-ecbc-401d-9ef5-f347af64060b)) + (pin "M8" (uuid d57b68bc-f280-46a0-9967-0d37400e832d)) + (pin "M9" (uuid 2ffbfb78-5532-471f-afe5-6a23a61379be)) + (pin "N10" (uuid 2b655f2f-8c6c-45f4-8767-8a8277ced1fe)) + (pin "N7" (uuid 10390716-3353-44be-a6cb-1765193c8cbd)) + (pin "N8" (uuid b65e41e8-e02f-4973-839f-3c882a9c908d)) + (pin "N9" (uuid 071f9e5f-2b0b-47a3-b57b-2defb98c0e80)) + (pin "P10" (uuid 2552343b-2d95-4428-8e06-40b80b464f81)) + (pin "P7" (uuid 6c59a568-33f6-4140-b87e-84329e162d71)) + (pin "P8" (uuid fb3559f6-7efb-4798-8b45-caf6bae59535)) + (pin "P9" (uuid 3e0e1c91-e5dc-424a-96ef-ff3fc9d711a4)) + (pin "R10" (uuid c7d49263-f3eb-4766-828a-82c9a542e48c)) + (pin "R11" (uuid 70a70c63-4b80-4969-b683-37dd6b8abf93)) + (pin "R6" (uuid 1d6df8fa-8460-4a9c-89f0-ca09510af306)) + (pin "R7" (uuid 444b5953-dbb1-4ff4-9d6d-5c983b0c3193)) + (pin "R8" (uuid fda232dc-b85f-46e3-ace1-e6e9b3833991)) + (pin "R9" (uuid 7ed3c05d-52ea-4b2e-9e34-4ecae1409f39)) + (pin "T10" (uuid d7c31118-c5e1-4559-8fbe-73ba710b2c68)) + (pin "T11" (uuid a1a1602f-dacf-45aa-a474-39d79972f700)) + (pin "T6" (uuid ddc0f322-5692-478d-85a9-af0318deb494)) + (pin "T7" (uuid 7e4cf764-f830-4677-9e0c-1e6b8ab8c3b7)) + (pin "T8" (uuid a446f907-3d5f-4067-bc8e-79a192dc82c4)) + (pin "T9" (uuid dffd2cdd-c15a-4859-98c7-61a317b74e3f)) + (pin "A1" (uuid f6bbc234-92aa-47d6-92f3-144ddaa05152)) + (pin "A16" (uuid 99dcc96e-48fa-47cf-b333-099ef1728219)) + (pin "D15" (uuid 5958b40f-16df-4b89-979e-64696d8cacb5)) + (pin "D2" (uuid 07a362c4-29ef-4b88-8997-dd43c60d0110)) + (pin "F8" (uuid f792d253-1f09-4e30-b7e3-ad2da7218b0f)) + (pin "F9" (uuid 2b476926-6d7f-461d-8976-05700989c877)) + (pin "G10" (uuid 4c511af2-60a7-4aad-8adf-5d49e369adf5)) + (pin "G11" (uuid ac56da84-a44e-4b44-9d49-d9fe1638d992)) + (pin "G6" (uuid 83420ad1-5b4f-4404-a46f-e3250e2705db)) + (pin "G7" (uuid 05131f59-2386-4303-bfda-d01c72558ce3)) + (pin "G8" (uuid d23f2359-7956-43ba-b740-19c76ead3cb0)) + (pin "G9" (uuid 988c6a07-a32e-4a68-9b62-b9efd848dafe)) + (pin "H1" (uuid 2bfad1f6-7fd7-4881-a041-c33f55e50d3a)) + (pin "H10" (uuid efa707dc-fd4d-41a8-aabb-bc2aca80a1d8)) + (pin "H16" (uuid 02ecbfcd-621c-4a45-8465-b4f434296e45)) + (pin "H8" (uuid 7f32792a-07f3-4a75-8197-71d733d3afb9)) + (pin "H9" (uuid 76e3d041-cc79-400a-940c-210bafc6df34)) + (pin "J10" (uuid f2f32490-1a18-4047-8b3a-ef54d2751305)) + (pin "J8" (uuid 7978ca97-c078-4e22-81c4-b0e0dfac7f16)) + (pin "J9" (uuid 97c681ad-2215-4452-81f3-3cbfcf01d960)) + (pin "K10" (uuid fc933dd2-0f14-49a2-b433-a880a28337d0)) + (pin "K6" (uuid 617bef59-fddc-4285-971b-75106822975b)) + (pin "K7" (uuid bd9701de-2774-4e5a-9f98-c09036cdde86)) + (pin "K8" (uuid dc17ec4b-6bf7-44cb-9390-20953cd45765)) + (pin "K9" (uuid cb00fd3e-031e-425f-bc12-852d3eab51dd)) + (pin "L10" (uuid cfcc9576-cf87-4055-b5ca-6ed70ece0e18)) + (pin "L7" (uuid bcaf05f4-7da3-4374-ba80-577f56643b9e)) + (pin "L8" (uuid 830e6143-b3ae-4a31-b63e-eeb028cef982)) + (pin "L9" (uuid 2ed3643f-d33b-4b40-828c-f53183450eee)) + (pin "N15" (uuid 2142a5b7-a3f0-41dc-a9b8-2e003c0eeded)) + (pin "N2" (uuid f9a9f6b2-a7da-4869-9587-9f058df50333)) + (pin "T1" (uuid f3f04b47-9500-42df-9830-b06ca3e32bf3)) + (pin "T12" (uuid 756841ba-34d6-4fa9-8cfd-0e37b88b25ec)) + (pin "T16" (uuid 9cd7ac91-57b6-4fd4-883d-e65ec8d74944)) + (pin "T5" (uuid 14c52262-78aa-4b19-bada-79f183614634)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "U3") (unit 4) + ) + ) + ) + ) + + (symbol (lib_id "GW_PLD:LFE5U-12F-BG256") (at 119.38 46.99 0) (unit 3) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid d7108d14-43f0-4581-bb7c-56d28eaa762f) + (property "Reference" "U3" (at 124.46 25.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LFE5U-12F-BG256" (at 124.46 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Lattice_CABGA-256_14x14mm" (at 113.03 30.48 90) + (effects (font (size 1.27 1.27)) (justify right top) hide) + ) + (property "Datasheet" "http://www.latticesemi.com/-/media/LatticeSemi/Documents/DataSheets/ECP5/FPGA-DS-02012-1-9-ECP5-ECP5G-Family-Data-Sheet.ashx?document_id=50461" (at 119.38 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A2" (uuid 9460f01d-b03e-4e28-8219-7ba5a85de9e8)) + (pin "A3" (uuid aa2dbfce-b594-46a7-b587-e0563cbace0f)) + (pin "A4" (uuid 7bdbe4cc-ee22-49b5-b01b-cafbc7c02989)) + (pin "A5" (uuid 38f5e97c-a20f-451a-970b-76eb80fa751a)) + (pin "A6" (uuid 27b0c150-49a2-46a9-9dba-3c0820121c18)) + (pin "A7" (uuid af30b95d-b726-4789-b8ae-4194309db1c4)) + (pin "A8" (uuid df5a6e9e-ded9-4091-ac3a-aa691a5725f9)) + (pin "B3" (uuid 6a4799d6-c595-4689-b007-afb987d46408)) + (pin "B4" (uuid 51ca061c-70af-4b2f-a411-e2c807d1f01f)) + (pin "B5" (uuid 5941bfc0-9d8e-408c-90e9-1f2fbbded73b)) + (pin "B6" (uuid e74b653c-c33d-4a52-b13d-12d90ec9228d)) + (pin "B7" (uuid 65deea50-ce2e-4b3f-860f-67b8048601c5)) + (pin "C4" (uuid 536e007a-4703-4423-9e0a-aa9ee9ff6e09)) + (pin "C5" (uuid 4976effc-9b61-48e7-965c-1941d854feee)) + (pin "C6" (uuid a1370db9-42c4-42aa-9dbf-c516f5cc5699)) + (pin "C7" (uuid b667bcf8-4dba-4c0a-83c0-f7ac0f907332)) + (pin "D4" (uuid 1713c92b-15b7-4658-8f53-b933ad3075cf)) + (pin "D5" (uuid 8ca3576f-bf14-4453-87bc-0c0552767f7c)) + (pin "D6" (uuid 2737af49-a1c1-4f5f-a2f6-6d7fb4f33275)) + (pin "D7" (uuid ef4bf8f2-2af6-48db-9de0-ec3706697e27)) + (pin "E4" (uuid a97dbaa6-ee77-4bc1-a1c3-cd4912772d1a)) + (pin "E5" (uuid 1616c040-7fd6-4f7b-a77a-0aff1f00217d)) + (pin "E6" (uuid 7050200d-8548-4110-aa0c-304801c0812b)) + (pin "E7" (uuid 9f25967d-db40-4de1-81d2-c36fdd3c8f95)) + (pin "F6" (uuid 84f2e90a-d2f5-49aa-8a48-2797aed6e375)) + (pin "F7" (uuid ed162b5d-dc2c-4edc-a2f6-98cdcc1e707e)) + (pin "A10" (uuid dfa0350d-562b-4143-840f-ce72557311db)) + (pin "A11" (uuid 698c07cf-6659-4d19-abac-fa8929cef862)) + (pin "A12" (uuid 5526006e-f278-4541-9d16-c73672683688)) + (pin "A13" (uuid af5be841-da4c-4341-9b6f-11114d52cdf9)) + (pin "A14" (uuid 42d4fb95-131d-4393-8150-3f4880da452d)) + (pin "A15" (uuid b5771c0e-385c-44a8-b2ee-c46232b5addc)) + (pin "A9" (uuid cac1a578-cd5a-4495-ab01-72bdbc368669)) + (pin "B10" (uuid d0ab1614-2a56-40f8-9e01-880fd9228d1f)) + (pin "B11" (uuid 196a4bfd-54cd-447c-a968-ffd9b4daf5dd)) + (pin "B12" (uuid b4d99709-a195-4223-927c-57e5fc947222)) + (pin "B13" (uuid 0eec3b9b-9558-41b5-998c-a6522eea62dc)) + (pin "B14" (uuid 819ce02c-0ca6-4aff-ace8-278526173cdf)) + (pin "B8" (uuid af23c9d8-1cb3-46d0-bbe8-a13a8a7df084)) + (pin "B9" (uuid e66d045e-54ab-4480-ae13-772a2af86b02)) + (pin "C10" (uuid 691b6cda-1ff2-40dc-bcc1-d73ad194464b)) + (pin "C11" (uuid 9ac0825a-8cbb-4786-8f35-caa084bd64cd)) + (pin "C12" (uuid 27d848ae-4a8a-4aff-93a5-35561a779978)) + (pin "C13" (uuid 8d1e98c2-7cd7-4de0-a779-441ab74845c1)) + (pin "C8" (uuid 158768b4-a184-4307-9ba2-ea36d5c6b12e)) + (pin "C9" (uuid 6585c7e4-9567-418a-8db8-f4b78d67b3ed)) + (pin "D10" (uuid 74dec6e7-68dc-4d1c-b9bd-8e9d7f4df5c4)) + (pin "D11" (uuid f48694f6-290a-41de-8fe3-bc9d38e3c622)) + (pin "D12" (uuid af4bd734-d346-4878-bb3d-923aca6a5947)) + (pin "D13" (uuid 23cd547e-ca07-42ed-9b06-1aff7bf1b70e)) + (pin "D8" (uuid 4054dff7-c697-4c62-86ce-3a1c5d74c1c3)) + (pin "D9" (uuid ef77297b-08e4-4253-886e-c2bec22fdb14)) + (pin "E10" (uuid 8b79ba2a-4aac-437c-a9c0-849d538202b5)) + (pin "E11" (uuid 5d5c052d-fbbe-4cc1-a996-329ec7fda022)) + (pin "E12" (uuid cf611b85-9a6c-48c5-8938-41be2efc866b)) + (pin "E13" (uuid 62302834-c467-41e4-afe5-53bf6ce52ef2)) + (pin "E8" (uuid 952f6861-4080-4d62-962b-c9145ecf9e0d)) + (pin "E9" (uuid bf78336b-7095-439b-9fc3-c277190e1eaa)) + (pin "F10" (uuid 6124e809-79b9-44e7-8569-8ce51cab7c59)) + (pin "F11" (uuid 459de550-1bdb-4bb7-b4e5-b6692ef3b15e)) + (pin "B15" (uuid f7ac84fb-2a81-44e3-8c11-d3eaf75c1b72)) + (pin "B16" (uuid 02234a5f-f6fb-4cc1-9f4c-ff0b1999f71a)) + (pin "C14" (uuid 138237b0-86cf-4cff-9b47-2c5c2ba84b87)) + (pin "C15" (uuid 87bd6cef-b79b-4f25-9ffe-92c340945cfa)) + (pin "C16" (uuid 06777470-47fd-4bb9-a106-f177259e223d)) + (pin "D14" (uuid 41f6dc63-eb72-4ea6-b98a-2ffdd280b737)) + (pin "D16" (uuid 137179ff-3af9-40de-81a5-d1bbc5c774b0)) + (pin "E14" (uuid b5f00674-50fd-454c-be3b-ea9d85209206)) + (pin "E15" (uuid d20af065-00bb-4d17-8f83-4161982d9f4a)) + (pin "E16" (uuid 9ceaae39-bc55-4ac4-98ea-2e8f82465db4)) + (pin "F12" (uuid bea8de72-4e82-4300-9c2e-721867fe41cc)) + (pin "F13" (uuid 65e68d6c-e6c3-457b-b88e-675c9e66c640)) + (pin "F14" (uuid 94ac5183-0f5c-4683-b5e0-556edd5f4458)) + (pin "F15" (uuid 4bb4c217-b482-45c6-a2ec-47ccf62004a5)) + (pin "F16" (uuid cf8113af-6077-4fdc-a936-7e4551494add)) + (pin "G12" (uuid 97ef52b1-609f-4daf-9051-5fe80527d021)) + (pin "G13" (uuid a6349a00-d246-4d9a-83dd-b999fceebdc4)) + (pin "G14" (uuid 6f05b3f3-8b09-45c8-b75b-8697940dc9c1)) + (pin "G15" (uuid dc43c6b8-1b07-4927-948f-d81483a52199)) + (pin "G16" (uuid 36fd900e-e620-4f4e-bbd4-f2857162528e)) + (pin "H11" (uuid 62664bf4-315d-458d-8976-148f03b76513)) + (pin "H12" (uuid 721005ac-82ae-4d3a-89f7-463c4c88ee60)) + (pin "H13" (uuid a5011065-b615-4b8e-86c4-283aff90d3d9)) + (pin "H14" (uuid 7909d526-87e7-40e4-a84d-c6f9cf8972ae)) + (pin "H15" (uuid 58a3e5f3-5970-4353-8bc5-f5d584295030)) + (pin "J11" (uuid d199a272-8907-42ad-b9e0-22b0a1b9ab2a)) + (pin "J12" (uuid ee7d6dfa-c8e0-4340-8880-143bdb1353fb)) + (pin "J13" (uuid 2a83fd1c-2c1b-41d2-98e1-6cf4e82a79ac)) + (pin "J14" (uuid 5acda8b0-0a12-4972-a576-85c381e999e8)) + (pin "J15" (uuid e4f9fcc8-1fbd-4781-b3f4-1a7bc3cca2ae)) + (pin "J16" (uuid 518ddbdf-a7da-43ee-9447-c94f6e97bca0)) + (pin "K14" (uuid 1b085929-94b8-4991-92e2-500fe41b2be9)) + (pin "K15" (uuid 49bcd6f4-1243-4529-8f32-7398eb8b600d)) + (pin "K16" (uuid cbd9eb51-1bc6-4b51-af67-4df870e5e358)) + (pin "K11" (uuid ac566ab1-64df-41ce-beab-a768f2768382)) + (pin "K12" (uuid 82408cae-bca7-4ef8-89f7-61ac7f89691e)) + (pin "K13" (uuid 7e4743a5-0c25-4ba3-b70a-6cb065a11cf2)) + (pin "L11" (uuid 49be3226-13d5-4da3-aa6e-5ab42095e1c9)) + (pin "L12" (uuid e1d706d5-41ca-496b-be5c-3b603337ca3d)) + (pin "L13" (uuid 221f5f04-852e-4b12-8615-580520c294c8)) + (pin "L14" (uuid fdf2c67e-632c-4842-87ed-069dbb438c40)) + (pin "L15" (uuid dab09384-d720-4b21-8cab-1de184ffd787)) + (pin "L16" (uuid 2a476401-4e22-497e-ab06-d02cec88adc6)) + (pin "M11" (uuid 14ce91cb-802e-4314-a137-290c2b8134ae)) + (pin "M12" (uuid b0dbe982-8463-4f61-8fd6-c74d21539363)) + (pin "M13" (uuid bc915b5a-4f47-4c6d-812e-0a94626c5037)) + (pin "M14" (uuid c2e707f5-3ece-462c-a628-5bd31a550a5b)) + (pin "M15" (uuid e3b96349-36ee-47eb-ad1e-33de4ad4d9c6)) + (pin "M16" (uuid 1443ff20-4e09-443e-af80-f57c37265ebe)) + (pin "N11" (uuid 540e56f1-0e24-4f22-ab4c-e7a6ef25133f)) + (pin "N12" (uuid 00b9d1a7-0f1f-4b11-8c40-35fb0bd170f0)) + (pin "N13" (uuid 1e6c40ed-0f65-4c09-8bbc-5333d2c0ca01)) + (pin "N14" (uuid 0e3e9bba-5b48-4219-82d1-9c2d27dc4a1e)) + (pin "N16" (uuid dc6a78e3-6a68-4581-af39-65bae405c45f)) + (pin "P11" (uuid 88518bb2-eae2-45b1-8d8e-6012c33c1a5f)) + (pin "P12" (uuid 5f90508d-41f7-492d-b36f-eae8be90a755)) + (pin "P13" (uuid a031673a-6489-4a1f-b87d-347759e57d53)) + (pin "P14" (uuid 133ae2bd-6915-4ef9-911c-55e3606b5536)) + (pin "P15" (uuid 977fd03a-e8e8-4f5d-96be-f66474ff62e4)) + (pin "P16" (uuid e40c6390-4294-4b80-88af-cb000e0e0a37)) + (pin "R12" (uuid 646e7a4d-f2c4-468f-9f0e-e8b990d23ae3)) + (pin "R13" (uuid f20780ec-4ed7-49c1-92e0-0e30a7832133)) + (pin "R14" (uuid 3ea989fe-6b4f-4dc4-adb5-a7675d584bf2)) + (pin "R15" (uuid eba2c7dc-1b8c-4e29-abd8-55843cc79c01)) + (pin "R16" (uuid d7b060e3-6877-4bda-8fce-8e57475b7162)) + (pin "T13" (uuid 8f1ca735-b6de-488c-b076-2f94fcee935d)) + (pin "T14" (uuid 30ef2c14-be59-4da2-b2cd-12c44a25e3cf)) + (pin "T15" (uuid cd06a3cf-6328-42a0-a2d5-3326b24bc388)) + (pin "J6" (uuid eba6ae32-9877-4440-a26c-d4d6c0584b33)) + (pin "J7" (uuid 0c37a335-1dfc-4ee6-95a7-defd54e6f9b2)) + (pin "K4" (uuid 05ab5cbb-f220-4378-bebd-26fbd6800daa)) + (pin "K5" (uuid 037e2183-f317-489f-a43f-fd961dcba0d6)) + (pin "L1" (uuid d860c6fa-2f3b-4de3-9803-52520a27b485)) + (pin "L2" (uuid 0e6326ce-c2b3-4abc-8bf4-1c74e748fdfb)) + (pin "L3" (uuid 5dc5e92b-a306-4b46-a0e4-ea22a8578320)) + (pin "L4" (uuid f5656cdd-4633-443c-9478-aba39f456680)) + (pin "L5" (uuid e0114a9d-358a-467d-b177-a320be6b3923)) + (pin "M1" (uuid 437a5d1f-00d6-45c0-bef4-ddbc98cd8e60)) + (pin "M2" (uuid 7f3ca2a8-76cb-47e8-91cc-dc0aacdb50dd)) + (pin "M3" (uuid c79ce54c-50bf-4332-9586-9f6257ce5f93)) + (pin "M4" (uuid a94e4a3b-7067-4e7e-b21b-05b2bc7748cb)) + (pin "M5" (uuid 16139002-b97c-46a4-bdf5-30a094ed939b)) + (pin "M6" (uuid 34ad6314-4c52-450d-844f-a75f1de7dbcd)) + (pin "N1" (uuid f9747314-2aa0-423f-ba89-6b63ffd5f944)) + (pin "N3" (uuid 547b6889-652f-49f0-9e9b-9e7319acc5c8)) + (pin "N4" (uuid 55556e0d-31c5-4d18-8c41-bf4156a5a0f6)) + (pin "N5" (uuid ca954d4b-75fb-4b3f-a750-a3b98ca30ac7)) + (pin "N6" (uuid 35f63e63-3693-4873-91c0-28c3d9718b06)) + (pin "P1" (uuid 32726926-fbf7-4d72-82a8-32237a8d285a)) + (pin "P2" (uuid ec32102c-7b4c-4697-b52f-c644e422c3fc)) + (pin "P3" (uuid 8b9075ec-40c7-4def-b38c-d730527b8cf7)) + (pin "P4" (uuid 896189fb-4d77-4da5-9748-d0b7405b9916)) + (pin "P5" (uuid b350a39a-06c6-45a7-aee2-f740ff41f231)) + (pin "P6" (uuid fd179946-11cf-438b-961f-d198e53fa985)) + (pin "R1" (uuid ae77de2d-c56c-4866-8815-b1dcd45005e9)) + (pin "R2" (uuid 7953d04d-3ece-4707-a9ad-70dba06b87f9)) + (pin "R3" (uuid a432e818-c872-4ffa-af36-8c444c550a59)) + (pin "R4" (uuid 11339821-d18b-47a5-9566-a8e2f9cd9be2)) + (pin "R5" (uuid c12f1fe3-ea22-47d8-a12c-5a08eabe6230)) + (pin "T2" (uuid 663683fe-fc78-4b37-8999-27b858258cb9)) + (pin "T3" (uuid e08d0cf8-7848-4912-814b-f45f2d3f1d1e)) + (pin "T4" (uuid 8e105e07-5b54-4571-9e60-73b5f5e5f735)) + (pin "B1" (uuid 5c8ea165-c731-4eae-bcaf-8c39d38efd25)) + (pin "B2" (uuid 1439b36e-0be6-4a6a-881e-7d4f886d4987)) + (pin "C1" (uuid 7a12abf5-1069-4fd1-b04d-e2284fb37d75)) + (pin "C2" (uuid 821c3f17-f242-4fa6-b811-dbd33e8101b3)) + (pin "C3" (uuid 4b43882c-7636-49ef-babe-ee96271bd439)) + (pin "D1" (uuid 4acb1e22-fabd-43d9-814a-d1b4ea7e3414)) + (pin "D3" (uuid 3208652c-8b15-4ead-bb27-2c831df3326e)) + (pin "E1" (uuid 956288f3-80a5-4122-957c-69f312878485)) + (pin "E2" (uuid bb3a3fd1-c937-4306-9287-7a7730d57c57)) + (pin "E3" (uuid 75505a8d-31f5-4b8b-b7d1-edc35e5a9ff3)) + (pin "F1" (uuid 8d318d01-54a3-44c6-8780-8635a72beda6)) + (pin "F2" (uuid a88c057e-fd5e-4945-bcdc-56f420d9b43f)) + (pin "F3" (uuid a830cae5-c786-4d2b-9b2e-4a0ca127a865)) + (pin "F4" (uuid 3c987fef-0662-4c3d-b431-f6192eec6069)) + (pin "F5" (uuid 71b4417a-4b35-411b-8aca-28d49192c4c1)) + (pin "G1" (uuid c1d0359f-a0ad-4b4c-8a3d-fdb40f6e04dd)) + (pin "G2" (uuid 016b5e9c-9b16-42e7-8da3-6c138eb2bc17)) + (pin "G3" (uuid 8ff9447a-8ac2-4fcf-8eb6-7bcd98be512e)) + (pin "G4" (uuid bc2df051-fe23-4433-b4ac-35ba5b7e1cfd)) + (pin "G5" (uuid 51e9fdb3-5236-44ed-8ed5-d25d595dfeb2)) + (pin "H2" (uuid 6fe27dff-e733-4d50-9b0b-2f52627e358e)) + (pin "H3" (uuid 4f99b09a-c461-47ab-a598-55d6028713b3)) + (pin "H4" (uuid e95930f5-4e3b-4b8c-ba55-1f9133f165af)) + (pin "H5" (uuid 0cf50361-9e4d-4c86-b1ff-f240ac707ca8)) + (pin "H6" (uuid ec3b7dc9-e1c6-476d-bdab-d823089b0ea1)) + (pin "H7" (uuid c3df8b88-1d36-4b6f-ae84-f87adc06bee9)) + (pin "J1" (uuid f082d3d1-aacd-4ca3-841b-5bcf3ffed5dc)) + (pin "J2" (uuid 02dcf1fc-34d2-4cb0-b7f0-36a0b2ac4399)) + (pin "J3" (uuid a0c69908-ca65-449a-b9d8-15200cbc2b7d)) + (pin "J4" (uuid 1f1fd8fb-5b00-48fd-be32-18ec12b173db)) + (pin "J5" (uuid 81daf105-df04-4690-b554-a049cdc0a992)) + (pin "K1" (uuid 858778be-ede6-4b50-a55c-87dcf34d83aa)) + (pin "K2" (uuid 20cacf1b-9404-41e3-a821-068b5e36eb93)) + (pin "K3" (uuid fe3359ac-9dcf-4ed3-b6f6-7c7f821f32bd)) + (pin "L6" (uuid 684de992-2dc7-496c-bb5a-cb999b16f7c5)) + (pin "M10" (uuid 9a93d738-de74-4f02-bd3f-f5a2acc636d3)) + (pin "M7" (uuid fb7e4f76-2dfd-4861-b2ce-57a7a4997539)) + (pin "M8" (uuid ebe94dce-02d8-455e-b0b5-85c7c3cba36e)) + (pin "M9" (uuid 78fb75e8-55bb-44d5-9e54-8f2bcf99ac2f)) + (pin "N10" (uuid eff46195-1da0-4047-9fee-394da78e8bfd)) + (pin "N7" (uuid 32b7c2c0-c5d3-493a-bf33-8016ff9a39d0)) + (pin "N8" (uuid c5f36716-2e1f-456e-b69c-f3efd962b92f)) + (pin "N9" (uuid be74747c-b779-4bc1-b98a-300bf23c060e)) + (pin "P10" (uuid 20e3bfde-08f4-40b6-989a-2962b1fb08ed)) + (pin "P7" (uuid 164d4b49-3413-41e4-a84f-8db07c5ce2a8)) + (pin "P8" (uuid 2594e893-7ed8-4212-b02a-c94440f0c2aa)) + (pin "P9" (uuid 10f925bc-2f8b-45e3-9475-ee6398a734fc)) + (pin "R10" (uuid fc818d15-1813-4055-aa91-5c2bb496acc3)) + (pin "R11" (uuid b85de2ef-55ea-4df2-8641-bbf4f1f4576f)) + (pin "R6" (uuid 6dc52a9d-4a22-4931-baba-56c0c5e79ae2)) + (pin "R7" (uuid 8d11563f-82e9-4066-a434-e6ace44d2a87)) + (pin "R8" (uuid 56facf1b-b487-498d-918a-67aeb43238f8)) + (pin "R9" (uuid a9cee511-e16f-4743-853e-c8c028924272)) + (pin "T10" (uuid 80daa781-d227-4709-838f-e5e9c6642c86)) + (pin "T11" (uuid ba5427a6-2c8e-4717-ae4a-9220ce881555)) + (pin "T6" (uuid e88b7eef-88c5-41da-a969-6289121d352e)) + (pin "T7" (uuid bcc5ac62-169f-466e-8166-d4587a19a534)) + (pin "T8" (uuid 951d929f-8a07-4462-a2c0-941c689650c3)) + (pin "T9" (uuid 2caee038-b3d1-4a50-98bb-f64ea5999de4)) + (pin "A1" (uuid 40075eae-d5cc-4137-9b07-510bc592b8f6)) + (pin "A16" (uuid d3d843a0-994b-430d-b171-aa22327d04ba)) + (pin "D15" (uuid fa0b2775-a787-404d-ad83-9aeeff9e97f5)) + (pin "D2" (uuid 06be99b9-1738-418a-919e-a45c494067b3)) + (pin "F8" (uuid 6f388255-ee3a-4df4-912a-2bc8a6315756)) + (pin "F9" (uuid b2b58b41-395d-4a26-94f4-5470f97f9d2d)) + (pin "G10" (uuid 8f49d8a3-ee31-4bbd-af23-79117780d095)) + (pin "G11" (uuid ccc26a4f-2143-455c-96c1-019c75d73f9f)) + (pin "G6" (uuid 6fce9df0-4134-4b29-aba0-97fab17e7661)) + (pin "G7" (uuid 7eca4b60-974f-4397-9625-4ce67bc324bb)) + (pin "G8" (uuid 8884c909-0fda-464e-8a9e-c17aaf4f150d)) + (pin "G9" (uuid 6de9bb35-3f9b-41a3-a99f-ff1f1283ed82)) + (pin "H1" (uuid 92de0141-6ad2-449f-ab9f-c43c8394e530)) + (pin "H10" (uuid 2715acd6-7a64-4ba7-9cf3-dcec4b325096)) + (pin "H16" (uuid 4ffe31f3-284d-4311-b40e-bb54d92cffe9)) + (pin "H8" (uuid 7b9f7565-0ef2-4030-8e39-bf87d2c0ac61)) + (pin "H9" (uuid 32df5f35-3de2-4021-8211-9694651dc866)) + (pin "J10" (uuid aaa25ce6-6f49-4ae8-ba7c-402ddd542ee0)) + (pin "J8" (uuid 3179a3f5-ccaf-4720-b81b-f88fa1184883)) + (pin "J9" (uuid a80d01a7-7173-4a6f-8fdd-0701c2a94a9b)) + (pin "K10" (uuid e12594de-2d1f-40d0-b3bc-5729782c3877)) + (pin "K6" (uuid 1b9450f5-f9bc-4b94-9b6c-995376da3ed2)) + (pin "K7" (uuid 3904dcbd-4720-4363-a148-4719e1e8e3e6)) + (pin "K8" (uuid b9501729-f818-4bc2-8a83-ab7f97b4fbcc)) + (pin "K9" (uuid df64045a-54a6-46cf-8a36-f3869a21df58)) + (pin "L10" (uuid de59e1ee-4583-44f7-89be-2f6d294524c7)) + (pin "L7" (uuid 3a4915a7-20c5-4c53-aebc-d8cefef98143)) + (pin "L8" (uuid 43f31554-6c89-45f8-b6e9-8598c177921a)) + (pin "L9" (uuid d73ec95c-875d-463e-b7a0-f7e3c47eb50d)) + (pin "N15" (uuid 30e2731b-09c2-49d1-bdd5-1e3723bd83b0)) + (pin "N2" (uuid 3a262c79-09e8-4044-a3d9-d45575ab2b34)) + (pin "T1" (uuid 0ae972d5-41da-4b67-a438-6efaae5f79f3)) + (pin "T12" (uuid 8882a330-a7d3-445a-ac4e-bcec64094b63)) + (pin "T16" (uuid 8742f81c-e746-4b2a-bf23-dea2e303605e)) + (pin "T5" (uuid 36b384cb-bc1e-4889-9014-893cfbc995d0)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "U3") (unit 3) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 137.16 31.75 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid e4e93901-f9e8-4fba-ab3c-5dfa9e7eacf6) + (property "Reference" "#PWR031" (at 137.16 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 137.16 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 137.16 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 137.16 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0c0844cf-dcfc-4ba5-8b3f-2c7a9819e9d0)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR031") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 227.33 31.75 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid fb423570-8cfb-4ab8-a775-61a40c85c1de) + (property "Reference" "#PWR032" (at 227.33 35.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 227.33 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 227.33 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 227.33 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 37d9cf48-790b-4b2f-b8b0-bdcd6ba0dbaa)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR032") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_PLD:LFE5U-12F-BG256") (at 25.4 45.72 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid fc7cc358-2bc9-4495-932c-3e67ac8e1447) + (property "Reference" "U3" (at 30.48 24.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "LFE5U-12F-BG256" (at 30.48 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Lattice_CABGA-256_14x14mm" (at 19.05 29.21 90) + (effects (font (size 1.27 1.27)) (justify right top) hide) + ) + (property "Datasheet" "http://www.latticesemi.com/-/media/LatticeSemi/Documents/DataSheets/ECP5/FPGA-DS-02012-1-9-ECP5-ECP5G-Family-Data-Sheet.ashx?document_id=50461" (at 25.4 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A2" (uuid 9f767d77-2b7e-42e3-975a-909c66d7c965)) + (pin "A3" (uuid 97bc8e79-ba24-4f93-97cc-6f723371e864)) + (pin "A4" (uuid 90a3c29b-089e-4e2f-b26a-449f294f7b94)) + (pin "A5" (uuid 98fb33fc-f42b-42ac-a64a-d439888b30b9)) + (pin "A6" (uuid 442052cd-a3d3-41d1-9914-83ea74ce9b1b)) + (pin "A7" (uuid ac04d3ea-d21e-4fb6-8a85-0b79cfb5a50d)) + (pin "A8" (uuid c39d45bf-f24a-4abc-ab80-a6da38449052)) + (pin "B3" (uuid 25f03541-7bda-4b37-aa60-6eb5faff940a)) + (pin "B4" (uuid 8423eec5-359c-4e73-a7da-1d7a2c50fef9)) + (pin "B5" (uuid a9cb6ad2-6b71-4714-94c4-25f995b9ea4c)) + (pin "B6" (uuid 10419f22-c862-4637-b23b-fedb46778fab)) + (pin "B7" (uuid 059fe058-8743-4523-9b16-0758f1eb56ed)) + (pin "C4" (uuid d32ce3cd-4192-491a-8d42-d59c63fb1b68)) + (pin "C5" (uuid a1ac7f76-6ef3-461c-b16f-96b0cacdab92)) + (pin "C6" (uuid e19a75d2-3430-46cd-ba51-391a8e615e7d)) + (pin "C7" (uuid e6e52f21-f873-405c-bae4-d4cc3df5ace1)) + (pin "D4" (uuid 8361ae7d-6b6a-46dc-8061-43b6c49fe53f)) + (pin "D5" (uuid a93d8fd8-a748-456f-b8b3-673ebb68b569)) + (pin "D6" (uuid cb1258ef-08a8-4fc0-93be-946b02ca604f)) + (pin "D7" (uuid 9a1e8f79-df48-4323-b701-12f092cc9cba)) + (pin "E4" (uuid b73757b2-1c46-4e81-b237-a64dc7440c62)) + (pin "E5" (uuid 1fc071be-afde-49a8-b732-54cd509586fc)) + (pin "E6" (uuid 19a79239-0dc3-46d4-8ab1-158a4bc536ac)) + (pin "E7" (uuid 904a07bb-85aa-4eb0-8a45-ff8c8e34aaa8)) + (pin "F6" (uuid 4fd90a15-5fe4-4a71-97e5-e69ee1011f4c)) + (pin "F7" (uuid 0889827c-dc6a-453b-b004-54c0e1556d21)) + (pin "A10" (uuid aa58cb7f-85f0-4b8e-b31a-ea911011fff0)) + (pin "A11" (uuid f97f4c8e-79a9-4a4e-8683-bef4d57637c0)) + (pin "A12" (uuid 62733e5f-c67e-40fe-bf8e-7673f47c7727)) + (pin "A13" (uuid e96f49be-98d4-4a16-9f32-70f89c657417)) + (pin "A14" (uuid 661ce704-3fc5-4c73-8303-0917e6828af4)) + (pin "A15" (uuid 13b448d7-6f85-4ac9-bfe2-a4b4a884d253)) + (pin "A9" (uuid da1055ae-4b1a-4214-9a93-e2d0a7fc2e24)) + (pin "B10" (uuid fea87071-ce47-4e72-91ae-c54cf8e91bc4)) + (pin "B11" (uuid 7bbdaecd-b8b6-4a32-aeba-0af726b99bc8)) + (pin "B12" (uuid 42827738-b7fa-4ca9-a6e9-d21dab2115cb)) + (pin "B13" (uuid 7f89f9d3-49c6-418b-af08-6a3b34c34a7f)) + (pin "B14" (uuid 267fe72e-af54-4a8c-98c8-521623be4aca)) + (pin "B8" (uuid 78c0a8cd-c6ee-4dad-8232-8fe8a140ab31)) + (pin "B9" (uuid 767a4ad8-3643-4237-915c-2bd0f15f4c0b)) + (pin "C10" (uuid ddcd9b4d-448e-440d-980d-7350b55cc90f)) + (pin "C11" (uuid 75fd81ad-ba8f-4bcd-b6ee-becb608d4099)) + (pin "C12" (uuid 4c383418-5085-4fc7-bcf4-63a4be4ba825)) + (pin "C13" (uuid 61299138-596d-4730-bcda-3864758fea21)) + (pin "C8" (uuid 283999e9-d255-4afb-beae-a7a077249001)) + (pin "C9" (uuid 73ea7e0e-31e7-4514-bf9f-8c0dc75ae10b)) + (pin "D10" (uuid e80b1756-fa47-43d6-be17-449a4a7179be)) + (pin "D11" (uuid c84e4941-ba42-4ce3-9da2-8f609f03a0e0)) + (pin "D12" (uuid c834ed36-9921-487d-9115-daa0c4076b13)) + (pin "D13" (uuid c1766d59-1615-446b-ad97-0ed4ba548c61)) + (pin "D8" (uuid cacaef05-912c-499e-9f95-7e039d982fce)) + (pin "D9" (uuid a4111a22-837a-41de-b17b-e16dc94e39d9)) + (pin "E10" (uuid a62b5edb-ed77-4ac6-8807-f155a648b89c)) + (pin "E11" (uuid 858a7c8e-4f84-4f24-977d-254ed49770e7)) + (pin "E12" (uuid c6786c09-7846-4cf6-8b27-55959ce3d499)) + (pin "E13" (uuid 3f33b20c-4127-47b6-9070-e85c4a766e5c)) + (pin "E8" (uuid 338fb831-c8ba-44e4-b1d8-4aaad370486f)) + (pin "E9" (uuid 9caa6e84-df45-481e-8310-a104c435c8c6)) + (pin "F10" (uuid 013a8376-2056-456d-9286-f783d8f858fc)) + (pin "F11" (uuid e5638d4e-857a-4717-b92c-7622c02828a0)) + (pin "B15" (uuid d9de9b63-2d42-4d0c-a519-302a33a5f815)) + (pin "B16" (uuid 84c57a55-4155-4e18-80b9-e669bcf07e98)) + (pin "C14" (uuid fc0e48ae-e898-43d2-81f7-62e657838be6)) + (pin "C15" (uuid 8a3dca0c-2135-4093-af9f-22c71d5cdee0)) + (pin "C16" (uuid 55b4ed74-2427-4c40-870d-a5568b38ca1b)) + (pin "D14" (uuid fec090e7-a825-4b04-9d74-76a9d98f61dd)) + (pin "D16" (uuid 2f89c365-fa78-47f0-b43f-10263bfb4bbe)) + (pin "E14" (uuid 2e95ec29-d343-4442-b223-7f82ce61b553)) + (pin "E15" (uuid 1daa30cd-45fc-414b-b575-74f6683c9597)) + (pin "E16" (uuid da0d2e7e-521e-47f5-b330-a21031c7a4ba)) + (pin "F12" (uuid 8981b6bb-a7de-4387-81d8-246c0a53f3f9)) + (pin "F13" (uuid 65548674-da31-4c05-95d2-be2a1f48a601)) + (pin "F14" (uuid 0e535869-cf36-4271-b2e2-4edbfe4b00c8)) + (pin "F15" (uuid b0de8012-6999-4bcb-aef4-578ece96afd6)) + (pin "F16" (uuid dba277f7-566d-4ffc-8a4c-60e96e3f87b8)) + (pin "G12" (uuid 693826a1-0f53-4c23-af93-6d5c6f0de1ba)) + (pin "G13" (uuid 50be767f-55aa-4234-a789-072d90c6a3b9)) + (pin "G14" (uuid c8321544-ce75-43b1-a1d9-0b67e94653de)) + (pin "G15" (uuid 3a2e3834-7c8d-4c1f-92d1-2d530af20dd7)) + (pin "G16" (uuid c4c3c0f2-0178-4f5b-89ac-529fc91272c4)) + (pin "H11" (uuid 4bcc2662-b341-4471-be63-25e0827da5cb)) + (pin "H12" (uuid a16b2f4e-2836-470b-bb04-46930ced5a96)) + (pin "H13" (uuid b8163747-b35c-45df-a977-1fbd4d47d1e5)) + (pin "H14" (uuid d66a81ef-c349-4488-894b-b89717a6498e)) + (pin "H15" (uuid c8646dea-d917-424c-924a-8d158868c57f)) + (pin "J11" (uuid 73caf5d5-e0a3-4372-a8f9-9ea8eef5b2ab)) + (pin "J12" (uuid e8cefc7f-d8ad-4092-bbe3-25ab73914306)) + (pin "J13" (uuid 4b103b9a-7640-4fdc-a58b-6565ee854395)) + (pin "J14" (uuid e805000f-b77f-4a70-9fe4-1ba0134b8531)) + (pin "J15" (uuid 306c9d99-545e-4898-bba5-29b3cffb4c5d)) + (pin "J16" (uuid 81d30d35-ad54-43aa-946b-c1240b721f9d)) + (pin "K14" (uuid 7bac49ef-087e-4da2-bf9b-280bd899eb6f)) + (pin "K15" (uuid 918dd016-66b2-4758-8ed8-c60bdefd127c)) + (pin "K16" (uuid 34357517-9bdf-4953-a95d-e04feb5c861f)) + (pin "K11" (uuid 7f690bcc-c447-401e-bdf0-b7929f879319)) + (pin "K12" (uuid f44f778b-2bd3-459e-aa61-7ba8f9f93b63)) + (pin "K13" (uuid 3d94828f-9e47-4b8e-825b-ecd9da72db8e)) + (pin "L11" (uuid 974e2b32-55af-4bfe-b6c7-c8fabd94cf76)) + (pin "L12" (uuid ab8e9ebf-2cb7-4fa3-a58a-b7e33c0eb011)) + (pin "L13" (uuid 3a2b70fb-df24-4042-904e-4d85b7b90b08)) + (pin "L14" (uuid f37cc5ac-6b84-456c-9a87-0547106eed21)) + (pin "L15" (uuid 80f35bf9-8c58-4399-97fb-e9ae15fbdd9d)) + (pin "L16" (uuid 28109f19-ea53-4d2a-ba7b-dd421517e783)) + (pin "M11" (uuid f9e98be2-908a-4f8c-8146-815b5ce738e8)) + (pin "M12" (uuid 2890f2b9-da3e-4089-b3d5-f1208d916a16)) + (pin "M13" (uuid 6b849e8d-095c-4bec-aa54-8ea77c8876eb)) + (pin "M14" (uuid dc808538-f47a-487e-9862-7a69c4db4fb7)) + (pin "M15" (uuid 392bc603-94ce-452b-badd-d26ddd6ac9cb)) + (pin "M16" (uuid c36c56d3-592b-402f-bdbb-d1578e4cfef6)) + (pin "N11" (uuid f173d861-10c1-4ad3-882d-93dd61c00ec6)) + (pin "N12" (uuid 6b281c44-8052-4af6-a845-da1504627d79)) + (pin "N13" (uuid ec68d930-1e8c-4f59-b56a-9382a7b13b56)) + (pin "N14" (uuid 819d0283-22bd-47c4-a402-3192382cfaa0)) + (pin "N16" (uuid 25837926-0d51-4b35-96eb-f0bc14a3da78)) + (pin "P11" (uuid c4aa8931-0328-4601-93f0-9a1ae4999209)) + (pin "P12" (uuid 3b845f92-e292-41c7-9b05-cca82111a8b9)) + (pin "P13" (uuid db83a1f7-2c6f-49cf-bd38-c4012c97ecbd)) + (pin "P14" (uuid 9124ba7e-61f3-4f24-9198-3ce5868ed979)) + (pin "P15" (uuid a39e3ebc-0e42-4fa2-aae4-13cc167748ea)) + (pin "P16" (uuid 04f71076-0d35-497a-a8d4-a4063fffca47)) + (pin "R12" (uuid 7bd687ff-0616-4d5e-8531-b6c3581e704d)) + (pin "R13" (uuid 918e4ef9-9174-4bd7-a026-a3179e372658)) + (pin "R14" (uuid 370d5aee-897a-40bf-97ee-8c0c742ab8ed)) + (pin "R15" (uuid e4059d83-dd9f-45ec-8a8e-288a38b4cda6)) + (pin "R16" (uuid 0f69b2b8-63c7-4dbf-932a-9e25c45ec1d6)) + (pin "T13" (uuid 870cf1a0-73c1-4478-84e0-3ff8f5ec7023)) + (pin "T14" (uuid 290e5dca-3b32-4a12-b7b3-566c2125d212)) + (pin "T15" (uuid ccea35ba-199c-456a-aed2-b1fb93ae9862)) + (pin "J6" (uuid 5e47b0e5-f31e-4c51-915d-c4bb5c1c777e)) + (pin "J7" (uuid f527d47a-1a86-4ad1-89f0-97f6b13278a1)) + (pin "K4" (uuid f25dfca0-630f-4b02-860e-06f9973fc79d)) + (pin "K5" (uuid 590151f4-d1ac-436e-bcd2-dd4916d161f2)) + (pin "L1" (uuid 7f1edaed-6e2c-472d-857c-c908396217bf)) + (pin "L2" (uuid e6cc0972-e04f-4940-aa9a-d105bd46d2aa)) + (pin "L3" (uuid 269ee5cd-8676-458b-8f35-9da74edb49e1)) + (pin "L4" (uuid bf36368b-ff95-4d9e-9e0b-4d71c6e84f0f)) + (pin "L5" (uuid db9d71cf-bfc6-4935-b09a-b91c66d10b96)) + (pin "M1" (uuid 739a7193-1af9-4816-8562-7f3f46742be7)) + (pin "M2" (uuid 8f0d08b4-0277-48b1-8ec9-ad05d2596331)) + (pin "M3" (uuid bf713433-1b70-4f95-9e85-67ce6e74b63c)) + (pin "M4" (uuid 0729942e-a340-48d4-9ca3-6c38449e1740)) + (pin "M5" (uuid 7955858c-2f4f-4d39-95f5-fc6827abe439)) + (pin "M6" (uuid ca33b173-51b1-4916-a207-cb97ffc14d05)) + (pin "N1" (uuid 51cc1dc1-1722-4b0b-8aec-d13407e0c99e)) + (pin "N3" (uuid 6a8af29b-5aef-4328-9ee2-aaaabb49c7dc)) + (pin "N4" (uuid 8734ad91-4472-4f6c-bf16-2bb01a5ba87b)) + (pin "N5" (uuid 39c31f7e-396f-4096-a7c4-bdc639a250b7)) + (pin "N6" (uuid 67140f23-3c21-4b31-9357-afd79060a10e)) + (pin "P1" (uuid 6b230a13-e6ea-4fa4-9ed7-7f4f3f2aabbf)) + (pin "P2" (uuid e22893d4-9384-473b-a277-e8637fca8ec8)) + (pin "P3" (uuid 99f24621-6b88-478d-9920-7895b5389233)) + (pin "P4" (uuid 04fee039-405a-4806-8519-74ca15135a9e)) + (pin "P5" (uuid c0cb8514-bd3d-4e0f-b5f2-58cf57cb30a9)) + (pin "P6" (uuid 6d0be175-012a-4037-b302-272c3b6ae654)) + (pin "R1" (uuid 02c2563a-b738-43f5-8160-629a623c52e4)) + (pin "R2" (uuid 3a149958-5661-4a6c-86fc-71a4f3e8d462)) + (pin "R3" (uuid 5be2bbd7-e8ee-4c9a-8b56-d03fb3f00879)) + (pin "R4" (uuid 3de3d051-71b8-4408-a58f-0ca30e2e85b0)) + (pin "R5" (uuid f8517df0-f056-4635-9c99-b022ab139c5f)) + (pin "T2" (uuid 938736db-3884-4473-bbc5-f111147a39ce)) + (pin "T3" (uuid 17128742-0ad1-4eaa-9535-3ba05f211d10)) + (pin "T4" (uuid 97edae67-3086-494e-909f-76b3bd82c7b5)) + (pin "B1" (uuid 5a1c16c6-8727-4d05-8575-8c7d42642f0a)) + (pin "B2" (uuid 83282be8-4013-4b05-a2ed-6a43eece4393)) + (pin "C1" (uuid 1760e033-052f-4c4d-969d-674d42b512ad)) + (pin "C2" (uuid 1aafe2b0-9c96-4b14-b60a-409ce85df728)) + (pin "C3" (uuid 3b4490dd-5ba4-4071-80d0-e54f48ddcc62)) + (pin "D1" (uuid 3947e425-f434-4a15-9c2b-575a7fbec276)) + (pin "D3" (uuid c3b9e8a1-da8b-4d3c-92c3-00ec86c347fa)) + (pin "E1" (uuid ecc93108-39a2-4857-9d74-8a261c67649e)) + (pin "E2" (uuid f30e70ad-ccee-46a7-bc93-cb15ffd11a76)) + (pin "E3" (uuid 372c5f3c-f6f9-4156-8b52-a4bf109d22eb)) + (pin "F1" (uuid 8d1b2f6f-1c5e-449a-8ef6-6fb071401c6c)) + (pin "F2" (uuid 844738ec-4117-4270-86cc-ccb65dbd968b)) + (pin "F3" (uuid 74d4b96e-01ea-4311-b85a-b01c072a5d1e)) + (pin "F4" (uuid bc2c855e-045e-4b79-bac0-b4126d38f15f)) + (pin "F5" (uuid 5efcac11-6800-4498-b601-4014e268e5d9)) + (pin "G1" (uuid b829822d-424b-4cca-9d58-85f372b93881)) + (pin "G2" (uuid b913f8a7-77a2-4024-a99a-51203052c2de)) + (pin "G3" (uuid 3c1a53a2-9140-4e8d-9d3d-562a296db31d)) + (pin "G4" (uuid 19deef8e-93e3-425f-98f0-a421e3245383)) + (pin "G5" (uuid 8f49bfed-0d16-4d18-814f-86cd5b241788)) + (pin "H2" (uuid ca7cfc9f-7520-4f52-a7c8-4318833b21c6)) + (pin "H3" (uuid 18ad8f19-9ad9-4319-8c53-f2c211d81b9d)) + (pin "H4" (uuid ecfdcc29-e761-4525-8b53-abddb9fed871)) + (pin "H5" (uuid eff72704-64bb-41ec-902e-c5b49f18e73f)) + (pin "H6" (uuid a949b1e9-6075-43ef-a62f-ae6ed787cfdf)) + (pin "H7" (uuid 96d459f7-0adf-4c23-86b4-1c93cc0fbf2a)) + (pin "J1" (uuid 42f2c1cd-0724-43e9-8916-60f49440325d)) + (pin "J2" (uuid c4aaa001-e702-467c-b6b9-d5959e6cefaa)) + (pin "J3" (uuid 4432e7c4-755b-42bd-a809-a2d7d4b7b6f0)) + (pin "J4" (uuid 32dfe029-0146-42a6-8b09-82e802a8c08b)) + (pin "J5" (uuid f08e767f-ce36-453e-825c-b6d55bcb683e)) + (pin "K1" (uuid e96c9e33-3079-44d9-96b3-a83452a1d18b)) + (pin "K2" (uuid fd76e6a3-a0e2-4a8f-862c-9b0bd00abe55)) + (pin "K3" (uuid 0db3e48b-35a9-4153-be27-97524a71b0eb)) + (pin "L6" (uuid 355e8eb2-7083-4277-8a6a-8e681a1dee92)) + (pin "M10" (uuid 7645580f-6cd7-4d82-bf9b-993199498542)) + (pin "M7" (uuid 197d2cea-456c-46d3-9f72-8a59d03477b1)) + (pin "M8" (uuid da577f3a-01f5-443d-bbda-41e2972a981a)) + (pin "M9" (uuid da4adc5e-26c7-4815-870e-caaadf50d993)) + (pin "N10" (uuid e0edce93-4442-4c51-a733-ef65558a3db3)) + (pin "N7" (uuid cec8e210-d6a5-476f-9a1a-2441aa9f4276)) + (pin "N8" (uuid 657799f6-7e3d-45a3-833a-f0c23835b072)) + (pin "N9" (uuid 05fabe26-03e0-4682-af60-464892bbd823)) + (pin "P10" (uuid 75b387be-b286-4169-81dd-c4fc60adfa64)) + (pin "P7" (uuid 23951fb9-8967-47cb-a482-e9fdd7411ea9)) + (pin "P8" (uuid d5a2ce7b-2373-470c-a356-e2285c434652)) + (pin "P9" (uuid 0777a1ec-ee4b-4684-8c6d-2c3a5bf5ddae)) + (pin "R10" (uuid 1c47ecca-4748-4d2f-b786-a2c2810468aa)) + (pin "R11" (uuid e354b57c-ab4a-4f4a-9f87-835c84364c4e)) + (pin "R6" (uuid d46f1918-911e-460d-a44b-e92b42f6e757)) + (pin "R7" (uuid 30638023-4664-4355-b661-e54465ee4ff7)) + (pin "R8" (uuid 2a1c2a75-908a-484c-ae3b-b67ed5083190)) + (pin "R9" (uuid e1658d01-10bd-4156-b03c-e0b1def46ac2)) + (pin "T10" (uuid d587b3df-2e79-45da-b9ca-67ae2aaa8903)) + (pin "T11" (uuid 7849dbc2-83bf-41bd-812c-f413a462fef3)) + (pin "T6" (uuid 9e8cd918-d8f7-4bca-99be-65b5d5755c7f)) + (pin "T7" (uuid 6d72ceb7-b518-46b4-9981-9aed5ed65276)) + (pin "T8" (uuid 5f5a9db0-0e01-4e55-94aa-078c73963627)) + (pin "T9" (uuid 6888a3f5-7d8b-4cdb-a220-a7f01981c902)) + (pin "A1" (uuid aca23484-de7a-41f9-ae71-a0cc3259fe9f)) + (pin "A16" (uuid dc1d65e7-4dd5-4b6b-bd77-d55e8d8fc64d)) + (pin "D15" (uuid 0a058545-dddb-4c14-93e1-c18c3a7c4a2a)) + (pin "D2" (uuid 53d9046f-8238-4fa6-ad96-b4b46763fc6b)) + (pin "F8" (uuid 28811c93-52ca-45f1-9dee-1b0c6e33d68d)) + (pin "F9" (uuid 12217a62-7347-402c-aeaa-42070b803f15)) + (pin "G10" (uuid aad5e53e-2518-4def-a915-89d4b54be560)) + (pin "G11" (uuid 0737254e-fa38-435d-b6ed-452d76bfc60d)) + (pin "G6" (uuid 59ecfe13-2049-4051-9630-6d58d3ca68e1)) + (pin "G7" (uuid 25708fe9-98fa-4052-b0a2-9b4722f0a2ff)) + (pin "G8" (uuid 234bc583-6862-4cd7-871b-d79f18f32833)) + (pin "G9" (uuid 6dac507e-71d0-41e5-84d7-a6c3720987c7)) + (pin "H1" (uuid b7c356a2-7238-42c5-b78e-495526589399)) + (pin "H10" (uuid c3e7622f-f321-4818-b31a-aec09617af3d)) + (pin "H16" (uuid cf06c589-0e7e-4067-91ed-c96487851fe2)) + (pin "H8" (uuid 13078bde-33de-43e4-b8a4-2347539eb508)) + (pin "H9" (uuid c09e13e3-f906-40cc-ba99-2722184f2e59)) + (pin "J10" (uuid c2f11c17-0d10-4d32-9073-6eceb48969de)) + (pin "J8" (uuid e1af5518-d33e-4b2c-a66a-9bd9c1d368d8)) + (pin "J9" (uuid 7013f40b-667a-4ddc-9619-f5848b434d28)) + (pin "K10" (uuid 3ee8ab28-0bb8-4207-9e12-642982fdbc18)) + (pin "K6" (uuid 80a23add-9e89-4949-b820-99cae4d50db0)) + (pin "K7" (uuid d65f3f0f-d1f6-499a-956a-bb9ca0ced1b3)) + (pin "K8" (uuid 114dafdc-0064-479b-90d9-298f8b474859)) + (pin "K9" (uuid f93ba420-db4e-4de0-9ee5-04d096406cd7)) + (pin "L10" (uuid 51bfedf7-1401-4455-bfba-f11c175edc2e)) + (pin "L7" (uuid 820f8f05-d831-49b3-ac8c-346d985e24cf)) + (pin "L8" (uuid e06deff3-3b4e-4e36-b228-92c8ccd7734e)) + (pin "L9" (uuid 4ab2ce6f-5bbc-470f-b442-e6f837f21c09)) + (pin "N15" (uuid fbb73663-45b5-4042-ac11-dc3a4b6948f3)) + (pin "N2" (uuid 2620c4de-7405-4e9a-b95f-512032b1a0b9)) + (pin "T1" (uuid 5f11114e-f0b7-482f-af50-dbfdb3028984)) + (pin "T12" (uuid 868d117f-ff40-4174-beab-625e8b7a36f6)) + (pin "T16" (uuid a4998f90-c782-4de4-a8a3-c4fb1a2bed7f)) + (pin "T5" (uuid 1191accc-8b64-490b-8450-6a36f9b675d3)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "U3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 43.18 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid fd76b41a-100a-4716-b63c-c657e1f638c5) + (property "Reference" "#PWR028" (at 43.18 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 43.18 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 43.18 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 43.18 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9b518b95-dad3-479e-9f40-13c4d7c48202)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR028") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 90.17 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid ffe81c3e-8e46-468b-9479-5fe754a25ec4) + (property "Reference" "#PWR029" (at 90.17 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 90.17 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 90.17 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 90.17 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid db96d40a-2fdf-4c65-9bdc-b939e602a489)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR029") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) +) diff --git a/Control.sch b/Control.sch deleted file mode 100644 index 0e518ce..0000000 --- a/Control.sch +++ /dev/null @@ -1,1270 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr B 17000 11000 -encoding utf-8 -Sheet 6 7 -Title "" -Date "\\" -Rev "" -Comp "" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -$Comp -L Device:C_Small C? -U 1 1 616131D5 -P 5500 8400 -AR Path="/616131D5" Ref="C?" Part="1" -AR Path="/5F723173/616131D5" Ref="C29" Part="1" -AR Path="/632F7C98/616131D5" Ref="C?" Part="1" -F 0 "C29" H 5550 8450 50 0000 L CNN -F 1 "2u2" H 5550 8350 50 0000 L CNN -F 2 "stdpads:C_0603" H 5500 8400 50 0001 C CNN -F 3 "~" H 5500 8400 50 0001 C CNN - 1 5500 8400 - 1 0 0 -1 -$EndComp -Wire Wire Line - 5100 8300 5500 8300 -Connection ~ 5500 8300 -Wire Wire Line - 5500 8300 5900 8300 -Wire Wire Line - 5100 8500 5500 8500 -Connection ~ 5500 8500 -Wire Wire Line - 5500 8500 5900 8500 -$Comp -L Device:C_Small C? -U 1 1 616131E1 -P 5900 8400 -AR Path="/616131E1" Ref="C?" Part="1" -AR Path="/5F723173/616131E1" Ref="C30" Part="1" -AR Path="/632F7C98/616131E1" Ref="C?" Part="1" -F 0 "C30" H 5950 8450 50 0000 L CNN -F 1 "2u2" H 5950 8350 50 0000 L CNN -F 2 "stdpads:C_0603" H 5900 8400 50 0001 C CNN -F 3 "~" H 5900 8400 50 0001 C CNN - 1 5900 8400 - 1 0 0 -1 -$EndComp -Connection ~ 5900 8300 -Wire Wire Line - 5900 8300 6300 8300 -Connection ~ 5900 8500 -Wire Wire Line - 5900 8500 6300 8500 -$Comp -L Device:C_Small C? -U 1 1 616131EB -P 6300 8400 -AR Path="/616131EB" Ref="C?" Part="1" -AR Path="/5F723173/616131EB" Ref="C31" Part="1" -AR Path="/632F7C98/616131EB" Ref="C?" Part="1" -F 0 "C31" H 6350 8450 50 0000 L CNN -F 1 "2u2" H 6350 8350 50 0000 L CNN -F 2 "stdpads:C_0603" H 6300 8400 50 0001 C CNN -F 3 "~" H 6300 8400 50 0001 C CNN - 1 6300 8400 - 1 0 0 -1 -$EndComp -Connection ~ 6300 8300 -Wire Wire Line - 6300 8300 6700 8300 -Connection ~ 6300 8500 -Wire Wire Line - 6300 8500 6700 8500 -$Comp -L power:+3V3 #PWR? -U 1 1 616131F5 -P 5100 8300 -AR Path="/616131F5" Ref="#PWR?" Part="1" -AR Path="/5F723173/616131F5" Ref="#PWR0157" Part="1" -AR Path="/632F7C98/616131F5" Ref="#PWR?" Part="1" -F 0 "#PWR0157" H 5100 8150 50 0001 C CNN -F 1 "+3V3" H 5100 8450 50 0000 C CNN -F 2 "" H 5100 8300 50 0001 C CNN -F 3 "" H 5100 8300 50 0001 C CNN - 1 5100 8300 - 1 0 0 -1 -$EndComp -Connection ~ 5100 8300 -$Comp -L Device:C_Small C? -U 1 1 616131FC -P 5100 8400 -AR Path="/616131FC" Ref="C?" Part="1" -AR Path="/5F723173/616131FC" Ref="C28" Part="1" -AR Path="/632F7C98/616131FC" Ref="C?" Part="1" -F 0 "C28" H 5150 8450 50 0000 L CNN -F 1 "2u2" H 5150 8350 50 0000 L CNN -F 2 "stdpads:C_0603" H 5100 8400 50 0001 C CNN -F 3 "~" H 5100 8400 50 0001 C CNN - 1 5100 8400 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 61613208 -P 7100 8400 -AR Path="/61613208" Ref="C?" Part="1" -AR Path="/5F723173/61613208" Ref="C33" Part="1" -AR Path="/632F7C98/61613208" Ref="C?" Part="1" -F 0 "C33" H 7150 8450 50 0000 L CNN -F 1 "2u2" H 7150 8350 50 0000 L CNN -F 2 "stdpads:C_0603" H 7100 8400 50 0001 C CNN -F 3 "~" H 7100 8400 50 0001 C CNN - 1 7100 8400 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 6161320E -P 6700 8400 -AR Path="/6161320E" Ref="C?" Part="1" -AR Path="/5F723173/6161320E" Ref="C32" Part="1" -AR Path="/632F7C98/6161320E" Ref="C?" Part="1" -F 0 "C32" H 6750 8450 50 0000 L CNN -F 1 "2u2" H 6750 8350 50 0000 L CNN -F 2 "stdpads:C_0603" H 6700 8400 50 0001 C CNN -F 3 "~" H 6700 8400 50 0001 C CNN - 1 6700 8400 - 1 0 0 -1 -$EndComp -Connection ~ 6700 8300 -Wire Wire Line - 6700 8300 7100 8300 -Connection ~ 7100 8500 -Connection ~ 6700 8500 -Wire Wire Line - 6700 8500 7100 8500 -$Comp -L power:GND #PWR? -U 1 1 616151A9 -P 7500 8500 -AR Path="/616151A9" Ref="#PWR?" Part="1" -AR Path="/5F723173/616151A9" Ref="#PWR0158" Part="1" -AR Path="/632F7C98/616151A9" Ref="#PWR?" Part="1" -F 0 "#PWR0158" H 7500 8250 50 0001 C CNN -F 1 "GND" H 7500 8350 50 0000 C CNN -F 2 "" H 7500 8500 50 0001 C CNN -F 3 "" H 7500 8500 50 0001 C CNN - 1 7500 8500 - -1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 616151AF -P 7500 8400 -AR Path="/616151AF" Ref="C?" Part="1" -AR Path="/5F723173/616151AF" Ref="C34" Part="1" -AR Path="/632F7C98/616151AF" Ref="C?" Part="1" -F 0 "C34" H 7550 8450 50 0000 L CNN -F 1 "2u2" H 7550 8350 50 0000 L CNN -F 2 "stdpads:C_0603" H 7500 8400 50 0001 C CNN -F 3 "~" H 7500 8400 50 0001 C CNN - 1 7500 8400 - 1 0 0 -1 -$EndComp -Wire Wire Line - 7100 8300 7500 8300 -Connection ~ 7500 8500 -Wire Wire Line - 7100 8500 7500 8500 -Connection ~ 7100 8300 -Text HLabel 12400 600 2 50 Output ~ 0 -IOB_A~OE~ -Text HLabel 12400 1300 2 50 Output ~ 0 -IOC_~AS~ -Text Label 12400 700 0 50 ~ 0 -IOC_SIZ1 -Text Label 12400 800 0 50 ~ 0 -IOC_SIZ0 -Entry Wire Line - 12800 700 12900 800 -Wire Wire Line - 12800 700 12400 700 -Wire Wire Line - 12400 800 12800 800 -Wire Bus Line - 12950 800 12900 800 -Wire Bus Line - 12900 800 12900 900 -Text HLabel 12400 1400 2 50 Output ~ 0 -IOC_~DS~ -Text Label 12400 4300 0 50 ~ 0 -FSB_A15 -Text Label 12400 4400 0 50 ~ 0 -FSB_A14 -Text Label 12400 4500 0 50 ~ 0 -FSB_A13 -Text Label 12400 4600 0 50 ~ 0 -FSB_A12 -Text Label 12400 4700 0 50 ~ 0 -FSB_A11 -Text Label 12400 4800 0 50 ~ 0 -FSB_A10 -Text Label 12400 3200 0 50 ~ 0 -FSB_A22 -Text Label 12400 3300 0 50 ~ 0 -FSB_A21 -Text Label 12400 3400 0 50 ~ 0 -FSB_A20 -Text Label 12400 3500 0 50 ~ 0 -FSB_A19 -Text Label 12400 3600 0 50 ~ 0 -FSB_A18 -Text Label 12400 3700 0 50 ~ 0 -FSB_A17 -Text Label 12400 4900 0 50 ~ 0 -FSB_A9 -Text Label 12400 5800 0 50 ~ 0 -FSB_A4 -Text Label 12400 5700 0 50 ~ 0 -FSB_A5 -Text Label 12400 5600 0 50 ~ 0 -FSB_A6 -Text Label 12400 5500 0 50 ~ 0 -FSB_A7 -Text Label 12400 5000 0 50 ~ 0 -FSB_A8 -Text Label 12400 2600 0 50 ~ 0 -FSB_A24 -Text Label 12400 2300 0 50 ~ 0 -FSB_A27 -Text Label 12400 2400 0 50 ~ 0 -FSB_A26 -Text Label 12400 2500 0 50 ~ 0 -FSB_A25 -Wire Wire Line - 12400 2500 12800 2500 -Wire Wire Line - 12400 2400 12800 2400 -Wire Wire Line - 12400 2300 12800 2300 -Wire Wire Line - 12400 2600 12800 2600 -Wire Wire Line - 12400 3700 12800 3700 -Wire Wire Line - 12400 3600 12800 3600 -Wire Wire Line - 12400 3500 12800 3500 -Wire Wire Line - 12400 3400 12800 3400 -Wire Wire Line - 12400 3300 12800 3300 -Wire Wire Line - 12400 3200 12800 3200 -Wire Wire Line - 12400 3100 12800 3100 -Wire Wire Line - 12400 4900 12800 4900 -Wire Wire Line - 12400 4800 12800 4800 -Wire Wire Line - 12400 4700 12800 4700 -Wire Wire Line - 12400 4600 12800 4600 -Wire Wire Line - 12400 4500 12800 4500 -Wire Wire Line - 12400 4400 12800 4400 -Wire Wire Line - 12400 4300 12800 4300 -Wire Wire Line - 12400 5800 12800 5800 -Wire Wire Line - 12400 5700 12800 5700 -Wire Wire Line - 12400 5600 12800 5600 -Wire Wire Line - 12400 5500 12800 5500 -Wire Wire Line - 12400 5000 12800 5000 -Entry Wire Line - 12800 2500 12900 2600 -Entry Wire Line - 12800 2400 12900 2500 -Entry Wire Line - 12800 2300 12900 2400 -Entry Wire Line - 12800 2600 12900 2700 -Entry Wire Line - 12800 3700 12900 3800 -Entry Wire Line - 12800 3600 12900 3700 -Entry Wire Line - 12800 3500 12900 3600 -Entry Wire Line - 12800 3400 12900 3500 -Entry Wire Line - 12800 3300 12900 3400 -Entry Wire Line - 12800 3200 12900 3300 -Entry Wire Line - 12800 3100 12900 3200 -Entry Wire Line - 12800 4900 12900 5000 -Entry Wire Line - 12800 4800 12900 4900 -Entry Wire Line - 12800 4700 12900 4800 -Entry Wire Line - 12800 4600 12900 4700 -Entry Wire Line - 12800 4500 12900 4600 -Entry Wire Line - 12800 4400 12900 4500 -Entry Wire Line - 12800 4300 12900 4400 -Entry Wire Line - 12800 3800 12900 3900 -Entry Wire Line - 12800 5800 12900 5900 -Entry Wire Line - 12800 5700 12900 5800 -Entry Wire Line - 12800 5600 12900 5700 -Entry Wire Line - 12800 5500 12900 5600 -Entry Wire Line - 12800 5000 12900 5100 -Wire Wire Line - 12400 3800 12800 3800 -Text Label 12400 3800 0 50 ~ 0 -FSB_A16 -Text Label 13650 3150 0 50 ~ 0 -IOC_D8 -Text Label 13650 3250 0 50 ~ 0 -IOC_D9 -Text Label 13650 3350 0 50 ~ 0 -IOC_D10 -Text Label 13650 3450 0 50 ~ 0 -IOC_D11 -Text Label 13650 3550 0 50 ~ 0 -IOC_D12 -Text Label 13650 3650 0 50 ~ 0 -IOC_D13 -Text Label 13650 3750 0 50 ~ 0 -IOC_D14 -Text Label 13650 3850 0 50 ~ 0 -IOC_D15 -Text Label 13650 4350 0 50 ~ 0 -IOC_D16 -Text Label 13650 4450 0 50 ~ 0 -IOC_D17 -Text Label 13650 4550 0 50 ~ 0 -IOC_D18 -Text Label 13650 4650 0 50 ~ 0 -IOC_D19 -Text Label 13650 4750 0 50 ~ 0 -IOC_D20 -Text Label 13650 4850 0 50 ~ 0 -IOC_D21 -Text Label 13650 4950 0 50 ~ 0 -IOC_D22 -Text Label 13650 5050 0 50 ~ 0 -IOC_D23 -Text Label 13650 5550 0 50 ~ 0 -IOC_D24 -Text Label 13650 5650 0 50 ~ 0 -IOC_D25 -Text Label 13650 5750 0 50 ~ 0 -IOC_D26 -Text Label 13650 5850 0 50 ~ 0 -IOC_D27 -Text Label 13650 5950 0 50 ~ 0 -IOC_D28 -Text Label 13650 6050 0 50 ~ 0 -IOC_D29 -Text Label 13650 6150 0 50 ~ 0 -IOC_D30 -Text Label 13650 6250 0 50 ~ 0 -IOC_D31 -Text Label 13650 1950 0 50 ~ 0 -IOC_D0 -Text Label 13650 2050 0 50 ~ 0 -IOC_D1 -Text Label 13650 2150 0 50 ~ 0 -IOC_D2 -Text Label 13650 2250 0 50 ~ 0 -IOC_D3 -Text Label 13650 2350 0 50 ~ 0 -IOC_D4 -Text Label 13650 2450 0 50 ~ 0 -IOC_D5 -Text Label 13650 2550 0 50 ~ 0 -IOC_D6 -Text Label 13650 2650 0 50 ~ 0 -IOC_D7 -Wire Wire Line - 13650 2650 13950 2650 -Wire Wire Line - 13650 2550 13950 2550 -Wire Wire Line - 13650 2450 13950 2450 -Wire Wire Line - 13650 2350 13950 2350 -Wire Wire Line - 13650 2250 13950 2250 -Wire Wire Line - 13650 2150 13950 2150 -Wire Wire Line - 13650 2050 13950 2050 -Wire Wire Line - 13650 1950 13950 1950 -Entry Wire Line - 13950 1950 14050 2050 -Entry Wire Line - 13950 2050 14050 2150 -Entry Wire Line - 13950 2150 14050 2250 -Entry Wire Line - 13950 2250 14050 2350 -Entry Wire Line - 13950 2350 14050 2450 -Entry Wire Line - 13950 2450 14050 2550 -Entry Wire Line - 13950 2550 14050 2650 -Entry Wire Line - 13950 2650 14050 2750 -Text HLabel 14100 2050 2 50 BiDi ~ 0 -IOB_D[31..0] -Wire Bus Line - 14100 2050 14050 2050 -Wire Wire Line - 13650 4350 13950 4350 -Wire Wire Line - 13650 4450 13950 4450 -Wire Wire Line - 13650 4550 13950 4550 -Wire Wire Line - 13650 4650 13950 4650 -Wire Wire Line - 13650 4750 13950 4750 -Wire Wire Line - 13650 4850 13950 4850 -Wire Wire Line - 13650 4950 13950 4950 -Wire Wire Line - 13650 5050 13950 5050 -Wire Wire Line - 13650 5550 13950 5550 -Wire Wire Line - 13650 5650 13950 5650 -Wire Wire Line - 13650 5750 13950 5750 -Wire Wire Line - 13650 5850 13950 5850 -Wire Wire Line - 13650 5950 13950 5950 -Wire Wire Line - 13650 6050 13950 6050 -Wire Wire Line - 13650 6150 13950 6150 -Wire Wire Line - 13650 6250 13950 6250 -Wire Wire Line - 13650 3150 13950 3150 -Wire Wire Line - 13650 3250 13950 3250 -Wire Wire Line - 13650 3350 13950 3350 -Wire Wire Line - 13650 3450 13950 3450 -Wire Wire Line - 13650 3550 13950 3550 -Wire Wire Line - 13650 3650 13950 3650 -Wire Wire Line - 13650 3750 13950 3750 -Wire Wire Line - 13650 3850 13950 3850 -Entry Wire Line - 13950 3150 14050 3250 -Entry Wire Line - 13950 3250 14050 3350 -Entry Wire Line - 13950 3350 14050 3450 -Entry Wire Line - 13950 3450 14050 3550 -Entry Wire Line - 13950 3550 14050 3650 -Entry Wire Line - 13950 3650 14050 3750 -Entry Wire Line - 13950 3750 14050 3850 -Entry Wire Line - 13950 3850 14050 3950 -Entry Wire Line - 13950 4350 14050 4450 -Entry Wire Line - 13950 4450 14050 4550 -Entry Wire Line - 13950 4550 14050 4650 -Entry Wire Line - 13950 4650 14050 4750 -Entry Wire Line - 13950 4750 14050 4850 -Entry Wire Line - 13950 4850 14050 4950 -Entry Wire Line - 13950 4950 14050 5050 -Entry Wire Line - 13950 5050 14050 5150 -Entry Wire Line - 13950 5550 14050 5650 -Entry Wire Line - 13950 5650 14050 5750 -Entry Wire Line - 13950 5750 14050 5850 -Entry Wire Line - 13950 5850 14050 5950 -Entry Wire Line - 13950 5950 14050 6050 -Entry Wire Line - 13950 6050 14050 6150 -Entry Wire Line - 13950 6150 14050 6250 -Entry Wire Line - 13950 6250 14050 6350 -Text HLabel 12950 2200 2 50 BiDi ~ 0 -FSB_A[31..0] -Wire Bus Line - 12950 2200 12900 2200 -Text HLabel 13650 1150 2 50 Input ~ 0 -IOB_C16M -Text HLabel 13650 850 2 50 Input ~ 0 -IOB_~HALT~ -Text HLabel 13650 1050 2 50 Input ~ 0 -IOB_~DSACK~1 -Text HLabel 13650 950 2 50 Input ~ 0 -IOB_~DSACK~0 -Entry Wire Line - 12800 900 12900 1000 -Entry Wire Line - 12800 1000 12900 1100 -Entry Wire Line - 12800 1100 12900 1200 -Wire Wire Line - 12400 900 12800 900 -Wire Wire Line - 12400 1000 12800 1000 -Wire Wire Line - 12400 1100 12800 1100 -Text Label 12400 1200 0 50 ~ 0 -IOC_A0 -Text Label 12400 900 0 50 ~ 0 -IOC_A3 -Text Label 12400 1000 0 50 ~ 0 -IOC_A2 -Text Label 12400 1100 0 50 ~ 0 -IOC_A1 -Text HLabel 13650 750 2 50 Input ~ 0 -IOB_~BERR~ -Text HLabel 12950 1000 2 50 Output ~ 0 -IOB_A[3..0] -Wire Bus Line - 12900 1000 12950 1000 -Wire Wire Line - 12400 1200 12800 1200 -Entry Wire Line - 12800 1200 12900 1300 -Entry Wire Line - 12800 800 12900 900 -Text Label 12400 2100 0 50 ~ 0 -FSB_A31 -Text Label 12400 2200 0 50 ~ 0 -FSB_A30 -Wire Wire Line - 12400 2200 12800 2200 -Wire Wire Line - 12400 2100 12800 2100 -Entry Wire Line - 12800 2200 12900 2300 -Entry Wire Line - 12800 2100 12900 2200 -Text HLabel 13650 550 2 50 Output ~ 0 -RESET~OE~ -Text Label 12400 3100 0 50 ~ 0 -FSB_A23 -Text HLabel 4500 400 0 50 Output ~ 0 -IOB_ADoutLE -Text HLabel 13650 1750 2 50 Output ~ 0 -IOB_DDIR -Text HLabel 13650 1650 2 50 Output ~ 0 -IOB_D~OE~ -Text HLabel 13650 650 2 50 Input ~ 0 -~RESET~ -Text HLabel 12950 800 2 50 Output ~ 0 -IOB_SIZ[1..0] -Text Label 15650 2800 0 50 ~ 0 -FSB_D8 -Text Label 15650 2900 0 50 ~ 0 -FSB_D9 -Text Label 15650 3000 0 50 ~ 0 -FSB_D10 -Text Label 15650 3100 0 50 ~ 0 -FSB_D11 -Text Label 15650 3200 0 50 ~ 0 -FSB_D12 -Text Label 15650 3300 0 50 ~ 0 -FSB_D13 -Text Label 15650 3400 0 50 ~ 0 -FSB_D14 -Text Label 15650 3500 0 50 ~ 0 -FSB_D15 -Text Label 15650 4000 0 50 ~ 0 -FSB_D16 -Text Label 15650 4100 0 50 ~ 0 -FSB_D17 -Text Label 15650 4200 0 50 ~ 0 -FSB_D18 -Text Label 15650 4300 0 50 ~ 0 -FSB_D19 -Text Label 15650 4400 0 50 ~ 0 -FSB_D20 -Text Label 15650 4500 0 50 ~ 0 -FSB_D21 -Text Label 15650 4600 0 50 ~ 0 -FSB_D22 -Text Label 15650 4700 0 50 ~ 0 -FSB_D23 -Text Label 15650 5200 0 50 ~ 0 -FSB_D24 -Text Label 15650 5300 0 50 ~ 0 -FSB_D25 -Text Label 15650 5400 0 50 ~ 0 -FSB_D26 -Text Label 15650 5500 0 50 ~ 0 -FSB_D27 -Text Label 15650 5600 0 50 ~ 0 -FSB_D28 -Text Label 15650 5700 0 50 ~ 0 -FSB_D29 -Text Label 15650 5800 0 50 ~ 0 -FSB_D30 -Text Label 15650 5900 0 50 ~ 0 -FSB_D31 -Text Label 15650 1600 0 50 ~ 0 -FSB_D0 -Text Label 15650 1700 0 50 ~ 0 -FSB_D1 -Text Label 15650 1800 0 50 ~ 0 -FSB_D2 -Text Label 15650 2000 0 50 ~ 0 -FSB_D4 -Text Label 15650 2200 0 50 ~ 0 -FSB_D6 -Text Label 15650 2300 0 50 ~ 0 -FSB_D7 -Wire Wire Line - 15650 2300 15950 2300 -Wire Wire Line - 15650 2200 15950 2200 -Wire Wire Line - 15650 2100 15950 2100 -Wire Wire Line - 15650 2000 15950 2000 -Wire Wire Line - 15650 1900 15950 1900 -Wire Wire Line - 15650 1800 15950 1800 -Wire Wire Line - 15650 1700 15950 1700 -Wire Wire Line - 15650 1600 15950 1600 -Entry Wire Line - 15950 1600 16050 1700 -Entry Wire Line - 15950 1700 16050 1800 -Entry Wire Line - 15950 1800 16050 1900 -Entry Wire Line - 15950 1900 16050 2000 -Entry Wire Line - 15950 2000 16050 2100 -Entry Wire Line - 15950 2100 16050 2200 -Entry Wire Line - 15950 2200 16050 2300 -Entry Wire Line - 15950 2300 16050 2400 -Wire Bus Line - 16100 1700 16050 1700 -Wire Wire Line - 15650 4000 15950 4000 -Wire Wire Line - 15650 4100 15950 4100 -Wire Wire Line - 15650 4200 15950 4200 -Wire Wire Line - 15650 4300 15950 4300 -Wire Wire Line - 15650 4400 15950 4400 -Wire Wire Line - 15650 4500 15950 4500 -Wire Wire Line - 15650 4600 15950 4600 -Wire Wire Line - 15650 4700 15950 4700 -Wire Wire Line - 15650 5200 15950 5200 -Wire Wire Line - 15650 5300 15950 5300 -Wire Wire Line - 15650 5400 15950 5400 -Wire Wire Line - 15650 5500 15950 5500 -Wire Wire Line - 15650 5600 15950 5600 -Wire Wire Line - 15650 5700 15950 5700 -Wire Wire Line - 15650 5800 15950 5800 -Wire Wire Line - 15650 5900 15950 5900 -Wire Wire Line - 15650 2800 15950 2800 -Wire Wire Line - 15650 2900 15950 2900 -Wire Wire Line - 15650 3000 15950 3000 -Wire Wire Line - 15650 3100 15950 3100 -Wire Wire Line - 15650 3200 15950 3200 -Wire Wire Line - 15650 3300 15950 3300 -Wire Wire Line - 15650 3400 15950 3400 -Wire Wire Line - 15650 3500 15950 3500 -Entry Wire Line - 15950 2800 16050 2900 -Entry Wire Line - 15950 2900 16050 3000 -Entry Wire Line - 15950 3000 16050 3100 -Entry Wire Line - 15950 3100 16050 3200 -Entry Wire Line - 15950 3200 16050 3300 -Entry Wire Line - 15950 3300 16050 3400 -Entry Wire Line - 15950 3400 16050 3500 -Entry Wire Line - 15950 3500 16050 3600 -Entry Wire Line - 15950 4000 16050 4100 -Entry Wire Line - 15950 4100 16050 4200 -Entry Wire Line - 15950 4200 16050 4300 -Entry Wire Line - 15950 4300 16050 4400 -Entry Wire Line - 15950 4400 16050 4500 -Entry Wire Line - 15950 4500 16050 4600 -Entry Wire Line - 15950 4600 16050 4700 -Entry Wire Line - 15950 4700 16050 4800 -Entry Wire Line - 15950 5200 16050 5300 -Entry Wire Line - 15950 5300 16050 5400 -Entry Wire Line - 15950 5400 16050 5500 -Entry Wire Line - 15950 5500 16050 5600 -Entry Wire Line - 15950 5600 16050 5700 -Entry Wire Line - 15950 5700 16050 5800 -Entry Wire Line - 15950 5800 16050 5900 -Entry Wire Line - 15950 5900 16050 6000 -Text Label 15650 1900 0 50 ~ 0 -FSB_D3 -Text Label 15650 2100 0 50 ~ 0 -FSB_D5 -Text HLabel 16100 1700 2 50 BiDi ~ 0 -FSB_D[31..0] -Text Label 15300 2700 2 50 ~ 0 -FSB_SIZ1 -Text Label 15300 2600 2 50 ~ 0 -FSB_SIZ0 -Text HLabel 15300 1300 0 50 Input ~ 0 -FSB_~DS~ -Text HLabel 15300 1100 0 50 Input ~ 0 -FSB_~AS~ -Text HLabel 15300 2450 0 50 BiDi ~ 0 -FSB_R~W~ -Text Label 15300 2800 2 50 ~ 0 -FSB_FC2 -Text Label 15300 3000 2 50 ~ 0 -FSB_FC0 -Text Label 15300 2900 2 50 ~ 0 -FSB_FC1 -Entry Wire Line - 14800 2800 14700 2700 -Entry Wire Line - 14800 3000 14700 2900 -Entry Wire Line - 14800 2900 14700 2800 -Text HLabel 14650 2700 0 50 BiDi ~ 0 -FSB_FC[2..0] -Wire Bus Line - 14650 2700 14700 2700 -Wire Wire Line - 14800 2800 15300 2800 -Wire Wire Line - 15300 2900 14800 2900 -Wire Wire Line - 14800 3000 15300 3000 -Entry Wire Line - 14800 2600 14700 2500 -Entry Wire Line - 14800 2700 14700 2600 -Wire Bus Line - 14650 2500 14700 2500 -Wire Wire Line - 14800 2600 15300 2600 -Wire Wire Line - 14800 2700 15300 2700 -Wire Bus Line - 14700 2500 14700 2600 -Text HLabel 15300 1200 0 50 Input ~ 0 -FSB_~CBREQ~ -Text HLabel 15300 1700 0 50 Output ~ 0 -CPU_~DSACK~1 -Text HLabel 15300 1800 0 50 Output ~ 0 -CPU_~DSACK~0 -Text HLabel 15300 1400 0 50 Input ~ 0 -FSB_~ECS~ -Text HLabel 15300 1600 0 50 Output ~ 0 -DSACK~OE~ -Text HLabel 16000 1000 0 50 Output ~ 0 -CPU_D~OE~ -Text HLabel 16000 1100 0 50 Output ~ 0 -CPU_DDIR -Text HLabel 16000 900 0 50 Output ~ 0 -CPU_A~OE~ -Text HLabel 15250 3750 0 50 Output ~ 0 -CPUCLK -Text HLabel 15250 3850 0 50 Output ~ 0 -FPUCLK -Text HLabel 15250 3950 0 50 Output ~ 0 -FPU~CS~ -Text HLabel 15250 4400 0 50 Output ~ 0 -CPU_~STERM~ -Text HLabel 15250 4500 0 50 Output ~ 0 -CPU_~CBACK~ -Text HLabel 15250 4600 0 50 Output ~ 0 -CPU_~CIIN~ -Text HLabel 15250 4700 0 50 Output ~ 0 -CPU_~HALT~ -Text HLabel 15250 4800 0 50 Output ~ 0 -CPU_~BERR~ -Text HLabel 15250 5250 0 50 Output ~ 0 -RAM_~CS~ -Text HLabel 15250 5350 0 50 Output ~ 0 -RAM_~RAS~ -Text HLabel 15250 5450 0 50 Output ~ 0 -RAM_~CAS~ -Text HLabel 15250 5550 0 50 Output ~ 0 -RAM_~WE~ -Text HLabel 15250 5650 0 50 Output ~ 0 -RAM_CKE -Text HLabel 15250 5750 0 50 Output ~ 0 -RAM_BA[1..0] -Text HLabel 15250 5850 0 50 Output ~ 0 -RAM_RA[12..0] -Text HLabel 15250 5950 0 50 Output ~ 0 -RAM_DQM[3..0] -Text HLabel 15250 6050 0 50 Output ~ 0 -RAM_CLK01 -Text HLabel 15250 6150 0 50 Output ~ 0 -RAM_CLK23 -Text HLabel 15300 2350 0 50 BiDi ~ 0 -FSB_~RMC~ -Text HLabel 14650 2500 0 50 Input ~ 0 -FSB_SIZ[1..0] -Text HLabel 15200 3150 0 50 Input ~ 0 -FSB_~CIOUT~ -$Comp -L FPGA_Xilinx_Spartan6:XC6SLX9-FTG256 U3 -U 1 1 63B6D9FE -P 3250 4350 -F 0 "U3" H 3250 1175 50 0000 C CNN -F 1 "XC6SLX9-FTG256" H 3250 1084 50 0000 C CNN -F 2 "" H 3250 4350 50 0001 C CNN -F 3 "" H 3250 4350 50 0000 C CNN - 1 3250 4350 - 1 0 0 -1 -$EndComp -$Comp -L FPGA_Xilinx_Spartan6:XC6SLX9-FTG256 U3 -U 2 1 63B75427 -P 8300 4550 -F 0 "U3" H 8300 1375 50 0000 C CNN -F 1 "XC6SLX9-FTG256" H 8300 1284 50 0000 C CNN -F 2 "" H 8300 4550 50 0001 C CNN -F 3 "" H 8300 4550 50 0000 C CNN - 2 8300 4550 - 1 0 0 -1 -$EndComp -$Comp -L FPGA_Xilinx_Spartan6:XC6SLX9-FTG256 U3 -U 3 1 63B76D3B -P 3000 9600 -F 0 "U3" H 3132 10367 50 0000 C CNN -F 1 "XC6SLX9-FTG256" H 3132 10276 50 0000 C CNN -F 2 "" H 3000 9600 50 0001 C CNN -F 3 "" H 3000 9600 50 0000 C CNN - 3 3000 9600 - 1 0 0 -1 -$EndComp -$Comp -L FPGA_Xilinx_Spartan6:XC6SLX9-FTG256 U3 -U 4 1 63B79730 -P 11050 9250 -F 0 "U3" H 11050 10617 50 0000 C CNN -F 1 "XC6SLX9-FTG256" H 11050 10526 50 0000 C CNN -F 2 "" H 11050 9250 50 0001 C CNN -F 3 "" H 11050 9250 50 0000 C CNN - 4 11050 9250 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR? -U 1 1 63C0BD5C -P 10050 8150 -AR Path="/63C0BD5C" Ref="#PWR?" Part="1" -AR Path="/5F723173/63C0BD5C" Ref="#PWR0188" Part="1" -AR Path="/632F7C98/63C0BD5C" Ref="#PWR?" Part="1" -F 0 "#PWR0188" H 10050 8000 50 0001 C CNN -F 1 "+3V3" H 10050 8300 50 0000 C CNN -F 2 "" H 10050 8150 50 0001 C CNN -F 3 "" H 10050 8150 50 0001 C CNN - 1 10050 8150 - 1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR? -U 1 1 63C0CD28 -P 9500 1450 -AR Path="/63C0CD28" Ref="#PWR?" Part="1" -AR Path="/5F723173/63C0CD28" Ref="#PWR0189" Part="1" -AR Path="/632F7C98/63C0CD28" Ref="#PWR?" Part="1" -F 0 "#PWR0189" H 9500 1300 50 0001 C CNN -F 1 "+3V3" H 9500 1600 50 0000 C CNN -F 2 "" H 9500 1450 50 0001 C CNN -F 3 "" H 9500 1450 50 0001 C CNN - 1 9500 1450 - 1 0 0 -1 -$EndComp -Wire Wire Line - 9500 1450 9600 1450 -Connection ~ 9500 1450 -Connection ~ 9600 1450 -Wire Wire Line - 9600 1450 9700 1450 -Connection ~ 9700 1450 -Wire Wire Line - 9700 1450 9800 1450 -Connection ~ 9800 1450 -Wire Wire Line - 9800 1450 9900 1450 -$Comp -L power:+3V3 #PWR? -U 1 1 63C153A4 -P 6700 1450 -AR Path="/63C153A4" Ref="#PWR?" Part="1" -AR Path="/5F723173/63C153A4" Ref="#PWR0190" Part="1" -AR Path="/632F7C98/63C153A4" Ref="#PWR?" Part="1" -F 0 "#PWR0190" H 6700 1300 50 0001 C CNN -F 1 "+3V3" H 6700 1600 50 0000 C CNN -F 2 "" H 6700 1450 50 0001 C CNN -F 3 "" H 6700 1450 50 0001 C CNN - 1 6700 1450 - 1 0 0 -1 -$EndComp -Wire Wire Line - 7000 1450 6900 1450 -Connection ~ 6700 1450 -Connection ~ 6800 1450 -Wire Wire Line - 6800 1450 6700 1450 -Connection ~ 6900 1450 -Wire Wire Line - 6900 1450 6800 1450 -$Comp -L power:+3V3 #PWR? -U 1 1 63C2527F -P 1650 1250 -AR Path="/63C2527F" Ref="#PWR?" Part="1" -AR Path="/5F723173/63C2527F" Ref="#PWR0191" Part="1" -AR Path="/632F7C98/63C2527F" Ref="#PWR?" Part="1" -F 0 "#PWR0191" H 1650 1100 50 0001 C CNN -F 1 "+3V3" H 1650 1400 50 0000 C CNN -F 2 "" H 1650 1250 50 0001 C CNN -F 3 "" H 1650 1250 50 0001 C CNN - 1 1650 1250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1650 1250 1750 1250 -Connection ~ 1650 1250 -Connection ~ 1750 1250 -Wire Wire Line - 1750 1250 1850 1250 -Connection ~ 1850 1250 -Wire Wire Line - 1850 1250 1950 1250 -Connection ~ 1950 1250 -Wire Wire Line - 1950 1250 2050 1250 -$Comp -L power:+3V3 #PWR? -U 1 1 63C2E369 -P 4350 1250 -AR Path="/63C2E369" Ref="#PWR?" Part="1" -AR Path="/5F723173/63C2E369" Ref="#PWR0192" Part="1" -AR Path="/632F7C98/63C2E369" Ref="#PWR?" Part="1" -F 0 "#PWR0192" H 4350 1100 50 0001 C CNN -F 1 "+3V3" H 4350 1400 50 0000 C CNN -F 2 "" H 4350 1250 50 0001 C CNN -F 3 "" H 4350 1250 50 0001 C CNN - 1 4350 1250 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4350 1250 4450 1250 -Connection ~ 4350 1250 -Connection ~ 4450 1250 -Wire Wire Line - 4450 1250 4550 1250 -Connection ~ 4550 1250 -Wire Wire Line - 4550 1250 4650 1250 -Connection ~ 4650 1250 -Wire Wire Line - 4650 1250 4750 1250 -Connection ~ 4750 1250 -Wire Wire Line - 4750 1250 4850 1250 -$Comp -L power:GND #PWR? -U 1 1 63C37F24 -P 10050 10350 -AR Path="/63C37F24" Ref="#PWR?" Part="1" -AR Path="/5F723173/63C37F24" Ref="#PWR0193" Part="1" -AR Path="/632F7C98/63C37F24" Ref="#PWR?" Part="1" -F 0 "#PWR0193" H 10050 10100 50 0001 C CNN -F 1 "GND" H 10050 10200 50 0000 C CNN -F 2 "" H 10050 10350 50 0001 C CNN -F 3 "" H 10050 10350 50 0001 C CNN - 1 10050 10350 - -1 0 0 -1 -$EndComp -Wire Wire Line - 10050 10350 10050 10250 -Connection ~ 10050 10350 -Connection ~ 10050 9250 -Wire Wire Line - 10050 9250 10050 9150 -Connection ~ 10050 9350 -Wire Wire Line - 10050 9350 10050 9250 -Connection ~ 10050 9450 -Wire Wire Line - 10050 9450 10050 9350 -Connection ~ 10050 9550 -Wire Wire Line - 10050 9550 10050 9450 -Connection ~ 10050 9650 -Wire Wire Line - 10050 9650 10050 9550 -Connection ~ 10050 9750 -Wire Wire Line - 10050 9750 10050 9650 -Connection ~ 10050 9850 -Wire Wire Line - 10050 9850 10050 9750 -Connection ~ 10050 9950 -Wire Wire Line - 10050 9950 10050 9850 -Connection ~ 10050 10050 -Wire Wire Line - 10050 10050 10050 9950 -Connection ~ 10050 10150 -Wire Wire Line - 10050 10150 10050 10050 -Connection ~ 10050 10250 -Wire Wire Line - 10050 10250 10050 10150 -$Comp -L power:GND #PWR? -U 1 1 63C42927 -P 12050 10350 -AR Path="/63C42927" Ref="#PWR?" Part="1" -AR Path="/5F723173/63C42927" Ref="#PWR0194" Part="1" -AR Path="/632F7C98/63C42927" Ref="#PWR?" Part="1" -F 0 "#PWR0194" H 12050 10100 50 0001 C CNN -F 1 "GND" H 12050 10200 50 0000 C CNN -F 2 "" H 12050 10350 50 0001 C CNN -F 3 "" H 12050 10350 50 0001 C CNN - 1 12050 10350 - -1 0 0 -1 -$EndComp -Wire Wire Line - 12050 10350 12050 10250 -Connection ~ 12050 10350 -Connection ~ 12050 9250 -Wire Wire Line - 12050 9250 12050 9150 -Connection ~ 12050 9350 -Wire Wire Line - 12050 9350 12050 9250 -Connection ~ 12050 9450 -Wire Wire Line - 12050 9450 12050 9350 -Connection ~ 12050 9550 -Wire Wire Line - 12050 9550 12050 9450 -Connection ~ 12050 9650 -Wire Wire Line - 12050 9650 12050 9550 -Connection ~ 12050 9750 -Wire Wire Line - 12050 9750 12050 9650 -Connection ~ 12050 9850 -Wire Wire Line - 12050 9850 12050 9750 -Connection ~ 12050 9950 -Wire Wire Line - 12050 9950 12050 9850 -Connection ~ 12050 10050 -Wire Wire Line - 12050 10050 12050 9950 -Connection ~ 12050 10150 -Wire Wire Line - 12050 10150 12050 10050 -Connection ~ 12050 10250 -Wire Wire Line - 12050 10250 12050 10150 -Wire Wire Line - 10050 8150 10050 8250 -Connection ~ 10050 8150 -Connection ~ 10050 8250 -Wire Wire Line - 10050 8250 10050 8350 -Connection ~ 10050 8350 -Wire Wire Line - 10050 8350 10050 8450 -Connection ~ 10050 8450 -Wire Wire Line - 10050 8450 10050 8550 -Connection ~ 10050 8550 -Wire Wire Line - 10050 8550 10050 8650 -Connection ~ 10050 8650 -Wire Wire Line - 10050 8650 10050 8750 -Connection ~ 10050 8750 -Wire Wire Line - 10050 8750 10050 8850 -$Comp -L power:+1V2 #PWR0195 -U 1 1 63C583E7 -P 12050 8150 -F 0 "#PWR0195" H 12050 8000 50 0001 C CNN -F 1 "+1V2" H 12050 8300 50 0000 C CNN -F 2 "" H 12050 8150 50 0001 C CNN -F 3 "" H 12050 8150 50 0001 C CNN - 1 12050 8150 - 1 0 0 -1 -$EndComp -Wire Wire Line - 12050 8150 12050 8250 -Connection ~ 12050 8150 -Connection ~ 12050 8250 -Wire Wire Line - 12050 8250 12050 8350 -Connection ~ 12050 8350 -Wire Wire Line - 12050 8350 12050 8450 -Connection ~ 12050 8450 -Wire Wire Line - 12050 8450 12050 8550 -Connection ~ 12050 8550 -Wire Wire Line - 12050 8550 12050 8650 -Connection ~ 12050 8650 -Wire Wire Line - 12050 8650 12050 8750 -Connection ~ 12050 8750 -Wire Wire Line - 12050 8750 12050 8850 -$Comp -L GW_Logic:Oscillator_4P U? -U 1 1 63C6B24E -P 13750 8250 -AR Path="/63C6B24E" Ref="U?" Part="1" -AR Path="/61BD72BF/63C6B24E" Ref="U?" Part="1" -AR Path="/61350D21/63C6B24E" Ref="U?" Part="1" -AR Path="/61BE63BD/63C6B24E" Ref="U?" Part="1" -AR Path="/61BE8523/63C6B24E" Ref="U?" Part="1" -AR Path="/5F723173/63C6B24E" Ref="U6" Part="1" -F 0 "U6" H 13750 8550 50 0000 C BNN -F 1 "50M" H 13750 8500 50 0000 C CNN -F 2 "stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm" H 13750 8250 50 0001 C CNN -F 3 "" H 13750 8250 50 0001 C CNN - 1 13750 8250 - -1 0 0 -1 -$EndComp -Text Label 6300 5850 2 50 ~ 0 -~INIT~ -Text Label 6300 2350 2 50 ~ 0 -M0 -Text Label 6300 3050 2 50 ~ 0 -M1 -Text Label 4500 9700 0 50 ~ 0 -~PROG~ -Text Label 4500 9600 0 50 ~ 0 -DONE -Text Label 1250 2050 2 50 ~ 0 -HSWAPEN -Text Label 5150 9300 2 50 ~ 0 -~INIT~ -Text Label 5150 9200 2 50 ~ 0 -M0 -Text Label 5150 9500 2 50 ~ 0 -M1 -Text Label 5150 9400 2 50 ~ 0 -HSWAPEN -Text HLabel 6300 2250 0 50 Input ~ 0 -CCLK_SCK -Text HLabel 6300 2650 0 50 Input ~ 0 -MOSI_DIN -Wire Bus Line - 14700 2700 14700 2900 -Wire Bus Line - 12900 1000 12900 1300 -Wire Bus Line - 12900 2200 12900 5900 -Wire Bus Line - 14050 2050 14050 6350 -Wire Bus Line - 16050 1700 16050 6000 -$EndSCHEMATC diff --git a/Docs/index.html b/Docs/index.html deleted file mode 100644 index 96061c5..0000000 --- a/Docs/index.html +++ /dev/null @@ -1,151 +0,0 @@ - - - Garrett's Workshop - Warp-LC Timing - - - - - - -

Garrett's Workshop Warp-LC 33 MHz 68030 Accelerator Documentation

- -

1. Three consecutive reads - row activate, row hit, row miss, next hit

-

- -

1. Three consecutive reads - non-prefeteched read, two next hits, next hit after idle, row hit

-

- -

2. Three consecutive writes - row activate, row hit, row miss

-

- -

3. MC68030 read row hit, then MC68030 changes its mind -- read, row activate, row hit, row miss

-

- -

4. MC68030 read row hit, then MC68030 changes its mind -- write, row miss

-

- -

5. MC68030 idle afte read

-

- -

6. MC68030 idle afte write

-

- - - - diff --git a/Documentation/50M.html b/Documentation/50M.html new file mode 100644 index 0000000..171302b --- /dev/null +++ b/Documentation/50M.html @@ -0,0 +1,117 @@ + + + Garrett's Workshop - Warp-LC Timing + + + + + + +

Garrett's Workshop Warp-LC 50 MHz 68030 Accelerator Documentation

+ +

RAM single read - cache miss, wrong row open

+

+

+ +

RAM single read - cache hit, wrong row open

+

+

+ + + + + diff --git a/Documentation/IIci.html b/Documentation/IIci.html new file mode 100644 index 0000000..c17d500 --- /dev/null +++ b/Documentation/IIci.html @@ -0,0 +1,332 @@ + + + Garrett's Workshop - Mac IIci RAM card timing + + + + + + +

Garrett's Workshop IIci RAM card timing Documentation

+ +

RAM single read - cache miss, bank precharged or wrong row open

+

+

+ +

RAM single read - cache miss, row hit

+

+

+ +

RAM single read - cache hit

+

+

+ +

RAM burst read - cache miss, bank precharged or wrong row open

+

+

+ +

RAM burst read - cache miss, row hit

+

+

+ +

RAM burst read - cache hit

+

+

+ +

RAM write - row miss

+

+

+ + + diff --git a/Documentation/L2Lomem.graffle b/Documentation/L2Lomem.graffle new file mode 100644 index 0000000000000000000000000000000000000000..ab44da829255fe4329e6c092d95861e84faacb88 GIT binary patch literal 107705 zcmZs>V~i$_4=wzRZQHhOdv<1a$F^|J?Y7 z@^8<)T@727LpY)?FH+MQ9>cP$*a~NfuYhEMV@J69^&le~Z%hlNjuc3lW-caZ+vT{m z%d%Q39s{IxvUL#&<+O-NOoQgNxJ(m5c|DJX;Gg8=klE(VCMVXO6hxZoBH0aukPUI& z3r+V{YtY(;Qe$vE1x3I!i!u00z-3^HZc_SXR?==<@v2sF>23&CSy%05&2HSvO6DCR zZQcMpm+B-n7j6V(3PIR%vONVg0-_jq7-)25rU_X2NtvVsb-5fF(*fZh1inFwh3p(i zo#1o4%WLW1kWp^MdBg6m%eZ5m8WZBq#N}_@r0kxd%ffv14>g!p1cjPMMl%sORh;y5 zytq_j`LUYtl-o1Icl_16L1+ZSYFO*B7P2?^Dkav?5{lC%CT}iJ@Q%z-bfURr-kwAT5c}Oae&^s5==RT2k_&?iZwG zxU+b(kVX_-dt28v_JrI2@Ga{0a&B31#kFWLqv1v@h_>K{eHe3Y=f!6_{^!A}b9O(g zAkGwXid;FNCCaN?(SRAWax>lP2Qb&G*>miN;kt!ND#UP@AhG;Tiw^M!9aT$Bw*4&^ z%`U;S83XAmCQ_+PS;atPw76DY*j-qlrnaJ-t!t)I{Lu`rDHG{2UQzYnQ^;G+FIGbk zx@i)}!cl745;#EI(mZQ25@D2>)LnLB-=oDlPVI~=UH8m!W#MTS#?K&DA&X2CY6+v# zA)8l_Xgv&*DVwTMgEGlZ>&eT}&zXSWB#SR?7?FCr1nVdu(4 z0PhrCD$2RFwx+I~S@>L2J4ny%Pi@Ajg&#Y*QqWA066mB1LMunWDIcGX%?$e2aIDG! zek_DGalAkc=Ll7&Xz+ILS`zzoiiX?F3B zj`r`HVEKaaD3XAYIKaRwoIxpD6LdZ?IdRmzK(hbP!vjVjZQfCkq$^<#3fMy?Zr_9k z=oclIo6jwfdO#=7&Vt6%^dp+s-jq}+W0Bs7gN!(`E77@4I;`YmQR4mUNjj3)K{Hl9}^h;D|-ZwmwVW=WPM4O?C%5jAd1m>9K z*Z{RWs#4UI+JY*LIweU{Xv2|3icueJslbuoqg9G;9dSoU&+;GI$JNU{`cG3pr|$P(dM^yXKt!9aIqyukRBbwBP(1||1qTNQ1+JqnY30267_e=?|KQA} zM;!Gg)9dZrgW!Z6#Ye^7>nX;4^L;sYP|3p&1sKogMI`0Jq8Gm9XcBfeHBT$n1zxme z#GLd=vuE+HPX2?`CE(t^%E8NRoe!{6AT`K80R0eB1c9Ui%)8PlBlwJJ*XrXC-*!Lf zwdr2w@$L&1FPbImBN_{=Fx9k&)VXg;bzO{aq2iSMj028IgPW*WnT-=gXe5zJ(Gy@< z=YuN%nTe_6AJoS865TBwT=wcN3;A{qr^kJzE;ML)nXCa6Cat@F=Q0e_E z4rD%1H=*JCG9HM2u=aypcT{gsv5Igdj+O!zEY0LuNo8dSrK$5(me0+J(Bp8ebB#b{Cf;k>Y8K7-}3?U@qUl;W%K>(!_b@Ti}hjmAh5r6 zFH|$>mBnL|TXn)7Q>puc{ zh=~i70%!}U`>~l>41V=*flz_kL4FZp<6>iFU{=7nK+eMI5P2})E%oOI2L%}gsRUOA zMHFa?;04El`w~8BV=1N&GxF%d>r%RCZknp6zcB7WeL}n<{DDG3BE;)ve%sk+8+cv! zyTW$E#WRjKXEMDt)i)D@J_v~rg%zD9VH4vF&4KSgyFkN5%R;e&&PDB{e3Cv>$LFPr zN*-Ns*85j|jDNWOud)5Roo7378)v(%y}aXfNOY*g(A3a)FfHsFscWG4(a3{`AI+ZA zp6?d*HojJ%v#RT#Zn^8uW=qdy-41DIsoPMO!fk8K)`*?I%c=X^+3vcp-RsUyT{zp| z;b8P&_MmzQ5nLbEK&*7+yp(+WI-w&+5+)9oCjJI$2jzp>Q81x^x5;z;v&QzJbiB8K zr+}(=+*L=xX<(kax1$%rh3QpG!5UOg?{WD3iVvDU3XmG;cRRkj(UaSw{wlch`77Vg zSN2o>rm1G`nHorKs;0XfR5A4Hwb)zYR3bil7vHy~PG8@2FRa)8NnrPNEyIuHn5Ay` zDz0OxPP;G1&-l~!#+`wa+RfprV!d@8?pyOa^&9V#>DHe!4wEX{Izk)QT~Zir971xy zX`sWytebWhbq~{@hlE)qmzmeld2mKt&&clI(eO^>9+d>uZ;BZO-n=JqYP75n>(Km2 zQ3)~eWQk94p~%BwuX~ky2$=+ORaTKksfS`U;*nCi5_J=N&Acm&4a1Fmr_gQ2J=(s% z5r1No=~Z?9IHiV8W=^8fmdO@p6?o}CdpGiDO7G@+^zHr zf;9yGh1$g)CSo_5uM**cd?k!Qu*3T@wNk4han-%Bb@hLie#U%$#Vs7I-Kc!$VBo3Y zxHjMw5TNt*`Z$l7k6DbNz{xj%nW&!I&hZqIOIt~DWzNnNVE49rInE*E`N)aoc@3uy zYewYZWp}7>J4-6mKN&sVbbdWvcnCY1nd?g1jI!3p-(fl>#f&0s2zAqR2K~v zl}hZPM@Nle5Un{ib8ISXhErrEZBXQ=j;8U-A*Dm4pHFh8eQV|E3D$i{N~lO!kBp@r zq>fGs{1*Ie`unnq5Pk~#<)dDkH`A`_M#Z)7f@Os&JRFG&VNV}TCwQfsFNmkeXSfU{ zz5%ov!CN_7wX6E2S5N^M_QNMuWf*wCD2SXNzE_KU}hs*vE;@v0@t5Sv!Knzzcb>dYBvTXj8JarCP$zSEw_ zO8+z<&T5P>C_1K6bynkaYBswVDprs8qphs5%zZ2*rXhxB1%Hjd=KW=Ty1~AcS?h@* zeC(N!%W`|>kL%^dy@Sv7+Ynk2rF^D?wbAM@Lh=_ChvJ7xqr*e@L|?bs&tfl)K$(2b z!^)H<>pi7bs0lh#+lBRH~SrUC!y1Le7Aq!Js;f}_L(wz_tV#`^@KWC?x=~UEhbT^ETV^aOAS!+8Rd99yo zTQgcitu?GA>)y?5H#QILY4^6<8GUwQT>Wd?s{0xWJ%$!yqhj&6B z(wgi``&!Jc2Ul0NJhsfb5q8~rzpweob~~DV&t8UZY4>(Ii*Msh`FQxsZ-ctB+;{eN z>OV*GCIwDk5I4L%^e20BZz+1)e!h2anRbX;{a-+UV5*4x-v(DuNQj$=yL@W?XMcw{ z!iD%$9FGPUii*qQ5d_@+4NW7(LPM#HR<_NC@dkrx6Tv45LE&U-U{TjfReX1HS_TwM-DO0f-mE z0*$aS2ILmvt${ci!h-dIzs6@S}&qE)%(Qf>schNXI%bo7RXm(@&bo zh9V2P?_q6&|9W1drUa^?2+j>^k^MqCuHn23Zzun6_6r zkNyuO9EDFHGJ&6sms)t{$>o&Um#TIlvnIWJo2{7Sx?HHKaV#gE-Ce9~jmxuk3$FZU z5CKdXS+otM2f{RHQ=%y+)->4T0H{eJ1Gs&FgaJ$Aa$1n70gpx?ed})n4ht~rgyR=V z4X9PJeS`=B&<)4WgfBwbu>qJn8ly)x2ZR+L*xKN(ishKeJ_$};LGD^Q2UN;ph&9w1 z%5K?f@@lIg>GEJ|Ix;d6#9TR?S_g$tNauZW>)+^86p}$Pl_6iM@|9r(+c+)F+-*hc zo8qBPlRAk-hL(Sh?lg5IHkMOq8?Pk6I?SqcUA0VZ$UeQ-mGRqBHCnu4x-sWCa$JgW z+7beVU;C?cMzkht%#)La2?Z~%qWskgqq`QW=8tO>X&)-(xOi7ci$*hzS@w;U7uY;dm#q53yV9LAS1bxhrE5L;oMI70rAEA9>DA<2G|CN-7NbT( zG;z@`VYXvx<@c}lS=Us$p*aI*4B$NAHulWw5xNoF0((Yy&P{Pu{>G`u#!^s>w=gKM z86rv{@S)b&m+D~7DJ4u)meMCiqg!;yNi43ey4@kN9_~T#P2nS8cREgRN4B5{LAeEF zExPcn9u*8bk1y^8+hL%p+cs)lz_ApOOfB13D{DU?{PE5tq#~>0xaz&ZQ``#JaF8mJ z!vhX`i9nO}tXkR1m*=2BX1i>J&)4ou-*R3(J&9TV#V2w=u+OjRVXd^0>i_CNa<7h( z&d-*0e`j>z^*jyGpgjK|-YZ`Y#EjgkBY8Atfx9_ObB=9so8FDdAy6meu>cQS#oZv; z)_tkt8t7wQVgWsDKUO3$>s_@ZGfpgs?y4;TIZcN4u}TLa?$_Og!FY3Rc4d=`>nW~o z4vN==|60UPL2^qMXhRN2p*IKVmo#7OAseCrQzj)48_dm*SY%CcD{uiVwWi)FUk*D7 zd1@0m-woJ)Z%O8V%+8-dVFJ65`VoYr?f z<#S0?yg;J>lLePmRn`hv)cRjY^inu#-`GVaY8DGd`XqzrU-=wn_wf+NIPOY(D4Qqa#%7~{8P<`I|r=M-+6MwcZalt z+fLL;NS&sLNpqd1tT)(aqbQ`B=V=Eb&2D@Sh@Gokp}|Ky1Z%vPafSuP93DW@btJ?9 zq<#?xXn|sB>m%%XH9>EJ-=d>tvf}~1FgR#TrEWU&5xwtB3QNi@sWi1ArQjw`C zOhHZi_!dy70}u|0A3C7v(N_{J63@pOx_beHloA?=^~B_7TUxKy!*B_b=FFOcKNt(e zy7tYq#XAbu6bm6Me^9Pj&F~8Win^hB`0rXzIRgk*?#0f%eiUwhQ!}8~Ai&+O7nS~irx9U}9fmwQeHd`|nziN$Z>VS1FwHG1NZBczIL~-+q8iUc>^XqHH85+a zh?sap1)pWm5j-hDICG@4$NBddO1wS9$w8-Od9-XHB0r9>T3)0C{}pO_c^)$)|O{~ zm=+V}ei-{yl@v!DmzXWUR0~8YK#2i4Ox&o4t%kZKRy_bX@gb4D-owA4BcmliAFDyrz?cWXq5GzY8U zrRNh>zO7t*mgQES4Hj5g?A83r9TKsb;-lq(h%sWwa7#yDJrp=i_Ox@fSQREg% z-CSjLbC#l}UXUg}2hj*0@g<8K=8FcO?a1=xkG3=E+o3qz^0TXU5&Gowi)(H>l2EN= zG%52c(KA|1l~BiU%wPeRH`E7r8z`;CX#i;ITGoV(NNbc+6+RL;xb)|pG-hRJ_g%M z5y|#|(BI#c9em_Qy^8wby&p8DH7jb}on-twkz6K^pQ1w80Umtf_mt^CyxlJW4>+HJ zt-Tp-^Rmu!)kc5!@K6ut5zm2T|D;Oh@nGFVq8SsS!i=P^F7K*rDAfa| zj1MJN3>feJyoC4+t+V^(B$yuNmCHKy&|H>}erjw4W8_ghLcR+1nBH9$BSU{|s6&)d z(s=JJy0CseNmBVBQEEx-Xn{Yu>hOu~@H8nRJZhvdri35J-r60ox7ZWR5QqW6$fHh3 zz8)jkX9Q#zRfUjcWHA_oA9qoSCj?|@W&<|d;D*&t^Wo|-__x>{%t$9gq9KXrg-C^5 zxtJbf)L~j0pi{__Y2kw1Mk!un6#=RBuouXZt^c#(ALCf?SNl=wF%6GA&W7k9PY8wp zjv7#S$dX3pzS7+{HqW~(l7o^KL6{+l%bG;HEYrIzHV){`VxWf5e3wIfVMBbQ7VF?+ z{SDA!|Hq%zV;mD)I0(~sEQ)#|Gz9eHuy&WI^gCYnv=$G z|BuKxATY+vK{)OAgcjQ`M5@Df?lGnhs2d7(Kp8x{{Ev9u#@l5{>o0Y{(FtTW;(t28 zFz#@V`R%}A6KstlDfGV>8ixeN1Ug7u{R+@zn_@(IESz2=fXf5SH_UCwOv2(juQA)_ zdazwdH{owMzJ2>fu<^aFyQT0*iV0HBmT-}Jf?hcHe%**C;&_Ow)W%%GlxxY9119QE zZyHr{I02X~xYvQ#eLr!HfHAv+)cRKeXubgGzuqG2dvY1lY1L0ASH%3_NJGUDENNrC z7pVF*4#4MwYIZru8_4Qd)bUN(m_P9;g`yQg`V5aG7_q9PeTiqG)C1 zKf5}Kme+4^zU2Q@B}biPRAdB?YZ269sv}krafKw=89$MhG71{9;DKu$)e<(csdoBn zmZZsg({nAH_m2)uE4$NkteVy=$-((y=XefBh=0+28jzo{gQs!*Y7!mpCV97 z=+X&G&t@$xI$KIx6k7&czH6{e*G+*YaOV|rzdZq$zq%tDUYH$n=|#_g>aUCl7E7KhJWrW z@Ez{a{lxeL?L-yRKm;>+#K=)oSJUSYyj(vmoGqL#S|`bq#@YFNQ{kx?k+VmRU=Dd) zQu5@)X^Mjtx8$saBAYqeDZ}iaS+uZThX@~oJOw;K^ODkM=?;J2S$TlhMRMhFg>uDmWw)iaWxEHs$GoE4 z(%+Ka(%ypHIurb$&tYT(_Q4R=P=plp%uM!r%1^BQ(X@fU9- z-AGJKERvQfp;tlS;Z`BY#Qg6rID!y06*U#NG>Qe-a=8Mw3*bby8IjczqNTB6(w$3gnLhN zPjQcNFLqA`lfX=IkR&bBXi`tEn?#1gh(m(ITm3Jk@BX>g=p9ps(+959}o<~PS z(>4j?+2oVv6X)~yOZT1d-Osb@E9i^rz3yQQwql>AljbN2U80Iq>{sbL%_uD;bs2RT z%^&JNG>Fu3)QZ%CzkDj+v}9C2sKHW6Cb3PcSyg$|G%M(T$EjtdETuN3!lgu~YNy)M zDbdZ*)lt{c`K$aC!TxsrEm7t5_oxc>cd1o-m0*X!yW7JKdVWfONH~;>jNTY}t9AA}w2LGHzy8QdaGcmaqDE&qrcdAoM_4hXc{?k zV|`H*gpF4Qu{6Wh+f^q;bI-j~zB!)0+wR-yy+o zrc_r-49w7=qOR2WVI6CkK6N!O4~tp@q<>~-ZA^-p}hM_Z+;w(x_tCh91HZjR-?8ZIqwe;)VGY7!xJ<7fLf^_(l$-h*&fC?1^5 zAZ7t*xKF<998g0uVAABCxIDT71 z=O>T3`W98mO|zBucX0Yt#fSRGU^Oi*KcYh8aLqfrx;t-tgx*9=$$Op+O>6uwsqSYn zJze*i_8ty-y;2B$yK*wOo&)<^ev<+(Sj}x~uL1Sd@xV;`SCy={Ajo$Nq0->;y1)f> zUYcN?Y9(x+((ngF2h@20JBUa>QVwST)IKP8pEk870Ql)80ap*%4IAlAnLW_izsFoV=`15aG69X5lW^Z=(X&wKj7-jBy9-gc*lt*E_MGziV!+Y&*^8X4alt!OVH z3Z_!pW5dbheSHoGSyfsUTHn*d({D9ZwcEzX&e$n9hEYrj5xVu?!Zp?WWVyr|egKTtOTH9?#r zQ-u@(#OPqY5VnE68)5#9wUJD#&)@7rOi%4W9y$KbyY4e~a*L-1vjZE!A$4m$*I;eN z>;(r0o(o$W3lr+f1k=zjLA*DuN&kWtp-N2w27G-!2>SU-{u6k}H);86wFqDVuwn9) zeLtSp;E(`Vbm3Q~Z%^BN(hOc~KtaRu>f9Faa?`C5%o$UlAJhvMi5LJ4@+s_B0^+&P zTmXp=rU>{5Krukn2wdh1bh|+S2MqLM5W`1+-T>}}Q3D_s1ImRN??9F*1MkF!`QVI0ZASLK35SBsR;ZGuxBuxnzdQEGsMD1cYCJF}|*S3{`+Sqaw?CMejQ zN46km2l>Fxf?@!B-i9FvWEAG2sifhdhM=Jkh7(k@l(&>Sm%tJAjAo5|pfP1JWiX{W zrlv?s5+4yCQKFD6l`j=Dl{}Wl5XO*96@Ct>il{<-DwU~nwX(9Zv-)KPF^@G7_}oigS`aE1*RUIA)`93d_(5L1#);je_X z$fcrpDrX>Pc56^;uv-?U(wJ;px?-kc{%qV0DM1utZf#6$tX&eK(wrodY@MjR_^qga z=ntVUp)bL=)W;k=JiIq{3brB}H(Lnnwz0?T{5bPu{lxgJN5HS(d-`IcL)%gLQQ1+! z(K&f}c~W^Rc})3U`L@i)46BT=42Nm_>7;4iX}4+V6O$986T}lGdKY?c&1KDMjUWwF z4ZX(Z2KxHNhNyb0dab6gddjA>#+Sz02FH2=qa|}^ zTyKO=Zm1No2(jRyv_o7eUMYexaw?8;>T<+#ICFG!##25;dMv(l`g9m|Vs+Lvyjm1H z>Ne;$#8(JA^jEkW!kZd`F1L!?`aMTysol6Z0zAHVx2o&rJ)90UfAiN_211cMWByux z7Jdr;;O>-eC=aDa$h)$;)qBP}#|MIwrsL=%?}4>Br2+qdy#yetKdwICyeY6LmMOj{oX909Iw+K6^-}2*zsM=*O-V4wR){5dson1e z2cCk#!q<^HwrP%!4_K=Cl+;Crdpc%%WuEJZWoPz^_K@%Lu^vw z@#{n~LaeooQX^W&iIWjk1s9$xYAYyjcI_NrEI#rI(ld(Jk(^;GDJI)cCcPE~kIa>=+~9R()uQcXQkKPjG#ocr>(yrz6sqWTK!O9?6Z&kHa2 zPwhWjUMxYDCo3u85VFE^+Hem!LON1@PIoT+B1>5axEC}QIoXMTh*PPeL(BN;-XyP}+vFGvpb7Ql^}=3OdK3d9S5g?-gtyqCuvY zSI%qiCHTodptbkW@SGJJHv@)OT@z+oblshswWHgm>5cKJ@o5Q#5v~!ABZV=>J*G+3 zt{Suy)D-5N^w>D%h}Aq5_P5u%jP5kyo0I z`VjS)VoPpipewizJYMfl-xoDFsu7w>EV`(klwz1wxL}W{|H!lK7+sqQWs=f@ufl@i^ft%~4ZFuy{yTibReiK2S3 z6nd{6J_%$AQ=P&;;y!cpusx=iG+NgS*6+D{+W&P0xs%=2j*|V$Mm0g3k9>;sizIth z-1TD>QTlJHd$1=IDT+IaG@4`tE4qO~k%FvlTEB-$;Fp%J zTYL3c%hBcZ!_M)9efw?!?HOIIdIF0`MvLs+WGL-e)xDMYvv91Yg8KFOrn_sWYwWYu zGY7&2LWX4O=mX_(*axtBPf`cLL1_d@%Qy*?r#3l=kRs3_{FS+?>*zJThgS5{m+b{7_kwS&8|id5hWBDg7zssle$yoME(0G?L); zr&@bS>v9mskRqcuQ?k09@5y^k2W^*Dk6Aru^G$2qUc~VIex4nz9j8sEox&j)G<%5m z@b@rl65~19My&ix^GoZG3as#e%mLtKk}ea_Z-NNGH}IFVe{TVPvJ&fi|Jk>G{nGE( z_a0^vBLqD3e|$fIYV16L_CLDRe}mpP^2usStkOvbEsr7Br=nAk-#E!UK?jjUwYM0Qizz*auS|A%G}I zX-QF8VQH~BfD63=6djp5B?whkLIUQGLc-L(Yd}(LlOT%PxsBH?ZiY0>8kQ9^36y0V(e>O6m0sjtrIFb|Hk(H`muEzq3ms0=)c;oUFXeTy4Gg?#|ZUz^(1g6FAU^ci%5YeH&tZ^pq53 z*(}>$Vswx#ymjhIH=-glbs+`g>yZYj4_JoNg$yZ(-!7u8VH%8hx35pzgN?=B>1~>y zgBw5sV|5_Ite?@9c`s!DVCKK6fS^7#W$KiuELi;I=H;#6w7i$80w5=LAN?`y5v!Wg>Ve%P=y%35y0pTyXbPEu5mriJvd)0>gHgh0b^<&I4A3JAGj z6*ipq9CXeaRn!f8y9%`WQ)GF|I9LaN|FjI`+rlKqVzQhsWKi`iKC>SJ8CunZjCrL9 zKS|IhLBIQNwe+G&52UIm#RCm@1-#$+j9vz2du)PSi6nC6G11;avn6O{P3`-asPA~m zCIv+p4!-t?pUN>fisT2TiJadi#NdJY7Uh0J7-BI_6n3QPdbn~my-6AwvcFJ&urZRU z;XM5}uV$yc@V*TRWSm{w7t6su`s5;(ZdJcsX8#5GG`QfISBGyFiZ7_=B*eX%%~)dI zryL(wl$HbtiDtg9CWp)8xF1@DBzHZOP_2R^SUkmVd^f*-zxrBr|E1g%BKd)#AqR%f z2$0}p;?`olNM2C4=i9~oO$v4SnR;yTPuJjiTH1I4v5hkxd}Ycr6U-ho0-64)cn~rn zvdx&4qIeK9Hx^sHZq8C2OjXHL{dv~y^B!jVDtMUfkr<=;w~p6A>%+?f1P}Mi#FgJU z{|rqgz|RmYU;e@|^fa|{Kw+EA63?2{4o{WViS-W}nitK@6r-0TX(dJNkInt{?P!gW%J-jCA9&(L_Ch1C8wOhxgl{pOhmkAMivrd?RXu{P_8s5A-Kb@M*x!Fy_pCe6 z%@5)`r@z|jq<#+CkCV+%ysWhGBztQfe0caCUq&w&1h8#$c=9H5p2k9cr&s9u_}pnd z67YVh#XY9&Aighs{A;+;b38DB{4icMFq`zQo;NAI54EFFA2!P#2pmF%3S%U2k68Jo z+3I|5?~G=`o-9l)P@;uzz#F}FR|%S{ULBc#g(n6j(~bC76`XA7Bq2~udAcdYq7 zy&3Ps!)&!({2FVAA@cGqSGYJzKYb% zY!`KaPIdnfqn&BuxbRWaK;|1Iq1DPN<6<$Ob%lv?&reY_w9E! z{=9r!DlkU)kHwFF92uohOFu^bpqVYV)0I>LhP+a+8i^Q!NI&q=-mT8nbQ#7Q+yg!g z54Xlu*YetlT1gSNa;m3++ujD|Yi!UCd4eydq!YK1j|6uxf=G)+s`38tILP?IcI{T7 zi?`nRviaVT@X&7wfqI@v4PtQ^I2InlkgHlO_W>_xtn(GT!n8B`6Euq}WMJ-$>Bzpw z$z_WAUO6_=;)5+p!r+WMQ*PIfGlnrKc_jokadI6y@kuxVeusHS&Xn@Wp-}1&^yDIt|u7H zfN$Ode1Bq7{U^XsY7v`);+C*3%yo#w3YZvlqip<@d4T9iTLLr+%y{CpCM9ai)idGk z>w*!h2b%03#B)1&XUSl+mK6Co@1n|8)+j7vV$-#HZsoZ#j=w`3eK{wwc;NJehTAgq zANsd{V&f1K3lYSS9rBgrg1f~p;WLF%|kk_hJrW&#zj8yu|l-YM`J z2#3G@`W?_W&T9HNK=lRYKd*SH=V6|-D4a&mpwO$wCBr>cEW@nhDQ!Z_ZM1I<>OalA zbXaDIwbWS?;@$>rC=VnBX&`yXKEXik-e9${e5CWGb=mvM16|a3@b|zQzl+6lS*G%t zk^`Nk@6c;ZSOKcodY3z_Z;nu;Cc$bTTc8y81Yh@!XgC>Q3a;}0t40izvG7MJNr7M4 zcQHh%UTEy_=pN8UXesSzUY?LH!8iIeg7{CljI0ic@YS$^8H9nN>A^@Ok(>-rZbxwOwmIK~43WmF8Dajxys5t9xBNfR) z@0FWzx38vxJ1<9;Dl}#kOYj@Wu_Pa7N>@x`zR&us4*g6y$nCagjU~l}sO5c)a3aBZ z%N6{J)hv!;aj$Z=SL^III*}StJ36pT9vGUeBOzgX@*`aDyaBwYiRoj6-%|DBxe<(Gkd|pC!+l#JhAt&^k@YO zI})Pa#%;qcrY?K`-~)(OHCt*`Qd^-aIKOs@-bi>GEwf=>;M8dG{ zE1MS)&rM#1`}u5QIYBT8mbcQv=3bPaEHLRq7sx7yjnjY8yd!=mJsNw?M9KJ=8`pI9 zfU5Y=m3ru$*mG3x@Gd|g`B@OHm&InuB}!Xpjd^%EGt3=Hr8wAL^=s&)syF9{71cP8 zHxS0ftqh)@`sWpzK5Up=1kzz6J86h~bIwBp!8doG^>{Gb+1eXNOz&2(MKdVws0U+jT2F$|!H1Ei!^J`%Sp%`4w}v zp59lksdMH=L9wyo#u-pN#{0cE>rzuv%>bK!553P$LxX7^+h}4|Q%Y0ieT16Jz-H10XGq8LN3Od_0rEq+$ zS&DwvC1*s6f$XxBoR>aEy~K5Ljt*Vb6-ZJP8P-cIVY0h3Zh@hn0T}q7$!`?zhqQG|*{Q5yIXd-RLSrDt0jNOV-x#TMQYJSRv()~^WC>G zAM?Yj@9r>w4n6_b_2-<(y#HiEr2W&Ui!EANKCtmhI!2ec^!KY^rh1KW^YE zj6y@Gsf~|Ad5<5LI2f!nXZ||iPUPdk?;&rZ;5zgK5;7c!-J^JjkMM#HPjGf0U61|x z<~Va!kxVRZxB2JzgQ;rQbv?~GOy%GY|32=rq$PeN-qCen~{*~s(B@c6fExVo#SawB{6u(oW^MQt& zKD{+FNcklmt#n$kWgZDrFk4Bh{>qGr3!j5VKvA>|MjTquY9d_3y?ZOX$v)tv#v_pb z2N=5Z^bNo0##M7j10S}I7tA`hXWAjxWbxG`TK!Gmy>3jBd49|Wzn)pbrMQHhdgq+5 z8k7yZak$4inf$Zb{JZ3kPCAYD44yT*+ z*ES$ip>wsOk7D?vG;7EndAyou^$EQIvq$|;@GFUa1{?b*%->ZIj&~l}FL5%O#<|MP z2YShU4t{F2n@tR)?gq^D^I8?}$o7cIfoAC+Tr99Qduz7iM-u>)2C!B{g z<(Er}kh>bhK&jRbpdIcD^KPx)XLX%*@CsdVWa8P2u`7tYG6~LGzIxY}w^;*k%KI@7 zJxi^pcB-$Q&le-iQb6%p63oY~dcXc3C<^z1wmsqn&(+3njUFqeZDckQS=WSvobmY>2GN}ZG4K39 zZe72x2jv}#1Bss(v1j9fGh0FXAu^!M0Oh$ezK7$n3$f~ zV%|;v6xLQv9xi@u^!IoDC7avP!UO(049bAg?lGzkrW|+1C2%~vVTt6h$3^NEL<{b%vF<2piRNF5eyhf3ml*H%LtXB;A(5ZsH zIczfX-n5iQ>lJM~uYUF4?E22Eu*tYWeEpGyZX83w@Meq??B-+HZ(&RuD|}kVBDa$T#8Y z#rJB=r1Jlq_=B)qBrvAMqiLyd-T-CMnF?#6S4{b<-+L_j=;sg?t?|BkQv$rz@srto zmhI_x_ffd^h35xP{aIFY1COTD6s?-!X5SQScTiWHtzY%_C%+&X_GJ}6m{bJjV%6)D`A-G5Jy>3v)+h=6#WOykrT2W$WX znDE~HA44exhBpuiYfR!fZIlL&aM!vv8dWV)wOKo-mtGWP?;KU9AAe7uK@YXH4%1a?;~g_AeDeM zu^d@8PDv!&_&&8eV#*;rm5FtV^&*oc`v@!eAXlTv5pRS32;<;PR{Q?|FhI}0rA-F3 zn-TV=^%$(a$EFO+4fr}ed`r~=f8eWo{V?GP9=LrQi)_pIN=&h1EsP!~I%aw^82-4A zNwl$`bL~>IrBnIffe!6HPQz@;X$OZ&9vhvBB-}L;@4$K%SW7mH!w9fB7$M}%28kYY zW6|zqso6B-Y|2{<{3#TVA|}a2Th=08qN?**U3=qPlv6TC^_b`8rbb)h^pEtgmd74n z(3N@3-cmaetCR1R4WyB=!HzghgPm=2B4zE>-UQYAKva z$f2I#y~HPeU_nO)?B;fG!<|+_swOupG@cNX2izCNy&BFSBH-Ab;Uaw^H+(0U|H*&> z85m#N+9U&1t#~w*Y6Yl=k8Vi#Dr8lZAXl<@22Ag$dN>Z=1;-JQhQruXZMRK_1u*tV z23!;Z6KA;09cKUD&wT1mvuvWgS)XuT?aT6nMb6u;O%B4b7xTvxFmCXXC>VIy^N@YG z*CPoTssjkFKFJK5XMuQkbj$%k((0Y#X85D~pj*ec4Q*wbL|=th$Du~hl}s{6u_7gY zskpTIpa-7nezu4E2WuSdZ+^1cZ*yQS;Nqd;4(S)Hfr{{V9={>>GFZ1zUBrPwlmWV) zrb<4h`ptO$+qb|L$8S`Od5p#hBWm>{U>tBq7WR1z z8$mYF{An#)T^NO7TY8#kZg=5$CvL$BG!IBsEJsE42=@_FMUDr3sj5 z6fdr~4#5^|oP`^%?|;x=U;6B1?+#N_rZOF2kM?~#>C+%aRNG${dpT|yESuEvCc&Qsm!M!wPj{HcFZbKi@F$LNJ^=H;6c^6L3l9qyB#JmE(1T z_ty5Jgo&;iH6(%Fa`W82K#%Gzzxv!97wKp6JqZP}ovlK?Mw(Rj&}w39aib^#TjqM? zvVWpL&N$KMI9B~0g@mvp=C#^IRhue&ylSZ??i#z2RpA)}PR{{6PQXnlb4r#sg@I@U@qYrVgVr0210y^ieV;TV=%+o<)x?b&D; zW}H>9$gCj)oKB=e34;L=_t~8{&e-B?KL^yj?wdTlgosU%#D+&6p&HZEs3OUBe3Cuq zapF#mpQ9hoZKnr}qj$Hi(yGu3UR9?Kh38>D46m=_5csps9nRb_Fs8t<>fI7U(O!En z_pUAJIg1MTsu7ps&%tkcYQSFS;KZ<|w+Q;!`MNUw+rd0fbu#9IcGU)$Bp%|I^{)3; ziA=ebx%=)Nb;?Lm2hv^&)$g%*2rE^ClmeplDdN<88BvqddEIQkxjk3bOhj z8uEYZ`v^o4l91F}565#H(I5j)X6JENQdx%EaA3r!N8f^TREXo2^l)+G4}I$V6M}@# zs59qeogLQZ44dRE6JJp@sjAmj9K)p2lP-XPCxQ&Dm{;ru%N7b4QS1aM?w8qSDt`c0 z%e!;~ZjX)>5W?1{d8N;lh1>{`C>ng0%ZNSB5q?{9cTBj0A?^h8{;F=rwLPfRn zgsXjb5nt5gmGsyNrCX;yu|7Zjz*doG7E!iFSVmScVoR2frLs$C;NL$QWWOUzUIgA)iJMq41&wlTx*=D!y zq&fnolc?VYukC=G1Ja*?%_rVWKx}z+xh|7J;jdBG6?)6i)gDwX7V))-0RcfG$+Dd% z>cD&=k>(iS(BxpFBfO$7HxoYvrz8Utw{Q%kN?rshR@>^~Z*z#fYtpCa(P-X{TB|)3 z;U_y*KUiH#&bi6G&pPr@>BGSY`c%ePJ(u2 zG4HHw7tdn;VpRh7f_%NQOjumZQ&vPhf2EoM<(`UV@YP-G{IH+z`o!8XVGEbav7 zxL-zk=;QtM8t-@_;B)Pt5z$CCl6>$v946S0s4aZ z@}=@~!7%(Gc|DZ)G-`;T@SdHCn(jzeQM8tZ+j-QW@%qcR&4i=E-sSG~T577gdA(Ig z_K@8K#R^_@%@W642=8k~zLw5>kwP2otec5&jTpp>VzBuH4LKtEe8)M$>5jBF(8-&! zn?rjpGlm^Iud>cd*dS)Wt*xHtjs&Dhrj5RMo0aEmpC?Ov=b0nMrG4Y3AmqS4 z+iLE4HJC4{1%@?)M+~udNd(K_Pgs(HYRgpB4`4E0+C`4vW)!sfBiR@W_{iPi zq9>VH)YxQxy%+S9Zt5Kk!_z8}X^kZ$;r; zJ^C|AQLtM`Eb=LGc?+*VVqgJ_pb+GORVQ+Ff6hu-D7*s3q$kl3E}J#&BOGg?*3#nL zQhyLMNgcToGXbzmi9vt`TmE4rk-G@Y ztxitNnsBhZ;aT~hQu{Q}SL@iBD(|X0A>x5x5poD`hx=?0yS_B0w~peN*jsU@V0Kxn zBJ#Yk&su=PM*dAY;fWQ!%Lu$2sSYiGbh+=IiL=kpppLR38Q14$op5_5l*qGElU*LG zdhkRYUpysurH~+}EONkh{Z;!XlTXcK8#mMa+$ztxoH_U8a>r=8p-MzUQAjAMZFsw^ zv)a++R*33n(7je4Bv$sU?8e>GeJ-9^BbdaUy3PfC^0oRKc+)lv4{{_Pv@yr3VWz>r zLbKT+>CR`SEw4zGDXz&8X;AU0At&qjZe&!msi3zOMCfw}7(_5PFq{Oc<+Li^fLcUY zS-C~ewe0;)nJB@W{WT4H4l?ma1lpaaRmo|0-BbrH#f;R+i>U$~;S|m}Yw%^D_L%JQ zY-i=C;8$`ys+NbZpQ-c@K1!6%qkC7EKPNRNb-NHACP1;wQj1`3nw$;cd;Y3RlD2+) zSGoP$yr7r1F1IJHsKFFSy@=w(YBJErQNwD5OO-DgbJNr5^gZm%B`FHMCZ4Cha-Q;h zqfu<&F&k!hic}OFYeWY6=f{lK8rDT4#<8k#LUFrNqA9dyFx9+sYM&Dt4D+(}u~$M> zRdCbGadjA2#eu+UW0+|B!Kd?y)W;&&ZHupjn7NI$VKqbdee!xmsEyMf*{E8IfbAk6 zpAh75&QIjCa$Qb(mJVtg5K;+5rmoB|h zFe2V@KN+~)+l!#YjO4)!hih_A^bC(_u9E>aU(Vh3VJrI2ndl1D;=mWbejf5M^`ZvmtjiA`( zRN0pOAIir)o8@@(W-z1rZUT?3qWyWKM*D3obxsJeAmkmoFuX>p|I+BH037EUY^SCf zP%PtS+01auuI|YB2Lf}I@m_D^-l=@TL=A%>G^qz}kGY+s9nEgy!R&G}fp@X^RNsZY zUS(>S&mBZYh_nP7PH)%E$mKQy*Z6vF&k;n< zoE2@CxGxLK$Fr}^%v8}H-s|gqwJs}-84uS-N@vU-00*g21Ta#pe}D^=dxtO+lrY0s zFqoP$iX@4&1m&d(7(ZzoO%1;r{&H7`H@zF-VJgc<%!ijGdKtVS!H$?2%!F-j*qV%9 zzml)-$JM2iX<0d;?JS#Za=hD&btW^vAb+MFbK3(a(o0C0t{&dPmy>}Gh9pwG6NHYQ zP80~puZQ<{lISN#VK@5gHbUW!i^>D_7K>4Zro2VXhdQid0`0GBzTMcXPjyZBJh3Na zC=SezPQ=GW`PX2O3&C`wd?f|FA;S79H&avvRHO$vhZfvhNEc2AE&2;yh2&3Kg{t-} zV+fqO>u;AQ2R8puBudE ziq<=G)Kqc)rJ}0qmz`*2zei*v=g|_K~To^R;7sG0>%ar zl@&72FUy>5|72HKUK~f2mLL?yW2nJAdS&+&N_JYgEip1cnL~WMhPsX@bia=sTVF)o z2oXA7c42*C_%+JFniQ_QpClu)Te05cl((@bgot?mUp(bGbFM?!S@?WJXsk{p;3wRf zvkXS~W&Ei*G=u7nStKQaCulur8r5UcB@(e1SQt^EBSiC5LDJvOPcue;h!avt>cL=5 zTVYieMH`_=hbTS-+pt9(=aArQ5j_=Q{)2@#=l5 z&H>pxjJ-Ewk2GHj>?%MBg?nEqdt|L9AUBE{c)4DMjsF;sgs96686BUI`gA*kXDegm zKFb(W$b*npB-Z@0gUX4{8hGKSXvTH+cohDUPDF#O=5obU=iYOdUTPFR<=3jO&?P>C zkHjMjwJY1`hj2@}ubwa0-*}=eyb=9`hy7DOZT-~!#YqEmPVeAi?^Jd*1HBNtcAAa4 z(P6e3Rmt{eNAyQ8A7wH<-o0Bl$}-21y+-zwbl&Y!N;XSl!W`Id@nW2JIW*Ms<}b94 zi4~)@yB4RrWi^9K`aX@;oUIOjp(|kDpw?LHy@;L>sv{kEe}rjN&#^vb?9C!Y9cYAYRLd=p4GGgMm)(s&bcVx!_F#$D}sU7 z&LbwmxDhIDtpB-YIgUi%i9bWoep7a>YDW)-#n+sF)4=(8dT$8Adhf@%7R&C(W>;48 zZy}wQP*xJe^a{y&G*`LRq;QvApR>!(LVuIfv4SP2Vz!WFz}@?umRWB~AVZ~q3@&YK z@NgxXW%cG->m`%8x5b4eXJ3~X>L?l;11}G=W~aVng~&n{Hekgbi;h12Qg*niu^7RH zq@ortGB8}^iO#qL#w>NpZG(!43#>Y?%K_FTu9 zP?(O863?2Us>zv}{Rg%i(mpcasDCR63HD%DtypnyGBu0GYfW^;u|n=gr0QQbrY-vu7VAE(=Zypqi~o=?*ibOfBOWdX!yUXMF}jX8?Q(8 zH@Q}kTc5!O@x7}W-}f(;Kq`Zdu74ZO8^S~C5a4aE;19$juzAVw>kFUkiaLsPbM^`N zV=!9rX;(E3Cr=v{@a$H52jn-yeqA4vBH->QoTx$s#C2Pu|PobvgE(yQ<+v zUwc;al!DtkX)i<~9BWPnHszQ&Nc96OM4>mSj4Zgz$b|tiaMU9Ti4_2~5a+rITel^q z6Zr5(1O?>hO^)*ve%DJ1I$lbble|^A<)8`Rk=nc*#$~SrEf@6N{0qEbJlO!#kFOwPichM8i~zYDN(}NHhMJ`t@AVW zp+_7mK}Yi#V5#oSQn=|SAy#klkviO<^HGW7QqjV(0;W#^EVhUj@E48*&ViBP#l27a z0{LnWDqJb|@};P=4>-`|cu`cZxJ2=6=^|(3vmnV8y8KF1KYE=OH;vy{Bk*SIgTz`J z{l^n~Q0AQsO%%t6y(HPXt#-H%TsazODjJD zSlj@m1|gw`|sMlqnHreFPgNb zj}xI;49FtA$I!cjrS5!z&p48T_Iygfg=VC+pcqy2bVAIDdy_{dZul$3aZQ14g}IKz z#uKQ((zY&nXTVV44nwxHio3++^a_+j`$q+#DhjY#=%@$ovd5wV=xwNLWB|)yKn5nZ zNY}wg+ZOqp;DOsak{`vE?xiz!PI_o=Ownhu&acF+Mdww)0RP(=X`RaHBodG7RtV@g zGQs+w{&UuSdPsbOdKL4PTjeQ+j-Sk3&bPs)l|LHL%)jaGeiQwyx5QigpkP{t&Z(;5mV;kd{scWLxdpmsH;@A1Wu=IQ?7`{mIM&7bLqo=TPQMHtAmGsokN z@qq-;rEi$yg$O5?j~H&6n)05RO{nERm~3SK(gd*{*DAGGqLPCvPh}bQ=mV?v$>q2) zR0CLnDd3_;hnYS&35a&tbULI|Gc}|_>-?9=Z4g~_ui;U3t@!hf0`cMxaCU*B0?yz!>Gasm!oQv+@54GGkfj& zC?xlKT)Uo%4(J;-j;^)sVAK<&!?;0B|(RioL>tL-@ z`^Y|6@N`kgo!&YORv!i02Y8@eK4fOc!A<3q0#{7o0f8c7Osk7SnfH2Pi&HQ-E7rj;lcfs%(MDW7Cl|_Z>&^Ch>xdYM-;i7X0`nd4m-m>D{{cfq~1O2S}i5?WO{>ON+Ik;G} zST(p_hy<7e98}@B$19gSuJ=pcABjGww33kkU*ua!T`rxfg$l(|io%9eT&F2P^Fot8 z-n_iNgfqX;67;B}5F;j#tlEUC^;xn~!OMj-A$Tw@7`C%c7>2?Rq=w8zynj~E6d!}$ zX20+qv6qK!uSc6VPV=b?t;QLG$o2#qho9hZhO_%x=IXki$eGpOh|6bPE{ttF&xWy&Tu%K z(>MJ6+H1l5g8NoV*1-!&Je={d3RN}GE>_RVEA!}rrA75Ibf4uo_x8t1DU(DLS>)9M+(V6;hWaAqVgd&EGkhv zBDc8LfQGS>pCi~42}Pt17b6K=LRILOLnRlnZKJ2qG`E61&QFQ;-tY4rR5nn$t#vCr z#r}w_(_4-^@Ax_MCo^`Xou4`J;=xr#!P{dMS;PkzTQY!x7d^?pC|dJS$x^>K*^N|` zb;9~Zm?K}~Mb8zT-Hm%~wXN@%0X}L1Rbwo;10IW|c|&hnF}KIwk4m0%TPS=@6|FR? zp-UOds%-rLKlKJNs!!nFvHl1flEp$0kM9h=oFS8+64&2g?avBJZc1vkjW)Zz#DH>{ zASrqOI5JOD+lN&7Y)GbVg!siZhD!hyIi z3n4xUgs*quAY;RQD~NvMqS1`NQ*FMcQU0&WiptMAynl4GE^}|9&6CB^Ym!D&7!Fls z@DO`du-7w7X&6yWbRBua%(GL&?;>Ns)~y*u6Tdrh<>vf%X(t4ZREsT-`aL}78;;!? zJ|$Z!YWbv)y`-;yl=Jahn|IZt=6B_#Awr5GnB%IH$f^TKXIM0et^jvUcnfiDHP_^j zT&&B=sS=;+Veiw72OCfGduP0jO+RRu&JL;RLso$a+x_t2wqn>#39p2Y@0&Abqxmk` zE~Gh;fdH4jdR7_6=B-J}wS?u`~Q+9&p@GfT~wNo9aU zkX_WOG3{I|ayu|}xLNE&tdr=C+8ABVNSFm6lA9HVV#3=O5a+=|x^4t$SvXuE19j4OGz=WKIE zHG6&cfJgt*lfx3Djnh=`&fbbwYwZtJ1oQK?^(LGYexK8wL4rm_?2-lMXpgd7gtET zS+GST12^Gp1lwhw2Hu8R1k8mc+qGh$T?)L`l?xc$?MZ>3bhwunI< z?A!)PZ#xL($kKH^%oEoc>dXd?6DO_R6?U~tu zqIFa-w;2Asr^14XeXRHcIwA4WO1IpV-flSpj3AARAD6mDI{cm_go7GfmO0lxZN4~Z z70xf~Eu|gZdtfHeURa=rm>$wX26p+v`!z-~!zv`5o)$0WR28puTL$z=JyJbaOPS)C z$6T!@e#4T#o#q`JYp~Sc+du|hDAZsW14~9fB|dRUESt*ikzn9L>rRguMETne06~dYF!r$iGh?R`xnGZTvBsEs#@I6B9$XG>9{!}xGwqW@h9y*4Js$g)DSu9$%zd9#> zq5)MrTN`d9@IqZk=8~q^mR>3g3hs{RciC7s#4!adi>enFRxDGsuH`*^VmVCXdQtR# zRMV8WKHr_^+G0%4RL{%Qg@}-W-)h))`z>$WYhuar|KaYvgPM5Tw&6ukK)_I>3sI_4 zEfkd!6=@th<3|n#WyYK%p8*(u4V2d+Wn;3bH`3Bdf>QV8EajGhHSm=@s9`BM${)sNyb24Gs#qcfR zi0UZe4pPJ^w0E|q9VP1AFTOgyhA*iM!TQgSO@$SU% zO4UE^1EsF^EsTjM)LR^+h+NJnh*X2jU8xHlwyMf0`awPm6XpkduXza1w5G9upi)4% ze&2=t&PT_Y{v|)8&4wCKukC-GKCkM1^KFZ0RfENrOPnZAqCv))U2J`T@A83E?UoBr z9jN#^OeZD|mVRzSt%)zaS=op~*MzOE1$K8Ef4Q+v3Wei z1V9-ir4Lyd!W71ffisCLwi-zuT_rMv>f2a=SOaH4qy*bNAV}Cgk8OhW|W7-8kAL4&! zWSK-jawtZoPXb5-*y(s-knpNMAh!dS`v~6 z%I#`W8fuW9={tVk#c}wjy}G*k?b(G{8T0RkU%LH zD1Z0aOsnzOesJ!c0@z>~uK56*DJ51TWARsggclv`l0SSB*q2{ubkC3IHI{TsdTLd+ zv+;QghGL9N-sy}L%bx`g{DP&wyIK-&#Yy^nxxIN!(MtH949y2)qD{?RLDRV+1DOBZ z#5q&%itQ}K-cuAZE%_Zg_dvntQx?x6p0CEcIbUD(Ne6(X!`-nu z{|>IsR=d(6hzJPsU3a=0J$>9RG9}vYF|hk-ELT$QU&CcN0jY2G?)n5nW-xG#>kg?u zO^d<~e)+yQljl0K2EhrJrk_uKz@n~=|5z0FwYNXFrK_t^X1xD`v$;Y*m5q)6wWlwD zQ%VF3R^;!y0jz%LWScE~5Q-ri=r#{IA51qeG<)6HkbLyBNQtX_3SnIMl#IkKo;*a3 z8aPvgsS(X!0h77pQ*~U?1D0<*;*>b6hpU_ln1hH$Y$moBMX`UW+YvsT6%Bf%sEoF4 zIG^s=M=12M5OpJAN4b($grAI92VX`XPUJ)M5+?94FO%9vyHbH`Z&Gmd5ri&;aap|o z{pO4BXNKJMPIfepk@L-_=Ne0&cAr4FY>DUK-$OKfj2W;Z~k3< zTe7kv`!Ix-Xs^CE1kEt*Xo1w1)Y|Wn$X(NSaEHF&-emHsN$RJ0%PV~)DeN`02CyF^ z??KPqDefdhvZ0D|8|^9M*xTzwwNqU$)yytlaj)LiDUEQ8G7MpsfIgZr$a3#G53GDT zS}?R}5p|qs^zrsa>(TAowP{U`p_65YUe>)j%7tssMS?B237!ZcqS-X>&?cJ4es0@C zrUG*v2=~b(B_5M~FO2YHG*Y`#8m>^~J9cVP8D2%^#a`L-ZBsv{di?w4bACh@wHBv} zp1BMFc8zd1rW}_FdV!AwW-z={U2p6?D9ra(-xrJ&Y<=B5Uq-!4H}%O76v{C_WRn2= z(ZfSW)>gU$f;CYj*9PNog>dK0GMqQT^&otzbgh*w`fSO(i_Urjdvk(`2`YpH4h_IaTHB2h9+I=~U z%m%crE}FCCNYMV#O7&pzPlHuv@0;`|>UE;tzRq2~{K29N|;Cdrn?Cq63H9W+y8s3vzcz)ViNNzhzH-t;fUY-0|Qyz$jz07w)CEA40 zg4&ih+{FSu?#W^SuhT|1B-wcA3blM-7%|@mVK{sBSinsNDw=qyBI?w!?TzzU;wQX+ z$>f}sG#Js|%tLtmH3sf3fO_$melLno>yCS@R-WI1o#^U(Y;&N?hUqT%x@{laT29sa zG`k$_KF|CVWNw5@Aly3RFHE!;x?)Q9P7+!+$Hy^FkXFzg(+Y!;M_wJwx-wEaQ(k?} zSIX;|*vS@y2X3NF1f=^nx=lz#GeNNF;F5UwtjSy3!P%OcN^_p=4BODRA(un>F9@HS zXgtih(bfi1Z1Iy8M%hP#P(mI}2hBb!>8+@Yi#9KJ4tP&;kHxHE-Vw{Q7@`%VwN9CP zUCk(|z)ualWL27wBgXW|eWjmnC#~I{EL6KG^EK4TuJ1aR+m}0ufq8Lc&GDF)a)v#{ zE}=h3AL*s#hf!}=^$EM79F(Z+UDB=PEzhNvzqdm3vxz;{hCT7Ojj_RCiza+}33C8+ z{h-}oXUTz6O~T;^lOjH*bsOA*T2>_=kb&1Sz}@| zay+XGDIEBW%b}y{)r3c1jxBA+xH`^Q=8FkhSEf}8R{1e)=iz#5buROkzqx_AHdBqA zvUl|@gKkokn_pWT;y!~j2Jg2E@({%=zy&e>b%B--G4ms} z{%LSfh^P{9yYqE4$+BAY=ZZe*wVT=F8PW^kqXM9tvlyi&K2nI(r`swkJVY9-^p@kQ zuB>_x+{J$^S*2(vME^;*!UiS|`PW^@IjNLEH6>r9s$RFy$$dT9%`i(V$r34|`BR?2 zzwpzGfGVPWT9U%%M+}1^2;E^TxR`DDfpgMn;%h!RTXN5c33nOy;^|Z`|3m;jj`GSK zw`ymLfhH7GNFv4tdYf8NRvNkk-dtB~sMu3pcUJArZJRbN$K*>9;k*X!>q2SW` zYS))=PNzShDu!a4JArV5vgqf3Fcd56(ouX2eW6LkPd-2Tih3o|$)taRT=yNi&is~a zqFa5&Fb*1LPmL0)!7#J)865@bOmv~I7ki$j{Vr8N5*_Uo>}P2|6mn()@yS8`kV^bY zeMzQZ=%S2@&SGY^Z4OE)o%aA%0FzsH?JeZw)3nFfYGz&Lzl26nV-Ah9ehO-4;zyrojl%6dSEKWA9`yG95=DP zZ()S+3D}!cN*mJa(*0zblp2+T5T*a^rBs}Uj!=edp$bY&hpw~yP=kR`?f1YH6N!=E z>hfH@e*3B3rt4i_KD$_bJh4Z?8FVsope9G(iG2Q$dv0Hw_DL zrTDbfg~V(&wi^Y6=1xdGxH6DRR{xgsCbF>WOqV3;IiV7_!aWO4xR9$qP*I%~$Dh|6 z8yn|V7l=(4nRjg8w`afq7hrn+MRHlGJjth(Aj`NzZF)&rBbBCURFy7zC*Z+d5SWJr~m!KJb#e!xH{dT9D zGImo6JBqHJ)YP^Jkj>}1Ho2QwFHw4VmpNaf#X)5~N%W~c0Mr91_TaYO2D^aLp0j{_ zOH9V_&R+q*mOM`gD4OP9O+LKsbO!kyzhc8auSGFk?tg0^sZH6Ji%epGKCWK0r?369 zu`irOZ)nKygee}3nLi}K{WIvK6+|WDAQf<>f~>c#v7+y@FP5{`wQ6XyS1}PqGqU6_ ze~g+)Yh3!w0^AAX+_Y$BX^@k}6^cN7t_OeeXx;St6CLifc)e#1{^j}=GLui`>H+_; z&&t1vk3fnUW+Iow0%A27HgB%qX*x>hQt~JXe6%X{CwiSMQCO33;mn*$HLX+By!qgM zLs_upIt%bx@5d~&w<~XF% ztg}%!4(tE?jA02gpDipZCJd8``blf!HEn)g?|E;Z z9w{&Hy1RVxi&(-yPw$i#PO_iklFe8cMa{g!RMV>Ty2Wur7au+MjT^EU8n(*;+-t&) z8cflDHi=M1w2@SG>4W((U8rbuZ4`Ez>CW{FQEn(Pi-{wyY;g|6%S@ zx9Z9{KB#{LJk2d8)TNZKa6WlC`~0y^30Xwupk{#-KqYXpk?%Tq*N1QM=yeEZKNN|% z^TOPx$fKI#;|xV8*4!BTPaBhMCko{mc^a`c?A{E`_`ef9M>G~d(tMEkMhr%%tkR|U>;A6#dL zit?(7kBuUs@bGc?JGd*4Kvf{4rJyTAmqwtyZueie1dI9tFFAfhaX&2wc0Is^|Icl} zn4T?$m}+N@vmCR;g;IY^tZDzZW$!B|x}NzvuMlOD)QSqnjX`VeZFjl_*rLu5pkIi* zJpv#1GCcjg(yPx~U1;TCb)0b9dO+l9I6`@UCQ++~vhq-8UEiw`?Juq?lGfFy_2#w9 z;_!CJOfDp^+lJl~+JBZB%{V{olNwv(Kuii#o3VbrTwc=TDCp1W-6B6iaK8iKXVLIp zQ3~-4WJkXdX+*)tesC!tbpAO3^T?6p;BQrli|1`%QQ^;YYhQ5yII6lc4RugMnpwcE zCK)fJ2z-dhCxbLisCU_OZC>A$OT~<+mJ(~+?`#eTqmmFff`m4n{ll=Kf|vxyAED`# zuOIhJAFMI9|3DIWP~Q_Pq1WT*L}>J%BS&N@=P@S)d1w8ue2)ShP&2ispKs@KYu)x26bL7c>I-9A z6U=DaWq}i;iyC;wZ@J8+$HavE{UD}p9&nPoK;%tQ;a>}#58YW%1k>nEd zuwNDP#TayFiw>PMu}5@ihEhfBF12hmI|am=Q~lJjohOHsq6{1H3k5JAhT@JM`h(+S zgbk8~(C>M*49tpWzohRzF>y-%{qn};Cttsx{bskRiOFPRyMdIt70obn=RE&}3E}OJ zLk89#Gl}w)r?}22)IU3L4>*&961s;~g1f+d+I{C{rZD!`3^RPc_|q%9bB1+g=Q^Zx z(8{OuN2wn$-%$*q5UU8{I0jRe)+>YR$STWIUM(0OH#_!=@5E*9r4Lvw_QbXUy>XBY zR_r+~81eusI$(tw7VxO?i~7dzy1+K(W%<>9|H8#I*H0SBhBM3xlxMC0+)d=sV0hi1 zpAN-cA$sI{EQi%KsBTT|LOkI)G_UU$%XOj@Od(1@g!UX1_0s!Uj0C2;*vsKZdzM|u z@fQu{Jt4<7IX`j&`~U!4=9=cFpc4({A%Yo2IeJ-PSi8^vr=FulXxEqZ&%VdQRUY&n zYqr__2oQ116~`W!X2?M=$zYt+%c+p99C5;Pbav=JCI04|-oa<4Rz9EXR|t48NGQ;R z6miRF7O*#@RfrJ@W!$XjoIYHQ93L6d?0@S~o*aFaUNOZlwwgvdsV+B4GF>TS>(u~i z1l;M(R-vZaK)5(V#0y3TkbIc;r@`O5`#=|MMgZ8N1I}$3im`yvA8YXA>!Y+2XOR7c z3~{7olN7Fnc|Co^TdW2+Kynpu>oRC^l}D~-Gxp(E5VR3yRte~Zh}I<_TxyfhqswlV zMy3rJ=4N;~Tc>o{B?f)l-r4o$UA&+G0#*Pfo5n)jqq;}W(IV$+G; zl~7jcofE%_0$5r5P`j(obdn}}e-87X|8s}Du0G@o%h0oi(HDSiBD{ARmIWtII7rF8 z`k|EZR^a^G?vBi$AO0;qv9_W|d46#yNo_s!y~&NlGS4nfz2*?=?MeSNG<#r<%Qw5kC_D+7BuC z2~)Q6l3<8XBAlu5%`cVFqYZYiIyHvS-(9k;Yip984Jt<4zv!z_m-w+ERKxWVAM`2; z^%Vct!FBSap%5khzl=ke^KdVQVn+~XoQJv#LiuLDCgtC8MvhJ{`z}h|4LK_9HO?a_ z+1K%gNJ@`(i!+J#3TXU8&(2;#fW$}i7+IFv~mSKC+-@$)#|){(kIYR9`$X~ zME&Q(?ZjODhv|FfF%8nP2#O25x7LT`Ge)%eNKIw|_n;b*J$W!N_Nh2LXr7iiv3@n2 z`OKPGpsZnYJj9}FX0_&n=d{9zPlFNOsT+K^7|l<>Sj8|ZbeJSG#89%9ssSvXZ(aAv$ z1=jPq**iTp8CeQ$;gOL4@+oq*$r!W?yv$8$qa=}>E2y00nizdv@a?p*7umq;($HH! zk$n5r)vMy(9ll3PE}=O;fPUFJxHnLUX&D8Mznke0{EW-C`kRT)>&xfz<&9-BtO4%4 z4=RiuW+mwJ0YN)8+HfMz6T7dLdcH}%>QkLv997-+5NGjjy@JPOx7ul)dJ9pAZ#p(X zhi8s|Y~g-S(FfiSC7-htWEyKJ$Nwe18;~>a}GB?`@oQ#PeV~u?poCE(e;Fn}#-D&CEOuYZ^Gn0v;&v$B(Ck z;uyj;G)1a=^Q4U3tWQVZsY<+PXx!K}kBPfWNlARdr1`KGdivL%r&5&r$d?0pM|2Do!*?gszk2?v#K-^(;YXh{M z82VATKU;aZjS^!C8RvNhc(Hd%nM=TW1aIGx04E1dVb?kuKf1-yl#SoS~M$!gUcN3>*Ls^y#XtXu5+ zaJ_xj%fyEmsbam(QzLYb`|&vUV3gs~zZ}Z*R{z$?x%2)%&J}U4*Ad?KPx!!l-_7(# zRnu4iKUUboFSM)E!L_PEw??GaNu%?o)as+;y9znhDAiZ{t@XvHBuzJzF<0R)n6j-- zp9z9f-4Ir4tYgo!w;AFSRU4JIIvv|QPB!Cn@{bcr#^y+2c?fSRiXm!28>foS)retr zV$Rm2)$nl6 z_jys5It}+xP5-w3dph$`OP}fBO7CoF|82TkNk1Z9Cc`7oCG@yCnwLuofWM$zb0;=P zs1;X|r1l!eb?uxFg|SkZxL|ANYZbl{u?prQ$XXRjxJ=1j+`A>npZOB27g|sAg=+I7 zZ76{yN<*%^A>J=c!(0#Tityk*SAKXVx31-SWDgHK(SRiP@pa{h3^aI?lF~w6dyV*F z94?|#`5p?J_>E=UOdF$~K|aAyE`!iv_fF)v=B!Q zqjWXT)oS+_)sJd>&!}87Uy$G=Y5q5k;+X$txg7wViB-8PUbDm~uskFds~>82VMw!T zP0;XhdQ12d?HAyL7~b!Yb*lZ`OsV*BU&JYVGim~a_bO9yv{ER{i!$1^BNp@4qdGhJ zbawCCvq1-b-no^jc-6h`S+LLvVV#A80i&oUf;5{}SlZFbm?>BphQ`33u}A}b+q!)P zv}YubZzW=id%bO~p8Rw_wStL9RV^??V%SBv+_a4k^{B2trG#O;`{%nond%=_6dnv0 z#(5a6hTM!gBrmac2AMs)vzvYbY%zgPz&$Krp917EW59jpdu6v~;dlPJ7vlctpI?hg zYU36~C%9aY8sQt5+^QW{x+2)3&A39hk5qj^&cw||pb%$e3;YV1*%7Cd{YQRFi%*pn zaDVxo`kiBk$wtBjX0732?C1_5HISGvp_B3kA9v$p=6GdMshq~WY*#b5?%=+M! zu2cOI^xXf8cN3;vgpa1n@?^vq(UzL|<^xnd+WwxLp!UkRraB^kx6;EtQ)A`jw7bOb zM20IHJ<1t3M&Lv6a2@`^JX?!=gpn;ih1Z%7JY{UZvPgPXy}7UdU~S(p)5CbVQB2lbW`Sz%F&apm@n^6qU`lspi$}{%&;j#Olg|zGrs$F zjNU=2SoZoXJy!WjE+nE3s%&!5C)puILnjKSiO|CAbfK_?U!laNjIM9@qdA*T^?o_Y zms=A}^{#ap^=~3EI0D7k@%=TF8&cH41js>(J5}bqHi%6fVgadXklnH<;7br`Lf%1& z!m^=BBz)p65f7|FSx%>~^|33*MTHL=$m+D^FG}n>CCbnYt!WE^`KiuTGfX>=#&7t0 zcap2p*LBcy+Du#c#={Q4{iff%@=IdL&nHuk0 z89em^k)}Cv>fEO-QN}~KHFL`jQ;ldfTmCvOj;RcQ=Wf^IIymqv8d0byrYX8{Fo^Gi z>ebi}tIKaf-5zyHosz#*`Z_$CM|-D{J$(L9E(_p^U(t)0;d>YC5V~fT!*y{+dp>^0 z+LRLO&dFS)BA_E#ttiMkw`whEa0ID*k?MzQ*LiV62z=s~I_0F$%rPmt{&)53kx1l0 zXm|$qXyAlOsE=Jm!&6PW8gtW}7a&%y2V2QO|LdP9gK*3=N>($-=ly0<_mQ?o)sYOe zl7No-1N(5TES0wJg=P4KNfM?F6k=eY`20w1%0IW995J87D4gGXou1B?7pG%0c0}2? z3V~iCQdxj!KV-5=e_#+F6Br?_czCkFqx7HY&x@v989CY~;gvuX#S{@opk!i)Mq&Nh zq@bDyn_iD*Bi+4!Y>SoQI>lbV`#HANdOmj?5OqCykaAX(=>xW?G%2uv))r=Jr0z}q zChxVFQoNRVw?0{XV@6`rUOB~dknjRE=}5|AsAx_UkkQe%lDaE#wwbhnGp!#=^L9_| zZORwEOt>5|I!&ZpKu;+3lMoLL^*plMi*F1t45wAA9)0CBfBU}UILD7S$D8vaE^~LW zA^r;L5&O3ayo*RUT`9a>eOi5NK;75BIQv#om`)Dq?X?47qw@*+iO3^avtSo-SEQQ# zm&aZcuZ4cBEULXS44Om)Jvac1k|$!BKi`7^#h%A2x9rjzhRmiay5+^(4Cm4N{b+s0kAjVs4jen4>tPIlW>n+-LJER;sVAFlY$R;1 zGz0sh_NM>uZ7KfGx)|W6D0f6m5_JjY74f1BN}Qia`IA%YSI8g0%y)n9blnk%xR)l% zi)?KV=>WC(OOmg&@E3B)^2ibJD7L0&Jne5I?EEfa0l!C92``DzVa*mibQM_@lG32( z!JYPcNf$X8?#szX^FIIVLLR(q6_$qBI?TDe2FbC2P84K(zE) z0h&8*3n~R=5g{2*x7J4~^WaQ5QXC{{F$=T^abhQY${_IVs|$H^d`vU-_O2nOS&eMF z6ypbkq@pfEnpChADXP`nrx_Qd8qt-qkN;!A1AT)}nR1`Qeht5*`jBM{sqW@=G@J?Dm~93ZXD)Bv=|DF=!3KBe9cX=xUa+dM)$o7CkjhOud129 z2%c&)9A*l#aoHhKsDPo(On@aA29))?Ly@{<8C7)6ELWHJ8;pr$8N;$hEh61AQieT; zV<9$0;1i*}@JWz*DC?F*S?yRWH?dk7y)ilQZfmb=2HHgeKd1?*yEC#`z(W?W>{r1C z=-~V_$S>L;I+tRM-qt3Ff@AX$Y>ZwpBs@J0=b~m3bJxAFDlDL^XNv_`PTU8=>=c!L0os)9>cSd8B(8b9AsEQ9)Sx? zDNCsp!SK`9qZg?BRnUVo$N|k|J9;p-15**QyhH-yb?Z7-N*m{^Rx4eGG!!j*r|YJhv;J9x2|{KOz{#6NWd>xwSi@tD^6qdh)RCrU77(|KSq}bsvV_`N zMZp?4=!WK`GW^JpJ)YQE7C`>RxpVmME*uQw1}K&;4LJ*304tqBuaeh{+?CU0DjunS zX92ZbWqGt+1Vt7wQw6REHnFj+?07E=xO6%Nn+vuaZW4?{L#haS1iyS1`1D2>4hkCAL2CF&+%<9th_`og_K!z>&*;Tc`m{5XjSMGl$7TfYDGpoVyL^B$CwOj-Yrlr?G2mO?r#z! zG!(;PSUolo@%_7?<>!T-;luceg3j}q6y29< z?&AY{bI*9ueE|TF*hNT1K*|V4PJe6v4qVFu22~T`&xbiT*5JL5NKSfeGFgVkDK+9E zcjP^GQr?G{7c?a5_;emoz~tlSWC`Us=rEq~4AX(8a~rY$-glIWKZE>+VF(U-NTZ?N zd+60cCyuC1wVIjSt~*79nHr+tz4TEMuC+c`Zo<8Bq|_wTJz2e~wu^q~Cj+hoTliVP z?C7j19hQY1V#CB4V$;(ktS$ppcXwMjG-$v;_s!HBf{6pbu*EM`z`XYAJBrTK-_;`1NFmx2yG6rw(I?4`ZgUe(*uL;vb5P18l5%XnO84 z_pQvM?-4Bz_H%5?U<23~aMh6iHwzFY+$IVx-BN(ZC_+yDU#}Y8Jb+T4il~bXm3%J4 z_sTs_e)CpS5EFl|WSK3vG07sBqC2>URh2EP>(?$Mpw?a;=7du4zw}_980wx?Z%wjK zYki#3#E6%S+n9UQ#{0=Bzg4;Tb!`STx)RS2lAZqDbRyEI-Uv>SeX#fBpOE@<@$0sE zh$7TXH49ibHbjDBZOU-EIi~MEcmes}8NJ<6GwGOe%~-4k6ypFbQ8g`bw%-_v{+SL= z8LhnP3*W1GZ)aNHtmhk=9SHPdR|Dw^@J-ZF!i^^8rb^Q* z^-ejkA&yWb#b9Gt^e{sN9-4gJs-UusKNu`mw%8}Bp@~*>a*z!bxVaR+5 ztNe%*gl1=Q6RW?p=)~91r`W}Xti1X0=+m)`xAk^A> zKb^ey{K7`=1(B;{4 zXN87p=F~1WY^1_RB>6LUR^OeN5L;Bsmya zSFg-XAtHht2gRoQ+Z`?riqZ4s>mNNg91~q4)|j?EGZe#)5JV!?KfV@TTwk<>b4=du zUcQO;edV2Nb8sVj``jtnBVzVX3-Wi{9}qM>Cjfw;mR;=Mjs%HKA})6qLxyUFex~^R z+Q)?HVz5^liq8rWlBvE}vd1r@<$#d$(ivn2VTH)nyYW;nxNQ_Q*kplUmL}UVL>y^X zpt9LUFET1wOZ9gUUhul~`Wtj)7w$RxIF~FhSGn z4B z$JjBcM)Fq&|KZmGRWAbdVP3niKk zj*qHGqCKEuNDB!~QqbE&I$2~3$z}K5Mxr8zuE@m<%-%gMn;#{g<}|#YgCYDs2qStu z%D!={HiHSa2>7VkF3c*%92QSkic!h=si51_Hn}@~l+D+6ed89TBAcC&(3=^g zVB{&PwcLH>_TUp^QyLtHl+V?V8p!bS#~0n;nX{v`&F1nFA->M(gA|S8o~>z_?;4xq z<0mS6-A|mGbAKVm){dJ?P$IKbV|k6>2_}u&0zAl$x@n$Y?an=t@$_~P=o@z_*rMCu z9X10_>XJH!E3iv;^;s8Qo`|9nTPacCkH-bLAW^1w>7rpLg9h622`n> zX$XISIp^svxt=_85mo$`s28%P+|kCMG^Q-0v9Eu{gA%Q06)$^a@cJx0ZK{CqGjEh8 zPsNkB9yW0@G^pGiIO(2&--gJVjcX#z+v{b#{6aA;VYgk4`+*nffKrqpn~KI?!M1~& zN^G?^GyS)l0B7ez8EQe5A(l@mHF}fqLcemjYe(UJ+OI#BK@Mbed037^vD2lmI@|cx z)PS$Ps@t8+0>VrlSn0kZ3Eua32Sxh`Kv%=0y9cyt1seFou+}-&lZxG)x{|zCr;3%& zQs1V$@^V%f_TEGz?5}&)Wz61YYzWIBwI>>U8I2@sO)TiH6@Y z^6qBecm(C*T!tSlcv74N|Lz`-oiNlkdpm@cz>KvW<_<1YZ_2ioU6Gk8`)v3;V8xyV zR4y#rQB7%E#eCw|R=XFIAJUu6GfmE4{o>Xs;wv5SX#wDzU4%cQ zW?o>{*}%nzTIyV2SI2v*5D~u|1M_yUu?0=3fE(_Co7Ld}1M|1Iv1!*JWCyqRYBEnQ zYC|jl^AY(UEvE$JFV6pDd$2V)Q;6F4hsc2)o7SlxR{x>aaFyao6A=vV`Gk{GABDZ(>7Wwrjr6Ju`ta91)JNLvbaqb<9m=0|P$vwZ*BZqo_hU*IDNQ3D>iC{zt9HkYeuf28ox zT4ipmtYjsnFXxR)e}L$#@{)3u75?TYpAXo*8(qj^h}6)!>zE}?62!B!`x6ldptGbH z>vd~W(J8CAb&Ub;y%?90M8nHVk9U<9F$MB=B_7U0v=Si=(d6||X_D>R*V{TfsfB#| zI^M>KS#6sPGZshxuq)f{NGL!#nFZW_*I?xtTSzg%yS~$zHjnlnxym2TdHU2QU(Pf9 zykQjTjV2^#lJBkDf=rOQDnv^Hg%rM`l5UTrskl!bt42vNi}62EFwX!rr>zBbAkvuf z{MskS2%iM8V^#S9Q4?|5PP57GQO=TXhV$0`X87N&|FxK@x}DC>=5*{hMoJ4a@!pwY z*NQ=UP9GT1KjP(4sDIjNIg`>GQqli%+~uI8=-c(DIbcZYFvXprleOag_uCd7NJ#6` z->(XB(r@5n7$Iz&A7iFr6`+0xDho(zPz?(C5E{MeIN68K4?&aNo# zGXOq-nK4K8?pppqVNZ>&@QhA8eo<<7?EK2`S^|bUbGT{JfCJ#li zBFNq`G#oMHfxkLL-=XdBr(avFTfS3%y)QWa?l*_5-M7pf4-W!PFJxlR#rYx{mI*Vt zgpctp0-y<$74fExG?|NVJ_~vTy<5r>ntapo{*jBDxJbg7>fw`jaaWvM$hgTN+G$F3 zy(dvD@D!uzRcr8foNRQrn=%DIi)nXOq9T*3JlHi{bg{g^pSZFHizx7tHteLPw-vzV9Pe%1pHR^7}% z&14X(be_!Mgd@*Fv0iUOEiO{NX526C7wuRMvAg;vqrQK>GZX87&-=Om;9<%BlP!#i zJj4V$hcJw+Tv>3eiUrhsv}4x`$~8nnmf?QpQ!REfj(zUdOwq272#Y!?;NgY~WY@Au z>v-IUNH%-oy1)X`sk8lbF#>IU?~XXk7cVo;PM1ekuz}s>VK$U6bNAy<{ynTW-9cYBz3unS1@+f7(AW#i;-A ziGJr`*2CQ_Kp?RHAy^UL*1zlbW3@f~CY6~BcR26Qf4LR$Y@c4`*M;9*o;zZ-YrHCj zv0Bz=V;xm*aDPnk%Fjd0a?&8mrRS6_S}RmQ`XI~U?ZGV4yn3j$koM29>Bj}-KV2&K z0nMT_1fHsEftrah-{?UW;8^Gt?%g^||2EY*`$v?9V2EnM=mIi|UZ)FZt1XdnY%=h9 zi_}l&y~YB5&F3Lj`ezr~c@6h7gs8;!B){jleRpcMJ|eD>VzUJU#=0x*DBW5^*ZUMZ z+EdiZ%R)jFpFK!Lhq&B{6KEpg6gcb@Ihq!jpy_ZLD$@7?>VV+2wZ z;co7Z!cISv&Sv;Yd59n2BLd}W?wBsQri~~RsY^Af2Mx2xdXmd;?FKa*?_`+SUA9^? z84EHn0!(=D^RH+11Mzqk@NYLNfF<~7>?poMm#_dL8`q#ZVCOx|L!ydpw6cJz-D?l{ zp^wy3T!6@Vv_Qa3_=yP9R{JT!O`BRG_~aP}Rzeb|W<2)3#u#8{yjtkSz-kLjAHG1}S?$SPu7Z z$5AM66lq&(jVZL`!;m4cfI|c9pno0(f8iX#8Ma>9-bWB-N5PT7R#5qlHY}i-t*f9! z)PI}-m~34UKj%f*H2pxJ1hW7}yeR`E&&HWo2JAu^sWu>gRL zvE;-5d4`j2!pt<(?WdbE_D~3&NiSsrCG|}-dCSfFdfI4?-5)~#dF@)mQ*(bHdG>`{ zQ#Ae|o2O(;-79#_CfNdkOxqDh)uJpLBLC-s&-GP~)snVAax~B9-HlpD!`{gF$tL9;2HHu52| zLSQOj@$!kuMMFi7+lkyr)s7qcY^G%S=R}d~UyilmH-5bL+$n8*_roiEer_T)|GvDX zUx1&yh1OtguYT%W#WDT#OP0WWUb+Q#m>^E@#EFBg=2Yb?9Vzd1Y1jlzsrryFll2gnMK~>Zo=p z$+`p~hPiN8n1XVot=O}DYGjbC80GQ8_sf0_8&2J3kaFEIOuPf+)xho4s#OIdt<%SH znLKuzC=5GTlL(6f7y}l!TKSM8r7`SuYt}d{EPPpChOdh$lbdzz1 zbL}*PoygJE7t-L4rb8x6N`-F@?=asuZtrDk;6EcEb@9Z`G`d!3Nb7*1(kR2+r}qAh z%IX{w#_MC3xi@xW2H53Jv(r!-x=BA2X$fV`-ai{iMBJH{tIhctX1J&(CYC&DY8yOV zTztyy&>4k9*w1TAnq(KdT8V(WZyqPMPGI(eKx%&0GG-nKbIN5i~+bP*QNx=MW&=?kUZ zBsAyjuSL4lJoC?f`$kOSh*jHJjyCgg;$86Q2Ea(dTT`~WFe%f*11k&z5<04RUKqKyv}CuJ(U*k`W%E)TK#ijat&bb&7|khhr06IpPo=PZi!7IlXO z?5Irt?L1rgD^q3hhlZ=X?VP`_RyF$5yiKn(WxV}VTbuSa+vECtj`@L1w_E<^3QtC& z4B1j4`Yu}vtwyS|0DL`>d9W70>_&Ld$l30Ekdjm8O-Ay0hB)ZFce6Mf;(B6o>io3} z>FJ#|-!l{r2(`#H%`q>(L7ol^uN7`` z^Rtg$gc!R;22?i|l93D%Q`%?9FMlfZ$yLg+;Y#{R#`xrg`kJOpZ5eQ27Brv|Z{GzL zPW~j6_Va~0wl(ome!e6jc|9n<9dy!mIXXB@7MbNI9*ec%-2S8dq|fIvH$}!f=>D7O zjxcw%%&g3G?o_j}E(QNXpV=z2;(vMPH#|2Ar2#D5b6PXFFsCN0j15 zWNc~Z<69TfO?b-9^%z5rbGV~s9gib>LJtpuEiME$V)I3whVn`HWM`2YM@`7yBDC&> zP*mA-y5`~MQF%ACejg^Lmc-(|wC8rRxhD%ay?z*<0p47bo@Sh9rZspfMzmiKM`wQc?ZWcym&v=Bs?5eOg^rj~Tj;>mn&)t{0n z&9b)VPwL%Hi3+?|+$=OmNWxDz(y-K!V}2i5gGYM9B!+A4>AuT zRq&03nU`QCErouRaX-eMdRGX^5547hy=Zqgs?TMAy6T%&LtQR&(Tl|=Z+Ex2UI5@? zLoS9W#bB4d|6|NWaXKqAT#}@9 z;&5Q#p^S_;qaz0dO%En!{_k&k;M@PccW&|2mnIPe7jtB4p=(7A6Hec0rEOOq?)rA> zoZlQZ{V*qOksu1r6ochHE1Yy=sJ}V8zXiV+DRk{u-Tf)?dzyDYT=ch4Dc6{MM|S(n zHGfvNY8g}B#SrCeAy#g6@*)2hd2b#K_22)EzlB6H*>|Im%2wI34lS0XLD|zJ$`&dK z88epbAu2+QQmMq)WgWYa?2RScAlYXuzP6gTXSQFwx^h zeoHb$uOC5I35Ja|2*bX-`?EJ_uYcJZJ`bs#XO@ZyDUHFcTt)jn&uccmDsgyZ`bqgaU(v9`#PJ7UlSR-fXz zXDOWGMpdIFBBfK(HmO&%Z9linUg~kYSJYLWpmt}rEyO-_ny2*$f7DsJ1=_k{u zQYVl}e$6_L`P8=!KN`eZ9i2p>72aG%H-eQ5UG5(Ge(yN*iuZ^XodYw4i6bJY8sM=M z2`=IV%GE)KN13Z+d7hMTy;oNidArZ9adPxUtdGm>d++c3%;0ir z;7)XVE#N~hL4JBEld>HI9OuXe?g1Z~`R*A!YY06%#bkNy=5a9q_`|CEKh2+R37aTj zP;0+gdh%6UD;Ky&-`yI{^+4F@m z^Gee@ECL2&v~J;Ij@zlEN?aR@sVkZl23D0<5aV|+z8-;&o&mS?%QB^tj-C}2*1wM0 zAFOD-w!nz0SWqEn_`1IoIk->C$V(}s8usbt&Gv5z_g!bjZH|6_3fDTv6^rP$L|s7F zyDl$I(vr1xJkB1{X^p*Go%oBds7Ah#I7P~W%*^BRp`eUm99G+jBJ6MXlUCh^RQE%_ zXOop~?m2e#z;I9Gt z<%0*wEu_-1PhNAk2`ORL1+T)&TwS6q+n>|gk}gP_63R8G)}Gi~kZAd}-!dFl48P_Ph5^^us^QnxTRf{MoaO zJAjVh8h`YMxny3O^YR6`%8JH1^P6OY^c_HVK~E3~qG#XIZzbqLxBlQ>eMSt4cvC5P z(Es@ha9Eq%0WxE^VyH8W*UszUMKxuf;h4ab&WSPa0B|DykN5v`B}4{{Xc?s0K2DY; zJuPV9ZXQbv)mfR$g4|$yF%aGM3VC7&C@^Pe!&Ur{B?(LA9id-toP^Ut6X*%aweA0y zx%_|sK&E;BQq3=So$YTb{B76MGSJ?LA>R5Opm?Pb%I=>+FpZ1HzV%03EUYf~_liC5 zIl|Kz-Wy;S_6Kv}A`6PfWuZ$ITQ1a~Zsej3p-z{f;O+5s9{V7D;aC)3ch z-70lceCU5_;HP?;>p_S7mQp6P;KOjT8p$^@6i4tHE=$~B@wWP;-*wfpjz8L2bz(na z3-&Q>k($vWsSug#{raO>(4ca-L z-Vzr4ano4tZAe47BvT*b^{>+ha3zM}xI5@DkdUEi-qsC>;-oT&i z6=Nwe`TJQj8Q(0$7(mVR9ja&ZRlMu3OaZlVSEBwCFtk+v#bY0jtY=Q zY)`}8>A4=$h{0mAC8MvMyTZ!G*vnrkRbTv6Iku3}vFTFne6UD682L(XNa$>rqMpmq z+R7|Q6BJbNZh$ReBIq1oUruA?RHI+7oAsCM=N_mkf(vXk-nA?tz+c`>^p(n*aCIULz`t;ojQgV@Fbm8XW`Zwy= zALxaW;)DiVstElT%o!1ZBYwo)@s6MaNlHg&;XQfpDla>E&J0pazwH3H-WmWU$%s52 zbYZj;(v}Eojx{Q)CwMA4XimPk=k;(%J@+(y?c%-9Iu}qaIHC0#KbxLg{gS=;V*{%8 zPA(4*Pj!~N7;}2k+g$rG*B{@(ea3=vevL{X9OmqKzA3pUAf=YA|L%tK$uo45!jjkM zN`d=Q=!(n%DyEwWfyYpJnI~nbCow|@8~R2Yr{_`1Zb*IK#5ViRT#v5nqBaDWg~yg9 zs6m`H1FJ~(4G7MMH4SLq`A21<{mw1VjRcJ0C2kd61*)_jH<2rttT{D1iA0VOo4Ia7 zPj4RDAR+wR(S+M(K-M9V|5f6Rq3I?na6S_`_$D+Z4m(T7WkEni?_c1F4HA?-<*~H` zWI-1C=5G0* z+9S4*qAOA3;Q;zjzh!!dR-Wc+knZ-e(BH!bF*d&(6T6pg-+X-7;6Q%IBsy3X9fRYh zDicTap>yrb9Aw84Ri%cp^G+oAMO4lA@$mUX7avs&?qyCIL?(mBA zfLK9e>{;A>*GO8@=QX90(mHg#2W%QoWq_7b9EP4=%0Y7`=0rS9UDt`^$awNo1AkL8lYVny8_5XhC(&(r zU@pB?Zk(p>w$2p(k5oG*0fdB5Iv-g2enj{k@k+K#=@i2Zt#5y3fuF_=*%G4J-aKDG zbh4r!OK1}fBWh**mFck?G@Mc<}g%J`yTCEQ*xW3x|VA1>Z|c#V7> zjHDc+>VNG<>U%1zgs*&f_NVe2;@6lSm*sUV`@Ptv#Mfffju$7Jn75f2&t`?{p$mfV zDO#?$gNhqM*`&zeTORCRM(ff%SZ;ZG9X;P+P?*T;q-CpncmH+zTWg|vmVej{;)h~4 zf)b~@x`;upqh#{fa<6r$zq7co^f_VpSJjP&Fot*@Q9C3*$4)|6pMnyc4l+6lJ*=!m zhv`9k@k^g59-tEW9MQA%S3x}iA~atlS3TccEG}V7J2+nGg=8Z z3GP-Se`|Pq2MCLXZHeHxkopAJVgGWQN|InqsaA%QWlhl9=-A~=-u78A)A*n=^nu3` zeDEsyG|76&mZaG0aIV(_9+@UKr&#*&rl?M;DVP{+aa@=Hn%F*%m zdz%rr$Ik2?E-oVHIZq!qnu=HDi28h%Ba6XF<+kGgvM8;>w7V)j>i5qXoQTt zu_hLi#_1-JO)!d3sW(kN8CU84xBCkjp`3UHx}}2&$86Ai8Q-?%rzPM?4A5s`kd)^` zpe^IJc*OR_`-njrfMmQ1D}(YqmjgfT05|`j*Yic_stc6eJ)1F%YrmvkV?4z}*tYc{ zw8%OWjrpIp06u1;3ScJ{e&dLZ^$4MojyoxIZmqcz%BFrsa^n&TVT z-O^3MJ3uS8C1I1QLuL3}Js3x%H0%KU)$IAyv!p!ihzk7haGQ&-ke0o3*ou@-Y4>De zWiDXIkdR?9bO!01NW_$sMNW-}-bb?IOH~j8{^B$CCIR+5*XPctr;4^>aMM~Um)U;l zOQ1GT0`#BgBEzPHAsB(RHB#H$LuNeETjtrH?e?5)opoSFU+RdgWos(823z8bldZpl zAPzsN%DHw6bkTlAm5eLRP05>9N!7d!Q`v^n&Sx<9^s^u7$OUm}=28MgS+-@bxds)@=(#H34L2HZZwaz2+y++f;r?}%$fJ3wyK1GvHy&PIw57&xKCiBur!MiN)* zlQFk?v~}G0Gv3*@aW|b#_9i^iaB=E5Exqc>yeddP=*^(|S0^`VPtTy|q+e3;`1Lmo zBP!nkl1>mQ^cG>*deSAj#~-Joo>0-*Keqwp}7 z$qdc0=IfjRSRN+ncj(8~zm}F;aH&{O+M97tTTIC?xM@+o_Ant*AnxO7#~13G!V#U2 zue9m*pj-SjtM31`O2IaXrV7Bx?$sp0$P(Bvyus>_PFG~NTiWJ}3h#m{fwGF5S{J2M zyaLW9YM$hQWoXj3H{zsBNc*1$W-TNqj?WE0J!5};jU+er<6h!M zuyppuDfm#IRW%qwto=FLgg)fuFry%%g16HRSX~Ycy(|UF&QZ@e7F=hJrG>)06}-tt zBvyQ+wuz^1IdR3|g-L)5yF^gNkA1ZK!P6WzSqG(^Ki(7k&1!)D&=mnIRa#sl#hN5o zfS#w+9JLEy~=KbV9(q*sTeM5az9>zs+9A~B!kP=!Fo**C(w^R{gV4p#Eo)b%Q zH7=Cro^rU=z3*c&SF)5>~W%b5bxC3Gt>d(;iNl6yC2Wp)80jOXP8gkBwgh5 zj@r(PgPJVvRxsji& z6#=|$E>JmbPhPo~?aM4DB=^Id{^=5W(4N`9+3@H=LH%7qR{%ALuIFa77bDLzA}tGS z+v+7nj^c}ysR9QmC#ZVFE6?xZ9~TYAooi4LPFyb8w0{@Jw)u|xRozMzKge((7DI;T zb&??Ty4_pZS+A$+LF>eK^9>%sxp_h+4Vz6pCI#bFRr*Tc67Lk8JnM4qgGl@m)A-GggZdMu3%M77UJOMsrib_ z(*Yk|{;ltzBPEIl;tk}Z-UMNz}BgdqEq)XuLu9Q2sqFxaMRto3XD;& z31ARxJjoF;j9%(wSV#!To8G+8Mca>yL8$|tso?l&|Lwz3jCtp80aR1Q>% ztsj%cG$?~)aZOuom`8vFMwJkJD}LT)*oJ@<`}ELCE-|cRB8f|L-yKjHlYtYG>TKLR-511 z8KDA4FLrMo{=6ZD5;>V=2{M+}l|Z$AsaaKX$rH5vfU!1o2i7|t{C5_d>ZSCT%MDcnCSkknZJbMtX$ z2XODh+3%;E*V(#~mE$L%k7>K**9JV&!V?x}CKY%FMin+-ycb-C@8uWRT|blkIJD`M z#Ak^6CLBCAyBO(9Q9>R`zeaj-6ebS#H~M~RtyeQMfDA2ea(xLIdM_2XX#FfWqYFF% zuT$_L2h&nE9Aq1;@`4D^gANz-2S*IplO~4WC3bQ!CH?3&IdYL%e)8G_28K!U)3nj` zq9_p%VnWL-g~SL5JcASRe5@*qtE=TIU2m4M&)CaBE5CZHgtri;9zDv3y-G2R8`j{< zJs}x-N?%-`e?4b$iDFNEKub|Q*nxSnJv?ITem5Z?$DyfQ&H9i~L$SfHY^D})NGP7Y zm5+&5Y`uo~M~?DO*JPc2%aiHB4SHf92_2(T=YJb_xYw#l>%x8=pc)=r7zj zO;`ctW|u+;K@twKSH5;rRi!%$M%~f^gR+?WKUJnp@?p$U#SI{;TjjZ(1T0VOo2fWo ztg1m})xI^0uWQHF6qlL5u@sm2$cKmr-}zN?VDZD!~RR``@2^cmuBc5p6`ng90qx9|9W ztsssF-T`=U69uqR!4Tb}U~WBgL*`-T#^F4224zG?|J@F7A!Bmu8_vvrW;&c%C-M>v z{L9ABK-dH$f)}<%YYUR3%xUkcAvrp;PpT~z6blrlWGU)q4cQ&Xg`2EpXV)P6EZPTu zr3dRq)8b(hpT~LV>d3(ES^=t4U$tu1pD{_}iSmlV(D^ZVIm@UwcVKMAGj^ln`+pU` zGrJ!4!G=vib*{o@K6i&{zq6K>!ZOikfd{4ls*f?S+>CFDe*`2E}WGo;P=3jf}7RTjU0y{^9x{cIx4RhwF0 z&jMMF$La0>-b#Z`dbIp%S|IwYsY zpAsVA)Ta@D!4~qI*j4x=WQHQGu0HKVR~wU4hv5mcchskiXX&oB(#X@K%?2fb8~#wj zl}O)7ntO9ho42p47VJ+m^sO%F;!qh7y%g9h| zrtDuHmCGOK4mIyTdna~12t4_5CL_vHSYD3>zmY%zP))nVfG0G35!|n^^rQaC()59f zrz`P4CH)j$92z~mCY7)eue@mq0F)f-RBf%v*paw1tk?SFr(GT6^voomzPM;!CkC*h zS*=r2?Bb@k!>M`qeSD-$$KPK`*6+?1BH)zxn*Ov@PJv?d~)&6VrW-P5H6lVyV$V0Px>q?{A z)o)1mdZsY~eBI+GBJ~H(j5`ni+`NwV<`#xvb0~5Z_<~oGUi{ABLgV5lB&vr%82b2 zm$Hg7-=q^xw-Q9(v=GX|ZuZ9O$kLOI@|LUms!fkp zJ(KaKrHOZxj$Eza?0tI_LNJ$g>>}u3m$oR*wtvjQN6?t$7HYiPt-!1C59JlC0{37=r68}>WWo`bN48&INP zxC)vDwCK6}(37KARPL-`qS#@{3)eG^>!ve}r`PnL9XP50lzxS}OLBJ$4PLdL@kQ+x z<#c4A@JbggcG#O9u8OiYWBaGlwe}k!|Ml;6Ch8M(y~S=~7hS0xpeh?Z_x4AvED=IY zK#4IF(n}0I-j3o#=SX3Ieh&#s8x>`siGVK&7L1+_B+k;Fd|{yVgKoA>ITEan4CB=m z1#>2UzSAos>81pH_|}^7c3B|SZ@|y_F*l;2H5#e$1%x%(qUZ!I4cX3pvUpwyq02>9;6|^%(A)T~Z~wm^?R6^WOwhOv@a4fAeuDO+I}vel$e$ zkiejCLTguLY}1+!rWG#R9-BPq%x79R(&eDG9#?% zmlQqUAeLJXHGGSzZ3nU-O9xwSC=;=bT?glNRY4RgcB!Ms=;wps8 zbxf?lBz5CJDO*Kz)9RB@h(eY=rP+5P4o9ea?LLAk&0~EDjD6&+(V&e4`{_z-9 zYYM8u@9>s$l`n8nRN}Iky1V!^;#b?&3PTonqXfVjih{pXBUzV^h>k}>vt5UsZ;5)C zm|8Um-O?X2zYRRjoSx3~K+{E}$mkv5ZTe&aRooU$8m0@oWE~gVl*Vb#9C3%gv4(}LX@;#DQu$=bC-6JK9i?dN+T+BQ3~3B! zwc&$ax0KVNbGPLy9u>aYI2WmF?2Qu=l6hH2oo5q^0qC^Z%!4-46%~oMBKR5F{^aM6 zZPJ+lbCN0g1dMpCYdA-r_6Bukj?P15U7goGOysi|Iq!EcGIVu&;!{QDF)vvq|2BeC(S9PWLh8pV)`@IA}3J2ER=_b3(O~pM8MzR+EF}?d*PyJDk6L zn-_ln-h$ZuEcqYH_daJmFqQ?Z$-J_QA!=9(+Z@9fPYv{4sCjN!SXNQHit0@qlWFoR zmzRP_%(yXLn2YN{Al(REFAuwQ$fFgd+J|<7L=RuppP(_(d)_qO`>L7zMijN|d%i7n zG-Be{{ujqZL%(9@+=F46UEuxNiXGr$#BM4d?;W7+1-dbAqib7UZ5)(B(=TKHTw(M} zAZ)T_dzL03>P_XwF*v*R6to2$v5J%c3kl=l&k=E!RJl*r=QbE8 zp)U3qzllIJ%l;+Z=Y4c>O8qCCD=bb|2-NV-Rg9F4mPtGEn3x_O*%oTN>I4zKyX8-5 z%n!=lgiZKSxpx3-29FJ^ALsiGzTf{jlYc;=RG`G+$fRDif}D!BL|^ko?Fs`sGB zRa$nnmJ7*#^7h-#sU3jR{*_EAi=2Df9TsKP5{C~tA3Q7Rj-3=xui8m0&RRbCH`L+ztw>59$4c<2)D++%LrFN}IG zh+iiAJmXB>PN@Slt9FN`sxYt{YK}7bIW9X6-=f8Atw~y2v z=+OTP(Y*5HHs7*z7TY>Eg$ool2Yo0qNG0M2EK_MstYQ4TYr-MXaV>|Jjzb|SoLXWr z+xdx>mghb)2(~7Zi5@GTbu!fr#4!{kLuqsRuEb<&eqB|`<6u5V_=^q3tNRwYEIfW_SZlX3P0jwA zpfASI;nDIYr`vQ)ACI>p;v`PdvQQ@$aQxNx)o6xxuZqsSdzI&jpNSZgd@OCWFMc*z z)%k16W_iXrcp;mYO0djTaI#WLPln;hO@-|uvAXwV^WNyC{rCs zf_0ug80C5tv^J)NaJ?Y4oVeC1F`g!5^Y+q~kc$wDe7H6Tu1e_u@+cTIfeC*E zV_kPRssvuRTQc>Y7Gufv++LIKg=y=LKCe5^FxB6iRz0@3X$)p_JIb{60HE$Fp6Qn)%^A&LMPs+|!bLFt^O=Q{v9Hd2cAs`ePfP$Y6V(t#3nZfKf@p!TQvQ|*_Y!HI2ww*x+m!E@YC8GHD-FY$G^zn6}` zpk*s=&uVK_&*CgHO2o!dT_)_w1KQl!c?pS{`z2jD4km6V5a)!qi&L`@-Tq~j7^9u{z_+mDMKAC8^6jwyk+;)IZnYI#ok zaSt(f%6%#brDf3yO9O>1JHSa*rFeDTm{lAfINLI&QE9&-0*0BBSlgYWeGgXT|8mI} zx#QIJcxJ$M@aR6BqON>v5y|JGxVV&`*J0a~K$-GIZUSV# zd-8Y*wk6|q3GKpeRTazr+b&_9qITO4Z*pjyv*L4nCR70|s^&2WLj@QT@Byg_dK24+ zV(PK;)|DA8eNW@g94Ext_wzoo7eA9l=3@e7NoYb>9O^9c!p~Y+q+YGZl9OHPdlWo{ zGe|0wUwSDgM7p#QHAT&H3aT zbEdnT#ZK;_P0S7EdQiOgV%`LLFq>>fL_SszrAvZ#lqNy*lk<i6m2uV07wvK)+t2o~;JW%1=}HhvW?d*XS(>S~0_RT|e%g4BOhB zO=ghOws8zaa5q1&JN@&*UmF2xK6U{|XD_9gy5gWPmkfv=u0IJO0v;RIQMN35M5A0} zTVBd92)LarS%slLW@9TuCyuJas|QLCI>^BjIxA~l9*I69_%P{|rSL7FP15<9jz>w~ zhIcfEMLY1`w&`;A#JHgUV}iY#-I%R{;?jq>7!zJT$qkk>nFl7O8LG<<@m-e6KSfUM zLCH}qdTJs5Pz%%Z=ZGTT@x65;Z&IvZFC{W_MpCrhc_oP}N6FZyNPCJe9gjNOeT>)| zML#~@JBL45WHaHqByvHD^@hpAcms>GH*G>Sf7f1S5b8n33sYZNXQz>tL;f*;TtEHt7z*Gl3OGPd0 zAmpD@Kp_&=nP_+_ekkKPAr#Frmi6!bmzr94m8K`Ce z;d9+iSnR}tHx9n~k&_L^&l@joLQXqYl$W12vd+if_GKG5gg(Vz{059|k`St)_Yr^Q z_`VzSl$*7?LNqRUomhJ&N0|93L&CNqI=h;%pgd&h;siXZ_9Rh{nDYc6l5>M{P~NuC9sR#|NT#goAxbmve%n%!(=n%sC;T$e%V&=@o8kf(X z{*JiKzH91jLOxA+j2k%q8pcWRna{<#2tV}-QCdo;;$`CL6$PO^xfum~} z{&RyKw8t87lRx`#&Mao}uy(B$5 zU_1@aqPFYi28E)TZXovDSG}m+`t(vr#oiUGDFU=9$ht{wE^K;^d~Ys2YOzylG$Ut( z?U(g@WIbXCKhe6l_C}m-QZ{_)p-SSpt_Po;t1DUqmYqlCU#~KG=29iO_D z?f{f6za60U_}{fLFVU3f2bwAJZfO}G-XYHu77E=|9&9O(%`NfO+V3BdbkH$2Xc5}a zCVpT6k=SuAjoq>wQ!Pp9qI_^OYnWvi!^og$#Dp{ARj9CaahfDC=8evJ!y3I zCYRi5$A9WL+ddSA;nbf-yNnNY5#m!xKK0w>QN9iyh9*zS5-cl<(?&%I$HL!@u)aQ2 zEmd$8$E;CLz+KGUD%f-HY{TE;(jj0_YYTQ;widbr;8M`k2MPb&5Da^_E%$F+mTW@K zqs8j-(+5vXJ^@odEogdEkKS1y7C##3Z$hhTTf2aBE&s|Uan5t3Tx?cjYHH=b7Z6rl z>Xbqy_-L=`e1FE-sN8;mLD{Z%X0p=FlTLWO8oh4(fHEvJc|P(*cmpIwxUEf>ffysR{|LVg5hzem~=<8uk(_O?CA_dO(XeOy$Fbec>L8W)M4wfSo3nS)}| zXwhr^4d27l^adeEDQ5JJT7dS2%9cab2ID@uKYo<)VcvW`vF*JHi*hO#!fC^+5Oipmf+VtdZP1`9E~Tv z&zIISMOr$BLL|cwKf3nNF}IPsf$|KhD^sp2-3;_*ba&nA_vYFkm)xz4*ne;zJ8^ag z2)Qz_wt%ibq)6|#L@CP-Rh=eoG2R_X!$HA~0NfsKVg9U~#F(`J^M z*XIr`XBNtrpHay_td`ky{LWd{=vIrz`1-v;X%dx(F&?(4E(}xc30J59RSFAcZdwx; zi%h%^(MDe+fA4J~Vou*GivGsbCUpOs@V9TU_m+DN@c{J>2%qeVM{sKE6EV$wqjqx= zQX!tpGDQ#LXZRhQ_p2pJ>-in0d}|!WF?{Afq#s4-BFv&av78J`Xd+Qn22?XH`+pfq z$1VjdeHbD`wK`U7yS%-hZY@&WYdrTnqQw@nrT~Dfm-r9m;2Gv5z zy=1=3V^Z0k_wIEJ*xdXyeb{3)g%C;QP9P&m4!?#Y8_|d7>1@e&6vz**S`86{FC%vf@vnzkM}F76Q1}w+9XLZ(1k$ zV7r>xHzCuPv% z_?>u#V={qBzY8@$g8YTg)++AVlQ&byNRWFHGE_c!CzY5`S8k23)O{)Qy|D9Rn^?I# z{;En>&6^m$$=4xI#F$>ce!jG4gO%ms{ znN7FKs1ra9q3hk~a!6g0_fuUc^(=98Q6w2RDcygkf0)gd^lh=wo0bv!6JdzXggsc_M~o=i32^ z^HjL|f(%YJSx%(*fr(2RD7t$DQtWzU5}Xrx!{FSNZ&($JcU*OC;zM2d4(O#d_#V7e zwqcxjzN6TevsMi(2pzINb3SM8LKXIHr)nKX(09*uY(HiQM;51HV7Di{>CiveAOf2O zHqZTOxm`r>DJ%5@(HxlsY18rM*Bn&OJ=^_8ANd0<6m8dcb=JovM?U z3lQgsMoxFE%_gtEl(Auc8rF-G`;X&#KI2=9{KP=A0qIyN0TZ!ZR`uzIM_KgkQv>-5 zAL5Q0v!v>Kdw8Aa*>5ZxKR_Pcvn{F%kT)N#4m&gz>z^qy7X9e_&@sa5YCX$6{RKoC zMie&jf^p~Onsc)^y1(R8nR2hsy!w+MVp|dkrv13)-;XYaywKYCfj*1=*C4WS=sf*^ z``A5A>lVEuvWdE3Ysa_q$m~?s$IuXlzebH8EU6m!3PzHkmZU?KH@7r{UTfYVXek?Q zy10?+H~H6G_Rvw)XjZffA`Dvm(O+f?E0Q}C6?rragj#x~qeQ!lPLZ+S zmHvCeW09>6F?fCgUZ=$5kDdIG!oLz*4V%)qm10&#;x4N;IQ8ioN1=UP7UwccXnldc z5I+gekP_h0**Yxmc;`o|GU-O%M7CCOQ1UfXi{4XL&NbzCe+PB|FBjES*?--867Lyt ziAC*TP{!4%V-!`XsE6W3Uzg9|zkd!Qj$fi3p{3y_FyqCoi_Lz}D5Ts6lYH#L$#JSLg}UaiS@uul|m6A|T5j~(C~*p9m+y>=`Z*(@F@IOw#} z+FRYXr{T|~i=pfJb=mzYO24x(H`xK5M4uDrL_aE(F!k;^>e=o&cs`acEs6NZ|=eP818dYcS z!8MlrX7_A7Qh=ch19GSrz_>3S+g>>z<_hP`KfLtu;8b9_YO0xRyCmyL$+>BC^K|^# zwymoFbYg-g3_9r*5-}0$n1gOlS6s5~^l!7jhC&g{iJdi@!K$JW zij9Vex=q(NV9u%Hhtb8{afa`aL7y2UG&%!w5p0bz-m;iF*KvIFVGV!*4`7w{+@GT* zQ1HlX*it)`muiEn74s5!e9a1D#`*jg%AYE?E=vxig~0A$hAPS8=z0`1$hzqsI`^k) zH0#W?i_mw($@A`*>B`GT;zX_KHM1ro^4)Da9 zFdm_xZFuwDtLhCo70$;cye(EXuhx<Ntv%Q1ie)*H>)cdwFB6>_AG9!o{nxQXGnD}%k(ny?1<5%6^(}?KZ`;>C$NxmyBhk8D}m&3!J>R?UaXH+p`HR&(h_QQGa3eUzw$y5Pxd5j10!Q`6Opxol{HD;OjN7tK2LTn z$^MeBE6&UX%I9?orts~*b3qUK0pBcrU$NTLV7X7AC-vT-8$09ih{EqHUZd{gWA`Se zC~_1ndLynFyV&0L38_Qesw4q%*`6*}@B4i`^Rov|MYT4#5blEA?9J6;lG1D+nRV4aY)nlMSLXH zIA>(O(qUC7U%`uDJdp~qOj2jH3FMBU7J$3utO99iRCJ)v7ytd679cwCUNbe_z`jPu zcskJ=wj!7r^ZaCdh+Z9(V^7{R=d-JVb@5TU%CbWVkGdhRbPAM+s0!$%O?U*9cyT4T zR-2yP=cZIMovU78Iz>w0+CIJe46Y~x#Y9* z$UMd0KjhGgzY4FG1!|1nAA8_8m9wv!?LUT)qk}_@IYahy}}_5<)`mVURTUY zJG~FMvm3iyXs=Wp>^f{qNrq2vc(6}>)!VGRZ_#t=p3tGQV$MFHx~0U;|4+l~b`EKU z&Ynk6qz3l8kod{5>Q7238J!CB&^gyTK0oHNoc+b4XVN>khGr_ACQM!E2fXk0mSjqR z4WuS`hxpG3@leXQlo)pCGAG6Q`QDs*{xD$EgQsX(gMbAbc|Fu96q;?Uwf%>LTF{(>&Pw$83&Bibt0!f4h#K z9REKZ#r`k8Bz^|^6gH87E;fv-w$B5*tVrsyQ?>Y&d|&pTqNCadMWdH`zv>MKo-+G> zKUr4XSQ}rD*^83}W$yr7*J#P;KW-!poy~2WnxFlD_9`&#e&5|Ay(J3{XMPo5bm2J{ zUSRXZosTzo|2+)?E`1NP;jnBN59rjGsoag#K22Cs9I@+FWm#R8Upcai8)yB0@jGS) zhzDTX#$#bb1MJXBiyvNup*H=l5ydvS>=)b0%?lE=6U8iEyb97@-OUdli~H9~dwmc+ z5%E0eOKif^4zhW7elW6eDGVL5(Y9@khq2%$k`Tp84V{eDoIMEDC8a|Po;+K>;~-`ot!xAN9^CW@|l0U5O>(o^4d*S;3iWY|E>Q& z76chAsbf3_Ml?LTEq5ZqK#5;Tfx?fKb1b35qVZ!MD!mU3g4TZ>Y*^3wORGMTlY zK8Q@jo{-=zxVJjQM&YIOfhEZ*wRO&39-a8Z*jHHs?f*n<8kJLl{Vmu$}y=?WvY&pgC|N6KWaf7YJ8|Eo~(|v#XnrYLEZ12sZ^^t+2$UP8!ZW!ipJAY zpS;CgQpn3&Tq2Z|L`#OUUW->T`xuqY@i{vfm|*I~rSD}@?cX+q7dUtCA>KM%X-nc8 zFpwY{wLz1;)cqlOM&V&#y6e%*0KTJbXETSVduYO707~M%-ButTtghO?Nv^Z$)#wL5u8d=fW&>*oABl zI#8_rkK*fg0N$ke1B;5u%e|X$e?m>!vqSk(NjC3Ii7_x-*7u?~*wIQO-#^|{XLJU^ec&b6*DnpoV|S4h~ueE%Swl12mW zxP@UV%%iv9+~jk3qo`6EP%W*MKOSG;>!k3k@ur%G{KJvY6Lt>A1$^nAd)XqA{!*O( zZ{OkmtGCXPZPac=8G2=mq}M4^GBe2PFRp4lypcJd z)r432zq^{~7Y!glEIH&MnVs@RT@?J>O?+JH7h^PlY}=oZlK*3*#qH-<>S>=Y2h}yz z?^nrQSo5EMg^+nHfH-Z;NT|l(CdFr{dE!i}tID+G^In5-wLEzl!2>J>Z$@?J83esf zG@vN2z&qa^A#*K{QIsD3mJLpWBZ-?KgG} zpJ`ly8D5nh(yK`px^_+U{c}j-z4p+am~OcF*8{dFPUmr;5N@c6$NCWNaGXo_!XuBB zklx<2T)Ir{=Y=fd4bFC)6;0CX^!EO%lBk8W_dU}K4J^n(q*@>KM4m^;AnmF5w%>c_?ZC(1Tf%h6Bj8FeNtYC9{MwWbJnQ(>JRQO}LBlotSl6ie%e)_yd zrj=-Xn`nmrH5c#p?>^eEc89*D!El$skWTewqe$yx@vyaepZLk`?l>K)DnjP3&HwH) z=>)O~c9;g(jwNs)h_coL-gRhB9arx5{zJl+(nY%MKZ{L{GrTzLE9dixn-I5}l9lhE zjw);v8YtM_Z_Fq{1DHvl5S!9YC?X@YP&stSlIwI}chzeUD+niRdH=Eb zWAn%6kInx>HYyEVI7&lGP~M`?8K*L5j(QJDs%V62e<{+m@tE*AR;1Dr6!zUhlay7= zn++e0t1{(OJ*PTDG4wR0A~zT=7${2v7|bXyD?6Uc1YVz>+YIZ%*gWo#ZkJ$~-fR#=-HE4zgG-v?^ zgfaNeI*hU@L2^Zrw-czl+`NQ)C>o%SrvZ~E68@Q~@dX#9XDfR%A4I&xr>7f~+U!fn`g zh1NivQ6U6r^c37)cG!h*6+g5xf*Wd8mnOOwELnbkmpwdgeXITCC;!)}$G)%d7@spz zqeE!WfTlVcU^BUU($axK{s8q@NjDG2Z6yqcQX>LTWX>fx*(QPbsBJ})23-2sMP=H8 zQO{b((SXD2lo)U!#R;{&F;4^Hdwy%_X3T_o|A*1Rr1%MZ14UKU`Nswg;k~lao0LfSf-f|{M)f=z&?@me6x<7wxHTFuTtkgAd$IRK z3M8(=ero~zyT})83CCza&IB|{hTQ}jz?VlAE+?^r-RsbqLV|Q?K&*Kl_!}_4xBP+X z4^)4k`UBM;sQy6p2de*{K!vs6Iv1wD=pJ@P3bq>1yP#VW*r>(D}eLTkt zMS1**vnK7vV`WVAz>FkSXp+Q31A0#P!PkFw?R|c$!|e%-P3+U}xtoN$Xqw|;UO55Jf6|4{s1J4uV zuw608gS|+RzzhA!<~YI!j`ZF|?rxPLt~=MX%+1Wr%*>268936(g_MtQoAr@^DYuoh z85$t$M?bX{ABSEv9=iKZvaeD3*}(%nch3^e+u zq&lP+>intSB(=dSfpUIqD1q7xT`+v594}6|N%Wmb5DZi;8`v7RZv0tSmom>L8THdx z*J2-Kfd27l@P*JnKKTCFMtsR&-k1k){`O(|J=f{Gj0c0MzM(P2g zOP*Htf?3&4qNT>I-1#jw&9-nWBxW>0wfd`(IAv!1O-~E28c^)07&QBDWo+tD8HmlPU z6JBYRF7V~Ux#73r}C-oy{+af#|?qB%#7x!=u z*fjEM+i4@pqe&XDc(Mxl%N*O)D7$l|tr@kug`okx2F?Iw?%#Pid&z1_mUt?S{CvHI zZFi~wT>L)xW^adW8Zg^J<)Z<0MbOwsH%h_AE120fqy#v`s!9W{`cxTFnLubtWpQnk zaRk&d^o(x1lgmV7KXkxe!f~dkopWpgG+^jE>#h{D)fEc0?N# zX+W89J`H%)Ys|Qjm<^5hA`Ng`=UPg1%;Lin@{5+_!q1LbESf2CzSoi(vyJb0KBXy6 zAIyY?B6;{b2%0yt-`vzJ+SG{mWT~2^a4M524G?d^!gr^N!NrO>Old7Zz2>z}Jk7hh zitD-&4;MyUdUN(DPx@K}YxW&BIDS>=RY9L>(N+TC64c%6z;l!wg(BGq6o=OqPBwNu zTFa5&P3daCxb0)Xb7ibt#mE&82XW@oZyM-c)KQ{9BZk9^1;yg`>+-vy&6p}l>5>&D zHNz~@EkTZv@Ple0tRMtD&ctn)FhnpQ78N)*>~l(MRF^*TV=>@IWwnyx%4-*d+&YKw zrsK=_>5>qO#OJ}_tsCY|7@QH?DC0FsF6Q0^mS(ER%hGzCYy+{Vx8LSvFLb8oiGF*O zn#v^Nd*Mfo^Dd?h!x6}Yt7%I1tD9ED*iUr|{|Iv_TI@a8@l;n!N_+mkP~nGrE3ANz zm-)}GC^#04DW3@QKH2cnyVUQotw*kbkdpHmMuD`|YgU;-8tMZhWW_x+kZmZAEyqf2 zymVLC+S<`;va5C4)lnnyXB=WXn1k%Rg*WMT#SwJW5wHdw0kpFeQmO0*{g| zmEl+|wGF;3J#HK#WTiZwCAqvF1#;H&;$l8oqMIj$5dyHo3q7xD%ujJ8KhqPv+5aT! z_L$U?y78m=eDE9scOzlc4coOUJ-tr1gke;8qfq2}xRoGK9bgcHkkQMJdrIdnXkWp# zAr}o$QyZAbMwP@q9P0BVcd-=gN)28s*7uF8WR&p9WTM40K)20Uvfl+iM9_e)D;(KQ zWgybw`lb-kfwvV{n?=i!qQH@)7W!I6KK6&71lhHG zs^$@V@Yy8d81>VmG-|S*2JEYLC=J-pLc!Euf2)=2XWKTKiZ1-l*XHVwfAh!HDXw>} z@v7RkVGNmv0kMyaRQ@h1^DE5Rdc1?+uc_ylodfS_0P&AJJ~F#3TGShoXYt!|k>>6y+W zU5H+p{^IJIGEux@!0N+?I`{aFZ5&h}L!7Ts3u8Nm7?GVWefgHi^culHtTgbs=j+@6gn!P{gs3j1s= zPi&j+7{An58(2j?@*|mG=y30j3^s?Cu)2Pe|q(@|pfd?}6?1Vx5#lW`8 zUU~VtczL1c-)hchHj>To>=F7Gox7)%vfAnfvl<-h(ST{7gHU}oE)TK;o9>YlQc-W*04 zgMEkCkAx|G4q}^$%YVWT#*|b=%D0!=n#Qe{Jh=O!+TigEVZ|s5zRb7lLTmu69L`oB zGzE{gX6~UL_Y*KSG`cwC+B-KmtsWcKe`cxl6}Puq(Y{yv4wklJ_~6)R;gbVfu*cR) zxR#mvR29YgiqW-M@zK@pFVR6t+5$o`oD&VT&~;vLhUh(w~>7Bk;!5-=0dK^K&u5C&X@@mv`mV3oEFt z``|8UqW!V`LOYhOT@+wCWX_gyzPmHREg8P$dae|gs zV>-ZH%9L=>k{eNs>slkpH&7wO%W*btE5-iKB}vv3o9vpi?2n*Wh;hvQfDj}OHK7ix z2^AB!TeiizF5@W0H8sgnZl~f8a=k0lZBo5+IGX7~7~p*)_G`37BycF{5gmeuEDeDZ ziu?*5B?sgO$`O61N2*}#%dV$Kt*SGE0MA24a&vljFW)+G_Gh?z{QF{vW12Uwnoqf{ z_|LfNTzpYdCGGCIdDH$@NDO!^-s9jbr`uex&PB~^wkH^83{mPd(Y!g0x}TCRUnK27 zQr8X%0!`SYi<4wo3Fk!|JKkm`)w$Z7*S>X@_;!ZMd>g`pxz`now|?Vi(^D{tucyL7 zRF%0l6gy^59h)yqUU2DwV-pb-lTR9Ci1W=bKIHMm9_LZ>5a9_Sm0tITqYBFJ#4{Ir znh$1&-H-cT5@LpVtx8dEx>1h0(!ZKp_pUZBH=QZbE?W1;-Nc^9X4lRii{;RO#be3~ z$lRbdv1d9?d`hQ{^#mI$G0*&|)rhmt73~afRj?>p_vbx7QO_`=7Xv>YZ`je3BoSX~ z$Xs27AY8%9B1u039%0NXcM-3RI$Y!G#KSF>pX6kdDxuMMv#I4HFm=h*n#*?gJ|(5z z{ViFKl0oGs&R9SD;rysN^se-elBC`rQu;|>Jip)WFW>EEY#2H@(AW_8lqn(snlt6Z?kyR)C)##=-hL(XS~_?|%Kav((E5vvCHA{|N$lCHde#noH1 zy1`Lk+jqAjiCOLt4age-T87DWO&|E;_=C@=^MQ>Vnu5U)>Fb&L=TOnXaR zH%Ia^N9%pB9p@-}p?mfz7%42dV?uPneU%M`<4o8X$fu(>m*BV<;=E3|OH!TMeDoUo z8ZS}v{!`^+ca9h-P$D5N=!E};h42(1xZu=4cB%wfW6-tI-|a-XSvCH`Kyy~$*R?#G zj!YZHIgA~oos)%S@eXkqd;cxe(PiIJYPQ-Xko`lyjD2lJ$IX(j1urD!Tp2PCVeN(> z2jBmU1|;j1(SUN45v0}v-lC`nXCN*d<4yx?#i0C>Te3jE<^0>8@dvzQcdm+kpaIsC zq~fN(35Fc-tGhziE`bJ&-{b!uL?5~l6@u7!kq`ynT88A*tJDEfCn6NifcYs0I|434 zL5BwDNf**@r~h^qb~yI!WR-av4H(&;mVLZw6gnZ3)|6^lnsTA#oBNpMchMAa3BDIo zPh(~I7?>#-^xQ8hi!Tj0=`w|(1h0Xcueuq(Tgt9Nf@}YIyiD4GnyF5==Je8+UQE$* zeNBVE8jqQs_R$kcG;7*-n2mwM@*H&xhP%PW(mJ$T`evHN#e4q?bfBEG$f!z^T{-sA zQ!V5o*eJKB5_uun$EpK~LSNHuR{&I)JODvo3G!?TU1X7sqwaLxa|~uUx8sO zC_jDTijPLQ18EwAY&aC?N^~V@`0?~ji+>)!t>AiM_W5K;veox&-Vnd8PQj?elna`N zUcF%;KPEjye!?6EonQ>k6C$|O1lPPk!-}vqDYwU1|laLVo++sFmB1vDU+C^L;sI6PNbgdN)I*Pbalu3s$*twuH!@ z2MS%GREZiqgQC{{Z@OsKmhz`ZavjBPB+7+`n6rk4JanWV@Ln~Qmu4{;5{>&lbFdVN|3r1fz^j0h?n7I0(zIu{v-6kw=Clrl$pX4U5 zLA*&BsYF(ypg>1r!!fdHKT5AVO|PL!F28&4{Rvmerwy=hfJ?96Lz&!A4^>SpmdSZ9$}TNk7lMkGRgl1ji-4q_)RPn%pEv z}x@-M^ko*XYn;tHLG-3E2Bshi?`Q6WlWMSlG)HrCD@v21}^U*rhQ|J;at)y z&JD)ZM$$gAGUZhuN0DE`XUhxKad^eAyzk2~)move8?CQmTspIv7*O7JZdr1nb&mFK zO_M}1*;V?|z{8XRd2qioX9sVD8t5(@RcNVv`i;Nj{dXC6KOGUB)z+I8!2}vJuCJ51 zT$)vAKp5N>7P$-k-BK-q-Kb13JTpG>jqs}lbFE5yMAY8Gqxc4PgGq=LyfFC=-PASK zM|jn{_0o1qsafd3+>mCvgpB2KbB$TE-nlg6oY$hb`gF<;gh(b0Xph^g#woQ#P+v+* zPNRt@7|F?~Xc&P9irqbI8n9d%P*M6A&xws#!Q?q%D&s%QxljdSu6-D&V(FOi6RGBv z`<`&J|4HgitYkkq+qMd+gY1SlwM7E&xXU(Dh?4FlX<&GQ(J}evz+L~f(z1u5A!8n* z`rs3NQJXXRIXgOo2%H65)F?U>yjLRmX;-b2-f!k+{OC%lHc3+6CE`kaMn3!efF@(8 zH61j)zLZq52sl-8iSPoS^^zyANSd6=&zPxHk~>!TYt6){V@?&5T8y+iIObj_Pq)DZ z4dprl(hVUGvIpuBD*2hS@(-6DF_;Z*&<~%}I`jnQ(De~ng=|LQu5}$m_Nz3*4v}5* zWuK6d-D>5c9&Tm*fjPCB_iyb)9lM1|8f!4t^=YKvOlT42#tp?)3UsmfH5pV7Rt9Mv z^5scOl)~vpyb}p2=yz|N^+dFZuU^M3V(h@tU(Lb-MArc6VkB4p{VhX&*V&GJhJxm0 z$M5)ZGJ&$TOiH@6k_H_5u~hv52>YNIZs6VY(DS&- z7xY{ol_`p(P6P?hD0$vO0n^V!ZuitnI`4k``EkPDiZt?*iMcEJSLw#adz(wom>W}` z8z0CoAae~-nVBxs5kIq3edwNU_u*%dws}80XCL$M6C_qs2=gl_C-@Qvrnhb`Z5&HDM$y~TPVhce0j^l_G4kSB&XWyeD39%%N)hd z*~c`_a7kc+^KDnmH}-mSAj)Fdp1>=^!iJibCFEn=c%3+w(##Z>%3HW6QSxk}?uE=z z5^+}aaZ_D^0Nc_e`b6{&NH~p`K~F;&U3JRrV`*JSkYcD4SCZcLEJ|4a_w4HXWQBEb#EkyjPzS7YyAr)iB!Dr?f zfg;2jEIi(NUmsO0P`+Q_I*w4-C;hRhN&17Qo8%?&wYeMa5!!-<-_M;#KY*hTu)LQIJo(zuEww&fC#AR*Lu2m7FLlZ$Sfw-t=FW+bxV z=5G>T;15t$h2-?ZUYI_YN|Rd^Q}yGb?AVJq7w%>Py5z^unsr2u!7I&I#Yq?aA{tRV zYFa!6IaYo?zpjN0N(va<}P{67l z3@_x5_QzI*7!>_ZF)j%o$slCnsV{hn3V+=0aGD~P+8)tz6?!wGFj}c=n4PpG*IA@yNmacPKKTuQ@xOhKC3Xhs-w$ z3*)6<7vy)y6u1h+aK8|9ylwYX|Kcgft2a{V)#k-_;n{4nXu>1>>yRd zk7Ql{zBkay^|iBEl8chBS%up59giH=Q+n)Abwn7OCs>M)Wq9y3p)A#PKLkC<1#1_Ff?1YtQ0Q#i}#BLS07AA2}_dDk%!w`f+$uvO! z9$NYL8qhqjQI!VFb|AUI;d4fmQ|ZC#-T(CA(rj7_24{>KOQ7L;x0-=BG4-Y|OvI)L0MCEUzG8fPQ zI1-x9&wKZ~UqfVk|K6vC!^m>=Aqc^xigq5Kl7)hBQCmG-|H95NBbeT{fq|A4tn{fRN-Ou|YH)EkJ?X^yk$XoOcDPIkk_ znc*U*2UhLw_1dMx@B3Q8lhn`o>7GBk_dQKS<>{|WLI+Pb9gEjIfO!rlo)%wGnnI0G zX@DWI6BpmLBFu{C?s@mI?^$Ys5ys9*gx;dsFrUUWA*Mgdb;( zlsbvWK$H#rEM?CZQ7u z>>}Axhib)Ff~Hs*TWaScWYqM8>jDQ)an~=>Yj+pzci=GlJjLXGk%kfw5Vmbz(4n5I*7#(FB7FSD!*zuDp2R-Q?VZIbwqpY=7FPT zj12o!qjx4}P^$?fW$O1N0k&x2Gc}b1xK~a-IcSAL=f9?guy^u5_24&**170Nzqlm1 z5%nLM>D)wyiOaNEB-r6zaOo%N(~k%m&?dQp4xX&)TA=|Xz2dS!G5ih7_>+YZV5&TriLxO%$WpBY!^#ZLRFJ+>#JA(;ZTL?%*uD%T6e?mUEF!$fFe0`sQTp zk)sefcDq7C9AS+{KZ5B6WK0bo|mV?8j$%f}z)CzGgbc4EQ&k2TRdRhlSQ&b9RoirRsiB_E4eb|6r$isG#p>gh` z0mCd;Ac1vrfxg$JLtTz+gM)tpBUIX&yCRkF!;9%Rq`nq6+I>JdogMpBu-z*MIn zyHBSHgEN_o0;}OoMF;@8%#%*LRg3*s3l&m(y|f0i;1ZUU+nya>EPJSQXP240 zpV&2p7@ME&Fr3E3QMvHccW(KwYNW;T@|I57JMfn-+Ub&0LKv9O{WR4+V6-n7ZjQmG z!>YShxk-A|?yTcDhn55mtv3s16GHX<*;Ua{=GSr;TFunG{IofV{f%7d(MaX?c3@~N z4wU_klwb<^fjP4Mw1Lq9;-y>oAgX#t&hU>(gXG6Q$1eBQz_k>}U%{q%(1mT6-Vaha zfGm7R5%Unl<{;%E#>z>MC*~DNWr#NP!7I8QQmOqZQp0RShGL^Kg}uGC88mU{+NQdq z;T)wlY=n-FaQ(+$NSJ%^dUF72r^jJIg8nCh7`VQAmj-CKF+nb}f1U=24fSKn-5}mf zctXhmoj^wNG5q%zQ_De|*3@p&rQwkuEf3W@?z($r2phcNvY$5gC=d||{X&`BxJ#X; zUVNs-pZOLD3eINiFzp7$>l;cZzqG%soNKetRTfvBj_OQ3LRh=zaDFObDS@&_5L=y{c ze}Ahh=-G~*xlWM7iA9kvQHPN%I82MOfJr%CINu+9<6jc$FUs?4@|>=psFCPh1fS^H zRyKLM&BVWPg~p3&*Ak}P4(q;o^;r)r^Fy9mlW!iVb!6CluMqRM<@sFnMMuqilQDnM(mJBR%5nE(rbhU&uo|6!Z6JH%CNsTO+Px4TYFZ-1HMH@t}vZA9Ntm(Pj2{&xC z-|suvtRcjM~iCDEHOASo8TdGOqwt z3-tuCwFA+ig*r=sA_!p!E|1Pb;of#KJbgV%LExp;wYrM;PNk)D{_`neKbBb9kKeNa z=##r`8d}g%AT|@+u%iJi@a4~tTN;R?tN&^F4?WJI6}6F(zyc0cg1dLKF#biqwG{rB zv8^Euc=pp7v$cZRjnP8XZ~b1i@jrco`+q!$yi{gGJU9*Ce8Iz>LPN-eZp|6nWzvQ+9X=sR??($MY12kZKuu&3~`6Wc{ z@;kxl$X;~=gQ-Ynw!#2b%u8?K{m_{{A_phv23jJT>n_N(^k|C7WH*%adt>R}7L7(G zDQ^2A77_g~{bbwFBL&#)Rgo#HghPSG-N!yA&e&D=i)a~;#6_Z{*Unhzo>#qUQXXL@ zXw3Km*^a56{|pRvnMc8gn-@rD!~Nf;A@ z;8;X)f_E^Z)h^O1xY9bTwBE@OFR}CJ6}x?xCk}wVo)4z%uDX9nD@3n=pR&&MB9Hrt zG*K^f>#4X!$&+sDkNGJ1E4fRxXS%;EmRr{ly3IWW6M#FR9;4p1X4Xvds#!VZbe!{l zYLRrW;iZSRO;x0P@dv)i8pjJa&70CNxSQ)EJcBqZO~xo$5%|W0w90WlvgmuAzm?=| zIY;lXVBxC+Bspr&qhf0TqW^Su*729OllA+LCw*W2%-Z=gLipAz38~8ungKn4{`Pzu zdNdSUv(n|1hX}MAob9&249lI0`mBd_}jDG4g9VhV7}xE^23B1WQmNeG@$0d8+=}q zW$0}`{h8);pFE+SkekC}R?iQ`{ZuIqj*Dx&mHAL|*OhpI3s~Q;G9JP4;qS?KT zpY_|?iiW8%lV~R0Am&2D39#`xXp4%dt=v8Bmo?MEtN26JF}1|^!20F)wu0w^Oh$qh z=r^xMlRx0P$lTimwW0N?ivCjXE;iAU$}aHrTGWNHm82hv>d`Kno{j3~aS_c4hi>}y zeR}kDx1w>Z=YGP{dwRi8c=3J19~=wMojVOfBfj#e?F*@ zfwC$Zv*DnGA_(@uH^tHY`g{8sgfu`ZF>?YO_JmkYcUG`^?r#NCML#G1v8?JpioO1R z{6fdVHuM5rX~3Ne1~fqL6bvN`aPN3M$M3M z*?5a5oRz{G(U%4>d5e#0A>WzL!pS_I zt%@qLEA2T%tyi!Ic=1SAf==eyknczBQ$DS6f71sixaej;+@+7!PW318E}9Ou+%E}< z9!2Eir8Xb5MSetTBSRYYGD0NTf*4BDr4IXo2juHsC+p+Z(7fTBhH#(byuB(`oswaU z=ky-xj)z>_Z_+)#jv=04i;yWn@&{hUyS$d(lD2Mb5g1r;9W{pqe?WY1D5Jck0dBG* z?+6xnhAg20`J8E#aJE5e-zN0K2fcv{+vZmlo4={wIk0oaGuh?abupb?t#oH%5zzw*Aj|E!}(X zo2@y{O4vRg5H^>nu+g5lIQsy=1|#dJpHw6$rEqN4BXlD=+OmY?2sRHvck-y9L{UQF*{&xxF=jH)ec| z+{;?}P-dh7({7EZ2=M6EB!^$tq~+lK5^v?4>e>n`(+r>0LbTl)r$~-R&@wcg66m&s zBgigP1)**lv;Rj1jKg_barZ)4?~HeSnti(7b$Dx8n^+TBI(+qZ!3w0P#r$z;)K7|& zgCF~*!B}-+vN}$^U?w5j*QZ)ophtwH^=;cxH16z1X@3)skGS*ckZ;YWL#|5D@5Jma zTV$gpxC)9#B2SPF2iK+QPvuUqXj>_o_=AC8-{~IeEsl;XT)Ks=f#9a?IO0kH|N zitwtb#m)6Yid9pqH(Ecl%zji-wQC%;3%8e8%zR)vtcyLD#|l`&8M7v6Yd-tr}`SuL6=n^DP zlP0MLL#H~55aB4!ddtO_AOrLAa_y}E0iTpMtc>|DbjWW%0jQ8M1}+yVGbsN^U(}Y2Xba$IN>8#8JoVW_X#nfV<8^hp%xcG;6Wfoi1ld zZf8cOtZW)HVtH5gD)GKhavSK8y;+BdRWmW;`wTgoHmomv9+@zdnl*AzV=Ct#r`wsm z^)uqA`T)rkAA?0V86{+~f{?i4-b`5ixPLx+b}S}pHR0u9AEg%$@L$d*x2_0pEqNI; z#s+dwQ0nZ|??}OqI8$( zs~>MudPGdU?VHBDh1wg27Y4@rBB!DimMjux8L#OAM>NoB80Ymd2kiPvT5b+LuE}{q zq9L;5ZjQy%2L-oMj_9}j3=wJ)w%vH>Bbjmn^AasgMo`Ky*nE(^@3SzhuT)n4`N<=i zxr<}DyO@Uv~a5q9KT0^~5LKqZF=e| zDMeV6-0?W?_F=9=@~g*z<~ssw$%sMmMz;v}KoO!Ab~2npUj{zK+k3xqKE*T2t|si7 z-U0un_tM0n7DO2|VVFilMSd-@ujP8vbVB5|$$b}W#LDQS^Gz*wrAqb{m%e>cm8CN= zkPLcCvM68(>=CN{(v%8w zg6rPX?}JJqZkIuJveTi&kqV`Cd6LMp)XkX`&##(&^Bu7}b}&&W$`~Wt;&5$^2wV@G zedP<@Ef#WvX>+cQU&D(YQ%^ECQ`f`A3u7F=$V_9T8V>p;K-93RVAZoQ(1n6lv(0xD z7OH&V&9t(`k`k_(BVf~KSfitS=9tNxphxs2p^XRVg-*C@*T@0+n={0ksVY+dkevqT zy6MsZYQWx8=Ti?Os9)_PsHrW8fpPG7)^TDoRux4&m5JcW9&)wAMG_y|BfT|`kz_|i z>f_Quxq?)#BUZ1KAt9F51dg&`6IxmImvxA@XM!YKcn8l!&4+ycDVB6ThGF7s!Es-9 zxg{vjfP1$U;IqB=;VTY(G+;Ap3DSTe=OF@_`vV+qFN6ek(W6i*3k087@?9uLtD|VZ zt8G&_nWK$r$TLH2HH74kmQ^?7(!hVdqw#+p|MMFxf0ND^A$>gUg4%+#Ywb6AI#TD~ zpRN2}npCu%jyZs20>9oq9$3jcT-Pzi;z!t6*QSZTltqbDR`#W1f_ zsBUm<4Y*;}AONx6!;r?;Hw2JH|E!EC@Z>+OivY~=L8Pk|I=BwPD1OH2XpNzGE9c}V z&Yv6G<3VpO&Sc*nMr5N`4`5>9v1vWzhm;TXP>%-}c}@I^+75hef3{|kmqfI>_$mH- zj?s(;tQSq@GM{OSf;)7L1l-0WLe>luBC7&2f`mUWy4Ow^RCJ2ILCxH>O}nqzwi3A? zH{LlIgAkXLc*D9dkr%E%t5GQ_1BE1_TP^a4CUqRcTj<7C5S_7)y&p4L@7Nx{RpS z1Erwc*&o^wu-1Jug^hC!%>NDg;Yh#BMJa-~aVCtRL6wMZK?v1bl@i0dtdp-EzEl38 z(m-w4>VCp(YOjUzK_%p`-$z3WDitZ;EqcAH+186|5FeYuCD6&q@5`+=x`XSn$0w5u;{fa3c zYBCyOxq?5Of}6ZCuywxPK%st{5hBC)w5!ccIi5>n0rq)i#_F?(t~4^2&U9BZY*dHP zR7X6UKQ5nc9^vg*uaxUVDnHne*gN5LOF}uxAVhoR2>a>y2>1X6=}jE~YtmLmNK(WQ zqr*$-#(1Ly=mVdhZrN96LpMVMSmD@s*gHf-y|N2i zbIiC^%cEuO*GqP_iaxp5@*ajrJUg%P$jwI_laKU(BE;SuepD7SB~=Zc6KFy-e`DP_ z)oDWmNayBSDT7YZrFPMJxsLg!7ker$V~aw*YN*Dq$d)?q6}qsE!rFK%7HPl%Dz{UQ z>cjG{vI-etj5#eIs*i4dwH+RU^i5SlJmvu^R+zIKzrK1Z&v{oVw0PY%&T6p#(hCfJ39gCV?DkI?%O@@DC0(rzIiurVMRq8n_pn!XPX#AXGuMjNMgd7;1EoMJk7!SF^}|=j zj=fHvVOqyBZR`ZBHT37Wcgw8EcAFDI5v{ORg9Yk&MPaL z9w?o2N5{dq0H4jaC{AUDMj@tvhf_Z|fxBLn=x7W!61H&_DFF^!Hqn5~7lI&f->(b> zGJYZyq;FMXC@PlbEePCYwjFUe7kowf?w*rEm!YnV>_qLS0Zu}420c_czrbZex>T=` zW60W4cbn*2?(fSMHut{Jhl`ru#^p`PHm!#UGnNzGaZP&svJsVYDcI^G9m-}|+Nl@q zUQTFBeKY#jCd3FZZ#t6+lrm^ya^XxEd%O3zd`Jm?hQxB~QTZ6(F`-iYvw)Eg9Q)Mo z@`opepEtd;Nk@RSxW&NPs7yqdF)<1+YaXNBBmc9bC2DMS<7Z6*!117qjw}R(QSlpdj(u% z16~g%Z&em${hea|zNX#N>gRaZC0yTs5w_a^!@Gd)L;aT2HY4_m}Fiu-!*9W^xR+aDvd`@?a)&DysoXxlh|z0N5ZJ5 zDy--hI3({@^=0ZErlsXB)(u?IUBA%$P|LQUdDtp|#mVlMgZB@g){GY~W4?Uic|=Vn zJCOEMzhKzC;}FflQbbo<|F9I%>$+}-;DET?5_1FDzV1$C5PW(Te|eA;ZQfE zQRFV+*ut_Eci|EDOID;s#66`Hdm{VX*M^UZe`rUyxe+DcWX`b}%&I1SVr<%|DWHT$ zNKBf)_fu((uaumBw}D*w=u=(AX|2Zb<(41|C@FVQa@2H47pdO}k5F-?Su~(Ii@PC1 z>to%s(38VqBI!&&wxn!QOrCFik8MjvWN*@KfGb)!11O@r!>kNVflnILQhQz9bd!z! zN55*P9=dI9sN(9Y+rA^{@KbQsbFiT-HyXgNkHV|G9t$7g4!xr>5PQkl!_F!jaq3wuPpST6PW=v z?v~7{buIpT92+2OP>t5_O!hHx>VHh#5934n=D?`uP#L zqCwbMpx+6thUn#c80pmi!QOjEHL{M2K{$p(7wg5RjVCq(cH22GZ{EJ$>(ef9IU_{jGEFxyL_dxy)iF zpSQiGl5P1|g@Mc}bBlrNqH(wPyjd&iJ{dfBTXXzI6Hj{ZyU9({zD0=6Ub& zP{zF;!rHX!71+ilvVaxX1M+|)y)bQFe5i-?`}&Wix*IT&-T}*R{9X!y;WvxibT8d1 z>kssXS#<*aFbnv23%7Y$<6$RV(2;pQsEGyqTuldOn?|d|nVdw}$lH)}n6+`>?D=nO z)ZjnT-M>-Zzevv^6ml`um^;80CZxt}mBce&H3H!6t@2(!H5iX9c_cH8a1$TqwSGu+ zLD3B}e&<$tLgKx)*xBbinctJ>;V{AjbF2E4>E=gV6}#}-8q@^zn4+8SHaT6=dH0_h zn~i_V=Z}gBVgWZhk&FzzQnA5-S+CC*c;beKn?E7kxjsW{m(dA0@@doEcD8gIC}w=} z{E&0GWN`!`ZFD&7B6j}(;V=L@tH35dkaCScNf@GHKUVvK+|ae|?v$4ny4N=_U5YIJ0)?M|+&xa1a-Dl3)YuSOuHqRHo3 zKrp=eOa#&I9;EUZbj7?exsw$A^6`{Nl*6!74 zHytO`AR~xumF8KtEvZ%;SE)3#>fq3P$-0WI%#Ql^zzx;Ii-#p&e)N{0OVW(|&|5{K z%q|qqPaVuS3kcP4;~1-spBx@Nn{*}VLQ-SK>9E(++l(X6wG?}Q;tc`#l4$nKUCt~( zYD+lgGht?$@J0`*2G*V?T#tEzDnP-@&IRGenhNvgEFS&HqFz3jtMlRO2Um7Ou3d`P z_Z3vNh%8=9rtyNc8oE1~J?KJeG6miIX#MGehHKlq*CO{SzRgcd#y4v&gj|(Y`0u0ij|HWCZ^pQ146$ZFYM=6)z)Nm0v$ zJ8OP0JoW(N+j`#k(Fte4*lVYv8($iln{7)`VYvFl(dsv^1!cLUC?t#$&*ld&DNR)z zR-6dos~Ux4&O_$x?y?zb=m?|$fs_x6F`YAO)QJVKRkF*5B`&=_>t%Pa`>gF)+`c{S z`y(k;H?>nvJVSU_!FzzhHkTe(n@#B3TzuD~v}55>tTRmQeO;Tnria=ZvDQa`?wj@5a$;xo5o-5y9)DDXQ5yj{dEoqm0%^y=W}W zG^;#IK!Ue%@S>HJWW6oQ4+__Sx5;d3E11 zAZAW_qI98(e1e(~WKary9qa4w*VEeB?6x?P8F#lbUycQwN!m-ROsCQEqgCQl2xEv^ zFa*s;mqhq81!j)Sh^Z@ei%cl27|TDNJ(zH+``mOu+4pC_C4T@wwmC-sgz1bNabc85 ze&KhD!Vz6$qw!qdZq!9>&idYS=3JTTu=P|qF!G2EolPK{#z1mjX%u3E7rd*w#}8DM zMk-y3PJC~Z8YpR$X4lU1Rk=m7smtoz4T)`U%mYIiA-zRW^4EHlicIczNa~wbhrRh! z`sqx9+)eiogR|RJV{5lx@28~EjD7e`2awc;{xt;9Cc_7*Sr+!HdwU(22tO0PIpF;h zqj2=pqt}_*Km1VzxpzD449*aGNHqyRMlIvrYldg8I1U{oyg~(`$wuhG&>B#f&N~qW zL#%f+Aum;AxM-acpB=rt`@9YwVqa6M zgQeS65!+wyJ_I$7nN+{SpZ3>jPk7&#P>5Qu3{#x;X)b-S@l%kjls!%iN0H5x2ffdS zHZk{hYbz_gWPbS>m=^^&dZy7-~fZZhn*_hMb}Q+ z(F{9-Memi~1C^D8D%Y|XyKO5kpUr9}{GL_qZ!w-p7eG^i9)d$i5B8wnk@8RFWT{fG zJENns4e_(3(YZ428?Qw7#a^PS@wQsH7V@Y2gC;m`tOVpSCR~r-O;;uVepXSo{_4en zlNI8_r$0GML~dKrwCnVe=^rQlF?(k-hskM9I|bn;a0?XOn2F>TPaWTyQo=ygLp4mo zkM;?E8|}!4j+e?+O%;AqIS?VXVZr2%VgdU*>y$U?ec+m1E`WuNPwi1yxm%b*V1IBY zGr<(?X3;<(UqEfBg2A|-V_Ok?eE-mu|Fex)uy!y`G&tFT0T+&CfHS6O*!0x$5+)Ip z7-q!`dXsnY@g-RE9>bRB80>vM{&>j(-st$@VQZwx0-m9oP@Btdz!|L~Hrl{eI{K;x z{MWhUkqjJ7&qu9+I>T>=u8-jPE;8Jgc=<+5_Rt~p+i=EpMhJHAnXTR?+LfXdZi^8e zLP(LM*~61Z4@w>$pY;lSbYox5n&5^w{IIu_sOVyv0pwbD)r=EakClp86geiRGr7|C zF}k^xuk>p$wvSnpA`0?i8*$v~QPu~z-P0cD$JQLa`OP72(VM+V^x*dCK7Uj)I!FqD zp2Lq6W#q^P!BXmk*YHff+>38bq#eZFG55=HV$KDR#-7gPD%(EIfhxO-A{#7!r}i6& zxwThUJoGGdu8KF4*Ilr`XE|Z%L6owR>YYZ3qe!sa6yj@Hz;D+ekVJga8SQyOGj7y% z=(1FD=H08cmP^`YGB5Ra=SVfx9A4P%I#~v@yZ6KrV&nck!cL~hz=!*Bd_@eHhg+0! zWUzCn#It}qS?pu@A$h9jH`GnSpb(PFM;~3DGPFP){1KLQcLj4JY$|q-Z(|YX+XNx; zE~R@SJ32VlEGXhsdosu323da$8IDzQNh*1HFG(jaFVi4lly}voFJa}pgem4|&0)=8 z?&wF9m>(!=3TDvs5)_0P#|=jFULZDF|BSP(DNlFB94X*8e9Av^>Z$Z@e2Gq2$Ax1p z%xaVaVM7!B1f(8vLT+LL{ZVl-k;H9&2gvhO!Z(!TqR`4Fh_H609yhbK)i!x_z5Gb% z%~>&n%7>vGZO!L{h?^%EDJas*zvaBbZb=guqs8DTQ#ABd)4Vv`67J15XX|;{nh$EjvhYfv%Pfb8@ibXp0_s-E-K~b^1XYF^;|VO zDHazgkuB|f&09GRtmS9!_lZ^x!)ikp*W--d*f~vD8Q;EXA3irYF7D;??Uh`tEZb+K zW<%$Q;3QI*;Dm$Z92ezDyxyk0e$v@9Qagn#w2o&|??&k+-=bb@>OOy>s`Hny4I2UQ` zo9*mf(zWmUn|DbZr^R3Rt2Xe&2k0SNwdnjgOw))O@Mpax3+QoZ2kkuRVY7b=z@S6B zgF&I3H*^&4LRFA=qE2;Hdi~+MX1T@P(2>@--H5%hb}{rLIJ)3=@&h+lj{Zh3B>iJ5 zVzy#Du?Z85+o7$a9F9Hglhjt9&fZGpjnarucZ4s|_oZb^k5Ugmf4b9};oSN_fdn4Is(Y1EtF+~^PSb~rI;Nr2J&v%y&M zT?Df74(UWV0i!XHjC^p=@;AhNMi`T=lcs@fuNH;8vrna=Ra&y<##P0=-gvKmP7dXr zI%IfK;x~U<|H=qW!)a8K@fLMQnH!D*CGcX8QB98~d*)(fa>Z>s55+k=`Mk?v^#`|b z>&f~XhDY&>VuWOHh?~i2Lh(2Uh2_xo8A%KF{q$q+hl|H&-G^m_H~qRxHuzQKqGW!h75M7RBCq%4I;rY6#u5-Be)EQTYZ8miQXLs@ek{s8H|7Q2! zLQ|x&O75{3e=+kli)tBWZ;Uk|{NV08i=;p&d#ig`gXbB;?;j4PKVz3eNq+Z1z(V-m zY6wytL`&A*O+6c8a&d6Xpq1UOa^{{2-OOHMZGY8a6eQi*^st)OC#{Jo-}m+#R_;Rn zuyeV(Fc+ob;hVf2y_26TQcjN)I`2X*8x9quBJYB=Lf?@CmmBmXVkfxCAbDq9wE?U} zq!xS%$54`;$2b{^V8yYIIbS|6)YYY^K>7>fxB`LVKWU6OJu)b#mahoQaq z)0Qxa&-rY{Rv!fzUy~SHiLvq62BtjYL#5)_Ymc$w-XdKEa?r?On`?$QLRPvL_C4U= zalIg|Aw%c;Dbe*Kq%MwXW^Mc#)Pg7Z<=DMB^`ipM?rZIHxbbuQZ;$6!?U~%c2sylRf}J)j~z&9QM3<|uC8@IsK%JvkRBr}oPk&PIE2Y@*3=d2DSj<{ zy09wTdEZBh$?rl#r{APw4xaeQAuSX2Qa6O)4&Fr#2%l%*bp>RDNtH3@C>Mg&t~r$! z-d!aFz1B97XOj$VjR z@7p$LmTyyEp?kr8K<+TRCM8bqBFd8PHi6;tJ4P;fH-V9GdFC{DFqYfY!}H!4AKy;j z70Weq(c4G4IQLqtRHb5DaAY(50KP$(LRb0fxp?G@SDX77Z8E8Q@go0+7J(NxV#;MA zk8~X2YzdQN!t zE}C+j?fdxH&*BCPXyXEy$<=axw;?O?<@#F7kio>6=fu#p`KNw5@8UBcZxf)!T^6@@r{kH<gF)$@dLUW;RE1o4b zC;c3t;G@S(N6@yX^9L>SX-ysMpK_?Hb}#eSPdNkt>*((>BpyN`W?^g$3_YIil(d>Q zCsuY!MDi4QIo5l(c&pvBz@z(*xNcD4WeJ4Y`MIAUZ5Lz@$Ne92HY@N`dzf6@EI>|v zYl5-#SPWx-K`LS{5#6)9_>IeP)z3Gys694e99LE^W{gGqbhtuvMue_h%zzEG{;LKu zO!xL{hsvn5qLvbYyLW9J@1+tY{Y1$&)LWEyrB9Xji#128SB&gAS1^|GDP>bA;MG}h z>ebgEgUytNHa43)TezZi}8NG?eh9nKAC==D~}tmhYj72 zc4CJ)RNxUGdGkKdS@}1gzC+10wfdy(Urm%xoM~M9s-S}NGMu`>oB~ZfS3?&nXetmp z^$O&OsPAMCEl+Z!U3i!ECDj%^@|^3|JykwEHv344{p$)=$upaP{Dvg_Y&b$|J?$sR z0??y-xBUH2(AJ#3fNdmxj9I))PNa5_I~&2LQe0x_VD+}#n?}oEQPC_p@v7FvQ>QNu zS2laJ20fWLb`+zwJ?vI>@P%x0HE3R-fmb)yVfXuAL@# z`kyd+n7kC(2GfC|aa4e|q$A@^p6j3>PT8_3IVJ}PNf$c4` z4_)ZkfZ6jMQm!HJ6}e$-zeVI0zs~*q@SRGUVeJ*qI(Zf9&O~Ykw2_8wN3qilDE8*& zDHEmBru- z`K@~!T<*E6ov#?xAV;h4&6aWNK8|nA6m6;5-ao@Z7gQ!`HFpk5kM##u?jal3H#ewQ z%@w+cMc$-#e>rRK-+0$_@W&HfzX9FZqsx)u0Ns-TQ^{0aV{m8DlZ`#GZQI7gwr$(_ z#kOtRwr$%Jb7Chu|J|ys-8x_T<9q$;^}V<6>2tQm8A;!W`K4?+23WhjFSKDA>uIq+ zXG)Cot7!+GM+Ogl=sktD0GaQ^#AosuSqt&MxIC--(3_^Pk@1Hhx=OfH!1!D*e~_f1 z6RGCA&B$U^suYsmg*MP2{|!h?a|EEIsC??nU!4Meo=}bGj(Uq9rYCFw^l?M zEqm~>S+qru)Hf?RJta<3M6H;h_cx6&;@A5pD{{tHU0#|4L+3{Kxa`)sJgz$#z|5C) zwLuBsm#Fqx>fP_&rP$J#RZ~h$yhd3F-^9fqG+_CcIN=Vk>vZIy7tVAvlv|6VWC49!`* z7nwj8gKS4OktbF{)E$&ooT>!@Lp$7G>Mjq~*zae0u1x%F0c5^R7s1uss`QS~y%f^1 z!NHRXy(MP`Amjy5d(`e-XNo=f{ZM?-UMMSJ8Sc)z3%-Y0t0o9umkPdfEuy7shn}z*e3;Ws;rOfRho!c9s5ujR>Wxja3 z-L+xZbxx3OA>z*$Ep@+?9k2%3fFsi22!{q3Z0j7I`WN7ES>Q|`^Q!1ciarvDm1gL0 zlMbVhyW6*v=PC3N>*fvmvTdHEFXBOd7i7#ir}=V@5nQCDG9gBFp)yw~k)}g6DsTV6+sulHbA_>2?&Ozq$Bar=icWP;xRzz`Nb5Q_0#l+Um@Y zOYxjOY66A>;^W=o!T=d4t>i{A-U4{l{j{UZCi1oA!0wCSEMG2!GM(RBSk~5Ue{~CB zW~Rgz>mmsX+GTV9(O(CX2YnEs6#7CQoEgYe_C~i`i@=@)F-5K?ik8XXW!b?cfH8{ z{e>5PP+Nu@d5B*q{un5Zq+D}Vn!`t~GlWP!l#ALq zuL(aB7gFzx$_TrL^s08sie%hH=H2X`cDr!M8~uFuYfWO-k>M*XM`-cG zEr!i5C%Hepad#DkeZ|7?2B55vcJ>vvZ8g3W9n|OdxkX<7bHk>-G6NZ^--Fol6DMJ- zq{nf)mi{a(0qkopPEV{O&J2j3qLUVKZN4f8;@px%ah?He3<8o%436)hC&KWR=WmUN=g+H-v$N`umZKio@nca4GX|h0nf{lZDCg*``M%~`-f;?aXFER;&$bZH= z_6Y83-*#CZuP!}kS{Bdj;8$~+4t=T*n$dcTX3D_ z2DbOOm~{RTVB|m%NC}?ewC6*J$&o?*;!}iOfX$=o*77|zRq-%R3NXh0*%))}iEu63 zN$AS<=J8!4myIRKz4QwG7P^<-h{aUOYRY?wy$51a{N=M|a73h6x5B|TgIXjJSNpex z)`Q7dp-_M@<_^;l${x=V+RMFrgU`5hO0C!OPJEogFsi-58sWvGrR)~Gs`$3`T_BWh z)Gg~WKZuIJVUJL$BNco(+?lES@6w>mtZyT&D>ApFX06}Rt4a<=wfOygt9%T4mu1`4 z=mbWe*J|@L#+)DRGkKTvfh(6*CWP=kF;1sXCVlfX@0vcs;(DlNe>IY?8;2o6K(Z{Q zg5FW6af!1~v+qme#i2)x&lKJD7T zjUn8(W)q(C%ENA`{*p219t8FTh|S*N0-biO{fFAKY2cD7zqz$Zc-h3A+ODED(aAtA zj-eKH8{|-bz&=K{B%t}K$>o#75n##7%8*Cg3JX4qQ?|~>G4Oms^ zVh-FX1uIt$oH8ia#lbNMNhX=HCBeWXCZw-MTpd)ArmtJv`hqlrBK}<@=gs}z) z6zad>k;l^pwRjARYN`ci#qvO}p@6!yod`ST5h=vp&q$(~=8>8>7l1z^B+o zNz#)TOh~@qwpg-jKjQQ>sio5=c4xy98oQsiYJ|_%lFrPu*{0Sz|1d?}c(8uqi+G9#5+wLDZeB7FK*=3~;DKs6JGg!?>6B^0FZ*Mu7==D?S%k{fFwWK1m{fFjAH;w^N zy-^Xb`>8wy%bYH!iBkvRjf{ZxpOSQ-{SqsFW=x$9V;iUr*hCKMw@SOR3S!MC`ki!f zGV2-Ke^z0v?gJ7hs(rwnzhu>0@?oDDeSDlWfZBd#TCT+ChwmMl!q~Kr4nf61<7kU) z9jgor!jZ0Fso;`C+L~B}U1$%>;=u4p@q9F17Z-(jjD?^uvnO${oRJ4IN1{%E#Jl`L zhDE%iA`1~S=*(gqoTw%FD9xMLZo@eYZ|yMesEmJldx(hP8Ow9FsZ>3|@cAIzDsl|> ztF^x|2v>d|(ah*Vb5kqjp?z~d&jyYQ7?I!>czNBJzk@1dY_j!q7rk(>mVelq{eb>b z2?<>k$^AXxQ05W7Rqs#dv1d-kr?N@zv!^D^Dg!4o}-^T-N!hu4TgJy+RN-$lu-cJ_+?_+F3EVh_ym6qc_PRk}Bq!9Aw42R-Y)# zQ6`!@*WIp!d}00RpyzWHr-5OnBI`2&cSqH#Wu

uE}7wzF$IR~~-{9>8L#r)N6M+ybd^Eo&nnmp=KTsXL<8(w&;ClDuaR5HAW|M{RnxJ zk;Cm%)6|+zxNnf`N66G zNeB4XyYGX3K~jVy{(8ln`zoFocC5p=Al$Rr&aMcHvKbf2s&|m*anf{Lt~WLtHoG7w zs}wNEB1A7Zn?p3@tPG^FdWa_W0AZK#v++m>jTds(v z4%%PbQ2)v0=$ZRnaI(q*ALr0X(mk}+AX`qn4HD95Cr-plb^Dvp>|J?y5-{q}tn3P_ zsxO6d)7Pi`d2X7NaTQUvEoqt%q~V!=)a8vdeaj<_VC)lsH*v^H_lFl$|@yv>G9n(tGC7W)BD+ zID5nqo$DEB-7JP&@ap2Wqw?MB9#7~eEesa$pUnwcO5JlI+i=dN;(ncPiKi%FJ@ucY zj`T4&2qF78QEZyFdYb_rx1G+W)t29XPEet$Az+R3Et$YYV54=*I`gSfWMl z%nj!v3*6PVk(zrWwdA^dluW%PlJ>dup0jiHA9>8f6EyY zAw-XbCZ0Hl>t#IcZkI3ZSAFJQqD{GP{bn^S3x3!{Zr_ddfSNzwu&}N0cfdPJHs4Ly z?di!w(aH3i+2wMtcUN4DwvKc^YK7?OY_*dd`2*f z@DV>AL{PL0MRw>IpKSw6I{LQ481~Q}6k`dgkb-mgv4ozO8!Tx%c2+4%9yXUwma4K+ zBg@=SA#!m&W^Fx8$<%M|Pcv1IfFdV6qh&}E4`WZWGA#8|fY$urtGL_aU2O48n&SGUz(s5+XJOXG|!n4X_8~wq1 z`VWK;NODmcKsU3Fid#Pm6c?a>r^n8v?cs)IiiB$u2_z=ZzK~~r! zIfV#sGSik0HHGU2jr28Df80Bxe6eERAi1!i{)yQ~DcUxXnXTcg&&j6O)cb#A4K!5( zjBkMDvqgQWi+9UqO7+aT3FDO#cwFP&coRjIZCh06n0;fIkcw71VJ)H!n7V?RxM7$ zQ}xOc0#{j21{P03vT4l->;OjBYz-Iru*pG4`3h!YQ=l|$6B@mDoQgC9zz-4YWgqks zS{8fcSNFmTK=seOYQI0(tfH~=_=K+)cGmHD@QM5=|GXnbybLdivB|389{>$`SwDx! zm(qFq5N+@edB(LOItv^Ns{B>z5or1K=bTH`>GvbI43m{knGBI-l$J?+t(5xluSnlN zj$zS}&^df&3pGCXF=_(?mTcfK$)N#JRbxh97o2SsFe0h`>XisATU!?988wpWT8!QG zGp^p|FF}@T{O;~C(MD@s75cs5{6s3T=-c7no?YK+P>n43+F>O6q;RP0HPZzhQI&9E zO=^dAiB-y;-ES%u1iLb%d;Eaf>wg2PofCHvG(Dd7{Jyl|Qu1D$PAo?X zX+u*9WhW=dj-meG$7C}zw-6cY(bzWDgLmpuQ@y^%9L$_1PsgP1?VN4$H|N+?b#ojk zlU9&(7na(OJ43C+ZF*C`__4)_&Q5}T!MUXlEaTMDM@@IfwUG;0Yv=UQD`$Ph&oFx_ z(CLN@kAt0bwNLF#3=&UWf^sh6xHIfM0dI>`&K#k=R}{O>P_KA-4)=FuKEmRr>l`S1 z$O?q#svWC^TA6tcx11z28=tS62EsCC%d&~*Uru?8{xorG_5~|3fnUYUqR)q&@Syh! z6kq@>P3(#!xACa@bE0t%!-hltfI#?Edf%t~@GZsW->wOH(Y&@!n{p;-r}(Kgee*si zfARCW=UMT8GG&?kGXw~vsbVUQND$6_3e!hFah1e4txM6#te%P=M7^$GdAGB@sAf^y zWhGQX?b3gTg<#o?c)TBgFNc1aO4qC9I;8o#c&@S=(%KhV*BdUT^u0K))j}2me$qsFA9H>A8 z;lk56l>ci}ZnGszfN8_SG3+Qoy)$Y?UHD4m+$i+_JZ%E_J}7BclWkzp8F z*yP}88lDplw~BGxuS;EJ&nLKsVW4^tZ3+z9{t9Qt@C#V9xQTO^_vM*2byx+rPyib& zl&f?XRLZ?GWQuk#eKzwUqAmTXm?2JoVLVi30|AdG*Qbq|QHxI8VT|{rnG1#2yy zqk7SeZI?m(V=#wq(jSKy4BCBIVbVu~!}KAio(j&790pgE(!Js*wLbl=u3H1rzKaCs z<2FvY96rr|^Kcx6ZP*U*DN4GOqQ^@u4ROwyqITe#NmZ0&dESXcSbiiEoty@bNeI`q zu>I5Xn0I`#wXt5DwbOC6J{%us0TE(=+cc;El3zkF(y(3_uF-!{@KGWTF#p0kO3XB> zXMvK$C1t))zetUfquXi>nwKo$D^?BI^~g`F{_wk-%@g13feJI+*3Aap=$#BS|ML4)wlkL5s- z?f-smpS^y67djA=q|el>Tl_veT>PtPT{OMs3SrcR(RzF3uxDkKA!^7ag2|)X-EEYLT{F9d1{H^cBFFS?&V*geG&fs(tw_2eEy5v zn|bbVxgnL54c|Yep~??;l`bn{KA(6Hg=r}hDofroCGA0nl_O8Vd>XPV%gc7iq!hu` z&n{*6W{tXmeBiN)jDw{ELZW*Ni6@(coLa{nI{To*D#vDP+|?rfN5g6|gR%!}02}&a zxf@rBB}X9q9i|eG00$DXO;(k7PnV@YY(6n863S;%3;C_=m+vX6--E-^cC)$wU5*bD zbg?3e}M-6_uzSG{}U+KQdf)j9Rki|VOt=i)Xm1JVx8cTh-5>k(?1e(;mJ z`c%Is(sGs*wJUB0ll}}CN)Xa|WecfHD%v*Mhut(gcI>4$y48zGb9@g-Jm6Qbz_#{q zYlMFX#5BdBDbZ_^^Lec<>b_4MA&|w2LBeXoIY9l z&n5Gpi_lUNbi%bw*jWUBfsjFHgwvm8W7} )0_v4}nA}&F)=!jK75coHC93e>)fU z)%vq^*$UiEjxpoNEJ(K93#*lIt`LM;l0q+vZy>8Tj!e`HN!35Y={jbDFIU8GiWOtn zeulp(1UsHro~S*rk%hKx)dMD{iXObid#U;`GpXDVTR>ejPKY*zxKc~@s2NVGP+h2Y zTg&M`a&YG_zvAZ{H#``CJVLe;j04LX1mlybWU{Gb7r*Km_)S)B?`Kle0h4=y~)N`IHbUTXvYWuq^_s1I`84x-xmEYvwCCsv|<6Nz)JwY>UP@j{3Ct z`iPz3yY`#uxR-+Z-T*DUx-l{q%)e4LAqyyC$u}Z6JVx3(<$LHk+fB^Y8*@l1YqR|@ z8X6`LyBohAu_Oh-47TbuJXr!l;cFRE7hJr@YiSMAjP&ka zLR@a+d>)PYBc-7?Nn^`W@MV?PXR0BcuLvFvWxgDbs>U((JCB0Bqy2?89i|5~=P0G8 zHbg4`zAW;K-1FxzFW$y$Nt@i|EJ5ML$CULJsx*R{?_1cuX%9UaALK*e%_Pfc5&PjB_nC**hpRLNlAVZF60bHTq?4;&^|OekSS-2QHAKid27_Y9eh& zN|)?^n(zAi7$I4|?TCgzV?JmPS=n>jvMnn5jOmMbQG`!#>xj@PAj*RV<=Tmp5fc5UkR2t4~{@`}(U zdxL{aWb*>$NHh+EZEVwxyptvs>?XRV6IvyMs>Sk!GzoR*&$9MVgq;^`AJw7=9?6+C z!u;V;E@Ym6AF^fbCRn>cM%SWZsQlf^7Mrrk=K4#RnD@|6zwnl-GF(pO5?-X~38@== zr-T#a5$S0iXeYz0AL(K4>Rx8=1ZL7xBYRPdtG*$8r{cD7pf(JaIBSk7rJffnu{`P+ z-R)I9Fw@e11Zj;XXztp94x2q0aZekB6 zxVrX}wkYPghtNG}xz)W=(Bp>}|-*Nr5oXk3nV3*gfOWTk0hse-xjLP<6u}V8BNf(#l z=UM9tya|*}mF_b5PqoO^XYylFCk01eA>k*Ywq?HK+1Y2rdP>tChfJK**EXt%^r9*z zaJhDl(E6X9X;5GBiLuTvxJ8kgu2aiWvplt4?-jMu6uO;A>g4ccSI$kt`m{K&{k@z; zY7KdgP!OeaCzIH+PG*JoH%O*#(Cat?N;j;EU|9Gy7~Z{vy^%U?UK=6-q^6-UN8Be7 zP?I2TZp-(wcjqP8Dk*hS;!RKnw3m;ZIQpckU-8i(g0`HzBf??H){H^DqD9AS<4-N&ETlmL1DJ9dQ?~Y zvH|J|3hkJu6BEw-m5t1KtXSs}d8+u3mkC!ckc~?09e$rS%%B3RzLzc)>Q6!ZCr|M- zb&3cZSub%ozD0ftQOSp>#fax)VXbl%d!7C!aR~d7pnuoX|CuObi#Ra<;trHQbKk0o-XDeL3em?x)=9Fp zqf@rEBLJUw$yC@9GJ2kdv)(4j+1O-Xb@-!f`ItvPp74&U7EIy0g~f2DfotCq{S8@^ zU8$}GN)T1(^VtaLH77?=p^DpC$Gr93j^~r^8-)b1%Z_JapPhFW-G9U1tD<-BN=R&` zc(3yFZV%mdh5Y_z;ttnC&jUfTQOVO$*n!)zvP($hA6UJ2JAZA?%OpvOzB}R<)Yf2c z%lbrOKYw}+w$zLi@EqI(x{QRC9Zirv{zrQnS5;wx8W{AJ8FEs(M`Xo=y`Hd^3yFUYpDYyf3{){)Ec5 z)08wG?7m0SjxK`d&*e|pq3nKf{g}5&1p=XZyb;qZTOm$@W%L2G4PU%VpT6oqxyB=w zz9!VO7>Ng*C_4#UMq(-k-lBK=#n_ET*I+7w2cItntn zHD}}{W??T2_LL^Pr&9DB6Xb*dMsAB`@}Y}VDJZs)V`Wij67d>Rx6ts{N+>PiGK99c z1`Ctv&2zM2uKZ4nu@n0ckF^xNi5hi)HF%r_eI8T+;cxB4=&F|1a*ANP-OJi#Pr$pF zAyZ$1+HVJutGI`p#ZUccRBUp;6W5=T*aEkAuA$ajl#b*B>wXYsk<+&5y*z;rb&hfr z4tkxL06JqF*l}v+GS|YOVa7)pPiM~jK(f!QC&SUlKH1)Pc^xP<4&!EVVV$F)U5g?; zny70)=Ux63tzk+uz~??kcIE^$w{8OxpAW-ZxMjdb=5SS@%n1o{ipiz(su`FK0e)8@ z8>go|kf>fc6kH`wh&#F7A5~-gYcN1ZOb!C}ta`}Ht|e*DP?EA=(Ud9ydZ3jK0v zE!(w2%c%b2!dKX)KvacHC*oQ=l!ZY2b9b>t()(dW?6I&nob4pkPfd0&TV_iCD2N9y zKK+Sp%hb)`Yak763GAry4v}%A)34aFMT=-~D7dcTa2FbC${lKI+P?{n$tPBek2!C- zba)na??`?)=xO+7G>)>sfu>*{{UGV7=w#D#<-ems&K;-yUocN9T7j|j(~{G2jvvZr z**XfX+;i;LljZ%5vsd`V89q|Cm?g6)(hDid9g4ryqLwAo=(Nl#&xqOrp6W&2`)y#} zHkyEb{t&_{a6YQ*i-S$j4F}3W5ExQ$mL@?f4JsPnfkAGUvZg}DYWo;Qu>*IpX?D`b zdZEF`;AJTw0?1)CDxw1Li_o16+1Ie36S-tG`&It_arKbYTSDDWL8ahfet{b-Xpz`t z@aWtB3Veax{Xc=k*-z~z5#5s)Gn76dECtKT+}w>pR3+J zMRMtu%k=QE$zes?C^F^F%9r0nu$(a!BSNLKBJZ++$+g9CmOE+*>IQS$Y=-wOlwm4m z{4;Wub%i%)&^Xe4vpW!rI4{_{;>o|d3GarnWXXZ2{j2@pJdZgNKQ#PN9C4cPBzqUJ z#89grU1pq+meiiR-(10sHO3)a#*dg=+CgW0(TV zm)B_uE)lsUp8uZ&{%=bCFnFcFTimRTXq%GSSJW}7F52R}c|5jPh8u)T{|cH?u?_f0 z&^w6onyAY7_OA~3-u@b1$S{7b!#wN1kP~xgp(HRKMz_`#9VFPb@`$_^LAUD(Xc^rGct)k$DoNd@DEY4hzh!@Ix@j z&xYV`+Rt;OXsvDcKp~9cu%A>|DULd$p7T}KH<@`FbfC|a*e1c0Ol!xNC5DB@;AP{% zt7J1K+}U6BuCjWPJVuKAK;6s#qxaD6LpTAM?V>smg>LV~7XlTGZ8M?==SuE{w~7{& z5(waiygis9kV1Llf!mF&6%)&SBA~?R|MeaC0KdiP{@>uo@UMc7hG8cGRuknEh#P0Z zFYLQ5h=^2{tbk4L7|j_F^bhnkojmfrI&}-^>NsBZ1ov$tI>?=j*(0K$Z^DZD%)D)a zeEPWc>(8v*WoXeE{x_7i?3H5(Eu4;BJL`0BHyNG?EY63yqA`y90wgJOyh_Godd`J# z^ydeMrzctGZ`*mnf%gEw)>rAi=lxX^Z<-zLOBBpiQ*HBESE6(;s4w z>fE0R%NxJjkUF24nQq)v$mcZX)3`?l>(4EuobU3mA8(D?CX%KRpjQVD^qyBYB_51$K2W@8o zgn+MZ3XOj7IwNIr3Ml&u=8g=ez)*qnpB&qsFM=@|BK;poCl@-sfjwum?9b{LL*TPN z5Yy^*5Bkug8dB=GP&&cjikc_4*Ip83eJr=1w>{sNW;mZ+8{^gXeJU?$7vD-dKe3ft zQ1H%G{PWvvK_02G(g`A>;PZU1?|ggD|1VwnOOQ9^f!;C&pjr< z+%riAy3$7A+HSRF*5wa>_klOF}jM~0RDlpmd6(LUBpqQ$VaEOS2^rmGZO%z4!f zU#NPF0V6b#xRW;1A!Ii$H-A_x$jm?;MmXKvw)mPpeRRmo=+j^|DbIp;sBw9M7iDHzPEV7VagbQFKOk>2pDy9ke-81-oihqsWbE2r}9cwXG z?ThvtB{${X->!Lo+0&y|-KMep98ddkJ^aMZcK`hMXVkC!*i&@v!rTKy7P)kY+L5l~ zt8cQccU#Ko;3}HE2cmSy2+jB@nyIRsgAR@o!-ms`&@)l*Hu%fI?IbCv^CnHAJTSQ1 z^cP!&dEVlY4bEUDykAe$iJ8SkYV#8Y`!=P!_U}Sk)9qgu@bqszjQeM3)KR2BB+*^0 zdgz}q!?wf!FxbNA$Fl@{7csqtasAVzu7CEz7r5tHIq!0&RU>MrxC{?dp{IF!BJ62FgDosM>4 zsZ2U>03$764_OU&c~(IG%Zj!T{x+1fm_E*k?5J#(11^Y-L`&$Fn>m4M0{mTX+H?*) z(Uma$#S{;ogeMjgARL-mL}GUy zK|{5d@~dT?u{#Sveq4~}Y11LxkS0X9QIwPP+g}Jt0(X$8R|Xya*zHbGfg2_qv`Id-mpa~&bmE5wjvxdgt6 zDVC#&FCrJ#4NXYIxKFYWax}lhfC#hW*#JaCr%E8z|3ih)&_5Y1`y_|=r&;1%gxaBu zqv)+eez}4Nrj35{q|F(1t89hdJEIl;o)tZ>4&UUMV=J8lS@(0Evo&QF^TD=1!vq=I z38;EcWMdB8oqhR%T5VZqZViP_+N*ybBDAmQ!IK&I(0WW>An>j;s2uu4b?6eU7e3yU z(kI_kr%IY4ywSt#6kZdTKLnxD)0^ySOtj53zTHe@Oz*ET zxE8pId|BDJI_y_0B=Ni6@+Fle_BNv|Nn3e$oVlp5r%K~Wxnl8L`#NU5?g;7QJZcOaZL!;_utJ3p}ZPbQJmGfFR+jU$DDtdp!j z#ql&JSr|PM#Tmu?$U`?-wf*!wLmD85m5tI1Cj1}xkqQ6w*{c&hPa^?NAZ~Lz%D`Np z&yeTwqUY(*?c7^*S$@ilckE-BZ}R-*GmKTCIYkE69cl{LDtv6H;qfFIkyDPZXOQx{ zu@QmdE8+WVI7Kl2%x&Gk9{XC!^UIrIX4q3(o6H!#S6%t^wmtlgR>LDFNtXv0^{WAm zlfD(v*;@POHr9wpzvGcOx8PaaUh;T6kGM&vfmMPL!((sSRmhpj(uMLI4~-Np~<8Ep~s2n6ZzL(hd)*LfrPHl00xB@)T1PjC8RSL)t8l{(XZjZC;+6q5N@bn zfyT!9b3^EX`m)CQ!v(gLwjeQ+O6h#CNB!pWGD>YE#2T$WL72KvJ-(f{KOlnk?m!}K zAccD@iUHOr5!QK9OTcnt(409--r%^Mc0$M4l|ptHknN05x8C2oQ<^_TXvA_+D0W#6 zTR}^!jKAAtFdgeU()ancwloe-hN2qb*eG`Kk;~L{`;|X--Wrqh9L@&8 zf_;V4o-l9P;`jr=`}h7jhKExNu%7(T-@$8(x2zE6Z<`nZU|xPFcu2$B5Su;5~Ufh z31xJUm8Owse@vE4W6|GoxOtD(jsEF4VtlhvZ#(4|Wc;#6S;1LGRXk?@wkSM>_y z7Et-(kKmxHkR?pmCWG#Xda^H@T0{Nqw5^kDXc;HmVb#64A|hN!v=DFcDzNR)MQWc~ z z{J8%yp%w*m`NKWMT2C)MVcH^QC3jRz*!(JqiOZAla`f(Yy3~p_p=jYJ8_y2Wy1#&b zR~GFY|wJgd6^L`}VOdD%tHcN|LX1>ye3$5hv zl)dNXqw<1mEEmCETG^5WW6zafh<+6A+L}s74H3RZS7o>K+49DMC_6cmj?sh*1XRv> zwi`qLt6<5Kgh5(14|Qz6gyV`lX4*m8=nTyHb# zq=mq+wjLnjjokEV8=%TN&1KdezLauOT-ACHeM==nd-qwt=_~av;=-|EdAs#{c4IASZzxPhUZsU#s~^JF5Ce6@hnq1v`36R;+SA zo*rIQstu|R_UxxikD>f|W@tQ@8P#{0cit7-Upb;8F#m3g!x&ln9$H`S^?u-dD&k`e z>OVC;d7cg_^nk>j+@p#tr&GRax4!>NhpI;v)ONb`h#2^io}?q{JaCfOFyIxTk5rYL z5d8b`4=1Uz?}RZ?_CFCx6-yV0(&t(y?{~{$Vq$GFE_$KmbLO8r5T@EHY_ii@Vom^|3DCv{hdtCc4gafMhZ zvz`m{7jF{P28+b5OAtKxr(zMbk+cJsSCz0rCv~1;I9*tu)YDl|*o5BkmjYf9;om%9 zTV9`Fb!7_Q0r~sA4nOJ%YX^rf!yB`ygIUoaK#n8ajyAGj?7Hu|@z@6vMwdK*!}$o$ zopc7FR6^-^vhnXeGU+5#u(G)$occPT!_X0Lic)3rjDs<`okTD3Q681M&Cka$78nA1 z(ixl1`Yiqx{wK%TM!UN`_@t<{f47ap4d!7?beAbCn}Q~ZWc(wpyr2hjgC`K1@0zHP z*?vw;HClU8j|)@g(oeTSG19HB@zS`En>?JM%rV+=*FNBn;_oH>V1e#j3=^) z-3<-neQ~_dWjUklSgx<}YwE@!H)NxZ0&BLxI+Yv6==%_Qn*YlT?JiZ&ZsQVvD6EEnXL04mPPb_>%Y1zcEBC;6eZDEV!r6;r(W22~pQ|zX9LFlf(*Zt|Mo{ z95j^~NVdT3w14&=Mj$WB!5Ow1ex1U2;^fUSOLxqq3Ke$BzdSVdSLcaBEdjqX-&q(f z;FZLOuOWv*35Fz;S+*fubq#mT5$dc}786YSSFLsipmv;uM44>ueFD=&zNdb6~+nfrlS|-2&AUjd{d0!GoLFv z_p7y|-ME7z0BkLjO&E;Mj6%U&J2syj~ZlDSb`8e7w|Ypm~fp znzCAM?fKbmq8whBRxLg{JW#Ia5ii85)^A|>FEkbHiS>Oi$!ViDiQu$&@a^~(D_|Fj-XP{gq}UaYoHaZg3sUN3Rvs} z62|Y4CHQ=N=E~2@GDrgwGE8i*Uo2?cu7p+zHDZ@V2;+o=> z^uO^*@z&iG^(5R;ioNyEcaCf_RYYl|fWvlaW~LHJyC%na)S`-hmzmh%;Dj%+k6C9} zZQaTC`*okJP$bhqJnjWlVqE;%tdyxPfk&Nd#L7bOuyp+)+P15)$_@=RaRFJeK@?-bThVvqjjmZ2WKmU3LH0^A2OXjxnEcVJdsV1$Q7$=$F@x8HiH6c zJ0QvwWaENwgLNcrZsUfd8{~CehQEMo-gcp;{gr?D5H?>lCBo>9J# zHZ#Qk{li@imiIsKOPphw^gjb#bXjxtZ{;`Ujw#y;=6BZj^0uvX96C~4{c1*giS2!R zN_L6I{GvCC|I9e2_iY6@TDZr)YLE`S&en3iP!!Pr^OhLJob!J5UQy9w^c$JusBfd8 zSe>!q%`o1i+g0ZWeAGz%!X`rY{_c7swc%@M_4AD-B){C!PsD0N&mQ1m1G<5RrMhRYE$` zL>GeNgv6GunS!Lu{zxCUX9|DJlmwH68;3Kh{2} zt`jqGpR_M{hpTt{W7~p1gJnd`)AVRTZS0hUvfI-R)w+ZMsCgEXQA0F}#M0*CC<7xR z&MUigZerjiD7o%L06PofJ3LI*bHzxpP4Fh!=Yq-je+0feItQ~JsI(9xWw-;mBYs!3I!Ar322d3yo zPvBXFlmbVInz+%NeVQsLDT@^qUtxpuDCT1B;~}15R4l3?aJ?&chsy~^PL^6hVBbNw z(D$~nP4$?nRadGU8GdDc-c8z-+S-a~n~H$m7ZXP>z~riZT2FClT+eNVw(3N%!6@HP z=Y4rQIP!RGCu%ILrv~G*cso{1P_fez zFn+>NvDOT7!tEEE5PMv#pDA+|+e#m>?Up^*Dy03}*<_({Z{~+B4piK z>y<9OwpxA&XBM=R!LtxV8wUzvzB~j4^5I{*UvfB_f=ne~ZT>2oeMdf&{^T zmLNaNb)+B5+XovJQL_eztT`u;U%y|+O4Z&oF+&h!{}RdZ*aDZ&K6zcnqd_3c{0PuFb0P*Cpzb}t|Te99$bH5 zZvh|4Y#}P^^?r!TdPHTtf4H(9vH6|w(S(m4ls=j$KTklHfbO7#ZW#!#LrfMgI5U0x z^lJ6tPgXR)&3C{KYID?jh1UV0jr>l}cALev)Q+d(d9mAlq1oG6BZxe~zr#QTda0StHnKtaJJIkT=ZJEEHS?YaY-Ivc#?GsG_Ss&$ z+!7PjAM2`zmv7S=Qh2(K>h|Cx+dd5I6M|cgk#(J~4Df<`3!nn@>!O*2rMd*$WlSfvpx=U>4_4~=P=_S>rOn!1<8W^J*h4=3diJ94sM?hA2+k(l4{3lSXrDip=GpIL z87(6~9Wy)yLiF-P9BNjSbnhq>`A|RND<84{pC=b+c~tg1QV!xr9pWldqi9k~I(BWj znp2F8(}w4HdlM2)t9mTF+9ByJ(&#JLb4?cL)EI24>$)2$#h9G4p&rvb{+#!g8KrSM z(gWv?s5GBcJ9XapY^^6t!o?rr5L=GgI*b`o|0IOD6!EK`dyBc~^$qLMd-hIJYhQi; zh4c`P6?$lAqec_?dRGQ}O08uk$$R{EZASTh8Te>@iL}hC{Q;WQ8J1QVBP=GpKK#&o znUkgTk{0t<5F$sNickzHyE9>#^zWS=Ryoym>fMt)5GLlf_eOIe5N0IgUS@aSFSLsD?;MsmqK# zdSjhxocYTWx<_x^R+)9?gr0`HT5EHR?|8^)qlXwIqD2a$Gd5HGkqMe3+@BmI`=w88 zDRy?+a*H|b{BI!(6c&ZKC|0D8rk!8vL?^?CyzOq3mbInt9kA@d-`cYkiA+0o?EpKH?( z?^(Tj>rjV?=zVeb7B3W$T^^dlfHT^TB4e)YrD;xamR-d-*PWa2T<>yIN{aZx84u$E zC^D0v6IvQs&_T6t1?%rau%7+re_XW16CR4bT11jSTFP(;hx8OKK_1Bt zs#X8>+H&=)WcFc2`3ui=9y6xs9~(td*|O`GxlNVmM%w^pY9`HxF40-BpYm(<9?vMw2vt5Bcu7`DcTI78 z+?YDWYH}FMx!65FKlOn9$-v0F!#&{ND3wmEWrOYLrkM5ubFa(&V{oyPeX^f9qIN@hDGj#FLz< z7AMy23(a{`z+2+%XMCspjy5Ce{=o}T(T}^O)J;q!j}{lG#%+Ch`W-;d9+PWdcW8BT za`O2k;{*0`u?!%5m)D(=Ah^cdZdspsH-yG2QvvA#9{PPuq1jyWS%pboo1f^Oq#!h9cF14#b3jSJXv?!Ok>RTRk2$#+@@|CpOmI}e~GcI z|KW4?8=b${;A%~K^_2$qh4XMSCh~w0eYxLi9aR59pdfHdUN2#+21tCsrr#-ASL=6udTd zq;1TzOt&GAeIF){Xw=pfl$Mk($eZmG-L7m#?GyEAjQMuQVMf zuF~C?FvnN-TjW0F42i3kgA^DfpT_$cjAtpA6iH$)yu~8zZD30%v zPw={UXZ*$OQjf$)0p>g<;27adOrY5NQuoAW9O~f1ZBL6>=41@<5pYd~XFN3@f#ED* z;1H_cbI{YwZ6#b0k*#RIf0nk>ND?Fo zk_5^BMUwL>VT%Ix?CuBjBc!C&w463ySYig#vFlX)W36E~LmTS0)+~Ow=R$3&-I{`F z2BVe?LCMkYW@>w8Yo$;tGJ7_hMgJ8|GVld~^&EDT)KTh#YbRQVG*`bBeR+A4&g_(* zZcUDS`+Vv+`;)v?!XOrhG7}Ix1FpstDqp-5WxpaZ-jJ&du|Sd-0ZV;;} z;4hP6mEcfBFz`M11ZFrCxDkiG%Mv8RuV3y(7_c!8)t}B({qe9lAcQJmj{e9AsyYJqGTF1u{>igYzcc@kYF#; zj8?mPXPM-^)2+Ky=AU@6?a_YTh7&7ee>D2#7p2d!!Cksyh4j+H|w6iW|2dI{om{{Ii&H+C=C(-8WZyS@?Qts~#Xx z%8p|Cu}+ksRJxvO%E~{Hs5?&nBFDET&^E7cu7SEl zhl^)W`)3>x{d0}(P+^Uven|{WkR(Wki+^L`n>2C03dvt^?Q`>xREEJO@i*Xiq|Q+k z;gUqiKe{o7c>ez9^OuTp#91u%AvY$>(q^BpU8lJGQC9KrixMKI4?JxMpokAx29=QIrTgK#=UA=ja*+$XO>kN9?s1(6g_uyntIk< z@ja>{on8L|ArsHvsnF%ZB_HJr*;0X>*;ky+eeKz$^y|&!&T$kTD|zuJ4PU64c@-(t zM%yZjSkv^eBV%#My{u&Mo^N>7KU6fdGr+Tg#Yy~JYI6^fB9o!vpjZl$(|e`nm1 zX^hD+IzyFiy`(oCJUV?dD`YXVU}(aUOx>AF6qE&D)v|%eF5n(a4N%?u~?u?3l51W;Sgu>2miBQDx1b#VoT!>y^TXJE4}Nn zk>i<>)g$HMB*kJE8#9u{?M6`ywe3#4YC~=p2cg$BJASk%!<)pGQ_ntoG4Lne$`@ms zr+{|#vTz4)69~P+)76loOmn1WmT)s=`P)}Pd>0U|m8)Va<9he(uP^?xJVXPqsY|=?YGFO?8y+>kq-fqJoN)itB?X93D1&4yH(C6e=hJT7N zGdf$2oE^5z@)n#ltN7;}APwof96naw=C!*8X&+5}4W9`Yzn=x%uQuOCL7cCuRt|SI z327#w{XUXQwRb;qUW?=j_xV#2(pR=^YQptX5C$J%mjXXM))9w1LJ)qKkuq8nOqKaL zKJ+D47_we!s@v%|j!STeS}9=01vp{aCj=%qv=Y4hQ~#HB`^v$+W8Zd>N7Y+)^GU)5tYbZkkDB4LY5UFXq+JH>OI}Kzi z`Y5*U2`th`*pe z*)N7Q+=oTmk0E6riZLNDV@*N8;&v?`*3mSI1`El#LZ6O9OddI1Ef_?qv;<%QGC3Q> zYJI*HEk*rD??Z;yhvH^x&tQ$L@c>G!TOeooCd74zC5G5`XD2EH8DW`%ZX7DK`kMx8 z9Z2;2*~OBjcT@SQvx*kAHNHu8wUd6Y$9@HzQB8DK6%J{Ki)V^|^ALRTpk9^dODw8i z>tt_=WUe%yFlQGv(VUrz(S_1cLSCv^VlSx=J>W5Yzx)+l{z4(zMD(a}eDhW?*elI< z7pA-CIaSn?-f4|I-@6tljpE-1DkL*PQ&n*L4Vh6GvbCL`Pv3pJD_eyn93)R1no-+R ziD?DoKDn=T`Et$tV$ZM|n0y^qYxQ_b92z^)@st)XJ7x=n`&6uqcEbwtKAV*s*ys3Wp`CgA5!WQnh-OloJm&fLIH;-~ zn^&h-PfD8gl%tpmg9r?V==aEmK^K@Vu>IT0Y%HNp1(O|jMdo=A^kS61U*7@~JGSjdYH zsiTLPi#U|423I435rzK^hn*peXI*U|D%;BT8r<}>M`@Bn_!7$X>t4ld3j$gr+W3*5 zyRF>@PZK*~&ajM5N)Ja)e>q1$n=@u;s?7v~0>S^Za4HD?TS4%jJT{6iF|U5zizFve zmRFj2{SsjMNStNlyP#$CNkK6`=5!FqrXC^PNIc{&`6q&wf%`B@IMM9QE*+z+%vV(` zs<@KC(ofF>3Da7Tf*kq5)%s>?pgl;Xl+Rog{$-JnXI`EQ4o!Ce+O!xmnsoMKvgZMh z#G$_${X^fvHOFyC#;)cB2#sH;pYGvsA7BJdx{hSbNLS4t;~-u+>9vdR$7GLun;XRU z1h@l0RqOE`ouXW1r@RSorGm&#eogS4vf zxri{N2UZfm@%B<0-}4&$Ty0M|w&D^F*(0YQ_i=xoJ$} zOnOq%qdBDAx2LU#8(HxKB~pZ;)F;WW|Ah1ULw#HNJ_8(I0Q^YR3qx#$Qcg8^gOp6^-${oq zYS#j!91uHiTlgou*B|PbBfZDP(oRx=vAokX31)ZQp8SH2!8Y`Mo}#fC{PXI4LRkK% z^1MIP8fuT$SRNJ!!l`yR+JmJ4V4F(sOF*Z z!tCZSh!A6ueln*JB=E-jqvwKnbiGlB9S7=dZ;(?5sz>E8%L0Pc>PmKZXS zU@DPZQ(lu4=K0^?<+EjI;tzd_zxKp#2Z_%)9O}wPPeHoCB;;yVVYg`QvB~=DfKI!m z3LMC>M?y^nZvOrA7El?vID)fSE-@*VL9 zbA?_w7b*V%`f!S>=Bl}3rnVLl}R;I=5m6# zg~RWDSaGqiL0aCta~WG@s9YY2`vyMM>+RJz#78O+5WR87+LrbHsduABzSsUX9uP%% z*`8zD)t&834kmKrYb1xF(klZ~j*sUwJ zWj_Esr3uGfOQ!Qf_3vQdB4*g)&}DK@XQTLDG%Of-t=fygB)ENi30!R;7@piRGY4C_ z)Q6V`y4_o%)+n>X4TsDT@D&{phm+LlUFF;jy<3poyRmc<7f9m6e|!J=Q|fHm6%10> zSb%%lG5AXFK|KZ(1EfdhWDbzH6_xoKz@W6x!21B~l;99ZSvq9_rCzD$$B&Jm?-$Hd zUIk>ejidvVFTh6q1^nZqLSVC`#EQ^PSuL5Q_tIGnbC1C<;D=WMd+lR@Vmfh4pW#r6 z9@c~z>FWX#yHOrm=AruGIAHw1xzd$8AcWDtAt4m~)_%mPmehy$qN0UJZp3b(?NM8h zoB&kdVDNHo+Q4~@;)i2sRDh)1rS*bLV>_h2Qn_1-V`OK7X_u4U%G|L1fKdqKXN0P_8zzXNOSq?IU*ml!on79A037PFK}^GdCy9X19A`PoMGDe z@d#Y7a8pPSe=K|+jJOc8Fhdn{q~Pk}b?K>On4@95|Ql-z_{{E(&PamSFC>S6+W zN@FipkN5K7xp4b5QWtP}H+p|VzPD%1HQ;=w2aK*5=GD8uVtnttNJ}W_tTy;w7?s;_ zs#j+xQQIe_b63B!IHsTc(Z}~cdlNI^MhG`TxDmpQ5N?EUBmcpTJQd{KxUf4pz*qVK=YI zj}Mnn*M44%eQXDJ*>+CkevZ9T{iaA;J;}>EM@*G)voY;~?^8E89@=hpj1^R!+F&WkK{LZjf^~`YaD#WK104_bLl1?wjzuHjCsWSK1954 zx1mUDg4??;TYZp{YOk@0a#JmU#>$Srb?{`1p^;fZ7tELi$XxtdGKW+j2fqytlrzjz zQ`>xp2cnAfq_1bjMK%BB-r&Gln-&@jkBkXH+HTbY6jJXpB1FJ&NbUDI6a3$9))pK^y9Mb(S{{sieAWd9vOO>IGasv298oy^E1L25b>>h&hEZBQ%Bm}WjWK(NT1Sm1BdQv z$-rN$j0aAxjdV*~@YsL-$d@Ih#^rJJuEN6MK400b--;C;rRYcp2Mz7I8gnJ;#Yrqp z?HiCLr2d6_)E8^C zM3|pH5)YKeY-FVVno^4$!<~n6OQNnAB?33UnU9=@tUd?IUOj_2O7be#7pAUyUNXt> zaY{l=?mdyh3G0!Y^i>-wk(?ytN9gVsL4HX7@&;*<;9nIEQGc|H>rhP6w%9QwP((Ek zEroee978*_z8dac4Q=}}oQWt|o{xVuT<80%Z{6nFHGcGmADraX9XPa+#Eal;7>0fs z;G~_F=iALp^4B)Zm>ua`XD=Zl3qfyf;>-Dm@;cSzdSbjG1z#lo@#J-jOxMKAj?-Of z5Wn@EGc+*VOoQty4WhbYM|bN!^^pHUdopdM^@XRat)+c{k6ryiIAxCA{DWvMKg;a% zMyPj}y)o+xV^sZhUNsxUf1=aIrLxCij(b0AJbII!@+{KAU)6tKv&-yj?|*Hp+4feR z*J2#~S&i-`>KS)J_q408$&t7!E#He5I$s#B`We6eVmfJ|dz?qF$@y+X-g2XY_wQIf z7qDTvq|KN2=xpSos*|!3lj~3BNIJa#%GPpHOE?aJ)L0?)^B%0X85q;Cc5myM+y?<{ zjpg6ed}R464?2ivuG zgw8JxWgp3`K_2CFZ{fj z?giiNMQ1OtnCDI}2|C%SR%D`n3CVfY_!NF=d--FP5OMt3{{c`-0RjL66aWAK2msa` zomPb|G+4kM000(7000UA0000000000005+c00000WMOn+E^usVb97Kk0RjL66aWAK z2msa`omNj<{nQ_Y0RT>40{{#F0000000000005+c=pFz7aB^jKX=QgVYH(#|P)h{{ V000000ssO4asU7TF{A+i002+rB;5c2 literal 0 HcmV?d00001 diff --git a/Documentation/L2Way.graffle b/Documentation/L2Way.graffle new file mode 100644 index 0000000000000000000000000000000000000000..e2a29f196898ce883f729de9ac3ecb3fcdf3d8a4 GIT binary patch literal 103076 zcmZ6yQ;;r9uqE2IZDY4>W4CSFw(Z_+n_t_uZQHi(p8uS<_hBZY9x7I?$jpkWm&{zL zAPowJ1_T5I1q4T&qvjJr@l;C+1hk+F1O)RRYGUYONbg`{>Fjc?_f&%vy5||@&=m%m%3JG*z zgo1-WHh{u{ArV50fM6oR7y>5P0|g#~1YfGeqC{6XeBIX|fUfBO!-&9os7`~0h;zRV zMiInbabv;=ewqt0ySD(MljJkqSDg#a;wZ_MGL{C@q=umhN1+{CzM?a2Vy@XF`+RPu z>r86ckb;a+*>6)}_Q9qN-9D^lyrT8AqEpzMd0xxH!LA8a**uqQSI55BfLyFbGHAl0 z5kX>$uQ+`Luv*fxc`KB)UO?8IhTtRjCl8*yeBip+^qxaBfPocTTaq2h%En12#2JU&6IQ&n zB7+zrX=bg85=~22BnMnRCAV-ppY;A3UN!9AO^x{;YmAtmANk)GNd*931KbR?Slk26 zb3<(k&XtXEmp7H@Gq0NMJSHSKGU0uL8`bcV$V{|gI3WCJY(KKey`W9gnsrT!D%(IR z<48;-CsOpXN;cDHip)@P8I`Q?`{_-m(wTYOa#?}pxJ!N&7#%%+%Ia#)(+q!Qnp!Cd z-QxnM3Y|Q*ovyTG!KT0Kd%0`Lq%HyU2Wu!8j0lW`2m*x&oH-s!DFE+}5XBhCpdh^- z1~|16&Yg8yJ;!vna!^^&+-|SS%Tp>ixFvmdH9Z z3tVW~K{(K3w2_Kzn?~LO4aIE0L|NJ@X6i%8SLTDEm~IZr>2qlDSH(x>6*B-&U)>C9*{Z*e zN%a_<*Hg|jOot6(bsW#!M$xP}SS4Of%bL~NmfQ#0Q+`;$tJNj`KX~7>y3#D{5v2$v zS1B7AfCdx71dZ}Vfh^_8#G4yJc_J+e(oT|dVZbv6!DU60%Q!U&hMY%8Q;eV&^hb{f zO|r1vs62mKkq!MQh3qa}1`!ca)ii9vSTtot)WNwq1|q4szYZrk7uYl(PbFYN$vR0* zyzE&kt0j|5RLTOHPJZI_l3c0#Pi{mSijrY<0Mgw%iH{^Dg$Em(62IcvZ4!KdJiDJs z1~O`ZSkkmoV)34uikjoL{DJKVsy&krP!qScau2mK{9Qs)!I73D`P^}vH?Y0*8z*j( z?$B}2@A`hNb;BA^z+a8nQ5vD}V@nP8mbJ{WhfNK$Rn~as!lVXpcce+7A|3NIKsmP? zS4{GA38=89Ge)h6o1qNF%WNFFDlbp{U>K;G-4#ZzUN=2rkMW z>d#KE6E`3-_q(mGH+9WvBcK<4P{FxU|CG>LkUqr6mQ#S-;ePywl6@oF_`!jemX;BG z2z_d{!s)zi=4++>h0D)J!K_&|<-R)4r%Z(>ky!FzbG?I`k_r z?|$4pBo9nH$kIN~JM1=)n&8_$TL;XoaHI|q{jnfl1Ak5^F(KgOKD2b;M+4}p5P$(b zYrh#MGCzD?@Z%mz8;)HF&z_$L6hUz5KII*C7qowvKM~G@kj)Y%yqKdz(9s{v@_8tg z@R9s`3mh+CI^pXx)h0-M;n8_d7jWP3n>nEAtdRov{NXt=R`Tk2wZE0cnifeGjTW#L z*G<(;piQq9=9cOftroqD+h?@gX#^tjMdpjr=iDDyA7mdkA1EIX9|RxBADSPkAGRMN zVGN|n$iwkWPFU#C++wiC+{VDh_{JQEe-3#MxesfyvT#S~24t^Nuhq`kH*AA8ZCa1* zHn$Kua@;yk+(x&zyW_l*y&Jp>I#;$&__uxQKPzwSJM$cSZ~SHlHvzrjeWZ(kiNPbL zW#hn6invzZ8IP^Iw%hS1yd$bd+NUM&7O$CmolDQYN7C8L+}G}}Zj!FV?j=1ycZmnx z!^VZ{(q-Z*!_LkQXm|0>@D9Z7_)b(;t9S3M@A9X69#EbHVR76@>G~;n-ZMX>FaQ1f z@an32oqeW#O6~M3_-;(k?VH|9_iEHKO^do5gKurA7u|Jp>1u|az?{6o@b%oFZy zYoC4EuDD-odhS%e47u`T_K?oR)@;{U*Wv8L=_lp~$H(l;?vel0Zx+yNKtC`x@JE0p zurRv~N#dIQVRq5>KYwiXIEv5V|kzlY!3(B1TY9>N128Mcam#eV)P z#5X&qk;D5QcJM304+Y5|5=tYVp_2${5uflP1Zt1q)>t@26p`JS@+twe)Ewbz`o2&w6F!*O4D2OagUu4vw4d zws2nJ*)i?O&uGFv!jZfQfxcf&B&s>+RG69J&k^$x0rXympVE*rFcQPR1Ea#JjQX4+ z9vW|@60{8wE&uHP4Gsf_CWkP|Z6p&+T8@0FL@MI>$$dpWI%UWj$K59UWTGW)B({>@ zWR*lw5}Mf0wI2FRY#%O;XsEW56{#wfYKjmfmP=Yn{W368OXRiF+(h_Q__cm2z97Nn z4Hd7{zDkm*Hj^P2EzdR28yDowz)X_OXvf>KxfmPiot;mvX$@CGs)E;}uePq**D;+x zPR^XzPqqjs2v*pyBx>YqsNE%R(mWG9Q@cRl|M+YCNWZ7SK%l3?ykGJ|IZxIkPyT^v67HNk3%JLyLw&yc6? zS4>hQG$Zq@Uugs=3@z!86Db*30|VAy$@A)6Pg@G}MMXDh3h98tc=zQLClh zZH;EE@?ItjAh3XKzTV(r<6@h%vb#*~2<^Civix}e$P#5{ifdEM(&4Fn$?7=jNV~9G zDP3VG>orxtNyYNR$>H-lKHJ5C!Cm3tWlqIyW%FWP5;ao&5-B#%O-2z z!7Rppa?I39*PiOCW`S?GZEkFAd?ET6e`20l8!L<5>2lSb+K{Sy_%)g_l4B8Lle6w+ z>~r#_PBBM$MuC^hX>gDnslIt+TS?h3$(Dp5fc~=7R9YiHli6kXZ#6lLics!YHizSR zwRAF%pRxM3X?(GUFX?&e_!^e-G~%SnWB&T@Vie{{$;>w6tpCoN=c?X>zvZmY&6nn*zi<1; zu`w(PMwQN4bDigY%3sf@7diy}uY&_f>5H^%{R)i^Tg|hEj7dN38ht;rzZ?ImX+CRw z?2ZX5;VSv{a+t3RE)Ex%LX$#G+C(CoL` zs9YAar!AZxPqJ#Q^!S>|eJ6XWnY7jI4{f~dc-D5hzBlm!ZaQljosW0)<2BW_@Lsm- zb}KiU-g~(#e66o5x7`i3PP^ydgD-^q@g4@Rm-V%^{>FW{(z|dz&NJG2_OjlW^P7je zJi5BweeqD@77{&o%tIdas=7$v}JfcF0~H!cK_%uy1&}X{_gyKnhL7@J z`tdq;9=tr~(F*W=c)l?B`f2`J57dU=qHVYPwBFx*@0x92I@=H4ChqQj0>0vKU$qI^ z1T>yb=L8UWr+hum6%PU81lNL~Vg{|6=62C+9GP%MX}f6J5yiCLIzl?cd(g(rxCr=$5B;at8izQCOoE4DQrv zX|w2r;-BJF7voIgm^Omr%CS%2)+#J-R;KY-MLT%xk&EJ=^O$SZ(P*ohvU8+b#!QDa zCsye%*pSiUh=WqE)#G4QW;oJAqFJAvNn^N<>#*RQruQudKV4G{NesUU3~E0Fw$Vp%$DO*{ckb%|=kpJ`8;pNcogX}{zqPFi zIn5u^ZvWwxEp(b4&@WnMQ!Q2~wPV9F`3%UaZfRg<#xh!7D;*@LFITXr29wl5|J$@- zKsreM^NXzZU*7C0Mn^YZ`G=`hVLqGLyUwC*A=;Nesrye>hw|Ul;e5(vvyksh811h$ zFv47EBw4FQsZ#RW;d*z?I{_8nP?rhK%Eg-gbIerb@zi=*}< zoLW{G%qv=ES>4&4+&uv=4Gdsq2}#?W6z4w27HqM0swVoh-|UWhEeQV3Ha+Xw0Fr$W zG5M${jcQD@IaO(~OwIVVMa6PSt9p5=M`QTiCjp73T|v~+xho=0RWH6z-olzE82(*% zkFBnBN~?A_DlIxC9XDdpfTN6Fw$(zrD%k_)3iPuwLx`+y%YuCw-O~11b7ZB&t6fsv zz9sn_in&5-8t+KMrNYY=)i9=6e$;Q9ABxX zp=WxNi=dK9$o4msvp1nq&*# zDYsyxu(`=7d^C4dE>kutCB_En>W}j`(HAU>uJvLAo>M6!i~91G=m@D0!zi08Uq3l= z+fot)kiEDkrOMvA;;Inc`fF^%0!+%qFzW!m14*5A`=^{d=>UiYDta5B%A z*8is7XX2;keQESTfG<_RGvE3rk#v^{^Zdx?plEbFY>_ql@_-J=G{yTzh7wGjW5k&&r<>bM^fe+r+aZ()0 zS48=#S*d#yB!ir;1E@>SuhVX%2Aq3S!o#r?mplq#Qs8SrI+&rEPqYqg^2iuV(Rm?U zWPDy9rpr@GQ6wDj*VqLPOaMYvTP&|0E#=+&K0rK<`y$~(WYnG@76c-7J+_~vo_B({ z)UbVwn3R5nArru#n&$f7ttt`L2`AO@oPbghxlJ%q<+)6lU(OEu_6;=;;`fEBI`KIn zuRmn>4c>=iy>py~nC|Lvx;zQGz;_@Z`-vT}?3IfCKF~9&atkCXCEa7QVK=EKIo>N> zBwX&FE6VXTxBJLbbO7-Kjov@`K*wWTBLnu(k}W zAd?nC-zQE0^y8WW%^c+HY`v))YPQ90={tGoT%b=9o+JaI%84amn+Jb=f`x||_2Csa zGzk7>o0QCx$&xXDxrnrU9cuD6+V~v}^WTljO?Q)*>7*>#MqRx5|4;asu76*du35g@ zK_S%EzmZYh9;mNK46hG{o5Dlg$JNowV5ml+ISBC-Z`zjlIq|$teu%I>4@Ia*Ng$65 z%@x2a`u@xTjRZ=r*=T^T1mmx%c);@HhW9gFvHJzZgwFP3_9*u7?uzcZ>>BAe*RHrX z)vmV=8xFXnvx#(MviBUSu9?C}<66yz6?4Jy#!Wy&7h2Q^4%t^`5~1JK{XuK>h3n~K zA6LC2Z-eL=Xc=G4hu;F)-FwYi>#+n~Ui)Q9*cDbrmeqnqFIvDV7c>hipD`ferZ;Yl z8Y7i)ZSm46WYKWR!Bu$o0eyd#%^D$qP_?FW4S`>6ZcfjF^IxV*%YutJQb&6>)7H4C zAC6L2G)7t*4Y+(X_S~Yt<;akRK7=Tdqv(FQWe6hdi!*?2sqzEa>@&M+{RQy@?+p$= zBjQ#ut*gkiz8F&=#@=T$dd-+iLf&-pPELgb+60v4rl7v@^0Eo*ZE8A%!j+>2oI(VR4DvF zZHZu)Pbgy0jua&vpBZH1KZ6&7J=8M+zF1xYPh~IRq?8u4Em}Qd)(tpi9J~`q+>vBQl0*{uawr6z|Kdt3 zhNR1>p`lgKiQ_7Qw38^2^D0PC4ynm%`i&MgGU>DcX3?>KU1jy! zhu}IfH5k2rB|>aT%j(?4lRH^zcjh2@5P#BFMbK(V=21Pb!N0S+n01+P<_6CMrY+17 z))|qU3>Z)D7>5h)#;qGU;GCS+M#;m8`S5pls>xO~D77=Oku<6$8=x$w>s z2k!_Feq|9L2cbB@#EcQ*6!2hjyAZ+$Bq+lb>A9lM_wRO(7!e+b2w|AQ#Va%VJp_D6 zLP4-@ix~UKLkkIDeq~|E6<|ym!Ij(cqspm|zUjgv8OO&BAN(C8eEaiRyl|35z^8`J zU^}s7=;u-xw{AP!E9$aZ(xdXSD{`y~2oqPfKQm*;DbAoS8PSlwo27@P6U6m2=4`+uK)bID3 zdHoRQecm=8KRWelyBzSR1t6@Y(wRqGoRusJ_y#XPf&7ZKyZ>XI%e_(!XV*`ntcK zeg0QS1L_H#4|i3>#yqPX5wA_xlK@5JJ3ZJcNW@`ogF3?jTH`119D^8=DM zx?7u}M!g+q<3y0>oENwv_(tP}pY4Oi;;Z?OEi3>#%QZji9{0oa1?C6CVC|h2j@8|DK~dg8sqwmV)~2^?J73mvyK81}cW>qK2)0w%e~Q_jKDS z-V5&^Bry127(Gc7Z6LqkKNn!Vi+pcNbt zgLaA|3i~QBUWCsBI{yQokkBqfZ#Vh4G2sP4=MAC>7Bx+E0>TR%DBtpL`or@J{-6vS z-3k4Vt5&}MsxdidOxu7*BtqzTC;;Nk|F}9-3_{gi2wAzE-bbyLH}+@n5f z+ERQ?<*5)%DT7H!_5PjN^Y6VZ*dMcO1N^dX;ma{pjL6yB#w~s#a&=qT;;ZxX=2D_U ziMhD>C15NQ;a3c*gsHIv|7d-?(Sz$oERhaKnp8`ZTrwpLdPjVPN6=ml>{u)XM3+G~ zVhYz&qO_aUB4=b@W#~3=l!SNt$MDfK6qqgjTZb)KL*+NTj`>R`_cGFMV()|K{fpsk z0Gb)GF^6_$*~)v>sW(bsVgA7IK~Yee{UqxqxvL_huZ!sWi{yJGAmF$d4trqgqqr*T zfa3)rE8ch~{M4M)Cr{xF;k6=pB9msqA3jdzHTGpbjyCC`Tkb>99dMGL#+b6><}dOf zO06&IoTD=1EP4Dzu=$i%n#hnW+2Pawf*bGoE9~YLYXG5>(t(Liv2n3 zj6ItMjna|Wj#4dLIZu-=17UhKB+##7D{h@FQ{y^*)Inf;s?Xv^Q3!8Ax^ckh2KGhC z{gpLmM(JDIQDkpDEAmX}{s!)YO;|e^wWr#IFaLqj6#SJ+y#b9gPv3-l9iDr3jePVw zfQjAAeW6ZE!#s`On=GZ(e6;F19xC-fd+o9JAW?Wt))OYV^}~>a1z^N|{@u-}>er!= zz}wZZU{92!+@o&p@CvGoj2}rm%oUSBmC&4$-IM&g<)|~|8`E!goG37fH7#3bK5{U= zBJTW-;=NK*ZOs$2dX~Fr!LU)Pm`O)5pj5bwn^|pWY4e#DHoNEQ4b&aGqm-nt#t%9% zhkGXLP|)^QSDg|GE}0N4z_TRz!xHF36LC+-*Y=BDG#+%&5QjE+xehrt+`BcmKZG}j0kx`oNdiEGlt`(*@Dsw*EiO#fQQw7Lm@L;O%zuWSHwH8C3!_|Q{Fqx zEz&LNh2~nGJD5O5z9?-G`ad>>FK~BGPs$I)H{-Xj7?v>8pIMyf@ zCAK-X0JERz*NjJ>$C$?v#8y7;;PiCN^~z?yU68{VVu zpbnzpKm$b$r!t_}OtlfNs=i8X#cTy*MQw$B#c_pgWwq*7(_J%eEg)vir3KfUh$osa z0)H~r_;C%y4Y)JD+AOVs?F#uy%MIU~_0##K^@`^TGB7s~IM5gLJ#eD0OHkFo)xb8N ztB%gW%)omuwJ$hu6qEr>54H>aEdsR6vUalmQb1Y1q6mN)@DES{xG2ml91)d`@M6DsFY{D{1*HD%MrmfVvR~>i zGoCLz+dBI?3$xI6v3@E#vz%uTuZ;k&?=~7Vf*htcel&_Qo;12N$~u@C+K%WPcRpqE z4D2${Lt_Z+4d~724fW6VkNt)IW&Rca>3*y5aQ;evY(z5VAevL=tkfCeKS zG8hU732~^pqncNp*PZ8M65rCtqbHzFjZ_}Ca?DtxutSwcpG9IoVZgja>!si){gLtc z>!a(V?xR1D3?|`$Qcm`_Fu9C81tqCS@*&8iz$C+@*`(B@_9*12=!j>$HgT66vDi0 zw`X^kBERHMlTU(Aq)+Wv{#V%-=)3s?%>&QFE?VF}zkiIwNTDhTg$h(s=xW2F!)U`U z!@|SN!wAFl!dd^6AIed%G|HRxPSs6?OdVC3 zYVtI=ndVG&q`OjGTCL1#zB*SpO>r4JnVoiJHw4ZD_ zdagd)9DgSeCJPYxJNT*iDfx-@uLQZn#A4{sw5i@H_iqco3Y*Qp6>|M`j%q=_rtxY# zbC_Q*lqT0C&rD1puO?TPHcOtO*VJo}n^u6VB)4kkR?ewhDT`c)ld@0wQ52)WRlv~n zYyZ-E=QwtsAXIBpe^UWdd#Zt|#!^65V`?IqxS!?1@3p^|TlJqQkN9Cw_`hU5p-p9h zxOTumx&oj;aR14A9GpzuEKS|%tsG3vuY90+lRtOfSj@0GO(vB9@ncFWC}AejDC>h7 zjmj@JfRF|g8ev6Aw}#uWs$!JLc)oR(m9X`nIPRQ9uGg+x-&wxb$DP;Q-|u6N$7gT9 z+1JJDkuI}pn+Cw&0=aj-r9C0DF zv^+OCg6})UA9%B;@pb_PSefU{u3j!6z{v$HgOVYI*kAR%?CyE<)ID>&ov-qJcu^B= z!AJ$}OiTI z^tkd5UHmZ5R#STs7~J)E=Pr}y^3rpv?|6V5zJCp`jCy@#K?q9P=@${P4>wn^yUp2d zb~Ero(sOC#uLK?F6v8^S_;eM3Ql8`Xu*UM)lDo_ z$$hun{wM@wQa}Y`2=AYs6_+CpcniLT<&<8$=e_XWIjnx3)Bc-?BA}iSsCL)#^x<0Ku z6%i1Cx?FEB&-)4^yl|cuk-aVbPN>PSXmKl5>AgqDX?AAe{6!_$BCk#I+Pw*#*K^`}UG!T6=D~cky9(3^#wp;KxPB01SkVB?7_=f_u)H$;OR4{ z(FOJTb{0Zt022i889+w-G(CJH0BY5@`c@wU1nR(q-2zT$Uvs{^mJ#lNS0e>#{k+k^ z>HWGd9-k4+_fVlaL9_y3-#TAcJGcD!r;*;EYB+zU&(-#tfX`ilPiLNY^?!RlCjT+F zIh~ZE-`-L_F#GOG7E8JQlM0rKk%AflR}RSu$N|<*>7xSXf#CVciPL{k_A9(9$S(2` z=aS=!aOyg_$E9u#bVTaikZ-8_QN*YVCX%yUlS~JRz#oD$4tE4DWTd*oGsQyT)x^BZzM6%~~$l^~Ude1=Q~E=6#KzslRC)FoDh7X_Jx8v%p+*>{5u;B5$7FgM_c;iY}B zdsrR}J~**}5`dV3v_hp~mQtspK0vN;24GioQ~Y09fAOjiIX?j~Ur=A%E{rPum|l`v zl4X_&AwMZYBT*?>DSs*Io$^9&i)@Q~4Y|R_&D{a&GJ5WEj(0A6cC)}&d|8y0zgf5| z%OF!LY%g{z>mS9R)f4F#?-vsoxK9*}5=<40IY<|#6NV9{2!Tfm3%~+U0O&|FnPTb_ z>(lO8P~nq+l9-dglLVAel(CgL77yq%bs^o$uNpScyJ&3Hr)vUrai?gf#4}vebu$1N zkLh_Vvo~S4{B7E?Qm}-Zj^3_31A2e z3HWk>a%FPUcyYa7uT^)wE$t_EQoEx**Iucvoj2UQ^$&Zvz+i=u_aoY3`iM?E*F91^ zbvw+-};~k>2{fVqI_E4bMFn`k-s*+0>9qB zL_kZ@4g55NRmlL|89vAM8ZWZL{Ue5M4Uv)Mbt;-Aa_!z zk-unW>u;&>>UD`^yNG^7OeTzqhsE9SdwrZW1DeIv$wSC($QH;x$gs(l$>tN66KRyf zi?50nig%^@6wY~Vj z)_xWd3K5n;ok0e%(rA?Ek(l9_;OI`7HW@tG3fcXVuo8J04*D{^HfO^PnGM>ls$HGA zt=X@3@U+mVzo7r1O+%?0i<(#)rW(mK&NcWNHtJTIddy>*73(EiH0x9wx@~#POAXQt zIGh#tjRuA_y}>_4Ke-@_L=p!J0so9E4*w;EDTgg$n&Y3^m^VZvNY~ReR~B2xY}#>{ zx2nDR-uT_{r6K6U^s@SC-aO$pZkvSOnDE8&r?oAo?4%2 zpNw9ep1eN%yGzMZH~U)tY6LL=W(S@Hd4<%2&xTS$@WO(FZb9%1I}yX9c9h(v<8wdF39CaBdK5|ONNcl!7LvBT>wsX>r@9F)G za53{pR$dfsvSD(2bl+)yqgHxXI#i6Lgs-$)oFhZiGwOVM(#`N{d;3~KQ;cbPH61ar z=C}8@_bu`qo)U+^FEvp#@ihMRw07qxzEWScMX4iSn2Xf7-7xC>g@dJ@nG~w z_0auz3Nr<>gO*EOMUO(~r7@+4_l)Lo@$J$x)KJu`Qu(C0Uf)pY6!_qcPK%z95dzR4laC&Gto z$7FYIy=?2dU9!BgUbC{ZP_Pwvu5wo8PygC=GF*?Ts>`gK!ceTQ)|Kd|darfjYT9b! z{+xAaZ{>aDjpLorJ#8=FZF{?Tb?N2)qATAk^!o|i7Xr$MZ&Ee1l%M#Jpa^JK#X!jb_B0Kb~G zVDP#8?Lio8%|(A(;rqqBYbCh9j0X-NsqC?cP}JaWYp3^z9376tz~j-g6&m&3%OI@c%@(GDrdO`;@Z-NiR1FV4U>OV=q!yIXY-0N} zj#|0?OsgMJ$1J4{=Qlp{) zqNJw4^P{ULumD*jcYSR`x5?eX<>Be!<^H+zfY6JNmiJ&}dMspU(>*cqwxo!E!YBKg zjE9n=wyCPCu%V)({;w7k=0`!eKtYOH%0WR<`5Mkj z>!LRJrBvwGmGK6yBySV}1u6mGC@uiq1J;BzsbBkw+XHJ#yE_0K9#;}FNdcf zkrnhn#Kt&imUf#l+4%#8MU(t{^t~y-Z@v}IC$hRG)Z`tS zs9Pzq6tHTI!d~9doy@YgeHWL;Y0AF8P^J`{@;59d+j2Jh@|QG;Z*BeF2sHq!&&QqI z+t1{-75HU;u`d=SiF?GX&)~)e)ofib5;oIqmrj(dVj}zBoA--e=_(honXN2CR}9sk zWSWj>vvZk}zO6XwUy+yj7|+i-DYGS7Vvj|&Y8t+SH_(x%UjNlw0>uErgiTU~f_o-M zcS>!*srHIMe}Q`o%wXrJ@Rubf19Mez>le16?u?otso65Wz+2bP@|q)x_G#XpL#5Bl zS9^sN>Q6r?+t}f@H==iRYOgG1%z`MDFY>zKi5r)BF-iUc0hx6Ba zUVSwYm)!kqs7NL=rRJ7Cz<#ag9km8a#U-z2;#J8v=<*qFshc6&f28ZGQS}It^&Pb; z)a0N3=P%VbgV%J2qwmtuY*{y|zvW4PUOWb}C%ex_{?KDEyZerYE6wWH@St=%Bu7(rmtJG)IRvQ6Z7e4{4butp!0OG@V#lU8$Sj(<$L$gA4 z(9O8=Gy4u&aep2tCJH*U!D*F#`lvy8=Zh)COq6ky*=MpI)4ic(E5J;30jV^!q;|`2 zs2iT2N@9?Z#c#I4mgPlO-ZmGEL)EWSeM9BG=1ctY$ok44B;x`Sj^QU=O8IkQx3j~f z81_CcY%SvaO}$j=lu$zB+atw+Npxf%vAk(a?Du-`Au&W!?q|MZay+OV?b!d1|99Ja zQd1D#E4Vv0@lOJdD--_OQJzqnE~1{5RA>fwz}}m+^U_P0`J+xDf240s(;7 z&^qI-h;%864jzQDzW2yCHUZt~PCPIrH$F6e0>;!sH+J5jKS)N(>eV{s_kQLBdFNbA z?&}X+6r`I|6-&BthGxHy?;YXj(g(YwSR_wnvq}URbZ9!nq z`ISrzxxD!iyiWmzE})Hn*SljqEYnR}1N4d~)Bo=H_VvZZX_@D^!&q&WJpW8kX!{^b z)PhmR!A<2>Y3#8;LbnWK{Wnx$nD1ZN0MfC?ssc*2St?wCu0!*5dqoMVoO2eaOvW&0 ztvM^?RsyX)>W1UxjeYM5+F*}$G!X~4^@}WS=>Oj+M3{=A&48+_kdHTw8*Vf@PuI1K zHs#X*iPt{WI8ax1eT=vb1Ig`|Ln}2lQT{Ffcf5~1*!efE_q)w94C$mf8uIUbesBK@ z$hM_RDx6B}x0gHzu}%n_kHi+UO&uGkXX_0G|L?M5DYIHTsl>7>PZe3B3VmQz-S0nj zPRZiXrGWJ(!aq>tpr4du0mE2&`;c&y^Em|En}=hr`+-51`GNvwHmn8a&;Ek-u-l%F zfhtMITmCTh6Pld>cgD4E6EB5#InO6D^zr2I*6N2~FH2U%lPgehLAtkD{GNJ|)h9K)kiH0R)VzQyj5^KYLL0%=SP zauA39YYlg>I-$uH{e)^=Ffkk$I-)D6nL)A5y%}Yjh{u<9_PsqOfbIlqo+=+u|4MRK zj9q7qAsL7&>d0Kk^qk{W6?LQt+ z=ML6yKRQ<|K`A2Y+FK7h4#ZckZ1-=?=>fYuuTinY&hYLMw47Yc&2{HksMC}6+@kDQpC{)$C5Fn@ ztkCQVbTUknnj*Z;E)Esw4lEKLO2HxqOpe501&=_2Do(#C|Hl_s^HWN^HuQl6@lS~3T# zx(8_06M^ZE7N6^@<&E{j=9vN>c}|(Ov>?h$tk@9WcXo5?PGa>3ngq(OsYdDeB6rbi z?5jN-2@r6)SJyCnu=t2%nIm$h_J)YF!nQa%jj6*j(sx8EQ`-hU;111pAbO@|Ek>ad zfLD+A#g$Qw-q|(Y%=$LhaLJI(iM2?s~JO+ zfUC@2WzkAC4vJ=!9$;GS3N3d{e^hSId}e7nE9LH1cbAJ=AA6nNmu$>598g^bU91|T z(*Jx61ro0E7OXDwrVFS@7cwYOFb2%b#D_u-?*I7;B%pUiU#hjT)6)8kvV1&`W#9G3INd6@P|e*1ZvV1Se!gHxmL_ZNZQyKr z!;?vKiRn>$eI7ZWcaOs;{bK(=05w3$zt;S($Nzs~H=UA2+Uce>FY$vRHf%)l>FZoK z2_}`lR*1EmLsq&krZJQiV8G7gapw$Nzlw*2v=P|#uMQXg#9a9bvH6;qX!TTM8r0-< z%L}1-7ec!boBy_oEOZ|R5e=aym;G$ME7IN(vC~L1-lz8{2FPV2>G7)aV}mTrzO?>yx+hTEsFkVB1-a<4c@e4rjY_1+-Wgdg|R8{9hmY z|3TwFZ%!IQmR=ZonZFXy0=sPq-^{|8p&NAPz`i76F=cNwH5uRtJW}xWY3oNGbOTE4 zU+hxC-yY%xp4-U@wvEwCO1S`BS&f=}#Ch+Go%O7HJB-#J;j}rY{7w|d3oz2#m952t0<+|z&p3nL zhm3mUP$BYPZE62ZUCQQvEqM9^4uEMg+;#Snw3g=^GSFa({y*93|D$Qx-C(DB9kjZx z4py)9hWdg#kJa~K{L9I}|GnDfA2W;f(d@6!VTp-dJE|PK%+2GJGy~WRE*ob2>lN@% ztAo<|kUJlcXcoNOd92u*2h;EMiG3kiMAnFQ>~7UmvPFC6yNMJXBW=%-G@c7Bcd$ zq|6T)JCD=79WEj`0*^jD)wIK-J@PLWdytjC|C~8!{_Xz(JNu`VKF&LdB-8i`X2Q+S z#_GD*@{TTjb^dBafg57y;}NdH*6hida0&@tIH*imERM|)l=c6?@3s7c5}T`Y-(l1I z?5ZJH7lhp68`^oIe(89}tG|{y$$kILmy%bOhv+7~vzueDDazn7eVD<(ySKN<%}O0# z`eE^~J9GQ7go~#L&hMSqFeKXC0EO&D-{--inEFc&gRK4j1*M%XS}@GU3U~%sJ+9_zP{L5E;1UWulx- zYD3YES8?l>Z%reYwSDDpq1kOv&fmVW`k(p#&4iQR{WoSx_y}4H7)GAQ#;=f zaE#i*S|W+Ijtm@BpAz53_afIDxquA>POyrQ67ehpPl+HRM1$_2xIkA_z$i3P_h)>Hf!5I`o& zCB1y3UNwj@C2Q_!{TErI-6H2&SZzE{)a_zZ;^a?#S3JE&qD{s-juI?ahyzXNh}zJO zaWwS@dAZQm>GS%16E4SHf^eemU8BA183g&dc%egJPL0Kh7*<^I3>aS?bn0~6EIFYz z%GbBwP zW|q1?ylc1PTK%bQPWs5EfJN!8W&G*j7GycoV(n6n-?EyydW+qi^JcwWW{&uK6NZMu zv2?qYDD=;DTo>&RQJf>*MUFp3GuI2`nBwd=Z-e#1^(v*H5;EXCbTV$@o6vm8d zHV7_9Hw$5$wRI*CZC$9VqlfAo<+-Qux37)ZqLVrd6Q{48f`?SWT_hmyB4vX0sNowz z|6Rmh150#DL)@2@u8FawGxEo=U~R*3LtZjVEG)ZgQ(IEMwlwsmZ<^=UrOrr`rxNd< zH_co{r6I8WV--3?cH|yVaAe>d8JODGbSJPQNM{LPfNppKM(FcK)7}6&P?0P3LM)@> z`PZK``OB}S+?`H|woK6cnBeU8R30oJ4m8G+0Sg~8ur2Bw{9l_V!Ho!Z0^`|^*b^7} z6zK_ck$Y9zH$_V3P47RWag`e2mA%7if3pbS^I1-iT#Z|*Iln$OHP%X(5r%@*Us*ZW zrecnBK83+l83MW0*WeCU;n5tlOciJ@BrK3JkJOV z!>%sP6PhC9Zk1lxAAzA9__ls`3PTjwD;X-+-+47O$ z)?9kKYtf6!aa8`aLD}$mNnix>Uo=tJHsp{@@m!@RtI=XR?Z#Vrq?d86Y(ANYssNiG7e8r=I z%4HF%E8MulEniHdDHz1n4PyHFgu^t&Dv6H_`69ON?3tl{FdkfnZZ?-Lj%tMCBqxwJ z5w!JI1vq_2xfT;AJ`GHI$E)z|-8NUE8N;r7oMiLxlepYpy^P~WFV>AKz{eY6mslnl zgc-Ej8ilHB<$~ItiNs_Nnx5HgQrd$M)8vmCe2lvL&|nW|_h?3CRf{<80;UwxngMI$ zxbG{LC_Vk?YLauId{i}C5S`{O)Wh`$Ods<}T*I5WV1L^&g&-j25rkljH)sj5sB*zr z#lwD{lY-Y85Bg>5uBa708X25tui`5FI8cSKuZwwHn7?5EII zy)oQ@x+^lp6T_|-yYQ#`$$&|Hb!F1?Y5nTmsv-q3HwJHfpoT$*8uva5L1JDUo*@H+ z=O@((qTPcn6Wy&s0&7Ly=IjGYI`=-U!dAs<$Usp~Ll8s>@ft;7i`~q`6;B|B5L!4- zY;gxycbKab13SDiR?3amAJ+_A2pDnt#BKlD*VH7VbQsaTC!s!n!uP5>Nsw?FTikMA z^1wptP*!?sG?fo`ZW7qUCPZVVo-QRakP z%Xk&~)8-@Zv3Yqk{8-=t(_Z4E zMg^SsrVCEDAkbi?Jf-R>O055#u}IZG0`%jsYR06;@na{>Nv5+7tZDB=Iagv?QE`!& zt2a^RHO*t+Td>}x6l*p9>xSMJ_p1XX{8I_qU{k%cg}0hVRiN8^gV;@Yu}tBnT0y(t zl{PvE?zVW5O)}NF8lwJuk3suVTO-2*rr9(SO#|L1xCd44-hm&Bz_3*WD5$H&s?;@} z3UBAOH-NIFhxoQkA25~3Tj9~9_oLDc zNng(6atn-8{MDam)VesGpuC_Om7V)s;ducWpbs7ytK_&|G+EzE5?L5vqUpSV&&+8@ zk7_kbJlzg8?_PWea-cE11vVye8k=gbG3 z^@n*mo>CdJ_fl8wD`#@T)eU2FhZc|NvqJFksEmwC9RjQ1gzw^g1c8rPg$&Tx$BOZd z?TdFmbgIITQ&Zfo<;v+11Bzs;&@jDy4Vjxw#0QIH;36u-f*<#2)GW2&kjVO7foc1` zB9Es+oZNSg-)UDq6cd_C5|`JVMDOw&X!TEysy7M}%Pw%{*llh;a%T8(s-tmP9m2z( z5w6?@1huTll4vjEtphb1uRK~w!QQ`Vt=D}rOr%G0`1Og;+_B52)hn)0rQn@|2e?$b z%!ddTE0T3Q@t1SEJyJF`Q~H=JkD6tGG~tg28WNw#yQEX%jIxNO)2y1_zDJJ^nw<1o z6T1kh6l!M$%wuT_{EB;)Ind4Q!HTnuoXLjRjwmnWuKA~GW^)TOL*Y0H?g*y9cQ!j zpjM!k#$|vp!i!k6p%c-#ubi0Hh(HCU8LnvOn>kl8%Ls&O$KAd)sDUr^P`PrS@G#o? z%o|Gd&@hR1?I8|oT90K~9JH~Y%*UJem^lxe>CRR^G+tP6`Hn0%dM5YsKJ^#;=TiwG zD@I^Clo!QI&|$V!npnMv;Xo zafE808?rnTso%gNL{JT`2!1|>H9qXl;>@lHBWAmZdR=iF+#lL1BWzQ#`)HHwQ%Tv| zGz%j3mI}HYB8o?dCCKq)3wdIi{C;FBC>7^1Y#Q!#3;f)xs=;Cxwlt^Cp8U?zXEfZ8 z^|Cw@(v+>XE+`?!S|wF3)0$nV?PAU2+^b<{XBDT+vrAn?&uD6fz>MFF?js!xX);1H z2MamnKbiBH>AK14UR`_dnjW2BDSbjEBOCxsB6CEL_jPVzu*Tv;bRGUNZOP4@qNIHe zW{=(YZsGOf{oN8A;~$+=SLU%S{xTeD0SB36A!d8)49RX@?cZP0;EQz+ok=^>V+sFq z>eR59Sjn4;)=1-{oU6}-@D(el>w$ce?g+m$ZLEE$*I-4EyYYS3B~j4~TT8sD8I0q+M~{V@lE3+bBW3oyIuRq^Wmcmyc~$?W4n z`$AyXnj8q*;CAKPyw*Fuk{hDVL$Qd_T57+KH4QLMAADVJwX(gJuV)z zkNR!n0fO|q$^P=ax>~C8S13)+?mNyL;_y+Wg%40(LOl}*&OkSdpdDjS_Dl$ z&x7@RD_nl-bK|~>W?a!3qW7N(jh|55ADm(Yo3VZa-w4{N#>~27+=@g3xr;3ZJKuD> zTY2-lWz!eMA2o!Rz-*1Q&62_X{Nt$=L1L&oa$V~Ue8ur^y8_e`6!tXJEi%B}$RFIt z5xfrx=1C)hjCQnePPtz%GIA96bJLRg%-)UCP@Ye>7APGKVuDhQYL{FNk=~3uXx6M=V48w?C7wf@LZe6<@*wrm&pJ+2l^U0 zeiw-#od{GW7MANU_^nOK-XLf`*3Nc1yXl`)=&Ea^W;w49r@5(Os7d{3oC1z zvS2K>!li9m;HIorJPlW-(;FybvPP-~c}o*GF4n|$!2>j!C|~<~qMmv|u=Y#3pi9GX z+IW84%o!|GZ24YygT{AWAN!^f&qNMR8mBv2(Y8C^xZpE;7yH=qmjBf?L4qP?6AT0o zW!o?~CMz=VyaEdr^XwSXS*_-=O(C#@oC{hCKK2IwrDS}JWzcW<85bgY{r$)AZi|x2 zzWtgZyZL=}MRJN*pMHP>mo&OhIzKMvBZA57V<-WnzQh@nUGK5{f&cpdX)gY>Mxt$5 z8J_ZOK@a(Nw2%QNMP6KJ3)cmlN4rmX?}k?XG5T(WPDlDnol(_cK&6DzzB|PI1FNq< zX77NtY{gqLuxJFntUvl3qR2}I!Yhe+=-Con2N{5GN00$T@sey)vMfhB9J?{{p-_Hp zu0NLlR@?oNhk7qtS2g7m{0Fe+s8Li4W=oL7Nd}&pCzEcR1{NKDc(Q(Y&3*GasEu?2 zEAgJ9$N~aRu$jQ@n&+yFtn3!q+%=?7N0;ySnZ+t;UUtG3m{vdDt}eZ;iRw%yu<4L= zVmrn*IzUmpwW|PvE9Ww>rc8rf27QH6)$)kRiiA~%3BCSJZWWDYTxebhO?-sLWpC>QI^LX@94o zG2ZoIyt*fI;;W8J;h4xO-#bGl#&tCUckdUeO zdB_--C0hVt`4$>`j>(DSFbkc>yl>g`tRdzEBOOo-0^;m9_bsWIq)JvyzIBb)L@8$=MX<#CP zu!{^dZ9dNm)Ws#rpB~u#Dtk_56}_9^S)g@Z;a)vI8K^z{r8WdKdfD(m)4@!f72S26@3L=ewz+u4v5?OoE_ZEcb{~LaD?(HeusyOe$?2 zh`nUMK|BmyhQ4OEGSg;m?zO;s`hrsE%escU^fz1|c8bkl_Un5r<|ioRVPcAh@tdIo zXb15jtv0Thw!WB&W%`ls#}55%Wvqt%#nbY6nK1*q_uq-NFz^gJ2Ph8VKzpRj!4(&x zy6v$So|*cFuRnc%Yet_TDDZ`xOrPI)bo#>0ql2-gTUsWdNoSIVx9d}MZXC|;xVPm~ zYjH1>wC(JJCipjs;sVAItOUK4#whGsv*ATDV75Zp=aUq7ja4dPUqMHh0p~@VXF2@X z!`lE>mcYzC@j;FsK55jdZMbG^`!Z7_oX*+SlW@pwxBVqKw-Z|4Lk)+ZYf)<8q4}iY zTK$rSv6!U_{gX_|-jC-dj2T;7VA^CL)_$}RM@$Ffe)*Ak)578ij3a_x`N@UX&5Tu# zXwICQ33+Rx(W^MR*wfkS6TP{`w>b$Sw0FXk&V)v^xl`aGby#sHg{G<+wQ>x(yPzjv zVS{mtsj?osp6Uy~%yfjsZ=DD0+p{qRbBYIgMJk9?tD@@KeO6jg&UbkL>P7~eCn z|ISqybD!b;V0m=&9+H5wqA)R|UVWUwbo_(rcmv8c&aK3BEc^a6XnF@tjM4S#XN=~n zTE+MJp(kRmdXs@0!H3fpx78y=vKwoxVn)rV&GJH{>uj8EEh_DGfWAgMAcoW@#2359 z$8g$;hn>c(!!I5kGCkSoy0n-lVN(^sTf*aJZNS0jDW)1sORxkP><)BU**pCzxf#Pa zH_Fueg_+^iB-+7=QeExDjjEIWcUCj@LV0XSZlqphIU)uu17jnHs=#ue>*Wi#4;?nP zJmoU%8aj8}cOBcK+jRsQkmMoJUL6~Tp(O;YWVUbnwqU|*Pu2F<l2PY z>)>KEc^?Az2ex~sNVL9q4>NPRvK0x9L4RRKf71tGNlQ$Gue=ICwYcL%be6OyPISU! z_xA>RS~D#tO}=vxGeN&kdTZ+t^ioVA|D6{GcL3Se6+?TX@WP~6lzo2bwf>S}Gi{2J zd5pt}JyU(Es1!0#?z@Otao;puxo)uwmz&+eF&sZ#(pZuBx~$gau0N_OM5hxm{# zMp*3$CJw*ECdEU&1jljWN%O;|J#Kup<+azB{Ia5_H5S#anP9fNln-Dk#0Sq$Wo0ZO zupG}a*dJ<)*S`~e>3^Ns-i2dx(Yi*7hF@$$B;?+ro&1axgaGz|&0wl*9`quqajg0@ewj z*VrP4=r(KKd5fwy$?OYyEFo#J;9t!d6BFOd@xqnzQCi zU33&)y?YtOdZaZ}B^A0&O`W78MUVSLp2X>(anx5oURe z)p=5{$M2Rbb==jl$I?&nf<|Ms1GJsM1nT25v7}x+r`dB-!A5%}=+R|?#)nUan;QZq z7L!IoZ5}@7oM#B`3+_Z>^>nVID;VBku5I`sCumm|YnbPJCAOYgEWr}(FIPY-sm`3u zo4Wt7NfdPzS)oHaAf$@ZPK44mY7Ksxl(M;j> zyYRXM+G}K>HSwmr^Mtzgi3{UGDVvFu?+uzLyx=~-KI=|^mNIDWTU{m;{9$a>0l|S> zw5TToZ=7*em9;gc)we#sHoE3_!!|)icwYVF9uEezGp5oow8$@G(+Kx)Vwu5lfU7b$ z$HC~*u&L?EwGTRc;xs(E;l4KS4;{qB$Kve7hhj-LmZLG)^gcAM4G6ABH?v4Dd77*s z+rs*#xzi@t(&NtQNWNfA5%Y{`ojSIJVgMb+0R0hH4?2RG6q+b=!Du#_z}zvx)P@eX zIDpIaT;Xf>AGkh=sp!=ktZkYU#xOP z??&42F{{R^LvbITb3K%#I&tzTG%@|e8fxUNaP93&oW_=+oxB4p3KQr-)Mk3siddpN z8K9Q8;?W&xdiiRCs3e>dugQP+2(VWh?V=AM$bw0OfqQ(p1GA4H$$a<08}F!U(W_H3 z(bG$5BuYBIVCi_QJBl&;rWM;Un#l3eF?!4d z8}V^D`bJWTOT|iiEQ`y1_-q**9Ngwy2w3;uukk6z<`h;;o+lb#lW6Q~aU2M38 z)Rn-6s2KLq{^_-Z0YhNuY{!Q#IxdnP#*OJF3R{VG+WFM#NF++`E#CA3ogrMQ_!!k+7|+WIe9Oe^>^z4jE{SbMv++UjO#wgJs4*ldWYJPocf;ffPfJ_l7s~P zxsyE|8F;^h{iywc8d&o$EwMK&4&s7xXO@Dw`j({zHTQH4o#|o0ErCWpCr+a7THzz@ zMh~`_;Q~Cx@EMc~dRvYeHTF3V(vhz(Uq)r3%f(xdV$;U$V}to=B(}R5 z9%Nr20~ELOVhhor%CKtUHxbyF;2wW6&{CJ;bHT4$)sxG9dDOA!U~H@d*Z9DkEPnx*@}4KXaeNs?`V?UFi2;2YfmIa`N50RXmUmvU z_$*q6%QlGBsk+FMW-g)7c6(c@iZQ#dqgz=CQJ!pMSjk?7LY+z4?4Rw`5{YJJ-es3^ zcbpQiO>APrRp2$z%{GB_ZMnLDP7PWB8?{YrcEM3uhHQ0Da%PZ^=z28{n z8MN0Mcvl8Jdb|u(t`qM5Fm}=+;<4XbZTOcuK3AFb*iC`!<$SZ2$2ZvUJ$T9BcPLt< zQ#Y07BqqG-RjxeWa5fe7_a8zj8J_9@kzRi zW$&Z{QVKqg2f9U0j=c1E*sf-9J2dt*9!;XjSUGHh^Tb=>_K7Nvx7khR+V+S)B5^*f zJ3pQK#iU;l{w|2-0n>+K+@b8Hh?VY56-9>r#=Q<)2a6}j0CP~@=qJ~++_@Iqoieq& zN6eHS+Q!^8yQZl&7fEO%0}=hCx9IglI3$=^rI|=N#Y0HkA;D%O&SI>zD!N|Q&V6P< zP6RpZZwVFOER>fD+lBTwK2yTvTRdeLf-K8;@4T5-Ps|U74h3oqxE6{8syGLDXFDbJ z@9!TyK4H1C<$SnvTx$2J4o}40dpt((y$OTpW_HCRXv;vO72jr8wV=vIk*DTr&y80$ zM~=nKhxTjmUvzf}Lu-~pR%VvE@Y)un7br*(iGNn6KY5h5rm^B}c~`*rk~{y}(JS(8 zH>W({6FsAE2^m|S{vO68W9lz=_M#ANL6|d zs5B9=(S@iq0RbD*6N>Z_0qH`JA_!unh9;pSqS8S?2ni@kO%TGCv_G74Ud}oH823Kh zr~8nR!5U#@@65U8_kDZKIadU%dI*#aTz4YlD2=4$q%a?{+NF`F;XGz7QQg+z%3L{x zq1CZYFMfq4#C{(s?gM@f>D%?=NcPAXWCwO0GKTZyfvmjno>^;2w%Z$;E^xP$^R?-D zc=_|gI{jqf@_8HU9PUB%2zokyA-ul>^?lhC^c1L4Zc*1us@hJm$ZXL2o#&O2>X7#1 z(nnIF!aoWKVL59(y&R$JlNUCxJ^T|4B?Q0Hn4nY&DEEZho7}U%>SoZ^n-cDnd<`;+ zCz!5e2@Y-9z$VrwyTO@9ao+prn2lgk-L@~P)(2zn9n{tyKE9C56j%>7bQERj<= z!%g$rHx)mJw2d=vF;JhAQXgbo69L+laWu;p>+Sl*sMUNOKfr9FCQhI1*#C)YTmE>| zmt)(;^CybU)cODpi$4_f7zzo3T_B~@<=!1$Q+jayQ>S}l!aay2!RN0Sg@Xmset+$MwS3jGM~X6yf}hb{{<9(T|I-UshyfL} z)@$hH0RdD6+BqB(xYWSXi_eR<1c??eVx0}_kw@JQp(UJn(=|VpZw`TS*!2P*s&d$Q z)`vp7wB+!-ZB8fByyDOG_2D-L!cQ@Qn$KN4+_Kv4W&*UVV()pWi72W38{<1?I{?4D z;N@JLVBj;urHoZ0$pVt}0y+hGAD*bI(uHB0{g;BBA0^vWE@6`C^>koIU`0&pyBPosi;hn;bFf(gj_BALLw z_Sa0HOt67&Ww-zEk;8j`BYhmUW;YJoE}q1_%>x+b$b~8>uU}Xo)fLRYOf}By?-Fcu zH2E;}x%H$|LWg8~*yw=}^4fV4JTmMwa&c1(4DD#S&J{{DFdegsNPBU*E%)vPqt(CX zFgNIxJ6e-SFMLu+M)M!nljVR7uK_q}{Gzu5j7z#%uPoX8^<7hmWhdR%vRTU$IXQ))?~^ z6x6Mb`Ih~*BilAGO<(Pzd|aw|>5ccvXx54S|s=;d4kExSs5~^2X3&{xAErvyw>vb?8IT`t_@^$0s$7b9%MZNw z+FfbQNcx4sZ+}qXS&Cpb^}f$kP0`J&KOr__ytFHgM7%8icqC}|Yd$|*GrMAD<=Gco zAB!7qQVX#u(Fb_OuR*rCSmL3J9J3~>(uD?$&nT%VYgk<+7`Qc>OGVMCMvgu5U`WG@ZT^k1KHdn{mC!8dX>Dr7vsMLm9qY-s!d zS?k!$1pHmipBGlf!(wrVt9J7lT%KeflW`3sz>?ase;c@^Zu{sap8ecQ$+Y*qwFrKG z_s)3f?9GuF#L;00#glp<>?-U8jKzrJ4CThpe4Rv>Bi-lp3IqRKoy`AHxRIui!I;vZ z6(UB_0vm?fVL{~nUL7~DlT!^AOZE6yWiLHH*Iz_$7{%ja5L3ubgLWx=5DRY$$mMnk z5iGisKuA-A2!6NC~6hnj(%z0{u)jd52`bwWxmxEvB>NB1Gto-X$4AE6FuXdp;S;+ zS4&vnye9cz%KPlnfrt6))%Uy{opP6esGrYFc~#lZAFQpkX%7K2$)QAKpHc{%k9Li0 zz~aXmoX)Qdme&4AZd~q#^7a%ah*m~+0M{Utxkp4y44n7rx@Bi{kLcBA|H@9K%!yL1 z4_8J_9>(fO%coj@d1rcl9(Tr#6)B}@LdtzA@d&9~GV6yFE*PzGZuKEg!oTL#NkcB! zyj50 zuuz0-G+Q^Io(e*nj<<;sP(0p@15-raSv=fn-a=oC9OaJ9ech69=x2BDR?1-0Ia*Fx)x+%Ue z{StWh`&PNUIjpz5Zzy{HJjh9gIfFKfRY=)e!^`J4uZ2~`JN-%|o(k!gKR)=w6LR!0 z0G)uXaZ-I95mW7u@r<4Jk(}qfvgM>m*77=w%DJ9^Vm$v zgZ3gJ&B684n$C_{3KHutpL4F4QUZQIeAZ;gF0Zg%!Z-q_BJV>bXa+1g_#Aeyfm4Q5 zF!%9&Rkd}rnDwJm33qB9{qcP2SLc$kQ=@ymc~QH6}{RSL1jZ zWcF{23;Us{o)weI|+h4lAB7QUa)K|;3o7|Z292DIn$v=z`_!=Bq0(Z7A-PNt^<_tq-?@@n&kMGn7+&+euGXLX*kv6rk)&8rK5c?< z@Cxz$RrhwrOY|wicW!&6^N6QdX=YT#z>drjMg`8xA3TkV#CcgK=i&RTJo_E9Qi7|? ziVaEyhX+p}-5OKB`+7*xy3X|*3}BQ=)->LHLV2{lXA#(mIOUD)zc8-k-`ke;P~M8- zU<+4@*I{d1NLw|6R-g~G^Z!P&xAO+wB-lot@bV|j9`;=dnz~=Or0gdvjmq<~H1D6N zn!JxW5B8D+J6I~dUBU;-&p3(al7O1Ux4Is3_^EHkA#aXXOo=fWaGkU!w*jC7^O7c3G51GOWq8L2 zu=<+0Q%^p);+AUT-rsH#h=d}}`M{xw^I#Q`fjf?EA)h7`+~ICB_DigB>JnoQ%sg_+ zuj89qWZpW=jpzAZb{}TOx-#n<`d}q@c4_ z`OYnC& zzTDN~D-^gyDUz@FS@1pP(lv*i7$E&1!uz@r6bqMN1>rh8Uqkhc&C7Ijn1L5zPQ=2# zLBdw0!CTdp;duBWy-<+}JbFIg+S~y$0fr=!`Z&CGou$oc^8;yr8J|aK??N6>&%h+x zcUEC_yEHzh#pOQuk!cX$o0o}>P_MqMkvFRFd#R9N|H|FX$8AR1Ry<-$feCzIJJf`q z31x7mkY_3Wq~0MEp}C%niFshU+FGsSW|^eEDMR_DdP-tWqHD*uBoCnSQy#Md+t;8d z1IMXubp5wD|3`1W3_vOKD1H-l5pC7mj#=($!Gprj1lWJ33<*&G5paAUvQPJ5Tw<6) zg>jXaXsN;@pFm%!ERo_znQrc%q^3v)@Mbv43_g=|V}y1$n0gMZ1qVu2uMdS6aUKgx z`W=`1$nW{KMG3c@OXTniwUeJ9+wSl$`HM zIG_BC#q7|OGWuIuDAf^Myh7gXQPR3Hrm|hFqyDL6bTLoc@kE|O^tnQ>e8eAnw{ybm z$xGg!~Qy5+FG;1ggH{44sdorg>`r_NBwKluol zJ&omF2V?0aZTtiYp3Xean6R@Nh0(6Qsp!4FJqM=6lKF zP$DaYOyiBf=0!^}C79iWYh=%6$6LPf!3dxnpKZkUr*(1T41vn_Jl|p;8 zfZ_?7#1lOVyoBP_SFMas`WkxNpWG05dGf9tN5upFd7OQ_7${~#kAmH$hhAwPUm#1q zUa-<~oH$jeop;zH&oqlD{Q3O7LlhhRVTc0tF0#|YPyjI)^1S`f=!4llgq{hvasfw| zSm^N;5$?IZshOVRT{5f5`^kp-z1a(_vlOXT+GK==dqvarKX4eU4u4f3I~srB;_7IKH|}_wvHRNxCp}sdM^^yG8{x&sc*DZ#6c|6T}n{+9vj^qF$ir`=~9^)>b~h+ zc%V5{!sn`uZfZ(!gTGRsIHW7R_EP`Gr{}4WQP@GaogL{9Rg30FhOCjv=x&%m7)(7; zJ-<}wgS3FqGrn^|nj5;u$;%?&gcaB_1?XL~L)_!Mb57dnXJ6{7zKXRK;Rxk5;{T5W zafA}utXM?pP*CVKGCXnT@Y8CjMdXIx#(Qy@YrVpQYUh6gw7p|K&v5xXAS({7Tkr3K zi6iUGV*Ev+JfPj@rpd5!DHm_m;z4oiR1u}Lmy3*$Iyd(d5j;lp;{AY`hoe+4$H6?p z56nFU>qJ-cdi=INhX`Toj=mOZ$xY%j-1ZK_M8C%^RKTzjUsvW)35O)&ex@2ISn{Io zy&2zN$q0{jcFY)hx}U-6MBZJTig`y1eK$7^@^{a?^ij%RQIN^bKCgZ7jHMgbzXqFRoYc)cgoCfchkCIox&&0!M+%#<20bUZ#0VNQEnbO6_ei$iW% z)6|&2omLh4@l2Yi-EKB%lfhzSvB)(ccP3EG7jZW13ix_KCAyFsM`i*&)gIhj7sj$x ze_XXzM65k38nlp>6gV#EIxI?)1X*sjYd_jb#zd=|gZOnoh! z?Eiq__@^a+QByJVNB1^QKxnAEF~|9oA(`3_w@ldIdPuRcm`0J~GELwjWp2{)uztX? zOtAn`=v13@Gop-u*^^k7krg7Xp>l3)Gia1|B3MH!JA}Kw}mGy*A?4 zrsDoK>>;^#rx6<f$*u7lgV>+BRLjKGq=Y>Qq{v%&*jQv$p{{2vs|oa<$`&a9VxDGaLtOp}|nOetf+i zGsD%TnHQTYEpJ%%Iu6?$V{I4eRj`=Ubbw#V^ zIji5_mGx1%AaYei!d~F%9T9thJBLrgz z#c6H#X!|$)S123FHxULx6jsEE%A-A?=fmzh`w*a!Z90Tiy29ngnoN)JyRBJ-`IWgd z4|4ooUpWA>`vooK(G=-L?K(l_6cmVg7j}nSR*)HssaC4BI#!r&_Mmw3@cP)jL7Dfe zHBpb3UoEmHG9qCQc)-)kNiZ*5>fTfzw?coGqd;TT!B+}i8ZYZ~#hji#PhUM1-Spl} z+-@OoU4tZjjh@%8wu(NqW96vyc=?j0nNQQb`!}o`X3ZX-OSVrbwkj`tln0O{G#S1M z*Bi#yyXqSsmwpmEZE4yWp)Iv2UFfs3%78jit(d@Y$pRCgag4+M8RAZ<7Z^17+74Qp z@ir`tbO|TNsGhsMIIBU8WCF(w$(wWmE0)_ItV5D#0Qwg?8gX(3FW3$Ng@}G1{SUq= zBc@0WU29JKuG*3Q()o^x#5`mp`dKQDKZ}rfUb2dh$D7oO0ncu(4?KCM0wcJ$nS{uM zxMbC!d~xnl3>}OjJssx`6=zH`0Y0&gcK&A6!)9+;-xzIv;5s0Jk{cv89-+5x#6w%X!(?*j9$&uz$ZiMCnohsd$TtK9hs zZR?tQ9)Cp5I~_%ClhOv7&UYnny(lMTQ@+qq`fvb2K)%1KB*+--*8NtTM~QjgwJU1- zR@}v`utvb4B%N>Q=K!z!5B78NpF6f}5U^CUgh~6HlGg|A#gCg^;Hd_XNOik!xLUKG za62M?ZH%-`6#S?)6JX_JhSkb=s`{fd$K%PysPy?=jcpqM$sGRwbtWTCiFF4A`ytuL zb^&j1>kR*jm4_eJ9Pi(ChrRTLf2&5?LP>T*ajHQ>l*{?OniY;n4sVKMhVN32tdhG_ zf00@V+Nk+Y9^>Y3_C1!)j*{^f91Y_IBg;-g?_-kvqZsfldK z_zLIVv5psgxA&$wltATLT^*d}`ZDT#p$?n3J|GA6k{!!{N8lR$X#!eAbPrT;g>i_E z4#?F%yAUcHYBTExv+&QpoVh1al}?C6Qvn_yL$^NIEH;F&bp z;qX))V;XrA-Z#N`UEw`dyCPcl1&a+l>S*!iS-P3)TyBC4H3M!1a#5t|@7hn#bR!AS zn7uEJ*?{`Zxh{3k&2~xEB51hkEQ6%YTB@Tepd1hF#1J8_ANt&}yaN-h>$|@5rd8?f zxQ5T~_OHidM}szOl!|3OeHk^)&oeQqJba|L78g6Q$4%y9a8^+-(N2QriT)`_mNVC> zOTfAxIknm=eQ*7kyP=MG}B*j^_P3CH$-7={`zTcvPb`XZEy&dC(mfdEo2L6+Ce|yyG!fDu0U*4uj9Wk z##`=Lj~_?GM!<}!7B^>IDL3lr?-_hfG??=ZC5X*fz~KNcg@0072hNxTEnTSmH0x$2 zMQDkU5kEpbln5{am;j-_U5iDas>_iJ@BJAtcoqJ`=2|BaI;}!bE+KWhkQF6mg>>8Y zUh;SPO%i1ag(`G0(RH1{SDc2&=A zxM3*B!bbmWaq&_4X(zzoxsNk9fE0#e`=g%X<8X~ay-@D^BrYH8b>on2cuJCaK?WN%K-Y0OUuIv@K>3tEBsfLwTs+zN;CGJ&}f-1dkk z{6r9hVTL<~{C;_e379DXNIxXhP|AW-zJN+)$T%hc2zp|I`xp^2bX0LTy0Fix7#C~t zChwP*va*bqduCE=v*MR8k1b@=EmnM}+VuAIjrT}b|0Le&f?94tGi}C_A4-aLO_Xu2 zf_)p#;A|jgP=Y~rvZn)BMjqV=^%>;isk>ijRf=^w(|p?RbdUG5+8+H6);4K4o>>eS zYQ5&b1TIRJ?WfdY7^iSKLoqNm!}DQ}!>_`g7oKP%U%cPNAEb1k=J{>^tt3Bp>b#Td zAD3PxaP%P6jR}m%P9isdAZgA3VwJ`+P1H+9Cr+SU5=q54o$hr#+s% zRC%E#3LT=^{dPt8z&R77lB~#LSvc~4%>*TNz#FaUeBqu9dOs8B9fnN6NoG9p*g_$C zg-Y0A&)&F{r=dA{r-FR)dA4)VD>d&rLeFDXF5_}AAZuN$MN_T9ROs(%Y>OC4n*R8< z734~|*}7Kt;V8N1GXY=DHpR*Q3bYXq(4gxM|E~@gOLjCtJ3=nd)(La8SJVh z2mzXwuKI)PowhflcsO{!4@0(Dpu;gkFLou#u8EPKt!zA^48>rONblqz&T!vfGiK3D zz(9HQnx5O#-wDN9E9tLlLg^PAa#Q!MZ(xDBPV(G@Bw*Tn;uAERA&FbYLHcpP|2s5^OptU_ELcRpAc=XJ*5Nx;))n$p)WmXQuRf-E9) z7_y#3l(>4htqLaMFui&$LVNC%Sn#)S#~>M3#tz~SD^jT-#F1UC^pr3O8FH{)p?qld z;{{i-_Ng=9#mJ4R{BTy(>-1|EqnCH#xEachz2DHm0maVR;+ZYUx1FNb-f-4zjI)7* z)H5`5CJDH#3tBnp0h$vi| z|8yuJ4IH3e3^N41$-$E|lQj>pKFLhrb=Q2rNPqp|U$~}ofUr255mX^8n4ZK0JcnlN zdh(D-hAQ`OuC!$3-d8LN!o07xxx=U4`t0JVvw8)o=0gt_js^+r()hY50%J;UxbZdx zgR*+*Cxglj;i6JI9$p>ntM*9Jb^qCUE$q2|0smuXd9;&S+6?;kxQu3R;T)yYiMIm$8y>CTrW{nQhXkB?HHkHM@Qo? zs%HpPC|}E1_^P^MeF(lHsXM-S<0Q7GE^qQohB%~r^MrGfBNZ##ZzD;X0u;|E*``jvKLfQ^QD-Azdi=$_VSJ2}L1?08&54I_u>97?2|wv1Lr2R{wQnoA%lAE{ zJYU&0A$o9Ifw054HTN;x_T#CPFdGv0au+6{P#*NGa5f7MAUI#$yplyctssu^Qn>W( zbu(My68qOsl{HHyAp3-r#OQO@`_CBcBXi(f@F83x3(ppEL3ZjDaF#%SCu#b^?<*q< z=%PnacZVzY;<3UBj4e^dxDTm{!DUCXrdoFua)V5u%xME{l3Q>PEdK~sr% zCuGwJ;!rmwe}Dh$UV$~T{ZJG`4=i<4by{sH9lGySQTsgJ_r!8bM?BBy#|#GNGRc}D zgkE=8pdX^(heql-uQtDpQpI_UeQuFXT~+)gmp}bA%o09|q47p9plQ-DPJK&qw+(hS zbWsoY;k()~Q*=4s`_JJrO`f*U?ScF3l*0c@athA?dRB#T#Op2MGh9TBV3OVayX|s9 zxg%bzS>sHDPLs-3@V$AL6LQOeI)DD7i|3XGeouN559%Qq7cqxe=z#_GdS~$KkCZ4$ zukr9%vW2EzbYyrAd${~Wjm*&OO*0-&Nt1KE-|6vYr3 zB)!L5Squ8;BGRWU-|4?$3xD`RFnBNI`sUT!en0JM|jvWLq2Q zSJ}ZfN#)@}3z@py>%9K26~FYJ1H{uq>?j%kS+y24)sIg#N z54`7VI-i z9S2Jj#pLHzfTph(*X}# zeI=x%XjXp3{h5@>w5nMP_i%TGPJRB9srF?li7mRCM`~XfLtgk$H!(xdnZFFq0TPn( zrU~7rIf{*@1&zcoChC(d1SUIUgtGo!Kg%9@s@D7vn}-dT5%deR13IHZ#H@`hJ|$0e zRo`5*yV`CuQQtA>8Oj4!CW@!5@W>kTdN{9U0SQX)u0J9=Uy#$kc??AmQ~Q$Pj4vCk*bvk^ulZ~H;7eq^9Q$8bucRDV zB~{s8x2rl>E;0fBFS#wR=H?uxO{4=X?^l<`Bx0;avplHZuf#wzm;lNA zquAvM*n8TA1D26!-f>bsBg`goi4R(v8a}L+4h=v%^__rTKQR~yZ{qmTcuK(uu&VFN`55{ zuX8W!Y9D>~?wn2JLX@`D6v=(DKzZ;Y85^%|rP0%vhbRg3=faq#p3Oaux(5{Td{+Ub zA$?yjvZSS8m>Ego+N;JiVyo=z9R@bh#-q>t;E=rf;as z#u1_&j(+kSzlGZKnDHIX*t(L(=&tzBit0gDAU}&;^Ml>T#6n3m`aJHxXctJgcw{(5 z8Go;j^|?4*)$w$3i+J+a&j=QrwioGglia!}6wP3xsZ6!YgTIgpSB4i2Xq&xC?ZJ6D7y-1shFZX&wzLT+P$KtwJMEKgfbBEc5 zH;tf{*WocNFjrw`;qKXSB*~M935a0EFw|rM0z4?5VY<*$WeR$DnHHq`VuUyZd zpV%WmS}LQPC7jAdSZKsfWPv$%NZ*Ko7H1{Z&6S-O}UR#B$iKu$ZFNWBX_jS>zR%*Pi+GmWZ$agS%cYN(ygT)D31#2ve^?wtPq| zj0I<@2Ht~}VQ0wBzmmi**IB!X58Qp+4g8~Ea$aSM1q%FtmdEQKF#&`u$xS#A~IQ*{)82n)Pnl{UZPiMppJ09 zatO-kp1Mpv@JyYOaZ&xoxj!$hHH;0k(2fA3_pM`sh*}eNR3`BQM1F(k(BmVhe;&ewarRC8%oyb_s6mzU+I+LhVl`bRixwq#-=b;r z_J==IF#)ot=B2-XQ0{(d3M~E$pqJsi@k#gwvm_ir2F$1+_D$qdVV4_!WC_a6UAmtB zcq#mkc(z~ejDXotNF%0lb*5kv``*Q>Vnt|FeM(4+Prc4c;Z@zI4NEot0hy(#aVAhZ zv<4?iL>r?molZ0QyYfQ8fnpFI|liwb6RO|oW>d%n?Tv*^mb*@NV} zR5NeEi5G}@b1_L94JO)8^n0I~^TUi}^ zPFpc8a;uY5+R!neWB!|wH}$}OJ{ezXLo$I=vI(#?v0x@p?9wvxKSv^9(MPB#2Im;b z=_InT}YUNR*IC>6^5@ z*=frW#lvBfC&vy((BC3>dr8ui=PaO1vQzOaT2XF%p6;|3cmylhnXu9&a{T^}vgLMt20=Mus@L3=d3++*Vc3h}erCH(oj(lDKOBe72b?c&GY=F3y;Qk}RRm(e;9L zZ^Mj9O4K0!zK|sKDV`G-d^IW0ewG&g@O4WYnorjh(eW_5XF>A-^I7ono?c@ppOVR3@#XqRtT$kzNGJYbQ#wor)h&6LfGmYpwu(WnjkKR2UIec zd&de0&{$uBNZxFhrq9`3pVeR2L3VUs1Wc-mItT``Rsc(6!zf&}EI{Rica|Z8k^7qu zXwZ|<`3$~yQjUFzM}oz$G+}P=1VgpSHY9QL$ei=4i&SeCWy^g+#g#{Y&H4MOF9OE0 z5k+jnfI=2)swf1b3(BEE7b7}vWb?%Ng&71Nq?b*WzrStfmo51IbV|3Vau)OXTUe7n zp~eshO6r-HCO@k-#1TK*t~!iwOS^tonOjIzwpI+oaK1eiKy8ziY_Ut)f+GP zREO4H-1Tf6B^fo~Axpx1gU#|Vj+b>`0h}%Dvj_%sF4qvfYGbi#q%pXm0c! zG%xbhU*ur_>y5jQTS!1|xrDK>;NBEtz7w9*KsDJf0b~N{P80)EREvmG^_ zKP`S*)!u&P`shWb(&yr*0CZ%i+nR&X1N*R)w}XvsGb;{~T!vF&vn3N66Fv!s1HXd3 z-v;Pu&;G(4(HYX|sB}?g{X990UYFx`4(+G9E59}OIQo{&5gvqCh`ZSRBH~`8h+Ud& zv#6Z?T`2jXX(B+OZ<@Q|owfc}Gvh=Gn}=bC-S_t6`v+1WW(+mV-;5!I;orOEAkWH` z+VgHnu1ox=`DB>?WZg(&lXl`F<@*Ti0aOU|RylMZD{399FCjsku?YR(JZBkp?{DeT zlmclZDWgOYl&x$*)xR|G#E}7r;n1;kuvk6j^fehc4dU`Lrm5O+pcm3+o?4kpnqlXPLl<>#S(AH8pKR z#?bg@8CSXq*7PV81C`bO`Tt#s+y95JV>kN|T*HRaK`OUNJc-qei4rjObEsGaHRt{D z5?_NH_Dlm~Ak_rPas=^qo*>MEY_IiknmqX>w*VvVF}XD6S=rZSohTygR+g$nxLS17 zVd$I$BAhV~|Iimnbq=~ZYdhk1YNTBX3=wkHq!?54a}wq#mc?xTij9}G2T~HGj(;g- z{|6=Q#%o}X__PEj(6mC&6jXU>@j5%+?wWOr4YJpNd~(eu;uNleXIh$Zq=Xbo?2mh= zuR-p=*+^1JSs&GYQ@Hj|*O?j_t$q`oexN@q^~P(9eR?ZvZ*Pu>nprSc zALKm=r2G7BrF8DEr!X;4mcVUo1m46rfI~#?aTV^;kg$XR&$|jy#i6-lPt88-|C8^X zj<7vk`hjt+qKIqeO?ky?NWpyFepg^5QaxLU|8wtBmY02NYt2&Kd6|syyj|3^(}D+v zYC;Pnm3OV{LefX~{+tWj5_?bj^P5N6?k=Tv86ba$iyBx!tm5GaVmE7= z3YfE^wT4>W!b=w}QEaXc>K(6CwU6M$tU@UOJ$@TEtr%OQ`mr&oyMF<*9SFQjs|%mV1dg{K0Y?XkLfzcn zzFaOJen>aPD;!8YM;;K5Ouuw@LgI4aG1Y^JWERLT!F(^PuyOcXQOrz4-2qBg{fXEXeYWhbE^A~$Hl6kJ;t}u!&+=o*xCXW&m5H-iT6@9 z4Qo}Zk@A_VI6lT%+Fg2an|r__EIy=qGbx}Kn{Mi_@_2T}>212TvhXdlqvtC;eG@AU zJ^X&PB}TxCq2Nh8EfFS&Tiq{Z8L`vY-lPd&2ujO6sA<#Ho;<6%+i;NQTD1MiLr!b? zj`ORijbiiDuHQ|q!dN1SLvw-%B{kKH$Q-yxk9#8OnO?H~_9Ttd`Wr4x!%EG1a(nQj zm>t%#RSa~)HpLn@pH8%q``xi)d&5}rB^&MV)aHKI>k>-F@Y+*)D8-xXQJRIVo0G6J za>$W;^(oSCy+md)6Bb(IAUXlkuEujt^kGFf6)8g5pJfdE(=Ah4;nNM{>FW&x$^}5arA8Z0Agb3bN}i5x>WcM=o5!(QJ@6U?g)K1Vmk+XXf`7$`<|#ZgYJ% zho2QokoZ&|$9VuC6>-RM^@3jk=mKUfh|lxIU#GK7LBsT4v#~ zwaiv<5zkLNgNuhH;}FO-moen_*{ZD;+%4q72PUu;xXkGNkJ|V=oB|8?=1AqV#61{2 zc$NwLZm8bt_#b}*j0>>;nNz_J{Z|~rG_~MK6VRh@O*O20{H5f9YGnfzU-d(2mTzX2 z|2#QzP>9s0&92Y3cX=lE2Ko zxhOSd&TIV~i?Y=StuPyU5kr&apAG>ri&mCBH8bhM-A{C=`d30~jq>l=8gLzih+m7 zz+V(hGHwQgA#v*d$Lvpk*?aC7{ewshzF-W=8kV9H-&Gg<2==mt|<8HUcht)c_M4U=Nosm1vab;2V3BfWAe(!%}d9cBIt=H>FgA4(o zbsMs5=OdG)nKRW&>&1~VPR^IOKG}p{ka=xbX?A;xQVsu#qX~8svMI1$Bzu^BebNKN z)1$w}{6x&4_s87k-}O_!3UpQM@KQwqnq@Wm*l$NvVwmxpAWw7DSKP$L>pHCUrqx5D zIyC8=KnnaBvQyJg9N9HMuMK1K(RXo2$1P{yZqoiXwD$YU2P}gl_#(N8XSJ%+MPRIr zYhe!3scSTQmq6umHvHi#oH%+qMw{GjN576+FWMHh@KzbKR&T$bPseZ4g0~bF!{#w7Ce7?Sp30z9B z{*bPd6RLs03`59&Sa+d1rNRA#G6TMt`u6jIM@@ZZt|7U=)P2*oc9|_YYz0X}had^G>y>|w6#A#-x zqxCxPA;Wk5Rcd@+9^0o|R2zgkkI>E+!@-Omp+|rAT(UW^c6R*d>i8rn)#7X`hrdH8MK+Sj`Bk8|F-I0&~gm zJ|u6C=|S?H+)J(w#iPG5lST_OIb%rNTQ7p(_)u|>|;h`-w7c`NtBrEWgELByX@Oo zl8_l$PG;tuXZqgv{XF;c?fbkgzw5c5`?{a&?vL{i$Lr&m<9L6z_vc)WkFy`w2ZYYy z07S(I2|EHO$b_~c1FcSiMra;PgHEmi8wU;WlrE*tz0HL_bmq~3U_T`udj9?H>kLJ| zw*0pJmfgwk?(sMy?kIJ)re;e4&tr8#7V+Rql+TeNHpu7bZJ;HHFQUvzkMUC&z4s6g6iy z6OXQknXX&>KORK>j~6PO>;fJwcT7yx5b^EZC}xW8@LMPG&sC2wZY|M( zP@zTa+6`ws@jTu3Q<0BH${zKWS&SdYKfU)gL1d_9MJ8fiq$C0&OM{0_cjvft;3;$A;*0-fRZg3x8@lua zanli70&5k4&#(_R*`stsQ})ar?occ*vm;-FaiALA#e}@fG`(DEy-=&(mv9sb_oM+AuRrRKUu1Y(=yUph10KHoL#p(B z#E*gVA@*jLBKu5CuEy2H{PkS;3iTb{HUqYi2Oi2tovxK1b&|)t`ZidIm>DzKBb~uH5C*%-tIw3Zl#e;5z$?dnS3u4E z!wuL4YF4c#$hh$Uba;c(BT?5LG&^upW4b@ScFnWgb6CyEL%rlfi{9>IbLlMmaMPz6 zp~EDp$7Q@%yWz(?-!1h-D{MX)nR`|gmgJOnx zmIfYTOogH*cRN+S1{@~MN3G+-YLy48{ipR&%cf1HgQ~%Oo2+a=cgzuNEBc6a)xg)NP|kZy zA4_zFc^=*PA#w1fomkRn01KRW1y5J9kR}_{=|Ga2@_fI|@%-f?pHp6Ea`~p&yZ2@X z#R{6--au5sRsd7E4{rV^BN;>@e1RcPwzP%2Zd^fXvYXJj^HElBL*98U=UdzWrzpeXGTp}qIw-RKfSd0&gU1V zPq_aqM#TNez1OpD4Af&Zpk4{%MD7~7OVDTuCc(@NwWoDM4nJ|+lN~W(P^I!x#>FlE z>}%04Ol|%VCye<7A6TptO0HVH`F1H{!rWj*O|uoEjqL(XB+Iq7P>;dU^Y^eI}Zfu=3csW1?hQ`s2NVyGz!ofq|{h#OK{l=@{!z znI7V~VkEB3A}ARbeEo7l6A@s1twcRaHxU|etHzXY+<<%*W!op(+Pqn$?kk&qdQ>*U z>EyCsc*>ixi!bxEKf5Lf+;_`k*q$VI)uov>!a~u4p!*Bh8jNkByz-%xfNXisalbCI zVRUPMf)m(7eW%HeMD3+!SGy8d{ai|J)aMy`yqZGcaxII}`d@$ZY^fI#5xL=sTTa8v z(UWBkrbv{4nn?3VcVd9#`QyX{*Z0NnT0t#D`pR(V zOh^t{h2l=kZEk1oXfjvoloPvY>nGUX=zd^6y5+F*<2C=>GK@W(6*}h4G=m5%r8_-> zT`A0?19s(RtGZOu?ak~Yjsq&(r>!3d8eK*#j-coG&%Eh ze+qSy$PW1Q={&R8ey40=XcICF0n1HWth18(qOPP=w@bHA&aT9cG3zet^IsnkEYg6D zL25xYoGeX|>Mzq@7ch!0b259;t@uOKtnh}j*@R~I*;c=j+n1CXKLp@rE|^(onr7O{ zNj@%-pG~-=k1+2atk#)^@j50tp{4qNltrJP5w+=;q&A~D=_4hJaf>Pwpr3ZNtDqeSZbtNBZ!CzsBx^lr4nX=SZKOl)VMvR>| z?85t$PR2R@w1{-QY^g8L9fmL;kp0o9L^40r?kl&HLA=vm_uxWGC6qNywy3$h+J*YY z^VT{5_4LW^C}F!jAK8|X7Jt!Z;F5|G>1_QjSsO)<@WC!qev_vN^!P62P4FI- z|E?PL$U9m#0EmkQ z&@0GBBM@r$j3jKO!8sa_Q7TjeT@Ovbu9cIEGDGggY3pfyy_>z4#1nbc3BCDxHoP} zySqpovJh5x1I~Y&J$rc&d=fu_FLfC%nV|uXF%Fo=s&dUp=6BI9iJxjpj~_4)`WEnW zd2(F;Q^MZaV_+i-B(5ZL%D87;s6fJ`JfU;D#Wc|S(3OP0nrij0zJ1Fqw#tnA)#ES| zUPkR&Dk1sEsOvwNuM?H=F7OE3vMGPy%D#F8I-)PGrDEUC#dN-)?>)Fq~hXohdbz9Mj#VHpKpiYJ)f9l;(*8g#T*H3}f zr(yMt3Ma&P*>r#MW}|GudO`;`#EFA>&s+AcMLCms3v)g8lxwKJj5~~A`8k@OpTC&u zB4_(o*E4+yey_W2$6F;6$I^g6yv?sC^l+Gmh~3O!q^6_*4VX3~WUvjF#M1yd->9}Z z2D-~+rE5O(9h{48z&yt6uRR5hG!ix&HAJ25%=(3a_}8n1STV&u{mnb!3dx>z@SBX( zP;@Ij`SOS2ZRCQ?F^w6@dMjlEl#ZLR#(XrZfNk{@q_+wF~RZODX? zx`Ni6g)T;EJ3BbM8PaCcQ#*D%3wCwvSz-rf{}W@TO(hz@&PhhlfF477xykVs4=x{} zK8FB#2J~U?TZ!G6d&3yg2qbtU3%0UsW~u#r&kbwNk7B)pDpM<4JP#4uq;mTI8d!<| zylviemp^C3g&9)*Nv}I=Jj(t)0$}#?u3?rENu8MCzKuX)X!F@FYDVrjv(o8qzLO4v z5}!UWV8W9GDq$;c20M9szd;-%M3|zbOOv^5hRoLt!u#jg%V{SBEOgWZ1!i5J1)n@& zIaDWU9Yv?|KdR-YF);7G#t}@Ux>TNazWsLTQCBCA`-JH;Ffmkkxp_1t!_iT^mZ#MH)P@MSiRaGB|N6AL7V=0^9!OJQ~G`VUuvHC6ko z5x3R&o%Ck8MqKU}%6x_GcOMT7(de*7-Jo+*CDg+Yf%DUYQ66xvNbO4I`!>zh$Ir9s z?%ESB5W{+Gm+n<90R$f;xri0el=8+-hlX`gE~*=1G?pTtg*|?I;ao1c=v6;* z+|Ne7KZ3%U1zRR>Tqm86oq-SI2q87k$67sH8nTzV8Va_akI}KdJrs0P zYPp^?+KMf4S=!8}Sb|=;LyqRji5@mWt*>>DCok^lUu2ZOVH4ao_MNGdNqs&CBcdrn z+KizbWJ`v)NuoI~n&po#-1Si^E|S>&F8m310Fx3`1Kj}atDru|Pd(d@QU^o*G@mm? zm|7Gke6PCXs2{U-%=;7#0LtLdjlreZB_^^3 z5EN&A3hkK1t^C-(ptH!E>sYX}9IWANO&M89;PbTme? ztR_(HDV+Lk&g3c>0o|d;%D2dpQ}tZ|nrcMP8e;N*1`Ogp;_|YlXUb(vYap6gpKw+` z`tVOPPc|`mN&u?P`V@svdZWhqU}<-zh(*YiJ>UAQ$y%pdre3*o`27?V9h%0gLQ<6L zU@$4Yg&(Z}VheA22U*sz6yLmb?Kc09m-dgYC|Z4tcyscBiXy}O7itQoUi~&cXhRmf zmKOdw_o5eadv^0=DRPUg0CNmkV!AX#&(kA*)94K1eBDN;czn;gh*pdF8c z4|j_vu-5W;%#g1Wk&jD_08slJ5fSp7teHq4OZ&0v(SWKwrA`MJzkBAj{qV`+Nc-#P z&M>)=Y=ZNAJ!V9L9@sf#v&ib^Rs|0Rf&}({B2<&l!&lCT!|yg@T*|;Ne;sBPu^tp=9(Wwt@NC_o12z4PAmXz!zU0OV>D;IzP#!-rTfFE_C~ud2R69hLSVt zx*EDi7QaYpuU0Ax-q^1tjt?qkKCQHb-A4oLkF{C;+G?BAfBc zv7{c1J$^)?1SxE1lxx&#;B^Gr>O`mh0+emsg`zV)8b@6!nX?Iif3l@rp9cm9nIFv5r zFz9?X*1MB%PO$Bam~@qZq_ExI0F?-6S^X47Hi;N&@I}n%q=5#@z9ij4X==uGXh~CI z3UWfX5u8aUOokAWw6hmX9P8G^>H_EieZQQXY?)BoI_sAC^w+QFlg)&G*mY&rw=sp~ihnS=IfwOWq5;_)u9IR;{<#69}J3LP+0h+_jIX zfd)9b1fa#K>9xvWEFrnVS<)nV5T=}>##p>)m=s=~BtV>$`UG|k^FCQ+_a1y)Z842x z0MiXgDM4jNOQT(MGh}6Cmq)FOE`$cczMc6f7I$VheU%%$*LlQ&H`xFO!1xXqMJH7> zfL9R{3@<*_WUzd}+5pGtQ1VS#o{#0{O~*XvuqZG2rj?_u#OF%jbvm)9yQWjeDZN<3 z>e$A#f`%hk{oHf)DmZjWyn)k4-2Af{M`~rjHnJAQmzs}4-gYPPn^uyPvjiG*9R}V7 zhe#YHCl9NX9unS`kURgT7 zkKC){XFCN0_x=QpnbxTP(5eYzSw{IlUuP}P;shTx#~C`JQBoc{JD*jK1UgT_`3b0C7}NZLN2`0C6?mOD3b~>VCDbF?o0n#7fL-_kVk4nhhB8l$7;Pv^t9lfCQ@#l2WHYsj08fNU~EPub62 zdHXVuxqi3DZaO5(bSRxcrzs7&4c~svBR9;?AR@{-%)fW85(^ie2lh)P-Fu7vPN?qX z7mAD?9(hlFjGMOG@T3+%D(LpK;JK~_e`n{nWy-qMeTCB&>Cz!lx6QKeUk;c--HX!Q zJrl8wZ=NKYc8xMNZL3#a%5Z%SI_js~uIy9XAZszbzUraWa8&d8N!2J(dq#RHnkvK4 zZHtRyMnp6e9J7A^o@f*NWt&9WYM@7rUc<6F zR#pV(l2pMLetDN~Ra)HLS9W74!Ti*C)#r^C>2x~%w{j#oPQKf0%qnI|ZY5tC(SnWJ zuS+M;U7?Nz+fpk@7n#O$zsjFuqpP9v3zW+CQ{U}SvYP(HAum=jp|G3J*leqD5ZslN4~4JLE4h`qNg5!W z1)Ib~;u$r$C_cn_ia4H+BJVJ*wnwsg{eLxG|>yIiS z>p?9vU>C;GNx*d4Gpa3rL@LEOf9P~Y|E)`UXJiM=_H*g!U+?GA0}`A_7Qca>{SRue zBEcy8=PxBJ&k=K*hn<)8w{F+;Bs&0`$!dA^^xfR4KuORM(eIJenI12$JzXh6*6e;70V^%`{}W+;8b9W*5VY(jFN zV1&oTr%@`(gHeVP$+6MMH6s+gZp6sa0C4X25dfoW{x#@1h}Em48&|j@+27QlR-3oE zU`$_~`{4KD^Hce-JMze6I6refxC&N#I#Mhg+I0Ru6egOY16U0D}B@G~b`fUJ!!qi!(V0%#V z#Aa-eCfvTf7T$Aw@4?cNFr5SQ`TJFD@3t#&efE(|TU^|FN3S5pP>wXO zKT69&OhY&LsL(so=nJ}aPi#`o*l@GU+-UMK&n`Q)A8MVK zC=aJh1L($*gs{PGA3)jBfJY;#o~z<o9yOrII?~p!Rq%#uKdaUCrW(Q`t*Z?PLuk0}!e_5*z=H@vL z)CKl9RNgb;&~^RVq0~J;Xh%T4Mlv95;E&)XCYc-feH3~2;N^yU@B4EP<bI8F>AN zWczMO#vANKVqh$=muvucBPbKg~12B9E!2dz?T4hq9<~AS9xR0`b_z>5h8;#;B3;UMnR7zypLe#s z)5syiytUU7Ls!%pr?8=-+`a2E3RnAH?GqWj7&xNF zEiA7q5S}u};@auU0euOK^62)F%;z4jZ+d69NXv}j z6oPB}0z={>_bcRbM`fzu!tgtY5!b1y^inKO(p~hbhze~R)|2;)H z(PHar_5II$bkhAmK1u{^@m9aP@@A*&oX5sui(BhfMl5tK6{cH5ru$`Y(aWeP#QT1k z^X_4V++Rl%GomX``W$m2j_wE~9}1k{rTL%{?Z}btZ0k9Z5e!v zql6+)4`8a@VpS0?f)w-0nsTPixFLsqP6tIJ6)O}1{6Fh1Sjp_T0$PSIt&sVkE^G-8 z(jIgo5Du4rH!-|>`L@Sv)pzxm<*(m(62sDvS1`tnG%@{od&l#xVXbbH?50{9=znGM zCe~wkDQeEsGKV_XV;-D~YwPo;f_w~H~Qh$SVm3e=}ykWV>FB$bi4TOYf5CuscFKG7*ZvjD{Dy7DfQO{&Tr?fQct9;Texd?y^x>o00JB6zyPXN96-x&TlFHz1pUL6X*;8< zCqWWjEmhQX2thIEhmL_En^xUQ{?-y!I@vcz&NwT6R8IY5EX~bg9d#14p!|bBu(N2vHME#k0#mHkilu9C9fANZ667pad6FV=h<}H zJKe_VeJA+BIgXDlT}i;rDVFsM|D!Xfm-*ouo4q-?$n)J}7B^c;v=#54 zXp_9pQXF5ud%x4_=C9K+xP&%tfsy|1Z$bHWc9BC#tyOXQPA`Q&Z@r(w5sRU+;6|B?@ofZZsWrf zl-Xy8MjLZlK!|_rK9;F=@ai`m6n@86xsuxedxT)F-39trD-dHFHZp~apS*rrmy`S6 zM!+P~ht=RH<8#l`%Mt69J3^P-)dDB#;)k7vODS5^c1Eh2!WCnZjbk}9(!^~f43b|RL~l3o@qz(l>WoL!!_K} zFx{IsK|%5fN3=vg>Yz_P7_5H%mg4BBv#*6gm0kH{O-g&j0O0lT7Wt9z9sFU;4)h;8 z1E+A#Y&-;mURy5WVPzV+g!Q@66y=TQa*F*@iNzC^#bQz~-qthe7@uJ3kfyIdF=hEZ zChC*3>a0D}=k2@8AjKUtP2G{&w%y4pbdQk7+rfs(*UL!vHU>pckupGDWt=Y=-9$AE^-H8 zP|r~_FjHyxU3gn$csZVzvairP*7(cP@(-B=nM>b0E&MBv7PZ|tKILEcaEtVv9UVOQ zuTUN2G>*mFsZ~@IPfUq=*zRw4zJL0}*ofu%;>Kg5pQD)7S7F8vbj9)VXfaH^D8dHL z|J~>{XzT1=pT25Xj`dD7pWS5j;aP=c#W4(-)+2Z1bedS=IhUZbSFFKsT&WM?obvOc z^&w0WIt$&2XQ-ATE1{0`LY&^J+dr@LuDzB$q`xwvoRRj`Bz5&pj8dKLK`pBcef2>C z(+;=?9>I@hqDX-xLJ7er(n_$DB$`MRCS`>Tk33aLlcL&@)cdC2ucBIlfsFZ zYANxM>1xD_IEf0FsqCN7=E&u->v`b(a&)P)b>d&RpU``YuJr@s{`vd}!K+J+mG(?N z8}<0Q?OCeyh&sJS-+cuX%%0Ton!z1d%#?^w=XSXt=Rnf)7YY%BMS}`<(vc@8PXWY(&yg zaX@!qOJ(zYrrVb8tHY-wKbKa9M742s9i8`YF3H!C;Bx!?T%@W7#pzFnNvUIm2G~-p zM;%+^WrHepM4Md#TqvH^J0k$)nrw9E7XIt-7{IZ7WV0#5$rudwa1eV~mde$7$*nq8 znfY^qCXAR`o*DJh+O!u@noHqcobp;e^V-|xGAZ~gj<7~72=9AaP+R*HwZ^= zcJlAQ%&QQhc-yWZd?_yEY9pMlRA61P{P;kMYa;t{dhIDiZrtY&bz`|lhJ=#dNv~Sa zbEEbo>$p|hsQ^gY%Ax#mMx3MhO|N2xA;IUFL#~T+?pE*}hmcZlCy3c2>x_qRtvp!a z?&nN-KriX&dnXF!e0YX-9b9msmuVbOC%#1ba1L>^@#|vKF6HR548!J$tWPs+yyb6Q z_X?i~Q5-w1f@{I;;5O`NE!~FX(4Ph?D)_^v<@iV5uBrzLxI82Y-ws#XubkQHIL|2g z%;Rv)-6<&wH~*B&(mcsP53HDi^LW3pMCx(BY4q{2IrO$?>|A%{#rPXdpWojL5WXFt zk^fU+hbi?vA{@@92}dD`1zmxfhwYVX#d~BUN=7XY&vD>AdO`$6{8aZhK0EO1yP~foO;Ea-|E=}=nqKAOr%o2N>$^IBziW3#-_A&8 zX!Y}#t;ikQO3pZ@p8dY&AQVhWLVwo(Y6xsasBy@>Yn_bE@snzd1;umgiM9nmD`Bk)GCy*}mGjYHZi$Rj&S>trF4_J#lzt9cm3^$ujkeH^&xd(FFQIRp_1z@o509??3em z6>~pOoKsb!;j$}=?3zAb@2p5B7b#T9w;gYG)7Q|?!w`_(tWafa+pAXx65q*vPy+XWtuI-flibJ>YY8!PY0 z*HFj`cuO9j-0w$Bg-tR^x;?~&rL9+ba%(%uQ@%(**U2_I0QxkDgqRD+Y7QwUZi2bZ zwGsnO7X_ck7+C1pv|e|9r~b&uzxe2y(zXXNb}F_Z_K~QNCO4>0$Vaj-6xPpKc*q?8 zkc21`6Kg3=8w$(qB3w8 zWiN+r$lBz85h;|O9$caUM8BC5dknFhqQn$_m$=kBW~Sq|8g|9(iF8V|$Q7-#kHQ({ z%KSul7-;>}ncl*Jt45DRtxZ@z9%m?kl@HT^E^ivJ^4!eVCiqGDetk*i&+Tnu)?aAA zQU?O^pP>P@I)K5(C}L{`PF*`fcE(aRW1($c{ta6!4bUXffJyP#e-f4cnGUg(xjRcO z8O%t=T(dpptIO~RL6>JoM__CnXuwap)T;DPEW}cD*ABL1I3sv4m@{}H{-eNOQh@LL z-w*M`MPsHiCs%FZESM!8%o?g}<2t}|SqI?#isggkC~6@8lwViaB#(zp&qG$e7!@h` zHU+`Mx8h&ua#dByYw%v$t6#S})&SRz2c=gisU7pwP{l6lzHf|$G$8aXx(U9fHbw)c zu$O7T3=gJp0C5MlE%F0HG|Hm^qzD4SMsCPy;}U6bX_z?JqA3Hq=6*NtEXf)gx4PMO zsLtnAvRLO5pYcf}bq1ss4QQ;Q0e2?151HFhDIe%FmNLvEi0iRKK~Sh4mcsoVLAetP z`nE2ep#j=8UC_RDI3#ZsO#?VqsF9d&Nenzwe+y8cAd+iAjM+5dS zQlFq%zy*WBa28Eb zlr3Gg?@Zr?+06v&h-Uw$%cy_p5;k^8&$bpHW>^K?ADpl1Bq zh0-qa79H?b``0jMIQ37b;hQ0tDTp&p(3rZ3Os<{e#sXto~s22dh6={lV%F zR)4Vi_h5y5fI1CEPjyA2nS0R^D2@I^Q{u)4&a~bI-fq==o?BOROifHpOiYZ=?B-0P zWUDLzK}!lIp;>^vUDAC!yMhvDDL}gRxK(eZz>QB9hd`4bW>QRv4xB?#ueP zRsCF5Rnk06I(*Jp&uka<3*)1a0F9tOHuxW7gI>RySfQJa<%CAxe;U)M*MAao8p!=^ zX&@3}$tE8|cVQUub{R~~coubDJ3@!mV$(+Ql`)Iz&&w`{l9r+cxzyPBqhS|GNNaQ_ z@?Gpw>@*D+ULk}=sv8gojqqRA@vQbKgDJ2>bv}jn@7+!|6F8n_lM#LzaMu!H`csMi zDcb))^#`gyQ2l}G4^;n)K(z<^7+x_*r*VC)${2P?*t-UY(#d;?b9UAUp2KC#9!%DX zRn!X(lvW-e78ADP-O#|O{c3^0fP&Lk+~SR>n`D1zUn;^f06!_M$NI!HUHiS4Sd{|m zv;HEoN93=E;lD1F4=HwIjhYNGdQZ<2TAT3vN}*x*vLh@aZ``~8BT8Czp9BZ-+&97a zAm>icIK5fZ>1j4!a(p4OU0+} z%=OF2H~)W8!2bre`gI0kDI@PsmZkyw3c4AEX@Iq(0Ah<#{VM)%8%3L;yCzF2>XE$k zEf_$DD1s#ZU>RIBe>VHg;f0vqu-jzyxUlj#0%Y7Ocy|DAO#}WE2!D#H|2bIEzWh)B zjz@ZR1!5u7Cvf+n0k=C8Xuw%t>bc+F7WytXlnxmR5n$9vb1x{|d^@7nk{XVm?fKQ! zd8jOx9&m7ZdBBT^BmZF+u0Demx*A@H8LWU7PYkXoq1nD6SDzWWLpAtKvycFUPu_q1 zph%i%HN`mT77mr6f0|Bp)yr*NY;D4Buj6SzuYn^#{rVrd9s0#ckOPmV zP@b+d!?p>zn1#-P*K|e7(SX@zNPq@Z<Nt`oO?m`2Qs=ClK=fxiUR0a)LwZXICmjtDtCmmXF`^!2!z~3&0Emj(^hwed% zCd4jkj51=|rC)jlKdc5B+D%PT!!t!`K;J`Nq7;QM8#1(LL~NWMqHhCljH{(b?UgMh zY!GJSu(ux#FzhyF!uS0C3;$nS44}e{(UxIrFn)0aK|Rrhtp4)bNdNyOEdPoId~z#8 zZf_u|Ixlb&z7&Bz%$$ljj_M}|z34Gr(fB`U$$x*L8PVa928mbvH`huV_|Fz5aBW85(XM9vNILGyB8hA5De(D!hq-sZhGFOq|4G;@FsUkeMzKLwZP#x4*?(7zM{7N(7y_JfD>jyKldwMpv+?b~#)?y#ifHD|` zr_Th;of?;J_Od#ndgw<3)%iMymF;TisaOc``f%SyXk12)a03*TvS?Os1P<2qbQU*J{0gKi%b7BV*z0P09gDZsM{z8u~m}Eg| z7v|NEaE;NWgfV5!2z$o$0}*p5?wMF-lpe7;G+Lc0f7Gc`^i}Zkk7a8v9kJc7>qS`J z9egtNdiQ>2@k+Ypagmy*=}^*@fDcgp4Dpjm;L(BZ^zVBov%M$ec6Y#x>1)!?EsMP|? z$lmC7%ZZznB zyPk2f??xZ?b6IVO3gHgRkC^LhxlFsQIqL z6&_LcD&=a;`9x?B{4wgS=+pHPE{#<=x5eEW1GIL-uhiFxC7l+=saR73ooR35Z$AUWz$p# z%c{@hfNy&J{M9>LoLpudTFVohhbs}m3RV)1u-IrHi98O)*afP-9T@PdL8HD34%BMMKf7ByK337TZ?>3u;$ z#7^waw0`etA)<6h=*1~fGniz|``cW_sF(7tN$lIsuO0c)E@gPUnfxeTUL5{4sY@qD z>3Az~7d{R->|W=N9>tW`l%NdL3`R9Pp`TU*K??ViN7z0)*I!}D&WNMrcpltx4LHI$ z;7nIQE`n$b=QY&C6Y|66`U;+w7Tx&9Y2+k;Xy{eE@)6if)LdT+{pERko{?_)Qp+Ea z^}Kz1=k+U-BvfnTVs{TjG#yQFvUT9Iwknu=a!VoD{SmVJd{EZ6OunAC)hdK4X2lxm zOX0W5%gUqggb2jlzRan0-B=Zn-lCJoK)TO?{!@5vZ~kdq@NuzT4=i8C+0kn;du|LY zFRQ1-%)SUaOTB)RdkfiRscc$=Q>| zac6}0J2l`Pup7}0aaO`e^KpPEs<(1vZeg%N~k!;7I!`^!`1%^jPHa@xG?Tf0Sb`jf39zBR#@_bjI=iv3BAdwX*)e4?(?!c&%M)|Y9>5#Y{Vb6N6i+@zi&D6HA$2G7 zho+iJq~Z=m{wwS&cCTFIn|aI#Y|q(Z+S~VDmtu{e9tX3Dxpi~G_jedZPvroywT+&+6$Y(6jXn^O;BDjrFP zuvQkFZ-NV;4=(gLj+h3DPKc=Xy4DIRsgy`PTj*(G&I-O4-B}Q5f`6q()oi?0guU3m z{GzI)GWtc@zIf{hy|LTzJ&#PToED4X)Pl$0i*hNvnDb!IbhH#fx0PKS#tvqi##szI zdR{!A?xBXskIq!#%aR%u7lk42G;34L6!%bqC&hTy^!4 zJdnO{Z=?2Hcxju^(c3jomMMOwQ=t@|t_^=;?$QGJLOLSUm|fv4={%OB!r6h3_jpc% z!=2 zmikWNkBO1Yg1wp}4|~G6kb?7LKX~Pir4JqjbF7UNK5EC$!5lj72wNZqNWm z7da((AQraHe%42}u&`Pq$KZHzk&k5jvbe36ZJX^KeaUBuZMgYXh?Q>iQjAkBWIld+ zPP^~4y$58Z6E6uuD>c#=0mV0KiB|l*Mg3oG>Vs7IDY@MAS{&y>9k`8~aHI%!C9Rcu?w?O#M6>RnqjKH!v&gIUzG z-HOYqjvd#qd#2q><^oWj?g!(^I;e}F*>r~KMtsVZ6pOL}RJy|Jg~i;DGUyI&RNlMB4_?Vz z?|eRX@osBaags_lQb9qoxZG)UYex=rB?a;A&{tdo>Z8f zsdaA|vvo<;gCKXG+pQ@_Sy(xRiU2Mxpqpv1^zuzalTkRD0W=`^#U4QE4;HAay%>qZ zxqFF4vz{712lpAr2lMc0Jj&vjj-V6`&;#B(Y!Q^0r<4@Axc&LU9JW8MkoB)k3z5n82Asu!o1RCl5!j{m!aVKZ)+V$DS zuWWG&33~v4KOIyT5x!9aGLa1&eG6lW?oC`yrsk6JU7k1_52s?48*k$7i$^KzsqZrM zm;b9`7nqPB?M);gV25U;q5|X2H5iB$`G8nO* zfow!;Vs(05Tx1WI6d6b}Sy=c;%J3Y_98aw-J?QpXzeWEr-VQTWh!MsR%+MX$gs&;C zb4~~@S#;g5XQ~Suqc+vImnN%%Lp`1h&gs^54OKyWa0>V2bV|$CTlFr^f)U39+`R47 z&@-@&K0)F56A2HGsa79d(1LeYECrEj5cZhi(Rw`h*CgvNa?X2d^LX}*=8eD)&(8@T z@wzG=`{U$bZyDtWrk=OWbFe+y|hr zZ;Y$05DRHWjvo}-xZ9(I+2d3J!SRXBCdOqgSa+G19o%LUMQ4e{MOUut3$|!!1f$QP zm_ZS`4Y5&F63vLgtL97}NILyog11KTvkv;LpH)_nZ!ko2_?D6k6V$Q@ zql(uS=0nWCj{iOEMQ4|{$LX}#p_^$)RFGs$#UgAGFz?Wfpn>MHt{{rWt+eH}pGti- zvnOw14dM-Kvf!h035bWV|~4Mv!w6T)9Hxg#1P{UU7NX}V~N4d z0NQ#d8UEhCmV?)0o8iHl0N6FvP6GgXiBhm$BV>HuB*xmr{@Lrx$7RpRe@gwNN_eu$ zjuFSQ^_f#sXu$n(DXkutSs!(g8RU}PQ(>X>gTD8^vhhQHS~oaHy)GSLB+I^tfNuPH zk^`}<%;ZZ)!_0ft{r?a0-aH=4_w64ZA!W%<)=?C)6`^cHWlN}Jr>P`lOOh$WC9-ct zQN+laER&tc*w>IPTbMy)pAlo2nal6;xu5%fp67f2p4WZfe?HIihu6#Na=FgyI?iKx zzmM}ckJHU{zEJTkA!=)3OTxj{wnNrpALe-EJOddDWGNskd=43!p);T(0QTv~R#%N| zRn#Q4TAZq^+%%MXW24}!VN@t}*D)7%-%Hm_@1S?`bJBylx&B~)quysW=7oO|C8FiI z!N-8J`mx5o{w{oFHCI?;YR5jvz@ETZ!PB&7#GP65mTy*?@F-Atq+X?9=3}iCmRsVF3&eT9??7-FQO|yK_MMxTC7d@~9}W~aH@yyL zXmL^jkH%1S(N^^A1}0Q!`;6eW-_ObzIFG{;@q6+QyRfSGzCLHue~l_EPupzF%X3Sl`f64l{#Q;OmtTr$u+qoq z?-BEpL43ei?nhvWN!6bmwGT@QqNMG^?zLA!FyiJ9mRUHBdvrFXbw-TlPHMo+B?!8U zpRBAV?xgYA#nIb-xk*nD9GA;AgU6)qv*TxRAD2Nl96k!U=}3Eauv7+4>mdhw|F4(B)M7biv#6zD4L9j*nw;|I_+Z(H{NH`36-I=la#w*H$BvO*Z< zwB8)Bw>yZ~n)5zbsbt!KhEbqc?!)eMA-3`!{>4zv^wZw6Kz~|UG=i)p?%Q*98ZQpK zR!y*`he9SZ`2G{)+Cf$m!H2+q2E>7f=HsJnAR9@k86j-`5oOY9WntIlEtZ?pof?;u zHuZiX#6aBRX5L62;%Tctwitm=o2l@Q1l-``-7~jIecAN<*|kI9is^{7tf;BVA?Xkc z(TATI!f#y*gO#bHhjGN4*iZzq7PyZU&~s)78!8@z*oXIA5IJH!%EvbAZEN57Pv!|2D*x9BG4t3mYF@TkOn455W_%M zA<6~p5P{Rxm-%;QR@0%0FDH6~t^J(9(pOg4&K~r@3)m>TTrh&T(q=dPR<`=v&{p9} zq!f%Nv5oPo+HtN&jiOxofV40tjZcXTlFSMOq6i7_hjtCw7o5Z@Gu}G+XuiDJTXkVg zD4vh!(FIs4SPY&8x=xX*>A~Ad^wWvBw;0MPYZ^unvcHw^(tTK7PbzE`K`4T7+-H-& zBK-nB{W?OBn|k%oQ4?ehqXwW=MkEtDQhqF7Gtkeg``F@ooUxx4VCW{h)wc{57rrH7 zUet?_1a)hP)d}ZKv;m{Kn`INzjY+NJ8V(;#Rc1rwUS*Tuxw1N2=#hH4^-3rO6 zb8=|~j})5Wi~ezb+AIB&S;wIZ&Ub`mZRlh3eP;ZQ?_MB3`_bZ|dDh1{O(MsYW=qmP zQ;y~#nJ5(|zPTHZ2giO*mc1`V_t#$u7|4368C`Ysfq@ep1~h3QT*XYt(e!s)O1zZ# zr(a3pFXU5C7pi=y-%)!Qn{;m3&PFQk*UsaqPmJql*d)BE+l4QND)N zC+wE@o`fjR^KhGR*G{rLRJ5&QM5bpP#HI$Y<2nCu@}A$%+F9nf?kM>uQ=b||y^xj0 zUOw9}>UEZ7RsP*^;v~rWo+^$u9LX9GX|2`~tgCT0!d0yaPe?zw(3GJfFT4GEIAC-d zJV6pqAb4{u2Pyu{->fJ;Iq1If{_V9i&$T(_PQrDbF?}g!ri@{R&5pGM>g_+6(w&#* z;Q}ieqBSI`=WBm$a;*O5aEQp8D$Y9EaEGS)6eI`Ib9IDKnk^WXdi~SoK+f`}^caF(r%EhOjvz$2LP>Cr_-N3X7cU%#`&H}F+#HH zvE~n!ja>euO!rims4WHc)~`}Cu0xgXu3l@o6Fm(=Ka52@?;Llw5#>6vE;qjqi^Ejo zRx63Tw~|^K)JSiv?dFelsio((kM5k+p#Oe%`AyghP)Nvrl8=E5$<@#sQlVG$*ZFm7 zgOjM_>9anII_XDa6Rz|8#=wc9qtggxgex`_USSa9Q-X$*^l_0V%48Jp>7%?9VuRoE z>M7?$eGuiT@et(=)fFH8hst&-#Q^w^h-x@ZzSz4tNRSd1sHs@&=i=<VCe;{M^cJipDRV3?}_7WwF_)0$agF- zE-uM3CcNdeME&Bw6L=((vjaZv4YESUnGhx1mJ$NEik+Tm5-uiiw-ruw_U3fSi88;v zuW;&q0yTV9VY{4?2Wr*PzJR;(`c0<@lH@7l2cfX13 zk$0PYtIz!J*~XjjJj>)*1?%xs z)*!`8rN|L?8+iW!qMq^+Lo`RvBE39QU*RI}KzCpE-ZBUFPEy6y&eq@7s6Qx3q9HP< z6zkz2X4FiJVEm@dpNY*L_qtaY_v*_hWw)k|dpwmle-5K#N_^X<6^R|e^{SsJ9qrFQ z2&+ZqG!IQV{;Gj&b_K(K7GvGLwB?se~f?Ios&!Agd{9#RCwimnHGG3VdqTuLwL0vYqn7 zU#O_AiifpOo3*GvSpEnebdqM~ppZyA`Hu=8x-(J;O*K~pmvchZF~N(MUH+HiHZ7=2^ndd4K4g~Lw3 zWJ;_%bX19pEeR~{I@+YbuJQpbguBApe2+-CQwX#CD$m)zF~+PA^Seknv;ri%(4cNW zh!&8GR-yRMj#t9DRy@y4*jJ?m!hG4yRThlzUU4{i?svFPd`%{2#rwB>vD{`^PKyO&0Jd zKUINZGP@Cv)+0sCil++{=b}!m5r>MF>e2Y0A&~(nWQnk63m7S{^&)%GkG#z~{~o zuNR-5=Hy>a30Dvf#ESG2D3ax`%_Th=E+rm&9;FkGGX$K>DQCbqQg)lLd2>R%@D*_p zk^xqtx@>s$U7XtT(|wpV-|O!0M59Gkj7niG<9sIz`OH4d{L6^E%aE$3Ysu<*wrgI< zecO|X>c{Utxnubz{)i-#_2y-0HdW{tv@BI4XfUszFwA)ENBxcbmf}e}{V)^R`>8g$ z(OhS(&XT-!FI=Cr4m%D5zq(=%{kPJmqYXb;PR;d;Qji2ps2>JS`MG<3ic_yDaedwY z&+B%TV2$!~&7b3KBd;Hou>Ol9wt%bwRAaOQy`)~6(5r44YD8p-MqAu<5|8)rltOK- zq`i!Aa(MT>{ZfSQS)jq}mm(h&mYNIzR}4Qmj1#T^#;WlmVrK25H%;6ZUf}$JLRpFI zv;BM8uh<@M^QyVBxs$RwFl+S50a{8e!k7EuOja*WUVj>Vaow z(u)u5sq_ojZX`uAftIS!L61#M0Hdq1BmY(lK@%e7)AHt;XSUbi;5(Op(!~FIy|qJCM%zZrVH%Yf(YFk(Yet`R-rn%m{}yp}>Qjevx+v#eUGWuU z8ir_ro$znNlzTU>odZRdapU6WNLKk`#p%r*qF9c~FMmsNZ@qWqG@89=@#3_>F^-_u zqnig#?m4AtAI8Q;#voy1<_k+WPWh9i6UVIAM(;TM`m!@IV9@eYMHdbk?^P^>+AH!q z)}-5KxEoYa4S|5}msAd=YvCsLHe6F-e@pI9F`X-wiQ{>ZsN>tNiye*Lbt21A&h5ik z1_)e&YkPl!(0DW&ci`f+=q1|gOq?eEb!-# z+|B}y0p~okYqHU{vE#Qv7h?{h{rG2>+bj!>-=6%LvJa!`(DaEqQN)0@LCWJE%fbAb z+IUTO+t$RK-;BIk%G_aduouYm38giN4>4aLDW)8*CiHr@rk12XIU2n%)bjIhlZ4fo zr^aHhty&Hp<7DDS8bIde1(E7vwE8~mi&#JSCY5dld14o!E1m|i?GoVx@Wc8iMq(9m z(g|dJK|oFH!z@R%^gO*X%T+hRJ+A~9-n^Eq#d-4x){6o?gE^a0ow>|qi}ohAMMOVI z3QJtMW^wnEbm5x(&rPQ?<`~2bKV4>Y(R{6mdWd$Bo`dEtL?txaX;;OO)Vc+0N^w>C zp=+D%IWg|NnMav0e)qjIR6^@qo$qjBL3Xq!#gnRw5*V75Zk}>f^*lNMZYCtjzAsZS z1l8Xw8uj|EwjO&<0n^?$0Ad)#Ysd)}2Z|Wg%oSuEmQGeWh5~1`d9PPIw!FD%HSVI; z5-erh8JpB;7(~IY+zV!vo5TEfQ8fQwQyfj;GT9(wb<)Ih)*jW=@nP@DX=QC)lVmi| z=&K7ad}AGr=A&mdum=qYE40@Vfi?k9BD^!RHj1B6Q>A?9mMK$r;^{|^t`fdHGUIr( z4-*4CaN~<)Pm%zJfQ%KFOfeX))I?TIwkNCm>t#+4tWSCKMeE$Z8{*q>6}D?l=%?}X zHY2zZu07`C6Qhm(Kdq~uxBYyjG`%Susa7<6UOPw^_16PCjgXoCKmG%4;b2?n zw4EuH7u9|t-_XfF=h1QAeHffL`e$a$16Z6!Q1L)mkT1|=<>`5k9)-S7x)j;GVKgtp z0wM^RoDg#f2>`m@p&>l~<;Fg2U~_cbl7#)&9((`R4h07}0X!DB>7tGyiw+^Sq_M+S z4^AEkY1oH#eV7Kue6Q`peq;peWc{a#w!+^t|62F$kTy^+`3 zeOOKb@@E)u3bGI@?5;L~8+xev)qU9DtxV3P4srlQ2Uv)sU|YJ#KFlZ!+p$5B--kiM z;io(vYQ~iBgkv*W%t#zLsU>BSns&>~Dcw~sA{TpRMB`TmBCpY>fjfW;ZdU@}09I`W za9h!5@Cdj52}!*4>J*{STaf1JnwzmzuMzmcs>=^R4a9wam3@cVf!4QLT5yN$P$L&- z7jmo|JZeH5omFpEV4U6Pa5TJLS3@!_L)yAM?!)BHe7d9K z)a!?VcTPew#F}DpF?Viykl){%dcujZq6Ly} zxBZol&f+KvE-^~$N%|C3V#ZHqcwIF(@HKhq7sKWY&xfzp8sSfjX6%TZF*6nBG`@23 zTjJrm{N)Dj;Vn)^Ho3HP3#z&S#wq8&(xIH(^!MzujkbJE}W?0V_1P?d<7So zAsI7^Owi%eo=mJvtO%f!lyD+rCIMAH$GmQ%N zx21&Dv!H&8E0MO6>?WrSd=%1SS@V`r{g$tGqlDF&{mTp3LvZJC_-N#&?`=qtN-sgf znF*-+gKT$8%zdesDM~G|M?%es_5N}hVe42g%Tmgv3Wh~U3j}GrCBVez!NuA9R=U}u zF;T4Yd-8xnHgm&piBnUOQ)heut3XRv_ydp6!Fta0QwPh#OpoXo)eRQMCSu~wO(HIe z5Lx+QRNd3xMLzRUwajuK_g8_q7Y^$aV-rDR^oS?gnk_SBg3X#@3ODYiwN$Ble!25( zv^3^@REMurT+Pl!?B`F66irH0CTghZ_L*-KpSgZD1&gL~^PI|xct_9r_w)YolAW91 zOEx)ha)RnO5EoyMPUICLI6iD(rRex#pdG4!OT@Kq?h zVUx-B!um~BDeCC93b7wF?g&Gy@cE`p3Zz~x3>FcHh2U}w5NK{vc!Oz%dfnuh$Cf7!oFwm)3@XP ze#LC)9}3eMvWC0_b0TGKA9ggqoi2iJPx8T;5;^kIo_%GRAYJz#@;~>k{lX z;o~tNtK%Fn?oH6nZ^p1t1Pz822(`8K8Ji0xVHpbn5q-Od&N_T%ioFv!EcSj0GG2`BjjA3T?)=YNz+04f(aa<3 z>bFq_b5s*EE3I^$-GmR3+9zvz&TYT5ZbR}xo}pxhr$GU&PEv0{aMLt?0Oj?j`M+$g zHQVR8w*I+gl!=>;i}c%vxw7txkOdB&%TA}7Q8e3kQs6EFUh9am?R#dttAg`a*7sqn z&D7I-?J|s1J?wAZrkTwYkp!yja}1c=j~tl-WHOHJ!`{^V0>({-_hDOA&tYJ(muK;Y z!taW@D3=hw`-$q;JO8w>7v+Z>!H52=!5%{^P@M5=y2~IpF+Abp44-RUTRg_wQz?1u zlz(gVq%ou9g$w*s1G?~J2(OLO_>~A9tCJ*)Rs;)Hi@(r)Vk069`(Dad&)e-pU1ju= zTBk|p*|JX;6Fyz&YzL0%$k859s-Z~}qR=y!zP3##5#C|co?GTlZQ8j_JUP~s`!tpC zrbh)YIKiICZ+WHB5KbxIy^Xirsoqslv!=f|jq5MBLp31-__| z(yULCEHG=r293`jJlaFx4A$X=i|QOvZ50=cU(gJ+xLW(JpA4@!YjmnQ`C8|I;0dAo zE|0$FoV$4`IA{;-hcjzwQ?<|{#QtVD$5pT53X#CFUuA3VY42@%d@eeqH{37!E-3BV zJpTgOy|bo;A-)5euGCxSKV-U^(x6)X#j{1CJ*mx$LHxxnRyqXeLlEG=ZCOe&F}oFD z({c|`%nc-x?e&~|HN9UgRmYrcV{rPb{)$+`v}LF-DueA%nz(04+@bLy+EOMyZe~@I z>aER?nUTLb6wRwzFu5?`?m^uvAdV}u1DfHG1lfr}>G`jDY*Akqnx4ioh;*se!k+Wr zliS$=Suau*AYxe#$^jjhY+c z`QcX0W2RTGe%f5+d$a;>#o9|HrOk8O)LlsYcGn6?+cDr zWjH8KcF+EF4Mlfcykiho`juxDByywRRG}9k18*5o`?symLEC|6`!=~(Q{@EvzF^S8O+S_J+@Xs_c*3Br3E7t z^b@GcOP}qbTs9P_nN}KIynf|emLt932XCU*Qe@O&!bAnCCa12~acpt_I z$}PbspR@JzcU24WPQo=rSG|>|=3Y5T$Bk*Pgwo&b!<=Wrk!97vl0@^C6$^!t_Hk8( zn|{d3W!_KiyEaesySUqRZZXZt+ot{5gOL(K5ao-_@bUhZlm=eP#mqRyYr|e~zgq#f zny+rsGNtRv4qbBe;p=>AEZ3DypQ}gHYzf#y6yNJ4|5(2Z=K}?=M&*C4`|2Zl&fuHL zIa=_tG3<~L|K`q`Bh`Z@Mo-+jrE{*v)4ok`K*-~~oHEDoN@A9=4eiV28mI3;X2;qd z=UD%(oST6tT$Lb=4?8Q2y4LL8clo@Q=%998tTeYMKy**g|z{25ti@4+)L5DIblDq!=G&S5B`-vzd+7I2x! zV8rGn9Z_JcI(r|sBx*^c15F+fZNsBE_F?y$p=+MKfG!RO%)dlmIN0H32%)(PpBR7s z`QIFEBu8|)1o`1vcg*(MKJ4pvRci0QRH0>ZPCxv2^hgsrSeTW4M@ia;-G-dyp0VdY z8&!xVpv5_oH2BRMa^;B=|CgOk|N29z{;%u4MpuzEJ`?a-`7D+mdJlT}Pwu24@JPx& z?9|^5Bv~FqSE$b9{4?4iE?YrV52@Fi^VDNcZh#N1Zy&uSDiA+-`h9r7ec1)vYec9x zjPmxtsnZLkL)$?KSFEaEn#BmVJB4~0<6(&|bOo2gre9ylXRT#gWI>FfmzZ7|iwzcD z>b@KSE4X=)o%48y!{}Uodp|`EvARM8S_K>66m^2iT)J6rPIW4IxFc_A^6eKZ%>+f` z)7M)*ca(l%tz%4uZMnlKk~^yb?%8o_3p0-OU&kw^y9)R*PtVoJ`xIT=NRUr>NRyzK z;anUqljZ0i?-KK0s!|Kq8u~p;TvNk0PLq#k*mA4a+v}#v-o5+n&>};y13s_^alrm3 zDLHnd_?Z?F)%cs2)G)co)k-e@YAq!ivRGkGU? zE6#I4;F2--A^T4^nC^~{J4!EhbzGEyj|( zf1|GIm-3ZfDS=k($IXSHz=PZih7G{S?Ze9RUJ&H$y`!zaVxrN;Qo2*W^qzRENxq4< znz%5m)Aolww(Tpyw<5?TXd3y&3QH9;_avzk%qpJ+;7#3fhr||Mv8@PsOT3n%O16Azlu{yH$%OBaF?mcugaB%VXIn*Y97@`K&{NhT%eTsZtc=`vQUpB774jSlMWFn#Y-mHN| z)88D$Rc)J5k{{7`c6%SzjEsFQ*zUH~sb%`M%{nP|lmnqh0; zzHI`+eMu=`)HL)NKnafW6-G%wM%dF|?!&xfXUvS%&TQPM?ScD1UuV7E}`dD0uyz!`qb zXUJj@)Fh|F0$oTQ1cy8#b#w^?+S;fyF|mcicP}PX={P%q_$Isl4ACeK{sU9sM<()5uaxI#omCrsF8wej{Aa|@uQ;pCX^mjob zNVG0#ZPop3y#CM=BHm$H{b8-qiQDGVA~PaR7PU1iwC138W7_J+AgBe{#OAnbF_8lTt#`3({GMYM>~ZnT`eUIS6jf1e$fAui~rC(1H1mKvnO$cE(?AK$~Ib*#_b!qDbj@Pg5>2nkJRJ>4eZ4B(P1Za zk;cjS$T|3#Lq5s!-x;<75B72Pvd{$j5Aq2waH}>*xs{dcA&r~T;>|uuJVj>jB z{-Ydv$CrE+nj&ioWLNjRBcPBm!vPCqLH4S*B~YXi){N)8qcfCA$q9jkiZ7#;#RlLn z6F{T$#Mjzq7SCg>7^=Q>GU0br>GA`*{2q7Q?L`8tB`VHk@o4a5vQ{2!07XE9LJ=y- zs0<2!7&C|+3R?E+2Un~j83ZSE(1?)v0*~UgspL;|alTLAQJuaV8UB) zeg3X#KrtW#A&u%aUZu4(>J7IU4(nC{kcZ+&=)GjBJh*Wqsk1W1-LrnUM4dbN&dVzi zEO&0fG^=#A3(1TW-r0TFqzO546yctb!0IQ)X*3)(Sa=3=l6p&5n9X%~kuKb;##7tD z{qYwYVTNd*uq!{qiCAS&2j6}R`hq@1&j#+Ahv1G?D20Jclw3lcsbCAa$NPvnN$_>U zR$`}ty0KiczsKY6=3;UrB*;o2g%W^vcr?f|q9cgP=x7so9uy^|&TDh+bo@oT-(!-> zL=n-s!C*uday$t%ttJ@KqwNI2SC_2;SIn;ZMih=L?~dHlS3r}fa^NsfUX@EsAgH$l zz#~)T8)UsX|D-+|(w_({T#Ity^JGajLpS0}rfcVl139Tz_F-K(v?(+_PIi!y%DOTV zCBY+5q&_v%fjQ{o?E3%KoyrUxy_Mg7*H@+Z z6#XzON|X%l?rdSt5kDdsB1h>XC8rbxwc@J2eYZL)0q4{*7MuFKxKK01Rzxh21_SIa zSS?Z_dw~brv{h(8_XhTTmxNP)%)X#;E8zGu$&n(_1%D&OKKz5dhrtw4Jc>;a68O4HEOGivAqav>B25|hW zx-$n(A7!B@A)i1aDF&Sdnn&rc>(!l}A<{mhP8w?=e3SZ99`di5Y2fXRc^H2cY&Fu@ zC_6_k!&3~8y=;lQd-DP*@nACZAz*UY9n0>aI!*N8h{S|;`d z;=fT+7OV{(JW%6cbx10ueTK|9jN3}R3R(g9x5!QOZN`ITkPa|S(S0xPzr4qlac|{7 zH#c2CHfhKnk>nd+My2$~acr$mRSYQe@+5P{lE9;W(o^~Qup{p8N^V5%oaDx2V(%aHgh91;=+obyM;xgLU7MC7aWr)P4L@t!B41V`)?6E9 zPHnF52akjjdI}*v$6If=6ccD-U0Q0e9U$`bZ3kY_`fnyDB!(=6K#&ADh^P{qX^K-^ z${DQJENmlu<29d()ZdCv{(F_6_dU^pNBz#L7q^~Vq?=IO{z>?y(zFRZHbGLim#5`M z?Nrq*P7Rb-^1Ky!$$jNxGviP|sWx414Dk>**+cfAC!_VGBm7KdQ28C7cz##Vb&iBi zR$w%=M~31`RXE=&T(o9ZC=(PYQHuuc9lH3Et_)oL9F~1Q zs>z!9O|ZY%7;*?fIjvS(K=Y@ERVu+Ki`Q#wD$8p}>g5#~7kj$B{4L z(FsdSp9%(K47@TS9_NLL73VD_ZLG;=KipFfE!uDj>1Hdwu%ssEfBrGwxyI!Lxi_}w zB)v~OR);+ToB(_bX7gdt2}qF|4ls$4hYaJvDLbdAOz0Ez*C3o?-3A;}P4~Z9H>zq_ z_FmO(?LnNAjj--@J$D9~CD%HQoj%z=r$|BGDIAKacO9WPXP63G zhQJ&UZCAaTL>1CPa%?zPjeLwTBlaZ)oUqCe?fCND$kIZlI;{IuCQA#$i)h-dgB6RQ zCOcpakd6#GNi+SqAzv?>HO*#VuXf`ZfbK3gVjnDuj{LOjfUgrgE*CqxwM0U+!#RWC zD7XcwqfN8Uo|EIxYkJl~Kn0J%yIt#tcVjepEBW;v+~e1i=BJdCctJKpJd)$rlq>E~ zkkGg7tlIH_PkmL~nokrJBn27>lM=K!d&O$be?rl; z)zyb~M^PhI+#Qb%7DmQwnNP+L7G9H>UCenWP*jtITY}2Z%ITGUGPHe|>gFWo`&7;R z{QN>NqT=~KOD+FW(FQ!=r@7ErAzVTyy3pTfBIy^SVc_?FSg{MpYEGy#=M8E@@~o|2 zR~fULm}wpBGFDI|q(}~(5i&1T-Q_>v$s-F9bN%sK{7<=Wqukfzam{uUWTu;ejqm1` z-M3>vR-ZW$I1AX8fuLS{;iXzxKDhSkgV3qk+Jr~qv;40~fAVk&2z+FQ=+|0GH3{C< z`MzrCiKe?CVEC<;CzGaM9(0SL3uto3&HtuB2<~27HTXfPa8Kr-qCyI2#E}mTA-0k= zKtIT6|Lfv0^E{dq#WLI7c-9o&l&TP4%v(|L>~Oj#e|P6Dd$VQi1*fE>4s_x#U|i_dNvb@X))^5181y$N##@UcLJb+n%XvK1%?qP(3O z28$}lKhNKg^AZS=O_VEqBAuQnl&F7Wv%p$1`8)%i;Q&eeQ^5WTX#n^n!@ zS5YM=Wx~cSBONk~EVi)in6XLl=)u;y!}MG&A(DHC;8&(p>4^FIfUxrVRNKysJ{0dg z)vt_yJ8OH6DF+trkfULn{jo^qAYn9`=uSgWusML|A0;j8)4v?dJVIzyNJ@0M$BZ62Su8|HjW5>m_1E(%&+$=V1LF>ZdXPDbWfc&$WL1FFYx< z)S-ZnVVm>6mp-ILfTM`x+X<6ND2;nCwk8W#MN1!hr9xUtkO2nl|hEp><%Ifna zJPa5bmQ+VjI}kQs3xoWv93?!1iwLIsJj-d4N#qbTn-WOM|0pHD<9m_Sh8-k7=zA<_ z+1E{PqelSvbdZ()R$;U~<4gve4{i80#tF%UcrY~3E-rV!m*!x;{Y@(<&3-apIPMT@@CCRWd9rS$ex4^N8odDRae1Y9NRvOaF}TN zCt(&IcOp?!&49jux&JtE`orUrXGR+6T_22m=nk?S9<|5WRDV&BOjD^t9OLUooiCs?b~{_=|m*yZOZ8b;>C9Hmc+54=qhM=cl z4rh}x5~ql*+uWW)%)hJ`Fj0`YDst_^#;+al^0C4_9`i|GvR<6P1yF(FX{ifiqXfgA zz#ibzkX$rlqF6tTuTLrZx_ea_>Iz!745yL#DlldB^|V1R;{8w$>rsUv(XC9*mA?O6 zX@$&$bL_)hl|m55RppA|1pl}#EFaRQSvrG_?M5Kz$0@IEr{`fxZ>&TeOOlU%JxH?EP#(kY`Rv%$;uBAduOI`R{wO8 zVI;b=V>Z(g*nrUrVDD}Q?pfh~y7C__XBdf25UF|d`yp<76}K0okF4MR&)t0ft#S(* zJmmX1n1%-K1aGujUt>Xx@4f%Ot@A+umdS$BL@y_n+0@eB<4zl@K@BuJihmBxp5io%3Ae)^>S^8c>kTax+Wby>YYYRoJR^{g z4$^(Ww7|lk-kGX}&`e6c+95Ky*lgE&?Gg97)F!`81}uK<Ytd!~yG`XjfJzE2gisu&nqGa3m z_rjBy^470-lTW?L(V6VHNHT4(O#I#9lZIp`hr0 zi($v};E`=RYZsm2ElQK_9dS7irp{xIn*%WOl%5$saxPo^3;(-8A-G&DMF!iEz!TJu zDI?d-;+TJ>!MPvqsO@Qo4bJ)1r#Pn?TOiuMbewLXDTJ>fd#&3|{(u*PeoaF-@a2=3 zPxY2_q0aZa{pAO`&#7`j}~Ct+81W`S58aheWMCx0#>+W%>lxX6GcRsZ{rt5n>% z&6433fv3_B&xCw$JriOk!?+vsKZbwH9shlhwup?x5bY3$C|(OI`V?Mb??y3yU(b|* z-}q({{w*L$~fOY&U>ANUHwfBptv;tm^p8q+;B#RXT(b2 zF30fADG{N!DUy%9Tchf-&wQC@1tSp;&Bs{qJ8Pb^bm?{+cV&8j>9>JZ0}QL1wZNCw zfupw_`=l$=W4s*db^IU`EyF9+sSw$-&(XR$qaC&_}E z)?Vxp(y>`gD z5{=qX8_0f$*FqdtR&41O-9Ns-^8O?mB<8K7SLP9!&et;LgrI=IHiG^lSlVR~Uo)!I)(LSco`=vk6LcBc~ zvH#=$YaPY@=_)pl16d({E1f@!pdMaA?p=LLceVc8bx@s5htR}B?|7hs3=tv%G9zp%giz1>A0pPrX5u6z?)AhFF9O@q8#NGv2b4fJKI!3udFCZxk|?2&OU ze#)~_L1!I#Qrkf0@Cj6|#AmbY`IDDEbqX%r#GPfC-iMV7HgSgR!wxs_Q=a$Z!4%gj z>nedAj?V_sI~q}`s=hw*wDR5ezYahB)clDRChh_W0!I0b$A!YnrQ--BjxFOtO8M1q z15XMS8vA{H05)A)r_NI+td%66z??MS>(NArKr<)h46fN!f+Etm=O4S}c*^)zWmz4M z{S~CtuKuYfu{=jl9T8gT!Uj-`p`chw&kfQ*DbB8dQ)F=${q?uj!a2&^lnOB3%oRi#;1<=Z*^}X)-~@Y%J+HW(&n>?-Xt+L%}I-&NrT|>ED z^b+;)tzA?D+e0R9(ovy;v)SKND=ib11}! zWjBw4fn=3QF9bO$9Uu>UeCN8jTzS=-E~kLI=v1>}k8kyD$wqI^E`1fU_jfEz&z1v^ zTY#)iq;+z?E~Pf`Gsnr(R)XTvB(D0(U_82+siyW#m1dD6O6odGE21)`{U`k+1jx*V zXf~0`HV;RGZfC^T*?K5g-l_Wa?OkhhAEMqAaKW%c8vX%g0XC^2_D;xA_UKg&j1&{H z8>KoQElO<2ocPukm1V0|_iG$D z9cS57B^Wne*=5#CpA8Aoux0l+O4M9BCm|)6cA3Q4TK4QYGJI80l`ZrGuUP$qONALl zV-wl664uU0432mc9x($46={c%VMtiL#>85Gq~8%lWn_It`R1wu4lSr|ap`!Ma>tJG zuH}p4=NV|*LEpuX{=|9UCY?-bNFOfkDFoQmkObaPEZAz0T4~h$LeMgs|0u)+MiT-*^#qNqbtI+w3=#KIca!T z{PbH|kL@Yl({C~->>q7DHaH@^y&0^90ELpso)AJcCn(XlZ2(d#=!L)+LbmubhG;l|I;Z{BjJtzzoul$_>Sxu;CDM{os z%29z7;*Oc4NqdIK@QH>Y3FS6Mv&5i<1#bEE*PqT+zyEu-E6TvP3ATp7V-A{l1P1l! zaFb4LcTA{$<55~Qy_J-r{*?8TSpU;hUcUUP-XM0ACU|oW0<_Lb(8mvsuWY%1N4=@q z;7@qDbu{fR0TZjkp`jFtHgw}3hVF24+qtB0b)@-BWO0eJ&qABzx@Qf2;?Q&JMfFhJ zZ$))D`Q|o)u8h37b}LcjB07+VQF3I@;f7--&-lPIq+iKV%&CO=@WH_ax%XaDNc^RH+#j{d)w_@V zl-o7VrKv%Km7#TpW{Fl2oCzKkRDG_?%(=9r$>vD4m*GYWPp&X#8nFF{9~nd|LbqCj zqi_+l1KO0-?n{u=d>)}W1}@`@0l(*R{IFc5Q2EH5$ItlwoNHA zskJMwm!6*6bfRzkbV6^2pIBKm$%Vd0`3tg65&Ql&&Q$))o&EOG2DM^dHjKZcqbGlk z?cyu=#^KzQAzbDwq_oTWHAyIVre;MROeb1gIF z)s09#n(j}pLAH%DQ@5OEdme{ni}oIG;bZw6e`^_k>pxgS_I-et0yI8v@*R@)T1WGg zrgda5wF*b9q$IO-{`l?R8ejId|HOkxxZX|9u|kklj(VL^)jT)b7G7c{Z%EIbO~bz$ zzp|)MCipZ(Xujy2Xh!xo7{nEsqAAYL=Da5+I@(1z$Fz>--(FU3(7CMo-Jtt;7fAX$ zp}!9+aT&zrD@7p%b%fBO18bH0u;|;T%82dh&n{Gk2P|DNmTI$Ymen>JaoXaaohf5k zO~cQOixARK(k-LX)+3d&VLyvM8*@dQ)HY6hye=Ti=l)vQ>q3v3iHh*<9LO3+)utgK ziE*JUnr9AIa8iu?Ow}Fjdm@8I+}+B4+4YOlM{hRgFZdm2%J7YS5UevnaU#v(7u%y$ zk2mm9aAqWvr9-Fd1XPY*I~3TgOj)XPa{A+rM^Mg0ta*P%Gg9oGiIW6!_G!!-|ZB4l4bwweh@ov1$E=Zfd`ZOuqc0oaJ{mYMk>wjs?9zu zZ+z-~T)CqVFgNH{wf2{H!|CGOg$qPD1wv%2Zd7}408x?N5vL=Hl3&RpaqN*h&1x3~AHMjw&TkCw^S3%jDvV5y6T z!4Bb))0M~v2KeRAbI+2vtd?0*gn4PyiR$WVQrw5@EqqQ7rF`;o_$_r|GBAcl$h{J~ zkVI?q-L+XPO~Dh`b({JGyJziobr-21`tAulbNB3w@v(8N*Ga{jD!Zvc0~%;GnAlp(^^BD_xvNz7p7aUi7QsuMyzs8weOrXvcCR0 z*MiF<(?I@0Q=i-hhH?hk=$(M6Fl@mxqonaE5ebp^%10hRaG-51_g!oUL-a39W$zL6 zZdu@<&kQ6n$_iBy{Epy3;6EaTRc9hG{C+(JS_l_kQBYzez1-JVzV_Vs#5|wXnHs!D z_}Q~KAM3-Z!m z;_&(4p)V;{iHb4BsK?#Ut_|wtQ3}n=qkf;^tCP}*F1Yvk@T^KO%UwrvnzA*Ge+Udf zK_X451OZ_7C%(wSCV6MT`dL z>zh7Yop$x?6ABf7?3)S$(YXH~*2=AOuDvI&w8I5}2k=PvF&g7NRRov&Q(6A>(qtK} zaRJo4+`_dkVcO?s4;ZI$ssKI(Ub?X+MAi6T?0tJYRO|l#5>Zl9xl^V>ib|AJWONad zR6-?9B_U~QOD>IBBIF)+D#{S0k|y^OCihD2_e*AwJDG7A!_2JpTiR!zvrqbd&;Fk8 z`F;29ob|_gm3ck$nfH1=&*%0$pXc)-S}|<{bkx~K(30Uzrd8z+a%hB?Z)mudxQKJD zmi~8%Pf8W|N;cg;DAYzn{2{Ty7z{5UZ}k8qcW?MhcSc&O`rb=rb9ocF&$%Ic`yM%W zHBcp}^jn~y4u)&a&g#sy?6mU9%zDZ`{Gnmcpq2uJOW2=vj_QshMD7-p7nTw?T|IPB zSbyA~iSHo$K7tsxcKP&cmZ9s0!!&;i-)pc!`=WT&EnORFfy?-hCcz** znwHr+G|`n$;jYNcZnRDfYcI59bkno4kB)iDtzuTn-sTL%)jnBN;E8+2ewh9;7+r(QdgEcbP6$!ssUMG%H*)~qXF9D zhaTH&^>f}-{t7eF+W&f<=#mn_CADlCC%}UdkN&I>H+!es<{3000a^Z^N_VE%X?&Ya)*HSYX zBcV2WmQ63b7c4g|JNTqVUC{2F8J~;KDLU{Li+Ep0HaprHFeSolD1DN*2DV>}7d~Nm zqV-j{blmOT^6^hs-o7rdq|TzNlq=rLA~Q;TNK1`4+gx1^2lN(`R3e)vv|KBC(rQ;; z-a0m~L(YEb#%FG7U#vYXTzW~~9J!goe>xJa<@6DtxN`?~Ut8;$YOcw`+=wq1B+Wut z8dlAfAD=Z#9DydVZ<5kiLwyj+UfF#=vHdm(2NuE#-kHU zMaHFgOOcTEEH4`Di(n(lLBJf#J(r6wjKS6rl{$u13tB|xH14lXK9!o`_vf{zO|oU*L=D1Nx7k6a5(aYiI~wkwIn_@ zleMqAPc(K2ahIlb`LlO(OzmT2>NjXV5bYGx-}6f3QqtAoy!TAr$X2)ARr~6Bc8y~T zIC?W1qUQr~Evm&a%4{L}NEZ8~$B}_-z0ccY9@w4U6z0^O;3BDJK(@UdrJ?9HZ=Qma zE;jCWr1^y-YvZVDj^$GbQ5l#CEY?0=pQ%xj8=v>|Y^uI;YkOw2t8MUE|ClXi5trs3 z_0nog2J^9q;;$H{4rw9$8r}{d5$W86yyOWJPjJD7JXxYn-Pu~MLsu;Q#M{<$DYdJ% zMx8zV!BAz1*oAHUA8l6NHlC^NCD1jK)7nQBOSe>QS-|ql(2SAGwcZf;@Qr$4Rmjfl zHziU9N3k2Up|KIoFAVI(d3{(kLM2GyfY1;Bc|D?u%(!FJ(+7-^?YKvx|`$0Q{ltAi#M-3 zyyx`5^LaWGwW|YIC3}M|ymOx5W9x2zQAIWb9H5vbLQB``?&Q5Jlw`$z8~f%}j0 zu?jqqn!AN&pOwKYI&>hU^~>1+odp!x)N8^eGc8ZKbJ!`I?cBMITxn+DZW+Neu+uVm zmn@iJY4s&DyrM$fgO&QhTU9jpQP$<~jeB)*1}Y3n5y;r{Nwr9BWxYlh)7@^-Bj+8J zcX+#GQ?)B!b8TCk1)_0%G#013n4mmRL*O4 zeabc07~U}wRC#V)ke;GlrlY9wo5xOJ!3e|Xb?oZnnW(O%k zAEEbVC(6WJPU@3c4=-st(z-dr!}u34kZ!__o-CqqBs3_zRgo0p0!DiVo#utd`(f;&f@OSG#ZRWS`asf)5$ zJ5Pj1)e%^(()t(lofLwuP3gK@)ZrO>RlTu{+eBL?wj}t#!iJOcQaJ0QH+FG$9~SZX zd9wLps_*igVlI)>MdL25WhI1mX$FxygsxkdGptG;?I?-Ze%{^Y6=&UWPr^y7;<}$y zBi?+_x@CKD?@j@zYbL`BXKRIL+4G{)!MW_r_ZNs3PuotMcmHUl@Y7KC11|;A+5LU( zCzcc?B#F1p7ZOC`*B_o>iL z{&A{cEC!sRSCRJ*OT0lZNb>fQ&{tvnU?C`xFL>|IawB9Vye z_zxS9)h1Ah2(xFO1@nA1ws2~Euu0R+sdcbrzxv~D?hy~O z%Nc3(M88K&lVTImW3EmnK}(aJ*DT$q&nOh`F~nC}n-k7MXTgpeNGSY7$vfWRs7{^= zVr{y8>|H@<{(6o*d+;bnKlsl6s;Cpv7blF(F4Fj+TvE-)roQ?7Z3l(?Nv-${{7sxA zHdw-fgspwClEI2R5Z&=*?|NW6Z<5?tiENk6@6Jjranc=FHl z3Bq%wr1k(;mb*8OWz5uK*qyCk_(F^rtI=BF$B!*utyZ~f z4gV0blq5@4=a$p)B1E8u@-UaF#Cq;t&pou!$(A4>x?85qdsI7lOSfr7y@IE-Axo9L zs+FIk?0SCT$0|8AIMVFB!FGt!`&TuG}(`25^kcemQa}Mb;u8w_W5!_n7@X`i~ zVnNR6>fvYuT^MlR`{{NQvW}d&^Lejz789>A+V6EPi1Ib~h?;>GkjiE|Ajvf}Fr#fU4pKwt+u6{!A z5=Obiu}O!$g169}Hq7KG58yHq^A#BuzLeP79K(mS;-~$oRuYzO3VU1=e9iT)nz?>H zGtbn|tWug%fW<&>u?$nJpJ1;E+aqDXeMfp_-tGj~haWzyy(=Q5oK?N_l3L`6PEVbg z(pVj?i6_z+l?9++>waec_T&c2H7BX9hPJ6jN9pfIUY;-vLf$qPQJJEQ-SliCkijk$ zykno_+Ixtala-tEK*Ij{-1J9hvizt^XEU zn+ttphjl#Askr7a_*%Q$%D_^8d7Fj0evf3xxx>qAFN=tm(1o))zFg<52J`n(&ruiS zOBtnljp>DIt?NtuM#3G8GIaN)DI7lStJ4?0v2g2KB}7CIvRg~q#n?_Ajz%TzTkBU; zryH-5i0K*VC|I>hWb$)L-!t`(m&BIpQa)ok?u0s$ln^HG&RNe?u34R#!PGB$vwojc z+v#wXTr0(3OM&40qx|oC@)E14r}6AHcZQ9hH|sISEbBK1&ygk;I(1qZzPKSRW$l=l z@FM>motUz=?}WuljxLz5LA9fKfs&osY`)lmytRypxQv_{jcc00l$EcqFKPR*I9^Zr zz@u9}EqgyDWa*8&WCi{3L%kNsV_7#~4%A)w~ZB}jsXBEq-a21@6 z;eq)dLKe+i;bEpb7nFv9In>WEFq4R4v#1fVTW6?g!q5S2TSTWd@Ul0MGkOar z=Wj@IcC&Ss$au7eZQo+>Xcs}eYW(yqDeP`tY{QP9bha=jy>w*lB<0pJp*J=i!>j8g z!h5E#c&UZFeJy);Tv*+ZF48#K4Fe);k+r%D24c5lz<`gH9}KKJ?|@+|x(qjV4Ih>! z+S}MCzJr0a*$o;`UgTs#%9df(s_iG`1pbsN)%tpZ6fboauNkm8l^DynL%4@dby_+cT2#Ke;m{<$ zEJF2CpPRYIGmOqjx)kYkmC)Es6>!9fiMYc6c>!B!)0grnefk|GcbzGoCnc*Rch9FX z%5T5AEOXw=abx{XlY5EB>>KYyEy`SWo3rMw zMq182NNTn4NXgZ>Qbb$oluVbIrgYDi$QD zvR6xTRjHy@Tx+(xn?r(Y!MI>u`e5pr^t!V0m~0otTW103 zW6b7E=G@P_k1~DlTzhD5eqwW@C~a5Orkj=AG@lc1Ql@nI+|HTdf_qiq7;2MeR;p^Ij-%6N+FhU0Na|y@@Klc26m2~u*cdfXUUWX zXkas}+|H8*3KXI`0zzLsU!ryKP&v27Z7JtYVd7`D0l%M932I?eT!!R1N4Sc-w46;$e5a6?@0|`~^}(az z*J#7*w-XiXgX>$ zWPJmZC_s;ZIv_>9=vih4A=Sf1Q zPKm!)i`M^T^a(kaHDc$KUkqyqte~supb&eR;nF5{A;dGjHy)NqcxJq@e)o8&WNZNA z+ouJSK^WE4k%Bv)DUvt8oAm-OY$}I$l<9foRaIFId{?OG%pk+M)+sp~#fF+^kcnWy z!x235AER9mZ=^}X?@}Alru{p(Cibf@XtNHvpgM*gxjyix)(xf>V~K|xsqWZ3Wx%i~^rbDIc5 zfWjSjiZ{%0i_p#8Qr?jv^r%d1kL#3jQ5{cJPG3h2Isf@5KkO8!a7Hs~P&MTGe1~F4 zr|7!BucYzHfz*36%kL~7qAH=;WCnksr|`j^xiu`!6$AM6&7#w8=q0+**T3sH{>V;v zo%a!-piz2q5B!0rG;G)nt{bA|x#{1=(jHK9}q=cV042$gcB$IP`8CjZXR_AqD8|W*<%XWTmF^7_9)*ntYX_%gdsxn}Ovm zw1K3;_OGIH%ZqxSdeNS+*YnCr(c=8Rk8?`WSR*o=pbxElwba393;H4)PDI*H&O$q8 z{b|^W289_tD~c~)4{evsXk=QXcsB!hyl{?V zN22Mo=x-w){2jAIX_zv_=3Tv8LSJBnrz65t{f8$w073>WzD$G3_YxQxamXHaoC} z$vk7sF=h9}JZrq+k>wLj{3SDg^dfwA`-zmy%U1s3RTf1A!)uzJQHGYwSd2ZSO$hbI zoivlbO1sA#=aWR?w3HBlQ!xDYO(j6>1l^r33K(svcq(O2puZ$yu*g166&j3?re}HE zY|oSRpS>Z~#X;%(#e2t28Ei;Te(nXyUH54)rK`Cb~Xy0ajL05O#8ILyE$`cA0owzrrfl&a-o6hO|a)-)S<5!5ByG`tM_I0-$5nFBFRwuHzpdkLL~Tm26iG( zIBRi*7c8*VbB-N`W6{jdN~RRi+&%2dlfyb;Je#yA45hDP-Zrn=d%kZFF93N1!-N6| z&?BsjfN)7@Bnjw7kCQ8b(w9~L*% zkEL5d!c(@Bs8RXnCn2@R#xBKNbfbXJ^u$V|geVz-^``L{$>)Pjk=LE=4k=;iKeVi}o=KK^y_BUMcpHI#>o9&byu1xE;|vw789W!DLmkMRR~0p?RS_oq*isyM)%@ zl^+&ak{i>})ZIe5ve*sOpU6=V%LuN<%`bu5^wmKci^eLjux|AQ3l`g3cM;B%Y|bsB zmwOmZ5nmRg?RH7!+oMz&+-zYA&CCB3s$Wj-?x@KyUZHJX?Izo13&nD^ZJ0%WUgW4; zL$luZnjZN-?y>}nGDp31{Q9(R0+W0CfpImyXX9o`AZc?Q~XRJecG}N7)S=ekwf7>!s z7K%SO&cpdt5hljiIGxr~^+#j{nuE{u`D0Uq&rB(0Ln%Wm(u}&|IpOmjMXA`nq?Aow z;Ag0F+M#W>8Lsi0w}02lgb-9;IH!pH>$n$89Lbw$Il#VlqS^Yn$H@`Jq5GLk>|2Jk z2pV+LvyAW*jjY3uos}aq9SM)`@Zz>s5k!m|6YhmiGZ9U2lw8vi`hcn&cu;tsF8#7r+?`ux-8o#<@pVMLb>@VxDo8u|7=%^ za!0T&z>ayK&HB>wxKZEb?m_*d4q+}1ZNE6! zQsf=@gVCf%Y@U~Aub%&KEa_^Y&qkoyy0rOzM0xd6sXn@csnZ@3Ze#Vm$@W)YRsFo6 zYZ=|JF;Y5NQU>JiACGC7pai^y<1IUkOuITK$#Ul{oPEw9a4ft}n;W0Wz`~MEcE|Wt zET<<6(WXFu{?u8sq-X>nmQJs`1W<0574qN{y8s`pH}spndkPt5ik=OB*5 z?gpzVvo-(RHbsz10M{43 ze#x>4D9h25&ZA8!ZxMm1d5eyV>jm{k5b0~V6+|v*z z^HOy2pz^6=5GoYESqvfT!{`0&Vg>p^kafDi(RAyZ7kNl}+6_NtqVY zYtOM#O1k5aWa>pm_o=$EzML6pn`JWaE_T<;$S2eAME=tt^1L~+LDh-7>{vt5Cs(_C z;gs?l1a&k0ALpauFN_DmV+$8nt73AJz!^7(ezIdHlBcqBmN&$Lq|K`;%0UAkR~$oD zE-(=*PW6NL`n&D}<<@@7Ahswl^I#4JdK=0u2eIGXrli3BDwAH1pfl3kYnesbtof)j zMXKVaNzEIN?w~CtN|GJcY)UhXrz3$hGcKVz=YOtAZ%JC~H^}~;UkW&!JP!{t=@m-F z4y5LnB2b_h6qT_AVPEc;Fr5BQ$FP%+?^uF214}f;C!XwT3A)N`>pXDg?DR}Vnc>RUA91KmV>-T9d+cKvL$H}YN zIaFz_o+e7cwy-st1CtD{PZJYZu3iSJyi_DH2-h1@XyaAABf%CgW`#NIEh6;qO;C*~ zZtP?V4nzb%IHrGL9td#AvM05d0qe4;XYURqfEZWM{13&5QU}c%C-OINLN5C#=CMi% z6f{+LQ|pX@b_*wj9u!!!`q{#mNf(fv^HAjzUG~OXnveYB?UY#Blg5K2jdT#|n(TP* z*tbjfL-d4!V4D6O9m%H_*cWyhm_nYs;;S%tqaD50DpRO2YgX+&B96jiJ{<0r<+(6* zHMbJ5MAM6QpQL%#UgoT^@A{B%nQF61S!5?X0~BRWadQe62W6upt{66swo^;h;{xJ_ z<`}TSKU9CxbiQt|)RPWCY)$H>swyX-(N~EC(TBIlqmGWPMmD$+NKq$#c@sR_cFkI9 ziFI9Vzc*eIMR>05mRqP!OHlAG&cPADGZ@I-@HK1~0@;(`%^Oe*EhS3sJ`E0IcMro^ z1;QxcVbL{E_Ej}9Vpno;3J?2w*W$>a>sdzCufhj;hn2vBN|%1T20m`MJU8dnHXIMi z9i^&UDJQDG*%~t=rbf*lr<@WXBQ(zNoPaKDC2l@F;Yy0MSku-zDk%mCBGZQEceh5? zUk? zmV?wrx9W(PuvL;HVng7o2fLuqUBY3t+oK)O(D z*vW-!-seMk$b&03I31my`BI=RPN;adlZ?@%EU;FuA+xV6H6ywR038~rDB3&Um`h5F zP6%~g^xD@5phpdU;n$?B=;+``3i^);rE%ic(u7ZHF^3*)FC=BK#r(3Hs@@<&6$(xw z$uQ@nPP3Zz+2$oc)@8Ft9KNm!!v>&U#%sQh9dwUHzhsPa^<;Wsds}{sZ+$}cD&P6# z_>@(5mrWRsw=RaTulgTYReq)izD$ug`5c{JcBD2yocgpxp@-!t3S)N(Ko)wT7fRWn z9!tsnvb|*d?B)AgxL!43)XUGP1fnhDHo(DE<@ug`lo>$X2V})i?nvvvmwA_;dTVe- z8;Pa9OJ;kbQb<~i5!<$jcfD7l&e8g2@F>YhTm5Qp=;F!1S|or2(S_E+1NjM;ExL_q(HgRlBpi_9?=Np=^aSQ2j-)NdND`KyXqQdF#lx8(3>m`9QMtA88 zP}lrGC(m@TV#S(~oqSI2qNv?!IMLKyTyn2%OiV*|qT5@8^3IE?GeR8%unPgjD?&w| z=hDg;k+&@!2#p<>vHlJv&bJs*BBQwliIu-|NKM{)ymRHg#r(M{zEQD!GeagQWx+SE zUTF}@H&>`)pENIm$E0pP#=4m~O5_B&?h$yJ0dL)Zkk3?&@b)XgS4SBahwS__)+U`oD&M z(ak#HbjgF^ZR_VR9JT}e5SwqN#s+0ygzrBuFZ zP5^5l(x~6k65>YezQ=SXF%8$W@N50U#TaHge65h9+gldb3uA; zd8SY!SiiDC_*VZoO_-Cn1AtzdI^^0@9*7q4NO6v=?TxviTPd9|EPd&@Uq}?Jl*}x! zJ&&H0tN4>P+2FVSFsEF#?@|#?W|uJn*WWnK)>h|&I|#TceRM-2s)GhT;eK6VGjOMu zb6!^?#cO{D_TchlAgc~Du3Cb>#m4Psb%#O$8TDtzsb$XQJauQY1Mchr?N1pXxV4md zjilzm`PN2%*b@h5PeIhmhA9SP?o4EnsSfb_zs56tIwJmm9{hp8d4Q(CwG+YRPhzBm zTGcNKqd-6LWXF!Qida-fa;R60OVLakn1ttu@WdIIpakzq3e*Q+QD}N84g1o z0lOMcINlnb%h{VJ--%|7IXnnzqB2;c3qXmyK3!%e!1TZzVo@K4$R=VUk>JjG=BTf{ zLv&tVlcRyk9A+lSW!fj^!KDT7{MX(B;H-!Qo2&Q9qzT9&WG|jCIuY z{5~QnHk75rC=a935d@#p{c-{>@^d&x|Nq1|a5k(pK;c38SU4}|fuqXX^zcP=;E4*S z^Z3+7Wdn?C+fe#4DZRHB`@QA&S-jEY@DcffapE?H>@4yN$_T4)#?ARHv-swW&BGLO z>QUSr%s#9Q_daRpvy5yfDzsB?lgtZ#6R zDUr9)r;Qfpo@VE3QU_!%h2mP_73JIx#r8o#)7M|jD(*Qmih{K)ir3mPG)_PHRl}t^ z1=CaqTg{o2VOL_py7Fe6qFf($8yOclQM=hy8}@1P1*_$UQTb?dXBM@stQ#*McX23bqdQEu)FUZ^E|MgGFFh=9V0 z_sy3g`>M8QH9G%b~b3q78cYw(Hj(!KO}*L zZ-sxS&o^dk(Fm=TpMC#%g2xlq6+NbJd_qALw8(!_d0Mj3c|WvXq7Vu7DH5%F3Kx_P zy)aa#+klyA0pef~$1+@gb}Aq>`3`QK6^asg6R|D7YWBMy1~FTO6bU2k#SZ~$-oUir zq_%%I7L8SUQa8=Z3+%2e?cxN77Osv83{?9W?{(if7DwH2p>;oD_B)%dly)4Yoo<>1 zpUp6mvPL%;S1~iPvXyHL$Nd#r7T#(xni&?0U0VWsXGPWJFh&#I7o@8n40IWVX&+QW zulKEbK(Z}>qFez?xhL2!fpPkQU&~T@OQ)5DyCVK}rCDL;^sg`Dvq+80Fm|;ndgD&f zLW~P|+L3k$L8yx>Se!T^ATj{Y62WT77Nzau$g7-1Ihyq>YTT+|)q&_Oq3>zqU$JJU z#~^>t!&`ve$4~VUU1K99`x|hOfnMDj0H{UKlXeSxp|aWUN*KuO7QlR{8zLp&N5aih zH8v@B6i zBf_s^NZXYG$-dn9 zN2b0l*G)BS`-Pt3fT@F4hRwX_mWdh_NPvgULPaNiaR1TQJEbZ~w}&Zy2e+IfouQl> z2+%By2NeiikAQE7b}Pu3VMH~m8W^M;R8NKH4a@`pj=pUTvKG2x1Ow!qRh=ID1B2#^ z^2_I9;-U%0VZ0}KKqaW%86#hESbEY2!-)y>cK8p6WEKD@%R-iy6b}gw;x9-bAU{B! z?{|=IvASBwT0n`(y2hbK<%9yydd;@W#FO4ft&{qzbd^?g#t}_B8fU~4wpOjEtQINi zW`v1sE5B&ua&)U$c8abq*|hW7YMz2=;9zhL7NMc#e2uWO1~u#kGHHWfX`5jMIv#>G zD|$p8*-yI=@Sa1pUF2n18G&Y9pL8v&%S-iX{!qsXs*VgSn^)e4-LcbD97PHjmHEK7 z*{vhy843u8;tR{}DH(0;b z6A4#2TS2NweKdZ==Viz2b@2A*2}hl+(Bj3qgg?_)*dkv~IY_1nMY{q+O)BZOZnny= z30^nq35_r{Ws;(J#=8G9joThPZ^$&SV{LXgC=pvP<5yEBtA3??&6kM>j@~IOB1zs( zkK3OBcV8TqWwcu#e7$YUQG_ZKru; zc1@C3s=Wt|6K^{Cl5v?+7HFPfeMrF~ex}e^EnBPUIJW5;;KmAKJbQzY^{Xe7a^?`t zlM*}G$eYY4OF*=wwoJ?Z?(T++;~>JyFb3JC^E=I8F9nMtx5%E0Yi5gVG~YNm$8(W( z&YL}gV$6rJ$onfTbJD_OC8A40OYIzyq~>#Z;{&@W>ej&eHQ)F5{^a@)<@)?63z|-2 zr8B(Tq%o)jgj18Zm!5uy=4)^yT%YUKUN`<6qBeZ~>S$R}lrn*U{)NL_(KC<1)=C8d zQdziqJz_(Za8rcAw)<0CrD8Wg%Zs>Qo(c75`^$>+8z5WH*r_!yr70M_(7Env-*u8< zOIkFzC0bsuU-?&m3sVpqi;j1hY$LkIdYOqnf8fPl#|M7K#>m4~pUi1MvVrTow2C;b zsS<^y8Y#k!pGzQhU9#2BpsJ-rih>=ARHm&~nE>gf-esUS4b+f~lX1r5^=0bvyC%nm zI^N632kUjOUigUd{yt}V>{B?9?9Gto^R!FWXLAtm9~OArQ>J|K)nVJC}mvEDyJFrdSbE}ly}4cs47sN(s0x>q6YwzcS)v43;hq3J8(W8O}# z9~Q&#&mD7dxJ^EDmibSu>=rExj6X&gH=h3IZGvXNY2nihzcJTwI8wpgKSal%&U9EU zgOS1!Ik>q_G0G11Lz9b5E}nT0#iYQqRfW;{x-#Y&MZN{zdQjpOCrMv#Y2-yhilCBhN3UhK6TUTVhu#1l zvNSR`%|^2W0itXibX|hdwn{0}`qAW;ITm)>y}EGtY^jWSenS#e$*>kwHr0!X282?a z;mgG0L#157{7FpCAB&o&?6oJH7jczfARYvWpS`qu*UUnX)9g$hukC^Yx?yC+c0c8H z#AAoUezoDeEo2pmGt~h*!&Cohn|^<|vft&WaCYSwpuNk6!k;>rzK(Jr-=B!UV{PXb2BDNM9 zVc3k$MWE)=Y(W}*hBp`@Pemj+Q3*yr6rWU(OW3$bZ4IKW_8X+R_I zAZDjtPH<4^748Lv%z@ z1*d$_H-ikkIomvVI<(Mxlo{wD)I!u6!3(Em6({8}++E;kCwf zut)6R;OVnVz7zpt?ll5y@@rc8eMS9%q0QE!=Us`UL05Nu_KwBq_@^|a^p&Ijd6L{G zr2cj>?OmxYl8C?GEHr&}4MbW7zqla6mL5%weUv;>b%t3WdD>(1_29vvr=a;0@q5pw zdGx*Kybm1V3ckDRKl1_uy#{A}Y0dx*JiqCO*#|RZugmD~rXcd+5?Ltg61K|m)Az$z zs;M})`GlOtx52u7;}6Dv-iX+I4VHu88J>jwia75M-+6Caf-`R}D_6{>mcUyWC}!zD zJ63sj>g6U7gFo;1%|qaoQ2AT_0BOS^+2^f~IB0kh3M%{BQ!exC1*R43nk`=d5P6b=Z451j0$P2{&Ub9q{Qqk(2=ok%FYSb^?zRx6D18 zG`%A6=prlR>5O%j{D&%CC97bM)vdlZKC{zLo=j@rUBEqM+QHxzh;qEymTNGV&{s!L zFkhwwas=Dl4fq@Acc4cNJW9>1+>26V7h7#zp$8>S7T3{*?6m~}le9`%%bdVKEcMp= z#maJ2y*4#xe>DGqetMcH+wG8enVNk-82W+j8v7t$MhtZh)oNLpu%m+z46eB7;{~{i zO24C$Fm0nxFxx)```IRmk^Uiyh!+~mH~cXelm7)O-6~p%FGc>^EvQ#RE)>Dd{53Se zo*Iw4i&Fp5*ZO5pP{M`PQ+XO$olVx7epfAL3VVBF?_PfGdCn}RZYW4=)+&D^2sM$9 zj3c7f^VJkGwF(v3U0dG;L$+JH5_bMH%E@TK>;iFb$wnJkVe_GJkqx{xkf9bw`HvOf zq=p`esxPi)ixG=|D1D~!+r{wQs8{j(LOoH`j_;5-kMIE2alGt^?GVoT#^+t)OS6DfGKq%GJAzJ>KE+fGQ^P9ob+{xyM~$L*|0HhzZa z!~D0At%e@7j(PcUyq$!iJ{SWtm6UnZe;}2eyTdjt*JYZ#zw8?+WPg(Q9zR%`d2|+& zlyYS#8_;t4JuWPT_x+hwBuH6sK+T1SS&w?ic*W3`4auG2AthJG8}afXfpkI*HuX%B zOG8Z-s<08=&z zu}5}r?(g-mgMm8D3zX~M!H-m>* zd}$yj8Xmzi`^-rTNxd3lErOkgS;zNtPfbt}$q*JTydW(Py`Yt?JBx?`dj9N>Uzj|e8omqV63;rg%|F?A4X;AC=I%@m;YLU78ud-LSk(MUJ;t~K^!-orFFakw%YKf4@>sLVd0*yR5});s&a)rL84@YpHfV{^N!P1auSssF5XA3@`K9pjQ8Z;r+IEDTu>E zKQJEEg`#QqfHPW1)@^tNW8Zocs+Xq0iwKqa+Euvd`5OK2b~BHMV{R@#aGVxj(yIS; zSGB*@Eb9R4sK+$kF_8EBn0h(7qoX>+2+D?$c9P}b39^;PwEvp^+xnUyN^d>P^HjHY z`9`&R-R~hfeR5{fS<+=kz+xIICw%jyt>j5z4<*8g4#r7$M2YqXE8p-Lu2p{uZ^5nI z_W7zPfZsrYwiXXs-PhH*E8v^j@u(shBIze|VVYhi38ZIgzWH8+1 z5v{CK!m#%5PHmPK?e0S4AoQGQW9_fyO@4m+!*=+A$gK1S(9zkT(KYjdfi$vfYppf_ zcdzA6bxB>D&`Fn0jN6=p08in{LCk^mRilvxi)TckrjuL#C+dFfDzcfR(nuuX4>5yj z22<+`r3HP@0fD$g?7DRsdb*~9)8qBnjwC0b-#y)~ z;MTq2$7uG?cmQp+&<-tZH0}&WXe1XqnE6MS6;3@u%hGtPPqy=i)uG&ocG=zsmL;XT z95*Ul9>b(w5rBXGem*1DOlH^inRyeM6RCJlKwYvlfVe#m>AQ~@+wuQwoaGN&>kRM= z3EAbd%4L#H^Po%XY!jGL(GLrHumGtrMp0B{_7J64+Y=}p^Lbm{mlYF|$Z<_~6fb5g zY_FJ2C=s*Hdd8^80!R@`7`i!|vBd17#D4Ht5*#ohPJ;LcMXW_R1LBB*A`^4C+3^z- zDI#ZDnf{5g8#DmOI(G=VENWP_d)WvMj#(3FhcOiNd8GI`>pd*GuM<5}Qf%01f&IAS zNvisf3lWFjdIsH8knz<6lL#;f8l%wck)pkMn$jA-mcJ<fjy=IaUH+X#jwp*hy7P^@gWdy%~eyzTvweZxY@F8 z4l{7d-nI)ub4Lml%cSdj{`9I+SB-R)IP8J0jg=k z#>!u!D7!j7qXB3V4?qQEl9+tPkLhLwKUgS6!zrPa_)ce{IPXz@k=+L8a(-q7Ps-tRIM0_5*JXX^-mP{S8o?Iqj~# zpJ(zMv}@$o`eVyVAcZyL)eE(sUsxS*ZBHSW3QBs+Y41{UBq1pe*5!`Q&Ibq5AYWdx zkFw&o(u_;_+K&s!wFwD;yvd5#=fzR&&sl)b!mHduRQfgUf@6Udi0qt@&`Su?7Wv?X zbmSxB!wCh&S z+k=IWeJ5GoZ`x6sNe9&w{$W1)M~?~WStd;W;}KUAgz~qJe{Fe+P20v+?JOx>C2Ky} zS!?lqem(V!w$AStYC1*w-z9V#3^=pjTM5D{$7z>kRY~&DV@1=2fPeN0l}E~ap;v@r z2jRN}>doVOpS=r2L~oL!UnhoXLK3&4GuPQ(Wd_Gz3fDPW+7}?GL1V+aVfMeV!QU-9 zm=()I?gklUk%)hU&-g%X zUR74>Q{}^%)6!|@_yxN6H4uZn34Df;@WK3`B2aLn_Zs{)FDVv7- zp^>GzMiV#gGDBTD;@P@Gnr=QQwsfmXdXtL77KO|UoPD7^x@W4^k&TX~bfAk5g@`SU z*o4|QB{%w?;FiB5hucMGa^@RPdVx|fXyz;A=vD|=t9b@_p!=XoLOvgao9uTv3KE=R?t?h~0dqLr(&iM_ zufsgzz8NCMx>_&0H}&^Fd6R2!39)2Yd;vbn zY;TVt43w9r9rWKiXD4#{Yf(Xl<{&4_A>dfpc>#S^!4B5>4s{&11-&VYMQ zIsi5r;s1AOFkw*+Ev6?1Ujw-{c5dCU$*yLpbXS~1oAi-UkINU}7Do%G_0?Mx%zVtf zaA~;^VI~pk>*H&Zn#LXA$4|F1zo(_Tr1t`(LZfWo*U#5jziortSdXKwb^}{o8A9As zz>Lu}$5R+3JTX)7U%h!)vUccD53dpZiQx`=iNkd!5DI4~Pcj$(O&4ji&*3hZ=<}m! zkC^P8eO{c3zD^l-^go>z-T=xNyUIRYmG_pt8BM`wIae6K?2D{LGy#6{)zZT~)k(Ge zK;=#jvw8qs!;k&Q_v$Xh2LQ{9Q&yGoF4=RIX}AURh#|(!^+{=gfC+Awr!e+o=jWMC zG9L_>MwZreM>uTt)#%j8;kaA}h|NL&JPwnShY)LJu>hPC*Hf}U!f-`0xyi%_D7W^) z2o%;#Vs{b_#nK0O`Qp)`9K*a$D!e3s{)7SpVFQE?ykYTJ8-WA$kD<`QIsU!ljPp79 zhjTgrfU$AOndA|S(P0DqgOoqzsRXB$2B^$|*gB5xD*7vv6MPa5#_uPl8-k87L<^LA z5el}>0VpPix2KObTTw!vy%cb%Pg#Iwm|7uiBN_~llg0r}M7{tBE7wV?jYJdvGBR+X z&UPq60v1oF_}*|p&Em)is^<%TtZtE+1hgd2v}9Jl!t$Ekwa5H?sdYiV!ol@fuOjeA z{HNi^c)|Z8+|~DL((;PXc1WD#%Tv_Xg0m4gaUQ3lRpGe!0q<5c*#u^c?PKb+pv%0Q z2u!h9;D2}N_R|Ojm+#zsPP_z6rt(;AVD{@3=Z(8)te>IOBWH5TbpB57&!(>-hSta< z9UR>2>Y_yKn@Hi8emwBy)rtLDk2(~w|HabR5o-XP6o(!{>rLA`!*mwtr`s851aHP? z<+{-8%u=kIsd4kbVg4Q=qVl2YQCMv5y;*_sEbWQ$2JOcQziH-QV>Id~vs@r+AbmGh zv-P+gVhh{f3yF?;`hDwmFG=EN%F|7dm>?B|BfESXBly)W&<5?cP?(h`(9uze06^h! zR!t(C^kOo-RISz=ILoM{bI^TjUI_7P;+dQNgs!&VAlSZiY zNBDb@)R&yqa0xMT7@c_xLwpfu`S9-!sTCd(dAM%U?z+wS&|7ESoBbBv4cnIsEg3#P z%apu#-)6V@K?t)3IFG3(Bb>*48z}z)%ziKB(l21v>Yj!$7X&iC?^yTZpe;G3Xx~;u z%gZ^RKl9`q=qEEq-`gPveZQzkIvDM<^5^+^mo-aA18M>{0N`E=4Gnx322cOH^0n-I zHji4ab2DiMKYhIUFV$R{Bd|2^Ox4Aown9-=E;L+_Q@CL%*R{i1Dl91 z;((72=X|CMt>LR%FInMP^`~ebz7hBzkKUG9-h-`7s6I8(_l8;YTyB6_hGxO>)fKq! z5E!GpAMYWFawotU$@1MyiIBb-(wtfSWQ99m=h^vb@4b(g?=m2kX_Q788wrOWT@y9_ z;+lWu9sshB=Ymk@vD&-qD*X)i-=Q;{uirk`nxdm5^D2Ax@HYEMCfOh#%yI}MiY}a%|0-7$#^xhsYCFK4wW?bV`Hu69{&L`RH z)r4c-D25lDQK}xK(lWxb*)8}hCfNC%vth8i(p~;BB(8i|dL6gmiv~pCo5&mX32f}= z%@XRicavKTvNu_hv)7E`OBI(~LJ5(%Vqk(`^zoj!wy8JPt_*ex7D1AX#@RscI?ako z4*H>*yOyk-HwyI6b^9-I-fBRFI8Fsw`CrXnq{df*KC$&D34f;s8gEKcA6=A@K47d;US*i zklQAnl@XH&UMfSCM={IF2us*-*gYM8#+a9;&@nex_9sy*{duFy;K4viu6rb~fHX=j zQvAlr-pg}jkJC{tYt--Rt1)ZP0o6>a@rU#!OJ`>amtt;H0k5ePTjS~{j>hK!hop>| z^1fFIUVb)bYJwtI3qE^?Z6A$p_Xb45sejd*$rgJ?usPles;! zrmD)r)Z^=^o?vcIgb563H6tsD-0~8B1}bj+Flhr6dtU1Ma^wBeta~uhq%A@Us3|7! z&>5Lwg~J__v(md%Jj~PnA0>Uct*oG3%bY*M(a@{vz;T2P(BVB>nD$kIMtnj-4KX@a zOHyjZe%C7V$@;Y0IpiY~o+W_8Nph!-uJ8Hzl~0ed3>Tuy%Ho2v6=1{S4L6q2YYAudt}C5|j%OqnzuLRfT6J4z ztb2hK^@T?ah}K;PccR6a7IR_2q9LplsXu%6gyFJ{oE#mbBVQ{^N9z@WnS_8CDm`S2 zoP_YLS`B~M*}evlrv3oirW13ekpIj#R6L=4@f|#igl9i*54zE4o32Z>F@Jhb!Ov9k&Cq2MZMDrDRw6^ih@bv*l8m3=DD(bQ`e zBe^3JPMa>R&Y7M*cbp+Pk#NFt;p!ffbMU(njLw^6gcIt-c^RszqqMBYq^y`@wS5$% z3@4>Bbro&2FbCB>ASM#0yULJy+6mnATy{+)=y0TIuBK|PzUs8q%Jq3`vplQAb&|R4&E-bfR8SUYz|RdO@fZzl7*Tj5vo)au2@qA^ zjLIISHotwqWSeguNv>euw6yDX$azqDY4q7I0Eg02$Nw1DgQ^#l4szwbQ(}BnnQC2? zTiUo?-z-@?)4yBdEqiDRPS20n+S;0+*RSsAQ-~LRJo?_cURkd&U+hXVkD1PfR_hvQ zZSJGjeQ-&($p5+3$YmLUP6ypRe#2B3xa2ASyI@E6x9N{y9405WnWwaPm?6zGmGB$; zXl23o<3at_pDB!eXEaB1Qse*>5=PbUQ3*PM6juhSxcN?YUI9&jl&LQ}`NP6dvABeP zKQ!T*N+!K02OyACMeDGdp%jW~$td^lNqZAcAR+x23RNvAG;?Wf z-VkAMPtHZj9qJVP^dz$?y=#X&qGIgYzN$Z>F-U9zpA_7+9^xVcnA^u09g8Z3pYA?- zgBk5Z4psrF8Ek|o0rl7ame~cwBSIKWmKklSMDJ$nuDPl*{%Cpqkr53Q^wvGiUvyeO@B7{S%U{L8duGL6|)6!-W z-+La2g2d6ezIqA~Lv6Lei79?>7*Ds^{J8Cp^WR z(l?x58)?_vBwx6EP?TKgcOFBOK~^Gj)JZenZXjsBhC0I(Ek*)Wi#u-A!HRzz#Ct$K zdYz_f0vxkbic>gJ7H15%nC!&23ku=w|2eT@{lDiBOZ(9gw9pf9Z0fj$k+7E$x|Pl=NW>L? zE(KZnFKxgi>>)+Y6H^{FutwaCi(*l%y@Kp+WvDT~S1_tAeg#dN=Bx64=Y~mCT5iuj zZ4j0t8WZ;!BLF|sh0tquus7i!iBK@4_g;j}Jcyb-E3Wfr$ufQzQkIs#*6xq(7lRdR z3!(o{0qn3O&d&Giqlgf?KO@FhAtZ8f*?bMNxrB{-x)%3WI^4|Aflfj_kG{&O~f}MCZ8Cl#U>Wl|q08Qg`RSwEeU#rmx}eiQ(ve7$>E63odsr z7!EY6jjLnN8@>uWM3KD$uDl1@mS#v5#FJWQ9A(-?m+GHvb)^aqavGhK7x8t3p-U0} zkXz0#pdX+}PB%{pUKI!Hx6$CE(ATGvO?$?>`XZJ18%MbJlXY6Nv5Red&no+6t3tt~ zMFjNS_OfL%hu9(nYX;tVPgV{7A zUvb%Y0mEySgbkU@H|-dd&S-Vcr|WmhD5HxacE;jqB`MeQ8>vE5Utxu^{z{0iZF1xw zoT9c)?L*b2l7ML3;)d{J?!8uEaUWe|oX z$-;t|R+q5Rwfve<3)>RjU#;sXzOO-cx=<0&0JIC?^BKCh%)q=SW$?-}SlZII;R5iZ z9FZu~-ITzD!ig-(D7#`ACEa~13H}c4`uxRSMREM|pof^p|cfV+% z-A-b=)|fU(*_vA@kV3`@V7Io+hhHIy3>2Sa#9WIdS4FC5i9_Z|^Ytn1O4%rBy1ra( z3#=lk<&;^U!gSt~6V8G(PVxP3>au%7KrMa}lEqaq;aZR7x?rxE#0Tu~xvM3vXJhO` zZ9hgRcKQC5P!UoM@a~R9#JI{SV!#-?$8Rt$G{b~IzMGlkFM5!G&nYpdK$NW-`N>qt z6uiSgYsL;(5-OS>E>u+kMxK-)<(EvZ)v(9uf6aX<{UAAp0rwT-^@#|Ed&uQ`-_FsK zg!5nlhwxTfGCE3qZ38!7iGiIq8#o}-UkbbdG7A)ZStzw5crCht$mKK=?&!QoA6(Rh z=v3g_)b*=J`YB)TQ2&-|%j&veO3m>+SU}7CB7DAX^`l;{cGp`TD4O_M*BPJRTpn-< zYSu0gScNe$9TP}CQl%>(rVqRVWIRK`&oetKV2@CXgcHLz{Qge>I}pV0AXzu42mG&f zcMzZ_YF<4F`D0JI=;z|>ToEkUQ^ zkq$Z)EfT6ddgI_diP0uVrnoY`hM~m1CKLKB-~dp^_^xj~=wxUlXDe}o&Vv|4ki#G& zh_&WJu@eq!_&pV^Mk(cc5~G7*%GP4vb-gN{v@_{8N;D+*7WsP&qB02?3ITwrCfo%X zAd9hsuhH{Mqowpi?~QbL?@81na-GHh(hY!EqgcYME7*Cz8H!j9<|N7j8=+b3K@2J} z|3=Qz292H%y?jCCqf{1td8vF)YgekXwdgR8y^9$$+sU+A3{njj%o6-!7fjfxwkjCHIw;29v~)~P*YJd$2u}dvz!^)Oe}DNXTLx4XH0p5Mzp-ag>hVmA#k>u z$-$Bg*L;WPO}MwHkz{!^0?GLRuTE&gMl158plcQ7__vJ z`zEHJAlHTOXP1d1bHbHj3rP9u&K8PsW*8*EZ+}6BnUU{MH*A{o#NNe0Xqq5<=C^Uv zb*`HpHK!1PWmIyaUoe-GH3pTr{%xF8 z%ym+)_>TZE!Ly(GCz^y2Y20jg9m%}`xbANB*f-)=`BhM#0YH@L6X|h)6fLiig|FxS&Z6W(mnQX}3i0^#`coZ5`F;QTL!u zSznA669M_}2IN0kAd)4ts!6*($$^)4Hr=FY zJxF~x#zw*;aAs5xyPOq$(I0eEPmw_+4su=a6{KbSIn3lNG<(o1XUod6@Y1EvZ{4M7 zWn_VdX&Y!kPXFv|k(TOb3tB1JOV>ER$a8dN<~w1~_jUkH>rj2+%cISn8_bVE|8(?E zeFMKdk3nMAF9QKJu2;Os)$j;V1WvkxWG>CX7tOX4tPyT=@)~XS2oHw*S?(b2KqD$; z@5yqkecR=^!885^1rGzD!(!HP&1u};^Z>_&Fk|B`&{a)6ORto$kOXa2NgWS|(t|7s z!tud|1eSX|T&HlT8vW@y2H7GPAlJG7bgr7Q56N7H;$PHi9!1QxBA&|w#QXI5GuSb+ zfM)LWih@O`m{?~32aDX;5KNGD@h;t zy0{83`&@K7@mX@)R}`<0;%&ol2RN@7)REeHUGyiJ+y-z3F4^Y8N!kq%2i73fK-g8Q zlCP*rcI){{}#lKerXXzc)hvVYAz{Tqdip;bfU^9=W)85Q-C4HARpMA z7=`2xcrv?LG5fx*br>I8yb*8F>(S9ri;4-gT^_Wf8E35X!Jy)9<8g%7G0BXX79ayv zgI@4o3aB71h&QHL!;RNu&iyG@{6dCa%PAV;f%px#8|)$${5uh)#AP4`)YTyAUz15} zGX|8k`(wHQ{GPAF4P=x4rGT=1>nrAwL=5sclb-KiSWh!1?LC0A9tSvKNb?4`6Ua0B zm)yj~@QQ(062~x6IENQ9%qs`RkETeLrv%{kycneRFDb(%(7_J_%Q+xkSg{T?>Ccev zaVvr|u2+<^)@xzZ|20JUBS~P%aQkOB-2Tnb1;O2yW`-i;8KwrwGh()jpzi;ME}wi2 zP29TJ(NGZ%ne5Ho&w?D%+0GvfG@y?I+qO_$@C& zq#o!c%QE=txI*+GCXU{l%CRf!tWk6dOl`5jpuOdcnaOYONQ^FHSDk&gqCG9fhEDTl zXQTQGo2`LDrAQj7AmZ(_!-)eV#a19vWI5czkSnmKs?ZQ zEg>3%+SHOe#etd5o09Y~sN^hUHT>EH$Uq%v_WfowU9vCkL>{LK=ONWUgFYr5UOF7s)%+iQd0uRrNE7r*w|rRmA#7-u@i` zO2kqt47y3+PBxNtHUSMl+VEop2*bVeD&Phk_Vnb=Wx1%@22W-_nD$tUT9BxHE*NBj zfKk)|563Bz2dam5j6H+kI*4ZAhJYnT{yO&OcdfJ0Kmcf6V?f*|oP%$Tb?P|)4A35# z5E#JX=0s{62!qP^9PbHYrvigO%hE3nB#mi3M+cihKE_@pyBXMOFHRkR&(~719{%NN z3CLL@e5Is*aTT$oVe4#xht9$EaKUY$UhixGOoyS$7Z_BbiMFHqS{gvaK@yWx<%S>G z4j4CnuXO7npfE}p#0f?3ZKH9jA~JGaWE3ZoThaTZ-pD;jt}lsgr_;PLef+*gdSn|K z5kyknh9-8Ffi==pBReR<(6`==s#fFPh~2XqiBUJnku89-Mw~eVHo(d@&qd>0Pv1*U z7>-*Xq@%j+N1hQ>m|Br~XN97m3S666&An4&hdf65mm2ktZh;HnJQU*35`eD)k8?s6 zrr=TggwcDNqGH8Em*CDMN5=XR(7mM2*Kp_9F>V8} zzFq1Eu(5nc^xh5P$wKxZ8RP;m$PQrRPQk%iaPGT7K)TG+)d7)7;=;R!brz37Oe=2G zzB_9;HebLOz&US&wm#@@aUg3CdP<^FYI8luYo!=$1UU@&D)>RLB1Tlj!5ms zmBsFuN;U_%JS>h8qFf3Z-Jgv66a>EGQLK@HTVq@gT@Q0A6yg=1t2e0p=Y_@O4pR&| z29O82#P-D{scd~bZLukctPu&3oFYKQpmZrqD70Gy*H=lB*BF*`?Yi3v=9XO6fK6eA zi2SpvGIt=A8q}_}qYmM&mPbu-l)R$4P-qR0hF$wE_Vp(8MCxnHSh(W=7!#2S9KF1B zcnVSG;rtmRIve#mg;Z^^Sa^Dakj22h9`vW1Lp!FW#Y@f$7gAKMD@RAqg9ohozZ?3L zdX-x35muTDZvHl7v59@BWFPPF;QD;sKbl9EiF0xnfS@3j^K+_(|yID=6qaWCB)^k@We>IN^($4+r=y z-?dg}dPHOGD=DPh2w|xNg^L%WEDsQeW@vr?Wso4`g>kK4$;tt7D7Lc~y6L z;rZOfVe4;4$AXmla){WXB8L0a;Qqs2+q?zR9*GINBDset2gHRQP>wq0-HyIVrVaoW z#Ea^EzD^S5i=OYvdolC5k`hpfNF5X@jpE(>anOwmYVC;7?zV;lrb|Whi;Y5}6a^$CcH8ubXksrLgMP;#nk7{FiSh9x0>pkC1-Y(a z1oNcgrP_ygcjF`p&mkOB;vR0Y|^VV5Tw(I9iUL+ zl|NyOaU;I_(B*?^%hW|(oio%NR2hP?Lw` zXJ25Qt`(Q1FBquvO1kO9Y3r{>n(AF6CyZ#JP;Vb34uHp)KSFD3rRo0Zj#Gy!B5&y@ zf-8d=%KpH4z!r|f}Rgw4kLsJC?J#J!W#Geo4%MePrN zGI@*@z34@RsSn=gNQcvk_~HMyQto*p$?Ps0?Ucd=yHU7ZIHOo!lt0y1$M)u7*^vt z!!Zc7#!{)Du3%%+!0f(lhr2K3{pCwn+GM+X^p~rOEEi)8dW=CAKF48(5sG)@0up)m zH@_Y=^#&a})3dE|;{Fl|u}M`&4;-GMe$=jNRLoDLCMsN~FmWTz$CGP#SUL4A2b7d@ zEL(?taL=EG2oANfE$cs(T*sPinMFoJ@#}Rxt7kX2ipCH}rdy1o4w2sowtfbN{4CD) z$4dNuVc$`^cb2|Fq%tI#*W*e)ouT>tiNX>)W?O927^0~7!N00;mKA)Qu*w5Wj_ZUF#YKLY>^00000000000001_ qf!8Ad0B~|;c4=jIE^2UPXHZK81^@s600IC40CE5T034D50001+Irh8& literal 0 HcmV?d00001 diff --git a/Documentation/StreamBuf.graffle b/Documentation/StreamBuf.graffle new file mode 100644 index 0000000000000000000000000000000000000000..dc74a815aa08873fc70f6682dc814e0102d87828 GIT binary patch literal 41774 zcma%=Q*18))8=a%r?zd|wr$(C+f&=^scqY~ZQJ-4`+nJMvTw2%o6OB`CYfZC=VE3a zMHx^qG$0@#C?H1aTy;IIVJJClAfQMVARw6kWKE4+jp!Y1tz2AhyuEx?RvzECZFj6T zi-9*mg3@a27D??EQ(7+c&+~4JQ?`y<;pOawokgfhqQ^zF^2UjS1BHdu!8O>?k%a^k z{ZN&J@QEjvDhtY?`Z_qMKotD<-u(9F-tzAvlIJFJSuAF!xmeEfSXiWbmdxE)s8=wI z*^v4{`Jn`%i0?rIpaKP7x1E`hN( z4Phhzl(HkMtQm3Z!o{WnERM^l_oT*`?UVk04eRLLwXDeF?H!k+O1S73H&QMbeXh}F zR2AvlR)p4~MEL9{_l+;{il+|oRgylCRs>Wr&*{44H?_jE7xwqMa&#f zyK`YzvrpOyFMti1r(czbDR?yP#_m>pu8bMmr^bjVi!tkk@}TFqA+)bJTP~HEDDDcMFH% zgR!5hLq4I{at-46H`kOCb3IC3|bERwh zynO%yLs<=6*FH-E*hB$1UU4A4vJn(q7_wm?+;})HCV0_93niJOk4$SDh(p5GTE?E-^u}wf~t@f+(9#h zG7N#%`HWjb%!r+>>p&yM?(OS(#WZdwwa%bS+X+0gs#?{m@=8}7IsMw91l=V4B#UWl zW>)mns^-hjNhNj{6Npwiv6IWJ($8&}Y+wLOW3!oAmJ+HpHLO~A_atqI6Ud^eLSu{R zv1`AiB#lEomt?7GjfGk1Z=Lj1Xf+H+h__ue>e%JWrK_B}ayT&`*+hZltn{QfC68L6 z+?u&rIXu;+sR#yrwt`gZ*603GDzffLMrPW(vTE%4EC{xT-L^YI;|0aVB+B0-P2Feu{J=T7|S)72T(5 z41JWebcu2cJhpU^`c?f@j&Q~0mCA~$lBOfQpd&rL7D66ozdTFrVvehqnp^Dl9g;o^ zu;)lQuw>JgmfxDVJSnFTXcm9I!Sz49_ai7A(T<_X^tv3tZel&udB?3cpcR1|an>4nTafKT$Dy zz(~>VTh8ybFXQXqi^GhwL{U+6bac`*X6lVFJt{vk!2w0vWC|0FT}Aj_v)={q-`AcbDNC>^F~aO4yFom#@=z@8H;v8aR60`q6Oez0jNZ`i zdPj-1{9udSq>%{HKz{Qfg$SWRdM~`LiEh{F1PVabIqDkc%@ppy<9dJ+FBBE z(v`HyIec_cd;G3#q7bYIxeJtCIem&kxS;T);q|3Oh~OLViK&G-8nN{xSy&5?&V&EB z;t+^HI zJrjMRK7@wPqmo3@66aHrRYp09k_e&V8^b(sGG%8d@JdvZuA3Af(|Pkq8@@c zg}o=f$BvzWKNWZDcLR5e=H*%J$DXD>SbN2GLw8SfUv!sw#(3d%r+eyn-aYUi<{sys z`Av1rLi!^ajNVOY+`oLm`6Ks*@AZ2;5FE@MG8}r`b3Az5zdgi1%{|pU2B1fRp#2I~wW88tKNbBbnARHLxN=!CL_#)R60 zI>5ZaD8Mj42mFZ=WnK_eil)?1rNd^hMx8;X!?62vgMx>yho<-T^mKKBamTS;Hy;0N z@@4)`_uw;er`KoVpZ;b44*Bu+u=Z{NSbJakS^C2GaJb*Q2$=qD{JjG{4EzBW0f`2o z1+D>h0ab^*hT_C^q}qS$ukQ~E8UmdH8H42i)6VIrvcKE!5+nc)4*miw4Nnc-2q6cr zjr%M;|5E@f)CUm(IRU{oheoX{1LLVJ65;BryxcD9ULHWV` z!Rq0KQ7VJGnsOSSox)aRJ*d8J=z9<{)FMo0uq>huCP3ipUnoOVqN(I`(imA4nGacd zG4X|X`^aW&+UscP&LpTg&BRb`i@%ED#Ni?8kVi&hy43quQK1Lec_cz3)? zX|cRe>9kx+sZK06_Ec<4EM2@!tS*`#)2~P4PM@nMrzh>%yKik}w{h31XY5UX-N)jN z#*frb{JG&(YyE78e{Y=R7@|Y2SF=}QcY~wT!QMb&FVzq6<-2FE-~Z&h^kr-Nt|_A* z(@$1pdN5;$DYwDT7}mIK*#0lymOw#YZ-C0L1>o-LcPcOllp2zk$?z($4)PTh5Bw^kJ$|?EeIloR4tp(?z18-Cr+zp6E_NU9k7Y0;5nGV_ zxg=)|lsYCFDmo52Mhdf8uXC1j)nnK2`f%2;R}=unp`w{O+q&ga#jV{t#XHM8;!{E{ zXS7KK z*{{Jr&Oe}%k&W+VVYy8u0Pi2pAe@)o_?rm2NOpuh=I2X&&``NiRfHbyt^+5R@5%iW z$uY@jtR~ap*LW|;_H~k4rO`9aoogSVxh|*-75)RjS3TPW~DfwV5iQoUbTE z0!KoC<8$h(-B;r$4n%*TJQy7O3F4BTOTUensP7F0%g%4xO$}0VZ2ifqNZ$jl1dP@%Vazp%r>_+ODhYIrnir z1@Kouuhw-Z?}h4W%^`A^(SyXH$l@q9U6ujQ zi|gscE*mXd-9F7O?;EE3(CZ{yW30#fvSp#}#$WIEvy|hrui;v5BD<*-Nk_ZQ)!uH? z+h6CUwP;JiZm-AWJdR^exwqx@rq_YNkK!=5g9p?@e$NZAGjXTCce3sF(lh!-e_Olt zeF0Fr%U@S%Je%KL@OcYJ_#OZN-~hWj0=~ankm0!NKZQR-H3S#@o6d?p_cQS=1btqT z9+p!FDMMRv#rPXeuImfAW8(Necb=yYi1Np|@8_lm6Gdf{3b|Z%+R)F`z%UnR~?-zif$^N;!;a20j4&Yz)b@O;f$MJ1X|6_7bz+)fV>vr4v z{c87%z$io)K4TuCcTvBj&OYfu9fLIaaEh*6$x2;xK z0!{s(r=|E_KLRUSFe-ULx}bISs2mnP2DdyM zMyv)_cK2~Af3&s%n7%YyV}na8tjI@%}+abQ4owr-CZeq@29-)JZ$#E*-n$7af4W?;ZO9jd?HA0=5 z4N{$)wSxilty+J5MN1`IQl4bz$z?`juKpdh;8*H8;*nUabaJsG84YWNv)Uz++a!`( z60d$3nc#H%h4a$a_ee0n(oICQk_bCDz7;gLek_KDTqjq4@{k}|{RXeim~8q>7#F^2 zo+KMIAfn8W-rM}ike@a%?7WaJ*usd3R!G5)iZ?7wTTfyj*v~Bn80k@Jl8w6lY2YJUV(xJl!mMr{-}8g70Hryku@Cts8Rjj zxAx3v7)xjUKGF1wMo@^U0D}S|$qA-~gRZkW% z6J}h@`vrYfdWs6_F|(F>pVHMW3sDb(?>nXt|m+qtS9T*xpEMj+sBS-8C2{=+7!2UJ_mp0ij$pbdN$uNsxZQ!nXmBT zPG#vaks~(}{Lt>U!v^t95N5m?Ou_(q6(^}7FBbS!o+Oq7ulakL$f;Zmv3Ie0@4l}y zK|;dp&jaga+p*ch7;E)66QptKlWIj%*Z0I^nKxG~Z14B3hDFaB87n+T`ze0)1~*;&--o zJq-X)R&TBGwleKye$TzH4}eXITbuZGe+QpqzZ-&E8-h(I2S85UPDzZcdcG!|CRX)Q z1O0F(_W!grgvWwN<(EnfOHUxy z%FZojEVfiZ53gR9)l1W_l2^CsJe|yng8%JH-_C|0^zBCJ+I(-s9X_ksYIE>dXaGnA z8vO8wA{kXUJQ#F!%-;KK2O%1D9jI#p{e4M1%_or@8C_6Z6eBalqnK8U7xXUm_fnj268n_6AxDnEfSgbjvf8B2 zq*3WbGyB1+;!s*8^sq2rl{*0P1K7Y3Y$$PGf8~1A?p{-+iC=MTqvq&Ab@WRo^Q|MH zd3C=}(R^eZoEg)5WZWlYN(U~2Idua$WxG$^?)Q|5Rs4)QbFof2C#^1VY(geXtgZW! z&=K@4Jp*gnX|W5XE=m`6H`!1_)LQq@4@D#K>a;$+7d6+LQl-RBkf5yWi5xoq9r7v* zGc`8WshO!N26l&$SEkB`3izQtV$;U|j^Cl60KWK$jqCqs{61}5oA@330aiSZkg-L& zsTm|Y?xKvjjg9ZDG|;hQ+WVm+5HU3`t}Zc=Vw}7%MU7ecGhB!t2?;`kNS6CKEyJbm zp*rDd4C%U~YX{}wxIIknJu&%(EDl)SiAniI(V1CES&B)EX+z<#2o9mF9y%EH>}Lvm z^s*Bs#NjfH7a@GInXLFB=lV+Js+LH{*|_C=!Qsxd|U1jO}(;bn2 zf@-J9-eLL}ib7bxFByS~Hv|f>s3xi$Iss#kjD1I(pkJdp zk^9%TJqMIL%IjNP9$XVrW1+0`KSKum!yzIgR&bJ<3HV&h+8cN~cl`zu7*`X+*Y)~n(bBLF!%9xZpq|CaMppWo^`|e6N z!qKgQB^hna)Rhg{6kW8Zc{6iouVmAXow#P?k-T0kE>{NWp<}Oi&X&UY9HwRnmm6l| z)OAgGPAXomoBKt=f-`dj0FgwcaOiz+``S>3# zcFpSY`9I1m@Jl;lE<}MsP)2fN-q>OpOKd3BH zRVoK<+BFCG+a;*56 z=1lSoEwCYobhI78MuD<&5YUd6u4Go{IQk9K-~ zEed&|BJzrbat|z(ZwJhbmMe#V)6%=t2gq$c5E z&p^0f1*n2#Bou$jqh^h8{qOty} z2?c)@+S6szp9|c+!1wMUA7Tkj$J=-oP(SisX7vCDb9yBJSS&t#Qj+LMajc$5e##@2 zyKMr?kiPvbz^zMA!cm7HA1}sF`YiY>1)A4sMha2orh7oQ>4r=bssj)fvP>~Dx+tCz z1v#oq)X6Y}F-=1@r!Xe@*&ilw$ z!x!{d*}DuA%I@rMU_e|zSU~J=gdk<)FlM{5AoM0U3wR6|Ua(xS2Ur(4AdG2HNVr6i zZW;Q_Xt_(bUBXk)Q_@rSz2`pbUgJRSfbPH!IT9)nsmQRRyi1ZEn=l$fE!4vht)1T2 z;l1#l-zJ*ldD)!h9kkiGeJgRD*1dtc|p- zBs7KLh9p%6Aazs%tsKUx#I2phK$n;%T~)%S+_X$=my(yNpY}uf%_fY7jE#&{_*XJ! zJccrkQ7nVR7CD*3T(ldh0r+V08+nXmRzf$boeDPfC2eHtxlvXttXf)~!o-xWQNnb| zjD_iNn{q*Sd$j{yD;VbLw>?`Ce=BxJg_r2o*_9%VWgAy#-QLJ0I&{B0B z4V4ijrz)fDUD8R^N!dyLA^EVASt+wxRxM6Zow_O&HYFx?Div?CE#;1~PtBdWjwV1R zg;bpM4_O+SIz^nEt(2{tZuYzKVO12*axcMxSFZthr2iYY`sMmBq~d>>PS{o=?vRl{ zKrbR7Kyd#togAIb+^x(!=&c>iEUx`v2~}@ae_718O}53DqbZY65*bNJrIb_`Rp~ou zP&#gum556eRb5NUrGzW~0?1Nzkd3!th**r_SenlI+`Q&|I{nVB0J9&nK0i%+Zxv-0 zd0KPYHgzvLHaA|cyVq;i@`R0}(}QpYia^!kKcLt2hNjrZw=jXJ=!)P#_rR(tJpF`x zrZ5TMOOP7aYir2-3E@ARXT5CSL|r&K7DmRxnhqv*<_)XQs8+TMvwS|??V>>UuN!9; zCcVl=PiZwU-G1Y3ih(%yAep)HKR$og>I`_~4zBhB0_L;$oq(`c%B=i;KNt{|EHDBn zLML7%1JaxNk~tRp5P?pEm+<@ifbM1EX&`R5Sau|^6YfPYML)+%0bK%b46<(?ez$wy ztQJMF(2EQr&5R~z@_jo%P-{d-Fo0Zl64p>E$$*CVrY=O{K9EI@=hs&^@lT$FA79g1 z{9*w)1CO=*^Xng;tgfGe4wtiAfzN!i57+w|=eND1mZ$yz6};bYe{E}dy=hC|Sdszb7Hix##SG!k7q_$drzC##z-@sm+5B^7NiL5ZLaq?S2|vbX zxmC1@y|{}UPY>E{uT$^z8XhdL#qpX@8VLaYm{`dG@8aK~?=G$j&Jm9?SzkTxrZY~Y)!US}<*R2cq7qEnWCEvI1R|&n0iw4JcRyH4I zasKt$6PXR}|Jd^jE+AKFD(4U*GTW?=l771OYoCMoe*U`u^YeyPB`( z3fT4dzfC`w-(O|ij^EE0KMH&IV0Q_<9Q9!O<9(p~ep6@hE7yVy%!fZampqB5q4!r| z%@=b4ygzQw4kV-FP|4Vt-@iBBITcK7&59JSSiL5Uj2M zCOUg-&7YzO6hh+w9#?*RO-otN200y`hD=XS769GgQYP$-_gk+0v)(Pc{9q;&WL-2` z-<&^ms`I+l9cY0U?~ho${Pyo?9E!?wKkV)qaDl*4Gv)%HTkF^;KpWhV0D-TqbzePF zS11t0mwBpwhu^b?3&V(ETHru2UO9+yP%%b=L42p16 zk*Ol*GH(jDG-^obr1um)m_&N>u!nb}X;&zdQjE*U79GMhG=Xi1z(wBcCOuQjYC(WU5^b<#VSJe)kD zKCL|P9$A@S8)u7yuoP-W^G49dtBGM3(kW{Dr?=pL?&!+OjqC^R2dNjBb0+Re;SI$X zbubWVg2s3m30^m9JIHDDYCOoqlwm3hL5`>rZZ+&`M2VT96R8uY6RQ)y73{+4enyO#SQc59R~BKBlb)^-t`WNy zxR&KXamT+ax%qN-@$Y#i>iMhlF#8kwH zVp(FNVk2Vdk=ildF{6>YC^dg>Fpkhw{;;6C{NW*XSF2X7mcXT}rL-r$CH2?*%JS@Z z*MY`|Mu&!lMvOv5X(Q8@R3sfCZ6UoO4Ixz_&6jkSE-XnYp)Kw%J}kbKVv~}SnoDC( zb5G=__mk)p@-{xL&J<_SGZ&vDo%LmNkro#kRUK71ZIZo#_J07)|nq$xJv3UL1C~1l~E;)`p<~yF7#F#|f zA9f1o^w`$Ig?`f)QXbG8kU7W^&IB=w+s;{W0Xfypdoo5ash!-Gb0IlJIcueXp}eH- zq|Q^LRVt=DNU2T{PGwHjK6{v3$~|Leu+l&2hBiYt^EshB@jdZR&7}TAJwTmF?QjY^ zGd8!!^E>&K4(s{noc>vHrGu)?y^^+$?MyNl9T>E|CJwlua5o4k#xb$?6?h7VnDYr9?4dJ>xqaT*#e){5$i z>!R#}Z-=U7#fGxwMM#M%9UC1+jf{3(%ScO2b5XNQv(}Vr+6P@%ldYhHltsiWc&@n~ z^v+8sQ>WTgM|zzu0$o72ud+ktE`N`YHwn$MhG%Vi%Rd7Hu|2WhEdTfc-H=>}ba-xf zbT|*pQY`nwvwe@ly8W(LwAh?jd(0Os2F6#$S>~U5=LhM&)D9X7*=N~7*=Y4bjm)}e z{g*-2Y1d?{MyrSo-KHJ$Omj3ByCo7qr`jM^|EyY6Z>?2T?{)nwK;*$+aTMEnS~Lxl zFPAQ=Z>JghOr^Ctos71}+GU?Qz^tnpN3?92do0-x`ltGJ`<)U&=uv=$g^V7 zB2hUOTGnFGNwGDx8*@H$)-wbsjL(QKQ^>6Ac1Upe#&6+E^x=NHypda%d1!j>I*5Pt zcn+vn0FgS*2cJgd#GY^2a}1dD_b$*gluxisP?&E5t%QstIEQ72?Zpp`2g>#+yUynSLjLi{56y+A>=V|&9KY+1}Qk_~|C#}0QTqoC37!1?Jbh$>K zrOZ{7F1;^dJ^XtZc;K_Bo;}W0sN$RbTZOIY@@zv+NHOP$TrEqheQRDzpJ6!DQ|e9fOg+2&sk*D((5vdM z_4z)9-@u`;uV3_Aw6kVgM2|;twZL0`VTG8z2em2^QY{WQEJyyarMK4MpNh_xc ztsGhRTt+Ck<)5z6R!#Vne$&2nygqGXOz9u5TrTsM>*#AJ))^SA2RcyN>#k@%EFPN8 zRJqk%X0x;P*zc}xe{6oZ1;l8;wzvh*6y5CO+;S}35Z^@MIpb-J!;TNnn&m2Vs=M)B zwcglYIbC91zucMi@;%C*>lqW&-kJBA{~iH11$Dk5-0dOUVwu8;A;cgUyfgj|eIpko zTBOXRw5bYE`>>uCO^-b@)ifkMyd2@NI$)a+XVHtyWi01b=QQSDnt(BTWu9rmYJ%63 zeTCo5nBQ$&v^}X%2a02-(;C$2E9Tp%-*@kL#a?Aj2_k^byK>1y>1FgaW*E@0TYj-}!zqY6YR5j!YcqQ=?TH{)#d@$Yz z9y(6HQ1|wJ;7cp4gB*U%F@Tl$Z*_cuVzXe|MU^a-(7NFEk#;+j^5g1Y3)<%~?eX>b z{egu{g=?Yqg$oySF-@|jeUEZWE@wxpn6`ai68;a%l z#H=pp`=I=RsV*{^8=q3tP8k$e7WGSux^(AEQ!6q*tYg?(aX2vyn_{l)IXn=5 z{eS_WAqZdu{LZY*m+KGb?<^LmD9N+A3cb!Rn=d#QSR4*JQyux)>A(9%LQ+;*USeiy zFH7O0>2+CI25rsmZg0=Mersz2_j%l(PPRGhLissaX|Q4AA208Py8j||burN5&j^Mj_-lFGLc!wz(9-mLHjr~VT*wH5R{9iznrM2~*%9sB6C0!Gs z(jK;v0z~TjCRV~pXLa)tSRVyAuwze855y7h`SUJ96aw#-i0)4^9xjmb`0E33mqZnz zz~LZi5#enhaGc^94h4k5^E4VW#$`8{bZUXu* z#Mi90UMN+f@>wUa7XNKAzs#K3_HK}PJn~EH7>6LgA!gT@1fP!te@N5X7hw1)kCIa^ z+>DgMJcZj>=`K74EE0Eht*|@rIV!u}Wo9_-WMl2~sQ+3f=8Y|;nTkEEKP1}54s&Ek z2j;iFbeN@i+a3Ouz70v`382d9XICkKh{#Uf8R0n+e=T{i(2at43Rq=!=Z(D8^{=io z*HHbNzdMyKU}5;eA|>+?Qma9-%|E^4Y}U~+eGOMz^Hhh6_HHz_?q?OY)r|5?FL(83 z2xi@yz@4ye_<7XobiuB&*Oq+oD4%ijZer4>y1BsPLWjM1WR0F;ew}|adh(!xpHNEu zvF~Xh38Wtov7WTnM~=gFwT}0_`4LHOOj9w~#|(qRRKQ9OeCwcV%LmBJ>Yeoc<1Y8e z;Hi0kYZ~F)c!PYzg12^Ut{bP_u`GFy(aJ@1=_vX%7=)SFlU}_RcucU4nWp!a3tDit z+E0^Qr1`d9FzW*72`ke??)&mB`)9j>v?Zi0f*Cn@_*=O z3t&ZZSoU`B#k0P@s2rJNBJUFp&^(wb=pcGj8=#9gC^)l4`oUdm#jH#viftx@s3{$H z9Fc!LugBC*&|tzj?`i~|KrhTk=kP_?!w|{Cw5B%;`T9B-!a7h;@p53H>sn5?}Dl-P-0!B4=@K4`w7@hYSD-9gq^8Ea!2o%IX`Kx}o+kSwfe$ zGOIma0I$swQejY`V)e~N#m$T|lWwjDZUO4|dW!0)sP`osg01vvNrop@zORqtS|W^l z&4Q=j6J9^ihhXwUICPMxlB*;fZaQG!&UiI1e-fv|872;ob2C0U0Px*53N@%v<4j8r zA>2lX)2$cSKuuPeLT?lPulRFr;I6~9-N`;<{wsS=`#li0$r&ZuXrdInZ| z;Ml|K1{mNnO;^cB!<|?F(nvVb3Z6&;B!3W}w*qqJsx@`eJaB0e7$wW|-t9MXJfI}n z2DgT{X1>*o?P05#wInSQvr0&Kxv-&LxZawf4QJZUh#?D%!R$Et{&AU zZ{R$fN*aP(rZDqfiN6`>js-%LCYB@@nJ7$6jWN2Tyr3mClJR?O{?aR`vX*lmDMQzF zn?WkEBX72HXtfKo=(K6kF4;O7KybeSlc{}S0|{LJ{&M;M4*CA!!0fd%57Vw+ZcRS? zxL+FedKl2ZIH!GbIcb?@(^pBCEW$ndN?WM;+0$T{?}i>Gl(OT$LmLUsV^4r@#h#{% z=3s=|#Pv@*kkPoonSDNXF2D9CO~V^%VK6hIeh`81j{d@ENDc_+TVqZ1E_ra9M-gWG z=z>mHF$#)+d*dK;Q{TxncAAk)x)_GI9sijA)qN3?E~yvf8hRouu#Y}AtN9vE7&Xu) z`bC5M)p_8diamcOAF|oXmj0H>>YzL9{wM4rqt|u$S-G`AI7=U0)P2`jjOvuk(khI2 z_FVw?i85(G3hibwTPj|#f0^@R$X>nx>c~&=?mI;>2;TTN5_#oe9Zc5`5o6Gi{jftEZe~-z|*?O#T$7`}UIO3bgnL}OBOhlnoU7vqX|3G)wsSRZx3&&Gkby@&K zeiM7s09Cp)M8$g|bhf3aMa=@8dB{0ZhY+JpmMsz#3v zE9%Ft1~GmBve7RWzWtmeX{?IV(Xjq#8=OP#p_z1&r2_UC%gVaoanG*%qP&9~>=9W|6PT8!f!kTlaC342-Tf zEep!pScVJ5jRS8*McP}NOmlye{}e&GRo#zM)E_Zcx1S}mO#%9a)d${0-kHe*Gi4P+ z^+&Qsx#uo;<5QP8-OWZ#4`MmX&ogLVz{%ckvb6>cFl(Ujl6Gd!UPFt~7Jn(<4G^Zcj26M5FFuvU6V%hvHkiqIKhd)?4k3D?ho zZqP$uArYQC`JtYyLBx$eVm|;=C zYn@(|p~qa!HC?`31K&~>i%OlHiGo`1X6->}GKnq!dMRPi5SQStt1Deq>vNrOLe$4v zQrxI&v7x87r1x;!WOT;nz~)1a-cCVX;_FuG%b)XhE4 zp*BZBN7h0C6q;pRvMjzn2&ETij9V!IF~625B_-s(aNcX2xKl{+?G}Yt;rue?HndbV z^_*!8-93*Uo_(}@usivO<@+07?7fvw_^NjwA?;^XJsa{tgkwYS1DXts9%rC&KrpCZ z6xeX~N1pfV&HqnIVmKXn0L|`-11lRRGTsc)`x^OsS~~kTU@!<+_*Ie$R&Rl{E?GIQ z=NsSJYwV6p&7w3ww?kx<4f;-?$+EseG1sk7aXLf#7MfR?^78z^Z>4#QWvpsL@A%M+ zK9|ME`1XMjU)7Z@F~PZZh+<6A2$hR93bFMn zRBeXv`n@Qd$i5y4Z=M7J8{a6j`O1B}9N-agiuBb-y8gBfTw%wqEKV%4(sjUl9^oB%QxJ&9yrOS#Ap6>-h$S*Z22Ltx>QW9og zpyQSl2Z-22W7RL}%#(ccyj80=f7#Ir0nn?C_jD{}3V)-$43TA95nw$PTuv);v5)Hq zsZOi(CPF?u^`&3!O~-J7{W3r!xHk!HL1*;+VC#mVcHjBXD(N${*e)0CZ>++9M2+m~ zeqH)i%yB!@9=583v|nxOfKGv}JHJ@o|pIqGPM?Me0X&P0>G#Gyqu4qPc?u(xl^cG-sV z$o_jShG`J`ewBd2w-K5qeY$(xM3#a^^BZZyKz|h~p%8If?`Or7Dr0)FWxT|c-{~)W z&zEE}L>XB}SR+rmZ4f%K1<>CP^LoxgSAFHcZqW(u+K-C2fIns<2DVDHCz!ssoE1|a z;&Um!7%Ech@|wYqW#i14hIDmBG&}UuarpexzeIJ76V@a4wg+3WCLqT-Zdy!lV{lz3 za3^YKn4b!e{^Ws>CrPqw45DL8W+R@4xHK_UCXKERgJRW3?5MyO4Iz)A8lD0M>35`DD%9)FnEt;8imH!uN|BN z>?O)jR)OFcg%8Z{AB9#RPBiEX71VKh(hJuMY$?r^@$z`KH5#x`U%6-C6!h8voHYF+ z&Lo$>OFfUUu;Q%ndCGbXsgJFUp0gn@k+F@AIRasFvrTj(L@m(S{J7L59+g7kJ?MN%>VjuKba?ZN7S5G*;}a2=_+vvIV1e$kocsm zwR}JwA=j_^2v0K55^lvjYyFoJoSpYeM_oJ0Q?cO$76Bb_4vpW;ed!`;afaqjx-CkqLV@ z8+*>icEu1{g^`v95(R#p;pt=}dBBudC?8FMQmW9Rrv^}Pt zYUv--MPv!=xFS079258*OsBYRu+RnT!Oc^P<7-OuMnC8@BCq^$Wqp>D&)i5)cT~tq zPQ{TRY6R79fQTB)e-Yk)5#N6i=zkH(72Ocz0}%g$Lr-z6Al5uNj=fPuUiW3Co%C*zjhcPAFJhX0ct+ zbt^=;LB=r&5pl^95cev#TvqGi+izPhQXo(^O2tYgieRy~d9uRt!}i92tUc#@CE{Sh zBJz7&Fj{;wVNmCe>9AMSRaNG0Z0aGFVo+bL=&0f%C=^#=`qku3l86b`R6;1B3vK|# z4Dx?&K?%Nz?&3l>H11)?il`l(UE_4KZfK+xtY3um$)$Mjju$<8v=nnenT z^BzO&!0*((@uW>`$A_kvmvd8$gVh=$X>ywah^p?<6&olj+D@2^DdderIn78x* zi23y;IV46yllCcvuaqQF8ph2}N1{-8O-eDWWD)&8_Qp09Jh!r;VR{A#p-e zlC0U0CMNn$aPIH}U~Pwy2ixu;_r*p3v+b99TCj5(a{-RqyIqHN;`ErDxTrgaufhTd z)hR=mP%C@!D+5J=BiJOjoym6{0jR<&OPh8FBDRcF7ae@qs^6fPmN!?3RC?+YSbBS9 zKk_qC#9kjyO{s-@eROfjw@!qeL$*C?7mI~(V%L$<)5&E z=>NYZIfwVowrQ3Z6VO)fq7wwwF6_FmixtUQD0wVO#$=OZK!!<#1fSqj{3+86QoN-_ zh_zWK1J1Q?%B1qDdB&-&Nr+6@U=7p)XLQK1%x+RUWlp*dY|5L_o!-J`TKg8EFNSJ0 z@QZxdl%PUmK@d$*aaSW_-t&%lbbcSkD~4PW76iJENKbM-jA&;#=432JahFcto|I8& zS5R3-0>ud2O2OJ`nyq4A^T*JOqttL&gW{d_r=94(4Q$)`$}~mC*R#65Z!eJ^vM-?N zNCIUa$!Qf^N4S4<-U@ll8WCV*iq*vLU3@5_a5TVsTe^|opRm0TuTlBkUaxEL9>KCs z^?9o2f+T-Q8-g^r8&D)Pq|EfAsin-I&igH_p*DU`&`5M;)*WbnqJk<(ON-fT@ie6H z>sTdlH_14@kW^_!FGrLW`r|*LRknu?4u02NDm*1{10rG^@`TVbbZ|SNqk2Q}X+gj7 zIa=)DMpWOCLlfh?`tcH2oRqiT952)P=u)_MJN^1hG6g&v;U3%`-*l)}pWM|DtVjq6 z#G^uJ%&qD}r8+?Bv5gY~;@$f^x1Ja_5eeaDzZaTB9QpTd5)2;+n@kI`pEd49(Na89 z1s6Z*);#f5Ve5>+2jejkM{RGYaG>ytF^{)(I7C9oLTn8y;hjk26X7-X#dn~6--)^) z7T3L6f{vwRveH%%+Oy!_jafCKhyEE^^N%vXwe^&5h*TF{YetODp-8Y?P8<( zO!MHDDj>g+Ba#6rN%w@}Fvm;@%@JcSNI&Yu14>x+12Bn}4ceUlF91J4z`qFCn)Drq zre6dcv*Eju^}HV~|3xGZ5G#D?O1#CsktAMb0Ckonm3V9sF;wlcM{t(NbDFiPg_pPU zMNwMGEKg0a$GFnO2v-{hnGh%@sgqKLh$5Ri5H!8=VQ}&-vELKs^GEQhxtu)3s3(m% zwu5l$zY_g9`wKbf&q03<`u~s6pEw{6^BqS{#+hz&R+Ktv?413_Kth8GQ&b$bP$`RJ z2s=Oni_@@e(ckh-!MEnaR{lL$*73qQi1PN0k0f+LxxUQgz6u;eJQQ)Q8w34lI`jji zg!FS+LQt_V)Vr0AfD<8D2R`vA4cnpmrv;W1zkQ~;8l#z-$~-*idFTu^eBS#) z=~vEdK-&KSQEg!gaUs30tAZL=j6WtXwn}qZZr89~^~M?T%~J7ZV4`NP5w0W`GRIR^ z&3ZuYN-Ap;fer{~yUdYqaJY+`LJ|99Nqg{}$8jR+_esZ7MZ;y@)Z|%^s;}%O9 z*!IlA@xX|QiMl>I<6Q9MN#S{)t6A|K+suxdHy8|sovq`gwh`)mnIepJL65nqws!da zeYTA|aGQ4~#KEzW%mMo`BsIm@)hpj)B|qMDyH>{dCjCa2lTsMHGbh)vv@Jw;>aY>+ z?%1C;Uifcc$_A(Lj%+{_B(fx4F(vFLh&}j8@p1ALrGO3Utq8@Y0P=#qy?$Nj`t2Jk zzT57A;_Ub2ATMtF%!@Ck+`gR*uS4(N`=ru zQrA-LqagR$T(6RAx5R3Nxtww~59B)IjzC@W=0kA?yk`$*+IMXNP^i2Nbx~B0hOql3 zbxL`+hpbtSx8&RFW#$VOil+s&hee#p#@o4J^6%9KXT5H4Tci+>xM0^QAA56~ zO$mpp6i%710Z;xeG}Oj1rBZr2k}|f@ZE1e>i}VLCF4}Ns5M^B>5hrfCTil7xe5iE# zQ2%Y2k;?bH!%2+YLA*2zid^#1u~Y9mmcG2|(xA0L;p062Qz{o0w9hWUJ$@sFpF3)S ztCB9m$cUN9SUA;!Q57o|Kf>xxri@4ONsTtVhbRrmKvU z*3#{u?2%Fffz6K}rLeR-8hdx1cz>t)aA3i;TbJ7Q5}r;B#Zr_j_7CNO6L+B0SnoH8KH4uH?2s72X zRAVAHj-|ETo`xn=PB6tLVC(d*Bt~l`oUEb7K>b$e;3CBl=?f6*__C3Su~e2;OEXRI z2QNGytNcDz5lcuvc$4|>0EB+#cl&lFS&hsu=>CEJb;*l>;-s)HD=>MIVj;4mdg6;@v&nkjwnrtw9cAVaWWDFk> zlc}TIvcXO>1h)@((pLN<)7;Pujo*RnX+|c+kobrM-$?^s zab!)r1)`?a{pjIZ`kbVx)L6nuP~fD8Hsd4VAemyKF^-odbx=k|UwTfw`+MW>>n4|w z`9nSFzC@-vwfy0O`o|_-O&`6Bg4!ZaZm!1g&)sw9$l=KKdxGt$v5Yn0FFIDR&cO>j z2txXM(Tk79$u47-TU_Y0J- z$@W?Kt+UuXp|-MYbgT4A=-BD<08%b~h?m+-b1Z!LGS}PSu|;Q5Uv=~S{+3Su)TH4J zF;8-OWXNY#j-1ZydJo_;uozVAVJPOAnB5xcLOFT0E6fPU_E@Q9v}AuM-(0R0{#43( zB6FAx_>n9S$<3VbGus3&>U1Y2?QUFJUZNsbNzHT-+dSSlOq-<_(aZlaK57u3TvUUj+ z%QvVQ7RYBv(@k9*uoByYE_EyTOC_XlTef=W-nJ}Jxv8kiC*kf)Kjehhg|49*44i&2PaeYtXc6xgG)b$s+Sst1) zK0YJ!-1`!00|V#9rS%;u>dqFGx8Hol=0h*{dQA%hAW7=7=A)-q2D8$ShDu*^^h_>i zcttckmA-Uf7VE5$MWszJs=AwWmGD{(sb#z=$!uUvuVk2*@Lca63_CMWpqh}}w)Sjj zjn)UvGuv)5F8j;ve#hPBslc0qXc0+OcJ(lpG4-Hh+gIElh35u6Z5MjxW9YrC=(N)Q z@P;J<2wdHl#J|1dFgEoK`XqmIq3y?S7_?@^E?lIhdsk zR*F9x;2YqCk0U>L<=}5b%$v7|(jzx0wp+}XnRJ+`ef8^8_Bh+fIprazJmi#zzucS2 zVrMRgkgiGY(+dyOI3$GZ zvUnSJb|xEyJPBhUKx24m1!9m29v1Jmg=*5TO0>MF@!np1rSit$i32$Nk-3GoO{C6K z_`F34TBkQ}=?^ZsTomGHwSn*^?D8DnX9Do;{xoc_;0A<-Bm5?KuL>+#*?J0+kiByb zsRTw^4~HiRr8C9Rw6~941S!w2*fMS27ucZ2c}DQpp&k3y^N!|r5$KBf!+Y;MJ8{SG zP}hUFMa{v7*A|7SXW4Q=A_jxQ(9u-NDiV6&o@p30u5u!|#YQG;EJ&shWL| z=>7w{{Rf}O`WlJh*hwwo5gbj;oiMdFVeEP6^B+8Y^}EEEv)!Hp=^RMsK>APju*T3& z^u*5UNA5F3182f zMxmxX26oEvrDhSPv5&J)sT7<^Ri0gRaDUEnjOrto{IqXTleUZlu~*kdKGYp{Mt3VGcW#=~(Yoz??mft&v#L zcUo@4(OBMzje*2vY1p(hRu&t0ScZ^?XBKJ%JsRfCT=p=uh#~2IO!0M${i{@NHt5X6 z=MqX-EBTmPGRvvFtAuAX6%=T+F5CT1bo(l)O}q4D^k3oL7^rmxg=0e<9yEv(4j0Sz zCU1+D@oBbtxNPW}v#3IxJH}7g^F_P7j$5zI$s-Yb#h3sLQY1ApmcTE`f(Q$>TsRq~ z-h-e!3tM)++}Cz7Gtgx?Um;j(Z{s29b4 zScsBuN+)(MI6v0DeQANrV&%LCF~i9n>-F@#_;kA>Wh`v?!T8hr#5o7qov z+P7Xqd@*i$8uq&9$62s|5QB%VLpwx=GMPpco><{j>I&0H%LnuBA9wQJW~O71TeV)l zdbZh|(}g?eHf{WpQ~pRP29AjA37Si~8%I};Dm!kd1Xpxm9z42G8`kx@uYQrHpFP8H z<*7wsw_~)KwQw6rXt+=ue#Hjj`jSo{@7@W!_|evRGdJeH+~7Oclnq>Lc3d5ZW`#~y zcR{TVL>}gPy1!QHqehJ(?ak{i-I!XSa4s&r?|9>0a2D$s=&o3*rFqjrFDT6o#+E$UF7Jgi6R4=A*6^6%4@PRE0NMyPIpeLzPtaU_hISrQM*E4 zM5c^T#caAQ!_hM-*d}Ygp>A$enT700F^BZ{S*OA}x@DBu;865r8*K&kFkPIMPPgyg z@lLmkDwT?Prgv=7Td78Uibdt3uC^BW&QhIXXXEN#0m;stth}M2ll&!NFkS zP<@YOm#=TP3{38tINQZdd!8@#D9%Jv^|8~zE!(i?ixhD60n?9idddb&DV-9;1qiwK zWm}cS%fjUwKh*5km~m$7>2W~;7-NsbEDV9_Ue5;ZaU%4s_GN~1C~qrXjbCc$<`*B0 zso6e_KqZv$MTQb|Sb{Nl%l!0eTdpmtI{0`SZZsMu#{SiGBD8fJ{0+Soe#nGJSow`8?5%W;s( z=sNpxE7O8<@zn$Mg?hP%(`H+$mx$o?rr|K6jA_~!x|3nsO1HI4a3yf}C+6v_Shv6Z zjegR)hXZAPWqd<^Sbgk4HrVa0#t1~R0GBIHfwZXOV-BIgllj>y;|N%}{s8VcPwwV~ z9ZuNcgq{DpE0Ddow)CD4=?oqC`6Xp~RM%VH?I}+i_f>d#M}HU_+Hy=EH&17^^vroi z`r<~oIZ&}}_>52#J-y2z%y%ob=};#*`jUJ;zGWbTThv4?-r^7l(arUv!DyeD2!mswlq#&CbjPAVSzUQ?DW?lYU~;qvilc zORoAF*e<#I-8-{H_v`+N2WD5h?mD1!A^CY4w%xZS4i=nqlfK(5hXM_&UD-A`=yqHp z_`^Ao4!!wBI(DO)O0k1;_2PNwKaYou)Dex5ve#0w?$NKV&v%upR6Y~5CG2EX3pCer zash&kNEn%q9Zq7%hrh(Z31_#3rSYMHdP7|rUF+6uy1OTL+PR8d3Z?ox z#l!ie&U><(DlRh=x3-sTRzBbDsMo!A-~75aMLHoq36Pxq`{4uj6>M+f*3(kR>CEuA>K&}-4jd!`FR4ms9WMfWJm$kp*uvIzx*90F4ejVN8BP&CM)JF3iA ze*0#t?&pSN+sGQLyb~gAj%kS^AJ;ngpL;D;0{AO+tEa?axigfdaMXw>8<3YlOjnY9 zI5t%KE`2$LREihe_he)TgNuk3Sj-F7^S(7gTwxv)Q&fAB zxz@S~(evp6sH++RY|tM})#-CVU}KztHwEE~q0)F5g;?%*x9^MTdHrk}B^lhNAheyLLo;VxLPFK*MC+rMu(^%niz9Vj_C0U9(iJ&#*7*~9nSrZRQ-_)x zv^zUASQ(_C`OBEI6oVd zj_!+_QbZzk=QuPe5JwMRcbW}W`9Vy1A{)$E&-<>ADNzYqM|DTB0vkzVZJv%AOtExi zpeS{GBnukIdsn!dm0qZA%2>q=pjuaK){3mNEsJ;1z2{zD9W_L&cj$a7X3#R4A66gJ zljmtmTSuS34n3zf8q;g`UeP&bYkHC@6#quhR;6|LT7GS^Q<4vPX<+;E3f(~j?gJ$0 zh+Np}27LcXYc@Fi3W*V__^wGkbCzf|8&HBt%%euQCui;7rVbrP^rwB7l^L#~QgXsK zDxU7rQSy#4?0ZZ7b$KEAOpR21*l>H`-jfMa=l{1N&sma_70x<^W=%I;csjneD{Ox1 z`GOSZ3F39q|4MZE`_C?sE$`)?wGt5N(Oq$Ta9j&@`O~jb4V==6Q#x@{WL4_la>~w>-lOJz4jBdmAC=krNY3mY5kzz3RaP zu!b`$*&t^~g1o_BqMA*OxS8-dCl>n7K zf_BwT&D-kBVimAK&&%%yP)v_{LW})(1k8U-a=dT&Ed_BbvLNJ%$I%6gWVZz`J9OR3 zIWOg2(P4>qUDm1^Omaj9ZD}PrY7xrrtF(iDP{P3Dl9P#A*4Vl)SwW3=n$o`2q&-) z-Q^qE%AFcHc6jNVSq?K3XFhHLGZ^-#3=oqC8-vhok_5QZ40Z(p7Dv(`wA&|1{% z5`E=XLlNCFT-q9NXirWGL0~ zUSc}ZYbiCI)`$D3&E<~4WaEZ8DU1YDrMd$O zL#!jn!abg=Uk^WSzB4QIaQ>9~%~=Nieff3vZ~KN*7`F6OS|+_TgPuow=f>1Xw^YwN zl>V?}YYR`2-{Ckt@5^s}*6n%N8qHfRfnuO}nNsPrR7dV1@Lg?U1pGqG zgPfCI9-_e&l(|8%t1@O$ykP&^_YcAO&*&kjcYmzg2)wdt>`Y? z(8nw89ZK|4A{OhOeEW5F{>9rL7D?Wj;j3Vy-ji_F+ib--?w;FDp}MS_q}|phlBffC z;ljCYtxZ=KKij{zT`AB9-xA>%e<84hO1J<~95g0%YSD;S*QHLG_!FLut}rXEOK?$$ z5av^SkcJ(39}U|>yGG~>$YrEMt#?v8ePisKd;&w@`Zb;v%E3-eqKy72hiWG+oIel9b)kt$QIT7QkG@)qDeM6jh z#fev(c=hiQuL=bbD>@gt@*zEtAw#G2Szl=D7_4vWuRFD8i|@P5AEc>yA5Fss2=S?u zE!94XtTXrb9ks9swNKAVuQ#j?DoUk`Y%s#r1ccv&ikCw>)bX@ysq5)URKo+qkI|Rg z!%lnOeqC;u9(3!T{x0KZdn&RXwS>E>=dL;R46o+NFkv0mmr18f(~Rhwt`E=#eQCpD zZ5xx&Nh!93+(+5(Ei@$+S1`PqGi0$;iuB5{O8nNV@TieX94G2ug7Dj2^-b)MFFmY#LZ)laxoM*=smk1^b z9aSULd)qzEx&KmKrE#Ro@`UGEx9icSGvdv9Jk=I-B7wjFsS!{Ktc>t7yrfUzQurxZ zm>GD}nijjpN_Wfls&$SZ?oW7HAXP@|sq%NYiF>-lUME@%eTU(U(EZfrlKzRXl+uFS z=TEYs$4w~{ZA&KHw(w6ik3dXOlgd#~JchZK@-DCgp4oKeah%Er<69;NueLqQJ6)LN zhW_k3yCPASr55?xVccveW}z*aUGs_kC>jPyFYFz3qBd^N98)%La++7{<6 z>xIJ)V2#v9uhKr!XGssS0b_koX>qbk#{D|tJK4PWV~?C1W-+U>D^@6J9yk>@)n`70 z?x~53g7UB>tX(qCmUzhC-@5h<^R`rm(0QRY)ODfSm8fAOTub@?!L|j?Ek~T-$O(>| z;P|tfYN5`oaOnanf-l{cHLKS?PkS%xlUC%n3DeEv1>IAw9+NRp8%utGjqX4-!m6Q~ zR6?n@Emw2dluO5jA+!BqH?_Kseoqpf5&)Mg!Kh__{npw|!*5~tje?HIid7gDaGuxb}>O22^??LG$6oslI z^+8~Ea-h4DXp{YX!c%%Hp)g0b){xM0law2)p5dlRT9*}D)F<1m#O-s8@MD*r?yq6o zX2L39F^@0xUN2?z5h*ueNNXpt>cpU%a3=h;Kcy^$G68zW5#zh zdIs0|^ST*Pwd_Qp^L_)V5O?u1EYNeGg*n%i%mS66dF7 zOL89=X1SlR@7h7!{X8SGgm|%gHgZ^aZ0x6|V2MsZV`ng#lZmBF7mD;rUfyQwyF<;M zIT6PUYugg?{EWN6S%~68;k5eh)hFtQ2KpSyU8IK~+lD^N#uZA_I%lZmu6|7|nDzeh z{?)3{p4!WH_9x5aoU?8pdCAw{dA3^k>uf!WR&b_VB~wXyeLBV6#>A$B{|1G@R~O+5 zgDVWKFu%9Ds1Q6%3;Q^Se=|qQE3%~{*!u0+4VkZHn^}*Kw$C^466#QPx)_&XWL2gt z6i0X^0N};tJL>h)n*=7*ZjjK9(rsb(GsMvWu-8uY9|*(2%UM=XKcRx7Cu7 zX=%swRxe&o|74m+QLizSX}L)(vY&IK(e=4Z`-|Z_@vwSE#KADelMLmO? z1MBlPd5N2IOoMd0H8c-x+Q~CDjb*81r95lArn0boZ>!|Z%l8{vW2KA}IV0RUek|ln zld}7qqrtI?y_;w%@8+(!E!N=Xy7sZf7b>YycZM&UKU{WN{VZZrX{=1=6t@U~U~~<# zn?o$5&z7+>E6`VSoH^4mK-E%dncC^bSzGRlwVjD9(e0%@A)HxiG!Lz#iJ$PAb){<9 zEB*8yDH)whWD41M>paRGsbNk#v>9S=(yBMz4MQwHQ*Jot4Xr+1ORgd5Ua&}LutSx2 zu1Y*AR959HVJ?dHJB^_4rI~zYP!6={JR+3$_t>8 z3VHzp)=a8SFV2I4#6=S4DKx!3bqQ*(s}OA$0AJN~(_48Hi04;ZPJGkxRaJL4Z}J4n zc|vFmyN(DZNf9b7nf!An7<}n9l+V^I5;A=R-9Qata%a55q-yIh@4;6=$hREIRvad} z2`T`2awo}rl_*AF2-<~WQC$fE#x&5OFA0Ovv08SrG4TUo0x4u$d{qH{;>+31Z#jfu zEc}?9h6O8<%^*)6;+A?N9@xV>jkToHcM*oic&N)Toj2zj&Pw}v;rVwp0||z5u`r+w zM*IZ8z7r5%&(^wA4w>GF8e+t=2)Yfp^IP-;Xc%5Q0uc#u8=gk09}UNoY5`pVf14$|73^^ zrdT5}nYrbEsj`RN;Pdp}4(=8#gxL+R`fCWolmz@lzso+quIc6nPav8AMTwG68t`OJ z6#zX4Qn2u$o41|b!r&@k4atGAzHyX{MuSKVfnPj7%b*PBmj=`ZYpy59H1CaOOVHludmg8i9CC=b+xsYBQ~ndl zz#kO!R<4xTwql_$oIrnJB!MZH9>bLut}I!F&h>I!pIUWdj-6qnLD)8q=9>c3JHa!9 zE~%W{Q7SC8ajgiCh^#8-W($DW94ws4y+T8%q{`YJRx?8uSqY0b6N7SA@$|VJ=TEq; zS<%rG?sQhqNOAgUE`W(5y6R*y+UC%0^eU{PGLyu^ToxzAdPXHWZ z!m6gZ0w7Q!ht{eU>Ya$Jt6j3kY|iz(CoVHeS50nq?>DNd@(}?Gi3!~)^EZYnxytMU zfZ2eQ|IYgp#_#`MyEK%MlA~@p5__(*1C>wgCW&_$2jtJ?W5V-|+VO3AvxsBgu(f67 zAydb?WorJKe|g>1AWw#du&F3K%P*uH^}@WscUr0mu>1QymVvRzaB^m50P!4C^0nnd z>7)-GhlNo)>Rk%+|LRR21Xh1*aY(i$p_q6f!sL%C#`IQ0l0O$kOR|G(w zT}%0xB$mcBZ)G4>o(ior|oxrObM zyIPhkZ21&87;GqYbxfLc6Jl?nj$(nHFjQNFWt#~aA^chR>ZpI_z*k58a^S0@ew$(c z3s*;ddc9@ZYc?N4V9UjEW8Olwj&!21hEL z{jRjzvU=%)=g+P^CJSA5`H&sH?k%vSAQq6hCIF;Z{9s0B)E9y3*9C&F^{k9a4s$%UCNlj z=yAfr-=P$n0nG8LKnII(BQ1VBct`+9`r2};U);<{Pb`C!N)9L%9-W-@;mN#Rmp9Lz zd0#uquw%9o;gQB59Tl_0eh_RXkHIzIP`d}|_LYA;!Z;Bx(Ofrp3G@UIdV*o;e}0&8d@cM{->;)P#&Zb6)xdgm z9!93DM5s$C9a*wnbVQi97%HLrD*x3NYR3F-NClAUIes=#7~K3 zC_&A!(115_8q~9pIcA|rDgFm10MNmq@3`wj%^$MSo_=I=n7HxnTbL=bY3FwH2*TAtvW{vs=LV&0DS#? zW8&KH8Ug61vlUn5FNFK?Jb0sJu1h+x70PEI1fUrGRIZe&p0*ot33 z^_OX)eHH~YVkdE0wr~p}Sb6b^FqQmMJ4sEa#XfCuIAgZV6IjF}LQ#8vsLIi^~0H%P*D105Wg9>QCoX8#}))P@*#2j5v8zDcnovo zpjYTC0WjFnLPq2;xR&TZ`E8IBG&;!9N5$hX;g3Q09F8M=_Cbj%JWO%QX~7J(ohT-u zm{}1b_z?o2qr89#FFlLdgxlx=47m^bVP9$wmS7lB)G#ibAR>{bh>)EWLWo!RYZmE#xu6g7M!#J4w_TK5{Pj!7+&+=N z47a~BD&oIk*@Q4#rG<#hh%7SF=Lmq{Y!}f2p7^F={Bn#~E?qebp#%=F~!k-xR#AeO@o^rM#MqN=|NryGwts5^7Y|ILg zo&+}ZIXwkbv?J0sXVO=`@musQK8|Y;u0gm4{o6F?OJSiuaBHVGdZOmiE&cpZ?;tcp zM+n(v-RayjUVb0|YQZBG;&5o80_kE>_HtrS|NPYDZw`%^YiuT7dn$8zS)7!?Cx&77 z*apmf=R+l`Fs2;`%E)}RSwbxgb}K^;!|7g(Pq|weNQ5b}vLDO!hISu;*gG+2R<#~7 z!A#HKKk3AMT7?8yB|3y6@2kxr7lcxSYpgk5J2x?^W9v_psJKKGHFYcx(!O*zZ@-d~ z^GbTxv1|etqf_KQ7-ND1`2sZ5v<83(!+c37R|;ygfia+yy!Fx0EP6-Ozh8*(B?|Z` z4?fC+kMex;mLRpS!Hh?e9z0cF`>@qi30E?#Dp5Q%O9i|IeiUkSe8f56sPHnM!0vdY1iI3>v77+L%6{5ZTFNMyF*o#G7YR@=9XaRHjxRZE~ zl#X_ApJs)Wad*S{hQ-r-=RL+U0dF(;2-B8LafBANuNit08K@n?;#LvEl*^z9V*TD? z?Afs7(s{!n@^u2BGuN2-JnawL(BqG<&=(#g6%yH2<@H*5MhmL5)gRe)W8P?z6BR_ioTovmH{s?MX)&i z45Pbs59SV63n?LZ86;i?iI+kCCznBb_4g83Tn_0aG1z|g#iM?<`8I_Qg=$aK9J}4h zRJBgtsT#g2{_x%Hoz01hj{BC+6akilgyP;1nI9osQ^J-3f!nSC= zOv^h@$`nO6tNb8ux910|Ga@r`Wn6!&jBo-vO{2xlLYz`WoTat&-VDViBZH~Mo|m~^ z&C^6FN|8Ncof^Ha$O6o7su8y;_v}T@{r(Deb?#fnx_O?K!Gz_F##G4^2LEJ4+kUX? zNA(P2@MZ%V12%^!nAxIlKWf)po5s&9oS7#^JiR|9-O%UII-_UwPxg#tGOX60Uk+P? z$@KiPRksKFhRgQqK6tx~#M`nvb|%z&b^|yK;Klr*b0ro0cC;Z4ZKI9k#`9dOc$XpO zl=RLx32JYmMIV-0`YM1KN#HYeKHJSc1bFoi&P6ag45(w~d$QwMc?Gj&YWgf*-+{`K z=^wl&sJYh>8YNF8Uv4luzPcVecL>3fSD$g+FlmSO>-?5Q?!IpPD-4$=QmYpAq`L-7 zKcs&N{Um>fmwJ%N3$OMNvj_5XJVexFYmqe2e(4aL5u-aUo2yI5+02`W@mcS7DQu$1m^oH zMM`rf&?{0CSPYHM698CL)nix97z(#RWfuzb&hW2-ZM8XszYdhFwI8t;n_yt;yTVdX zj?tV!8(5nyig8a1t+ybBgKP_MbPXX8VFlC~bVSm%*Beec;F$}{0 zmb#ia!pG;|(~9Pt#n?}4af0|~h6#Li?|!#0vX)L^*my#rf~HsVv(XaLfGblJ?AgW^ z0O)mA93k$zQl+m4tVqeaa4!}-JjSkjh#kZa<1)s`IdO!1YMmRpjmN^WMm^Hl9LQA$ zu|~gAwC_ryCruzzC;6_m16Ei&4q@%6;ehxWCZ{?5W5@58!HaBnXO%Coyzk z$*+gDzL=B65`%zJUlCd!D3=CNZ8nJVG>WG$xXHUe>iE9LacUNIZHMhc_c@z`-%yrY zk0|ms`iip|y+k%tKos+}x^S!dc9Z*wSqAkVO$OKga5mhM^K_E_JSTMtH#iHRn)G{Q`Skr?pia^lf{mb3D?#9GCnbMjaEUqF4=^;w)Tew zK&k);Tzax`;8BEYO!1gzjK0gvda)QQ?x}MEU_0SG)L_kxggtpn5#1~}xRn>y``U&= zQxrOW->y|d!gy#-)2lo!^(SCaZBcpXM-7<6F)ILN4PEBC?<|m0b5xk=7_dNSUV!0X z%ED^P<8P-}sK$^BnPH?^Mh0FfWCdh5XYyOd!_Ak@A1c_sahvbcdHYOfIr8quIY+)V zKkW|qSoHL>k6Xh6fRmU<64UW})xiI3>akej$0e<=qqV&$eKMzj;ki=7e8yoLwQH;- zX$$tJU?9CJEzv7U^Ok)?{-mj`Yhz2}rXc~?!?!?-GHGJjY62iltH9t;CdV|ir@+SU zPU!iRcXu_^e{3F#YI~Tm`q6%=$Dcu``@b>am@lfg7%!kNOS~{>Tkykl-3~LHu(WgCC&=9fI%dIZ zuH>h?@Jqn{vo=H9e|4?}`9b4{(s^O~mY09rA*wul>(}pP!AB4A(L;Rn5Fb69xJE2z zIX{`D28adYId^KBd zN_FHb>s0pYHqB?d$GjU8c^a@5eSd7q&hQT&4UC1T4wS281i+u&ZrZZ8B}43y?LS7z zHbaNI;$pqd@7^1&{?0ExrP;wB{BfGbaB(rj-bibJ@^hR}$?6kC*_Y4iBKDOyA7k!% z8s>oU_;FFrt{O%&jh1ofjLs#J@51W#!{K6S(?2d6)6Kp-1rduQP;bY2c}{gYJR&jY z&PA&4E~}v_@w|nU_p3L94aBL4?M!M5iv2!D*Qoi7r1dU54YVq=C()T5T3B_o(|RqV z5vHjNbK(e-Z|Bsq>4*lvg_5I_J@P}rnXUto3!3F3(`_3PfIdI-Kc*oQkccL~fk^8X<_1L_I($aU6X3q#9%&mrCdxi+qdCSXF08FWYkW>C^c7zNtzWkJmpZ42N z({)Ip;520OgY~yz&mxVxPd_4=U z2e=;KdhnGV5LnUN3z!-Ek5?!=8ew;KH>EbbHkndM9Qc7K4fQzJForU0#uB*m`2k0v zBQI16z0;KSEW`-n6tW5CB06uEe3ew=ruJ zh6enI>{j#jXs8Yeo=Zk8;s`GrG)Br$C71h9<)KiFC6eRQA5eanE@5m*CDeR|F>2%w z3LmC3wP25Xw*-Jmj21FoA2YE^0U)LG9_&mt!3=*2X6Iu7B6*wP%U~771o34LGuXyV zgl3AYtinv=_8U2Lsv>rGox*IrKfG1+G^qKe02uHlO=I+^tD}+TJJ3dA@_Wn*ibJyv zSeubOIZKS@CSM-fnz6CCw0;rwP?b(&>ctL~E=wraVBCjuWT3ij7JRiBe=z0OL-7C7 zwU78)Sa7YtwF1|QZ_|oCIb`}Ex`7!=#GLRh)R`EP2VccJ-i4DuHB#|PEY=eM(kFPE zvP~W`T^EVFyi47Xc|1E;T+DdE`u#VKlYG!zQt~M}PwBAo(s${!S!-Kdd@YW}v;#S8TFMv1p_Z;$52P%G204#u5<$V9g;Lw9a79CcHkl5%vI!~+w z>wqfje$QSO);N?y=nZNGCE&!3oG|+N_zIoTB{3SIrcYPMT`74w>%>d>B8`^<;1B~= zEf38RMvk$mg-z>Q7KGEuti*dyefB(B5Zo>)UF_ehAvBU&Q6bv<<`3NW7Juys4rm!tIIq-s$`m**SrdbB8ZUv~?vzV-B)%)DT`tqvbsJ_Ky; zP`!AHUilnZjaeiUD$#{8R2}f?=c{i4Mu{lVnzs|GNNO%egx*7=j--l_9zI8SFPy{% zUqUkx6Ui4j4_d-xi!GmpSKDd6bNg|(>7E+XQ&Yv7wUdi?xWNwe-bgOy{gGxr&(MzF zdx#qx*-gx~Mn52)4FR409h@Xsp8PAShpJ?v)tF>ccKr6oNA<&v z?#Gf_IX7yVOfg3D9cBulusEc&tSA|Fw!Gr6sXK(eJraBN?J@UYb$?k0zvtGRRxEm? zobt@@4tr$X;LB;U-FB-rN=+&}AzowYTG97bF{r$eETsZeG!px$*^x(AaHS|U@EXM3 z&hwUp)sAG8KbJQOKhGeclQ5TZN$)14cGA*FfpWXf9k8{o$jkphbmVSo#JQsuI~*@B zGnf}g=sXs}rUj7F7+5gfpkn53!6JtAp3DqfU+xkq+56Ox!fn`|a=%ETJ)!`i+4sQRkrRNnoD)%t;1p~5@!UNVb)AZ{bbJWFM7^Af5`Vzbnujfqd`xs z#K~$#DUtmongEamo2krn!WrLgrclW}U*jiRugV?|HE4=|aCm8knxBl?F(2#UwV2L` zqHADVjymgT0-wrJ$s3D4PZpc!_}+a%hE{l|({?3q8CB(?r>jvIS<5OXc%^QBT488) zx1oFW!HP!Rq@G0{BB7m}SMq!OOehC@k6~_Ng1(_iQz{?&u6CoHAA9}m0GBv)bJdLz zw^g=_*NChrh=1n~Mq5b1(FOL?Ymy8%v&^@3Y^;8?Z!_7`Sv%Ko8yKv5@%+vqU7jv) z1twn(*`6%DR5ra=2ChcINL@yGVCC!9^%rK=OAT3*O9&J)fO=b##t@{dcXMUWV>)+mUg7aSqQ z^SS@NqwHy5s(oE$Y#q&#y{4FBjG394Id)7jGcz-@ea+0wj4?CDzGlbF95XXBt-tr) zN~@K2XGYyMqpJB)>Mp6OkLt_>=A@28xZRc%EMk3?c1NMkUDw~UrCL}4a;O2uT8Ryt z3vDLNZfwnxM{xt>Yg9B}3nWl{g;mBNEpS0`IdY-w;&Bo)9wcfE(6b3>4nZ+bQ3#Rk zhI85{rIO(AY>r@LJ_)(P)ZozCdAap8=7%f7Th(zNk;9>)Nd<>vs5v|=z9JC~e#3Wc{amyp(5#jAC+wW0lm*`XAlX?+s#Vk{!K zK5}D9wMIBsE${^?aEQ_a7#5)=Lu0zhp8H&teWAEFcb4}h_e9GzdP3&qz`Th)#_igo&jp~Z4XGqRecUkh*&bPbn zZnH)LXR?@MCSb-{cbwNZ=9;~fOH-q(OP$?PoYJc`VAeLw1MuuyZarvh{_w4ck(CEf z)fdphSfc2?;jJTZ_pLSf$_2kwe>1=zeo&CJQ@9npjpZa*W1=%=JpJ2pj5tfuK}iU+ zVn}ZJ=`CMb*W1^FSt)o^eJ+EJZyheqU>_KV(r~6l0Z`kGL?vt?hjT5H3?O{<1Ym0J zO`zufXJ$bMWO4;(2QYeWyq@-8yzxce^v; zm@SeA!lt}SYanFb7Xc#U%CehK8??Y0)$Z^}G|W$W%FaJc2=k)N@|nEXbi3YeqFR+e z7_Ce}FT2}MhUK$IvSsYTMafXj6tgyN0SardkW!1<0flYET2^&HV=9baEFzH=8)2uA z$iwtwrvs#E-*!>$${^|an{1-s(ml zKDAIo=aH;Y4KUX-hU{Q7c^hfNzjwryX%2B&ARH$NPE;1i#6gI||0UXZ7xJb?cpPcb zsiv<|tLqw^=+Y06HnI!6EOLDDI>IiMl*LZfN~S-yWj9G?k3qK>@(cpJqM`B4``+O& zd&>Z1b+X#ITtGe#eMCQ#>ygD9Kh>VEhAIp#+ZQOt-z|5{C!R$Jn+w$fK3GMHluF?@7yK$I3J{^|D)UE;8W%iiW?x}lh8C$?qst>g^6D%-qFK+B!NcHM+8#jqn-umM@!!_iL<*TpkhnXlF!n)@g< zy_gsp{O4M3)N=b}V=L6SQiOmnnh?OOZ2B>9MfKBbYgzH{7j>!~IM+E6^PCX4)tzv; zI&4k`^wzNIuGnZ!=8oWb+}UDN%d5Jg>HEbCdY8}XAZa+r_PAoehJkI-449 zx1r1#go!NOaUE(rovhXM7(AV*9gE4ohezZ-NIz^XH?G%_iw>JX(>pkaR80y?wy@md zXkVwS3z9tMg{JQ$I7CbS1egVPr9yDyeN|>tYW-{#iol#EZBz7OaUu|Co44?-aZ>r+{Xp@#TcU{ZW)Oa1Wd^he!5={^Upq zs{1^$-}zwuE-hBSD8r_8<0A>&Gg9-ud1|F#J@jR#xKKI8^DuVZpkVd;`e5jN5@%GS zO(QllxS!}($%qy?O`KC)L+6JDx_kzxs&&ov_X()|xn4~4j!d!n(mzCL6eWm@ss&2S zLz4w_3dTmtnq)GZPTrV%6$6xM5jDsFM!dg$Z~Y^=$1(eY*P41*71|S`fp6z+oHrNj zr&n0-?k^e;eqvZMp^iFEyKCjr=fn)Bfp7k@;uw3%_{uO|r->MK1@c2xl=_rM%#yw4 z)CGuY_2;Byu9n}?Meo+KQ)zL2=B&9+$#TD{vZn=(X}=nWO(=ThkIyiYd_fCtKx%JH zfsSd4rk+JQ_{{U%tC3@}1{B&8vplw;l}42CiGkVVk_h9_tRs`Gf75#HV(SB>xd(n&-6>2Slf@{hJkqwMHSs`k@lv#&BqYj+eW+|{) zl}vB;9K_!@(}4*cPE3~_4KJ9jv0XPbd-}S?nkIAm-gMQ*rs zNm{Jfn@}wJg}4Ic$)F#WucWfosaBOS;dXPNbBJ>0rC`5xml-?y`Y_ssidg)cxQ`1VxZ5Tz5CP*oMy3WGJblA1K}lqCldSlGJGTLV9g4nx*}u7jnkn?_A}I>MMhQ4Iv%5AZ?PC~!;m|9fd!FGC}&v)yNXmR4{Gt--)}?L{GnEq zF4i64e4V(z(D7?me<%X5fQMQZ*NRES8?zI`G(;t)81dxDxc6nH9m2fD&*q95YwP0> zFS;Ci?#a@tt~7=FH#TAv3cZo-;b_hR(G&-wS6m(~FLUGx<0;f63Z$cf_&)iK;t6q= zOdHT6^VfG*7W6f=qro_APCVB~IadmHv6F2#CDEJjWaBabm;n?T?GLKqioF)h&ci_o z(JF+SUl!41C>LDG4005qMQ~;);GTHhrl_t%HEQ6zS>PS0$&DC@D?IF zWn7MQ+bFk39HAN6f=d_3+- zTHwR+eU#V#bDSJ0CFNVoGt04NWgC2WiJQZaWHo3{p|8X$*R8Zn9w&V0mq^xA-oP)0PH2FO0tU`89(emDX&3 zUrCOdc6}v{XEi0z;iFFmo2(VhSj&PvffI(F{WdM3h{^cH)Pg~T(fA%(dG?(yg-ZJC z_N-UrTvSqS?2nAk`l5qY4a`;5XN$r#yDv!!mfQDkK1oV7d)O}em2cjO;eRZh8gg`~ zQkWEfy8YnOUKd3X|DrySMxn}>#%Xt!pWK@|p8r(Ai;Nxjl27q|eR`UxxR~?`!RAu` zV;@zfbAJw)BXdgt6^Y%SmE4s=+XyGLXFKvct|}LORBZJvTj|<*l+KGl_Dh~lQKPwW z3ep3YplccLboWGZ84M+C#fo)Nb?u*8>&Gz`)^umr-Kdgh`~%!L3VNAFb%3^h5jlDg zOP~eoFbQc>wyv=FbSUPm`8q_z+}2z+1l7T3NKWpM z8t>eUsN79s@WCYhQnZE@28|aJK35T`=yR1pklGFJ4BPvL$D99M!08v zN}_{u)AjT=dbmwE9yB^aDM7`~Itgi~KEuuNh! z^hci_))o&%*pwSDWLD58T6?P6XQgUzTohje$*oEzT&KZshM>PC?wsASM>G}7jJWM0 zkKOA;H@5D-bIDnDFW3g#F*ABJP(WRm+b}Q~=Mb`y%A=%Q;gTtjYT|FZN4?&uNsVXAr;dJ|dc$<++@qj>h&mI}g&-&T}onwc@&dQBZ3X3MM z`tiZnG={YwApDBpo+fyxNNQyC z$%Tdy4)b#rHZ5h(wTZ*RAfx2KAodhcD#ihdzDkvD;==UmB-bU{!?rIo=Xh|Gpptm|8`_m%~!t>(zf>rpMA58t2fTsQ1 z{;GH2#EY{{bm7u2&}LlBGEF9#^1F`s%up>Z3T%M*a?E(sK{Uqc-P!;wXxI^(!@bbV zbl}lpEnlXJQ`H9FcIno&DbX$XFfKEkaUUyzoR4m-`tQ)Wc$%bPDV|0@N(uX_M#G;T{;re>*zCX)ezwUy?qF;0_8(iFszvGhYBG+YL2PpePs5UOrJl@cS;<4}q$sFZkc2T@H3^fFUWoIm%WL+VWg z(!x{|wFYdCde|#(mGyq+d&eK(Dm%0^id1cBB7teF&lD&RMtS+sFn(EU@9htS(>eM; zg-`5+G~z4|eHjF)!pA(9q#EKK0rwyg4M6NCZQJ&k0vOW6}ESWw6j2DLBf68*C92{>tEK7%c2p46R4_S+8E0u<@@&M4|Y1~@k5 zH8V%>-EO1Ixp?_q{n+a8mNM#XS2XwK*@oWJ6tXES+e2@%%UB103)Dra2nFx0oC4v`fYkJTiaU16;oya9CGiiV(b-R}UZTVfLuj)4YP$ zs|Sy>xDvY*DI@RqtRwbyggJxN9KxzWwC+^u|3Q76dc9h`UZZGU8sZ3(Dq^o~DNDPK zXg{exqvXMKk~EK8@zY|7Y$L*$x`1IFNO3VC`K*MmoyQ}124nCsqHzZ@gj&A!L-}1r z{-0mC ze(Qi7AEdw9B=NhP&@+2QdA}8a;5+T>6ZmK7`naq`Vubil%^??{;{HY#LxZQnRsIfH z)>}dD@UI1WM=A78H#YRMEb%R-ToA1MvX}866?^43SovT~;D6SzoL@N(kXO@>I5_IM zTUF^CoCXQ!7bXC1$4kP0SSn8pEKcdG+I1kl0!1$Tx9AHF(KBXJM<^j*nsNy4Qxrft zcz5jJlZBx0`k0|W;36rYmkK`rFR3`2*OW#VEs__3^klm;!bxrYuE8_3ns>wZe?2`P zJ>y?Kus5dO9EXv2qk(O;<{eb2gG%=C{Ib+20HurPD{~K{6x!G-TV>y^(kD*Sy+@y> zY6+@syN|bE({^XH?jyAyksraPw#kFn{q0n>Y5=Be6g5InF*{-kPjfnI-`5iTrLA1v zn6OmfmOmlEc59fTE{Ck7T`O*Boh5uCfbgnPB zvdRrNABYH&`5OR8EH(xK4#*+~qCi%%5zgCIc`I3L%&U~^Cc|J@O6xy_q z+FcUrC!T(%M9n@m*LHeHR8>SAnVy}K|DdC|v0mphUx2ybz2kb^H;@52&rR0>1LmL{G%;pDp@K$}}JGmAFeEc0+tX_5se8Lw>XJLJ9%109?WZ_+^mG zp;%$Cn+NZENPd;m=wR~HBElGO09`c6y9!2>zlH*KM|39-(-3Uen^htv5$X@S} z*tNv~EDzaWYH=(PiUswHfWt5s*vA1*>G*j_TaA#%yjm@-WcD50}Dk?%gN;o&m21C!9#9&|>=gNU{B zcHeOUGS{9ktt?$Aa2e~lUzNYf%xxB_@?*J;pYCH*&kUM);2e3ZXAB3~;=Zxmt}@DR zq4n$11u)esh?4`?<=DfoR&Ckd&iZ~@7y3M9yF15FO`_1m!eIc+T%d$Tg|}?4?VhKv zX`$smj(PRgahMh!372rmD#LZIEkq-#1k!qhl* z_qs+>+F18m@P|8lwY$d0qk>4-`y)+ntGkjuWJ#UuX}MyskHP!PM_v2H#i;hnPVAxJ zf^BG4opc`e8UEk23clDga9oDZ2x76O0g0R~ImNJdHj}hBd)(0wbKm%W>FV(VQRKIm z`m>b1C6<99>Y5a}*GSR8X^g}&vsL7INmQX#LSLHwMuzN`tR_)-ryHZ_x>_XS9-+RT zJ$YRq?+{hIwx=D)lhMJq1iMIY5bfNcB*)UzsZND|IMLr~@FOIknml%h_<&_VJGLnO zVGU8Zd0~R0zLb3D>uYJ@inXa2GYkCa-XW`G=uThE6i zkqq%`)*RJ0g5NSn^eWG%s%P{7ii;<`^F+bOVC?|yU&>17?5)W$Tpegr*iW&T{g2tJ zL#3(L7m!DGvVr&^oljWFs1FiJf6wsJ5wi6fjBM8~&2?K9aF7xSPuBAi6YtXv zk0QkTWo~xBiEKN}>32YpW8f@vPN5XE*+T4?*JY3(i#mB{P5*VG4!MdR5%IGzu#)B z_B_C*M6N2uA^j{vZ|=}(@_eV6)=CT} z4?_p_-+hSGeh?;f^=nHj2tUaY;HEIruXfk8JMK2DdU;dqZ+z%?nNaN410-V4vj?S9 zX@9OP(*V={e9dgw+u;?i=i=Xiu?r8e1_3SNzKex!C(RF8IVyHp8_o>pg3lz8r9|#@ z5a$Az^v7dN2B_?C%pus|{UB}!JHWdQ!kn~dyKhPUXg04D_My@bp=MoSpV~A=Ytmqdn~H0x-Iq>pX5%s2+wunC-~)ekc7dz6$%wX2`$+8yR^4pc@IYBiiP_pP-j#@S>8znBmhS^ zese|cpSs4X0ib+=4&OfR2FN83EzkM)SyioHPUmUkW9^M&40+j}W3T8I1>32?pt&9O zTW2(}Hf3~*dTC#k2UO0dk3!>6j?$y)!t_Xz<5pC|w5wOea3f>=+VI_uxBL1ojov?R zl6JrqqP+c<4X&j_m6YQc+qA%^cy&x4B;Cw@4kT|51+oa)X? z2;%)B4>g4vnenm)FB=u!5nc>{GKMK=$Crr*M>5$O3`PVig$WffrWRbBbZO5r{pc(i zQ~;qd6-cYIX`9T+xjqCRYAn6p z2nex8Ow&EvXB9ncP@`+*QveuLSRaS-u3l!vCyZoH2LIZ-oNcs4eKM=>h2F>gob5PR zEI1KM^9la*wsC=a8V93v)DUP1Z+WJBRM&nb1=b-PJ z0pMzeDtqHq3Fy5^KlJ1-n?yfxt_P%Hj$kP~@vi0P*SD=gTMfdQOPv)m-4y}5>|lMj zE*>7)@9LtMp+Mg-`p!joggNbu_8XGy;rWxQ^GyQyFMRs%{@^ZdtbH{enclr3C^6)> zc1r<)9TzrV{49-;U4}Nf((ww*Wme4c!+=l#*@aB8BDfV{?_}RoMa`L!0xaS4aD3Oo zM|ePg|3Ew_{grbNd_%gOh5JVsc)Jx`m!thhK!2G1a2Krl@RgSW0|!8$0{{RR0258N zs*XlKj0_F{5XK4sz + + Garrett's Workshop - Warp-LC Timing + + + + + + +

Garrett's Workshop Warp-LC 33 MHz 68030 Accelerator Documentation

+ +

RAM single read - cache miss, bank precharged or wrong row open

+

+

+ +

RAM single read - cache miss, row hit

+

+

+ +

RAM single read - cache hit

+

+

+ +

RAM burst read - cache miss, bank precharged or wrong row open

+

+

+ +

RAM burst read - cache miss, row hit

+

+

+ +

RAM burst read - cache hit

+

+

+ +

RAM write

+

+

+ + + diff --git a/MC68k.kicad_sch b/MC68k.kicad_sch new file mode 100644 index 0000000..30fca13 --- /dev/null +++ b/MC68k.kicad_sch @@ -0,0 +1,4984 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid 4d7cd9bb-365f-4765-9d90-ec24f163ac35) + + (paper "A4") + + (lib_symbols + (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "C" (at 0.254 1.778 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C_Small" (at 0.254 -2.032 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "capacitor cap" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 -0.508) + (xy 1.524 -0.508) + ) + (stroke (width 0.3302) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.508) + (xy 1.524 0.508) + ) + (stroke (width 0.3048) (type default)) + (fill (type none)) + ) + ) + (symbol "C_Small_1_1" + (pin passive line (at 0 2.54 270) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 0.762 0.508 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "R_Small" (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_Small_0_1" + (rectangle (start -0.762 1.778) (end 0.762 -1.778) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + ) + (symbol "R_Small_1_1" + (pin passive line (at 0 2.54 270) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_CPU:MC68030RC" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 1.27 66.04 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MC68030RC" (at 1.27 63.5 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Motorola_CQFP-132" (at 0 12.7 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (at 0 12.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MC68030RC_0_1" + (rectangle (start 30.48 -60.96) (end -30.48 82.55) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "MC68030RC_1_1" + (pin input line (at -34.29 50.8 0) (length 3.81) + (name "~{BR}" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 5.08 0) (length 3.81) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 0 0) (length 3.81) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -5.08 0) (length 3.81) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -12.7 0) (length 3.81) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 45.72 0) (length 3.81) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 38.1 0) (length 3.81) + (name "A30" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 33.02 0) (length 3.81) + (name "A28" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 27.94 0) (length 3.81) + (name "A26" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 22.86 0) (length 3.81) + (name "A24" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 20.32 0) (length 3.81) + (name "A23" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 15.24 0) (length 3.81) + (name "A21" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 10.16 0) (length 3.81) + (name "A19" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 63.5 0) (length 3.81) + (name "~{RMC}" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -2.54 0) (length 3.81) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -7.62 0) (length 3.81) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -17.78 0) (length 3.81) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -20.32 0) (length 3.81) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -34.29 55.88 0) (length 3.81) + (name "~{BG}" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 40.64 0) (length 3.81) + (name "A31" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 35.56 0) (length 3.81) + (name "A29" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 30.48 0) (length 3.81) + (name "A27" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 25.4 0) (length 3.81) + (name "A25" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 17.78 0) (length 3.81) + (name "A22" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 12.7 0) (length 3.81) + (name "A20" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 2.54 0) (length 3.81) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 68.58 0) (length 3.81) + (name "FC1" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -10.16 0) (length 3.81) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -15.24 0) (length 3.81) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -25.4 0) (length 3.81) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -27.94 0) (length 3.81) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 58.42 0) (length 3.81) + (name "~{CIOUT}" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 53.34 0) (length 3.81) + (name "~{BGACK}" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 43.18 0) (length 3.81) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 7.62 0) (length 3.81) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 71.12 0) (length 3.81) + (name "FC2" (effects (font (size 1.27 1.27)))) + (number "D1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "D10" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -22.86 0) (length 3.81) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "D11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -30.48 0) (length 3.81) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "D12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 -33.02 0) (length 3.81) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "D13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 66.04 0) (length 3.81) + (name "FC0" (effects (font (size 1.27 1.27)))) + (number "D2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -34.29 60.96 0) (length 3.81) + (name "~{OCS}" (effects (font (size 1.27 1.27)))) + (number "D3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "D4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 76.2 0) (length 3.81) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "E1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "E11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -34.29 -38.1 0) (length 3.81) + (name "~{IPEND}" (effects (font (size 1.27 1.27)))) + (number "E13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 73.66 0) (length 3.81) + (name "~{AVEC}" (effects (font (size 1.27 1.27)))) + (number "E2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "E3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 78.74 0) (length 3.81) + (name "~{DSACK}0" (effects (font (size 1.27 1.27)))) + (number "F1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "F11" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -34.29 -40.64 0) (length 3.81) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "F12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -43.18 0) (length 3.81) + (name "~{MMUDIS}" (effects (font (size 1.27 1.27)))) + (number "F13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "F2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 13.97 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "F3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 77.47 180) (length 3.81) + (name "~{STERM}" (effects (font (size 1.27 1.27)))) + (number "G1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 16.51 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "G11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -45.72 0) (length 3.81) + (name "~{IPL}2" (effects (font (size 1.27 1.27)))) + (number "G12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -48.26 0) (length 3.81) + (name "~{IPL}1" (effects (font (size 1.27 1.27)))) + (number "G13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 80.01 180) (length 3.81) + (name "~{DSACK}1" (effects (font (size 1.27 1.27)))) + (number "G2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "G3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "H1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 74.93 180) (length 3.81) + (name "~{BERR}" (effects (font (size 1.27 1.27)))) + (number "H1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -53.34 0) (length 3.81) + (name "~{CDIS}" (effects (font (size 1.27 1.27)))) + (number "H12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -34.29 -50.8 0) (length 3.81) + (name "~{IPL}0" (effects (font (size 1.27 1.27)))) + (number "H13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 72.39 180) (length 3.81) + (name "~{HALT}" (effects (font (size 1.27 1.27)))) + (number "H2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "H3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 69.85 180) (length 3.81) + (name "~{CBACK}" (effects (font (size 1.27 1.27)))) + (number "J1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J11" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -34.29 -58.42 0) (length 3.81) + (name "~{STATUS}" (effects (font (size 1.27 1.27)))) + (number "J12" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -34.29 -55.88 0) (length 3.81) + (name "~{REFILL}" (effects (font (size 1.27 1.27)))) + (number "J13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 64.77 180) (length 3.81) + (name "~{AS}" (effects (font (size 1.27 1.27)))) + (number "J2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "J3" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 67.31 180) (length 3.81) + (name "~{CBREQ}" (effects (font (size 1.27 1.27)))) + (number "K1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "K10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -24.13 180) (length 3.81) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "K11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -34.29 180) (length 3.81) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "K12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -36.83 180) (length 3.81) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "K13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 62.23 180) (length 3.81) + (name "~{DS}" (effects (font (size 1.27 1.27)))) + (number "K2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 52.07 180) (length 3.81) + (name "SIZ1" (effects (font (size 1.27 1.27)))) + (number "K3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "K4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 34.29 59.69 180) (length 3.81) + (name "~{CIIN}" (effects (font (size 1.27 1.27)))) + (number "L1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -11.43 180) (length 3.81) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "L10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -19.05 180) (length 3.81) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "L11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -26.67 180) (length 3.81) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "L12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -31.75 180) (length 3.81) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "L13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 54.61 180) (length 3.81) + (name "SIZ0" (effects (font (size 1.27 1.27)))) + (number "L2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 46.99 180) (length 3.81) + (name "R~{W}" (effects (font (size 1.27 1.27)))) + (number "L3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 39.37 180) (length 3.81) + (name "D30" (effects (font (size 1.27 1.27)))) + (number "L4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "L5" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 86.36 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "L6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "L7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "L8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -64.77 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "L9" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 34.29 57.15 180) (length 3.81) + (name "~{DBEN}" (effects (font (size 1.27 1.27)))) + (number "M1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -6.35 180) (length 3.81) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "M10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -13.97 180) (length 3.81) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "M11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -21.59 180) (length 3.81) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "M12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -29.21 180) (length 3.81) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "M13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 34.29 49.53 180) (length 3.81) + (name "~{ECS}" (effects (font (size 1.27 1.27)))) + (number "M2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 36.83 180) (length 3.81) + (name "D29" (effects (font (size 1.27 1.27)))) + (number "M3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 31.75 180) (length 3.81) + (name "D27" (effects (font (size 1.27 1.27)))) + (number "M4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 24.13 180) (length 3.81) + (name "D24" (effects (font (size 1.27 1.27)))) + (number "M5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 19.05 180) (length 3.81) + (name "D22" (effects (font (size 1.27 1.27)))) + (number "M6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 13.97 180) (length 3.81) + (name "D20" (effects (font (size 1.27 1.27)))) + (number "M7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 6.35 180) (length 3.81) + (name "D17" (effects (font (size 1.27 1.27)))) + (number "M8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -1.27 180) (length 3.81) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "M9" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 41.91 180) (length 3.81) + (name "D31" (effects (font (size 1.27 1.27)))) + (number "N1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 1.27 180) (length 3.81) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "N10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -3.81 180) (length 3.81) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "N11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -8.89 180) (length 3.81) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "N12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 -16.51 180) (length 3.81) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "N13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 34.29 180) (length 3.81) + (name "D28" (effects (font (size 1.27 1.27)))) + (number "N2" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 29.21 180) (length 3.81) + (name "D26" (effects (font (size 1.27 1.27)))) + (number "N3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 26.67 180) (length 3.81) + (name "D25" (effects (font (size 1.27 1.27)))) + (number "N4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 21.59 180) (length 3.81) + (name "D23" (effects (font (size 1.27 1.27)))) + (number "N5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 16.51 180) (length 3.81) + (name "D21" (effects (font (size 1.27 1.27)))) + (number "N6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 11.43 180) (length 3.81) + (name "D19" (effects (font (size 1.27 1.27)))) + (number "N7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 8.89 180) (length 3.81) + (name "D18" (effects (font (size 1.27 1.27)))) + (number "N8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 34.29 3.81 180) (length 3.81) + (name "D16" (effects (font (size 1.27 1.27)))) + (number "N9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_CPU:MC68882FN" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 30.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_CPU_MC68882FN" (at 0 27.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:PLCC-68" (at -1.27 -22.86 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (at -1.27 -22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "MC68882FN_0_1" + (rectangle (start 19.05 -41.91) (end -19.05 41.91) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "MC68882FN_1_1" + (pin bidirectional line (at 22.86 -34.29 180) (length 3.81) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 33.02 0) (length 3.81) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -10.16 0) (length 3.81) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at -22.86 -27.94 0) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -3.81 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -20.32 0) (length 3.81) + (name "SIZE" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -36.83 180) (length 3.81) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 25.4 0) (length 3.81) + (name "~{DS}" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 27.94 0) (length 3.81) + (name "~{AS}" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 15.24 0) (length 3.81) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 12.7 0) (length 3.81) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 10.16 0) (length 3.81) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 7.62 0) (length 3.81) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 2.54 0) (length 3.81) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -1.27 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -22.86 20.32 0) (length 3.81) + (name "R~{W}" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 22.86 0) (length 3.81) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -39.37 180) (length 3.81) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -5.08 0) (length 3.81) + (name "~{DSACK}0" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -22.86 -2.54 0) (length 3.81) + (name "~{DSACK}1" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 39.37 180) (length 3.81) + (name "D31" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 36.83 180) (length 3.81) + (name "D30" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 34.29 180) (length 3.81) + (name "D29" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 31.75 180) (length 3.81) + (name "D28" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 29.21 180) (length 3.81) + (name "D27" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 26.67 180) (length 3.81) + (name "D26" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 24.13 180) (length 3.81) + (name "D25" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin open_collector line (at -22.86 -15.24 0) (length 3.81) + (name "~{SENSE}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 21.59 180) (length 3.81) + (name "D24" (effects (font (size 1.27 1.27)))) + (number "40" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 19.05 180) (length 3.81) + (name "D23" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 1.27 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 16.51 180) (length 3.81) + (name "D22" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 13.97 180) (length 3.81) + (name "D21" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 11.43 180) (length 3.81) + (name "D20" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 8.89 180) (length 3.81) + (name "D19" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 6.35 180) (length 3.81) + (name "D18" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 3.81 180) (length 3.81) + (name "D17" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -16.51 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 1.27 180) (length 3.81) + (name "D16" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 3.81 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 6.35 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -1.27 180) (length 3.81) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -3.81 180) (length 3.81) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "55" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -6.35 180) (length 3.81) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "56" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -8.89 180) (length 3.81) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "57" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -11.43 180) (length 3.81) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "58" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -13.97 180) (length 3.81) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "59" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -13.97 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -16.51 180) (length 3.81) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "60" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 8.89 45.72 270) (length 3.81) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "61" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -19.05 180) (length 3.81) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "62" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 11.43 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "63" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -21.59 180) (length 3.81) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "64" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -24.13 180) (length 3.81) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "65" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -26.67 180) (length 3.81) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "66" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -29.21 180) (length 3.81) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "67" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 22.86 -31.75 180) (length 3.81) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "68" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -11.43 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -8.89 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -6.35 -45.72 90) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:74138" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74138" (at 0 -12.7 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74138_0_1" + (rectangle (start -5.08 11.43) (end 5.08 -11.43) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "74138_1_1" + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -3.81 180) (length 5.08) + (name "~{Q5}" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -1.27 180) (length 5.08) + (name "~{Q4}" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 1.27 180) (length 5.08) + (name "~{Q3}" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 3.81 180) (length 5.08) + (name "~{Q2}" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 6.35 180) (length 5.08) + (name "~{Q1}" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "~{Q0}" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 8.89 0) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 5.08) + (name "~{E1}" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "~{E2}" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 5.08) + (name "E3" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -8.89 180) (length 5.08) + (name "~{Q7}" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -8.89 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -6.35 180) (length 5.08) + (name "~{Q6}" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:741G08GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G08GW" (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G08GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "741G08GW_1_1" + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "B" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:741G3208GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G3208GW" (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G3208GW_0_0" + (text "(A | B) & C" (at 0 -3.81 0) + (effects (font (size 0.762 0.762))) + ) + ) + (symbol "741G3208GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "741G3208GW_1_1" + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -2.54 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "B" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 0 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 5.08) + (name "C" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:741G332GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G332GW" (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-363" (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G332GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "741G332GW_1_1" + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 -2.54 180) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "B" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 0 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 5.08) + (name "C" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 129.54 26.67) (diameter 0) (color 0 0 0 0) + (uuid 03690164-31a3-4c5d-92e2-2e00007af3ca) + ) + (junction (at 63.5 187.96) (diameter 0) (color 0 0 0 0) + (uuid 0713583c-492e-425f-9df1-4e52ea567fd5) + ) + (junction (at 238.76 87.63) (diameter 0) (color 0 0 0 0) + (uuid 0d56e0d6-e7e0-4f12-a6ec-54f0c7f28010) + ) + (junction (at 66.04 167.64) (diameter 0) (color 0 0 0 0) + (uuid 0dbcf952-9588-4f9f-93a1-c822c73edc5c) + ) + (junction (at 58.42 167.64) (diameter 0) (color 0 0 0 0) + (uuid 108cecea-29ea-49e8-9de1-8b8d13df3161) + ) + (junction (at 152.4 26.67) (diameter 0) (color 0 0 0 0) + (uuid 1179eb05-e15c-42ad-9352-32d3c2c03544) + ) + (junction (at 147.32 26.67) (diameter 0) (color 0 0 0 0) + (uuid 196b657f-d3f6-45a4-83a4-175dc932a0a0) + ) + (junction (at 106.68 62.23) (diameter 0) (color 0 0 0 0) + (uuid 31083e8f-e192-4243-987b-406e764db4c5) + ) + (junction (at 149.86 177.8) (diameter 0) (color 0 0 0 0) + (uuid 327173db-ac25-4e18-b2c8-03ba2daa9dce) + ) + (junction (at 60.96 76.2) (diameter 0) (color 0 0 0 0) + (uuid 401c12e0-f956-4f07-ba76-9a5e54176de8) + ) + (junction (at 137.16 26.67) (diameter 0) (color 0 0 0 0) + (uuid 42a60be6-4ee8-401f-b85b-a9e9022b6763) + ) + (junction (at 58.42 76.2) (diameter 0) (color 0 0 0 0) + (uuid 4451fa82-9de3-488e-b7d2-155bb0a8b36a) + ) + (junction (at 127 177.8) (diameter 0) (color 0 0 0 0) + (uuid 4a2313e5-76fa-4098-8da9-5084e2e70685) + ) + (junction (at 210.82 78.74) (diameter 0) (color 0 0 0 0) + (uuid 4ba8223a-a7e4-49dc-9e41-02f0a516b4ac) + ) + (junction (at 132.08 177.8) (diameter 0) (color 0 0 0 0) + (uuid 4c690fd3-fb6d-4315-b6eb-990cdcc9c70c) + ) + (junction (at 157.48 177.8) (diameter 0) (color 0 0 0 0) + (uuid 4e904b3d-1b94-4a28-b89a-ebc1dff4410e) + ) + (junction (at 149.86 26.67) (diameter 0) (color 0 0 0 0) + (uuid 5206ebf1-aac4-4b8d-964c-b9bdb3e4f8c6) + ) + (junction (at 53.34 182.88) (diameter 0) (color 0 0 0 0) + (uuid 5432d6bc-6df8-47d0-9c87-893aa6e5f277) + ) + (junction (at 134.62 26.67) (diameter 0) (color 0 0 0 0) + (uuid 5a1aa829-cb27-4372-8b05-5d31a164d067) + ) + (junction (at 142.24 26.67) (diameter 0) (color 0 0 0 0) + (uuid 5be4a1ae-0ffc-43b2-bb10-53e41d457788) + ) + (junction (at 210.82 93.98) (diameter 0) (color 0 0 0 0) + (uuid 658dd408-0edc-492f-a3f8-a75f0bd8f2c7) + ) + (junction (at 147.32 177.8) (diameter 0) (color 0 0 0 0) + (uuid 65a424b9-6001-46ac-bf15-b6735af6dab2) + ) + (junction (at 63.5 167.64) (diameter 0) (color 0 0 0 0) + (uuid 6c27c930-1b87-40ba-960b-d9fc68650a51) + ) + (junction (at 154.94 177.8) (diameter 0) (color 0 0 0 0) + (uuid 6fe52c6a-7dbf-4f1e-9279-785169f9331a) + ) + (junction (at 134.62 177.8) (diameter 0) (color 0 0 0 0) + (uuid 825f0134-0210-4a23-95a8-167a9e90584b) + ) + (junction (at 210.82 48.26) (diameter 0) (color 0 0 0 0) + (uuid 9f560ba7-c10e-4684-91b5-f16d3b80d954) + ) + (junction (at 40.64 167.64) (diameter 0) (color 0 0 0 0) + (uuid a11b7246-3670-4857-8329-4bf7e290bde6) + ) + (junction (at 129.54 177.8) (diameter 0) (color 0 0 0 0) + (uuid a370796c-de6e-4e5c-8327-deb0b6f72774) + ) + (junction (at 53.34 187.96) (diameter 0) (color 0 0 0 0) + (uuid a3bbb1a9-0aba-4eff-a3d7-ba93bfdfc974) + ) + (junction (at 124.46 177.8) (diameter 0) (color 0 0 0 0) + (uuid a80d3a63-a234-473f-a2db-be62ef65d3fc) + ) + (junction (at 43.18 187.96) (diameter 0) (color 0 0 0 0) + (uuid ab45e950-d209-4591-ae32-350c979b2344) + ) + (junction (at 55.88 167.64) (diameter 0) (color 0 0 0 0) + (uuid aef78416-de57-440a-986e-71134188db3d) + ) + (junction (at 50.8 167.64) (diameter 0) (color 0 0 0 0) + (uuid afb1609c-babc-43d8-9c4b-2eed7833d27f) + ) + (junction (at 139.7 26.67) (diameter 0) (color 0 0 0 0) + (uuid b257e2e7-4840-42a6-afca-ad304f339738) + ) + (junction (at 53.34 76.2) (diameter 0) (color 0 0 0 0) + (uuid b412a300-838f-493b-a18a-f68c7435353c) + ) + (junction (at 45.72 76.2) (diameter 0) (color 0 0 0 0) + (uuid b5b7386b-43cc-4e64-b97c-616af780128f) + ) + (junction (at 50.8 76.2) (diameter 0) (color 0 0 0 0) + (uuid b82e4e09-ddf5-46f5-a48a-4dc67f56e48a) + ) + (junction (at 139.7 177.8) (diameter 0) (color 0 0 0 0) + (uuid bd5f58a5-97e6-4ee3-bc16-48bcd3453c66) + ) + (junction (at 43.18 167.64) (diameter 0) (color 0 0 0 0) + (uuid c057de85-0729-43ae-b08c-458817ecedc3) + ) + (junction (at 152.4 177.8) (diameter 0) (color 0 0 0 0) + (uuid c1403776-c968-40f2-a946-314a53fd8f84) + ) + (junction (at 137.16 177.8) (diameter 0) (color 0 0 0 0) + (uuid cfdcf27e-a3a6-41aa-939b-39ee279731e9) + ) + (junction (at 144.78 26.67) (diameter 0) (color 0 0 0 0) + (uuid d1b108af-2a82-45cc-b9c1-a96e6cf35571) + ) + (junction (at 142.24 177.8) (diameter 0) (color 0 0 0 0) + (uuid d2a2bc3f-8e3c-4903-a727-7adc7bbdb32a) + ) + (junction (at 48.26 76.2) (diameter 0) (color 0 0 0 0) + (uuid d6c01332-4d96-4b8d-9ba2-b4086af21b03) + ) + (junction (at 48.26 167.64) (diameter 0) (color 0 0 0 0) + (uuid d84f11bf-4831-40ad-892e-58b6333bd9f6) + ) + (junction (at 33.02 182.88) (diameter 0) (color 0 0 0 0) + (uuid dbcf8dd2-741e-4ec9-8095-ce2827bea8da) + ) + (junction (at 132.08 26.67) (diameter 0) (color 0 0 0 0) + (uuid dd453c64-e307-42bd-ada0-95c0eafde366) + ) + (junction (at 53.34 167.64) (diameter 0) (color 0 0 0 0) + (uuid df2f6e12-2d32-4f8d-bb90-f8cc812fb3a7) + ) + (junction (at 55.88 76.2) (diameter 0) (color 0 0 0 0) + (uuid e5ca31c4-94e6-4905-91f9-42e0b447ea4e) + ) + (junction (at 43.18 182.88) (diameter 0) (color 0 0 0 0) + (uuid e5fc8612-c07a-4996-bb67-db6f47706162) + ) + (junction (at 144.78 177.8) (diameter 0) (color 0 0 0 0) + (uuid e9726ca1-cb2a-4587-ac46-7377fda7a1c2) + ) + (junction (at 45.72 167.64) (diameter 0) (color 0 0 0 0) + (uuid f0bcb08d-843e-47ed-8d99-c05659bb4140) + ) + (junction (at 60.96 167.64) (diameter 0) (color 0 0 0 0) + (uuid f7c03a2b-54a4-4040-94bd-41779e29e4f1) + ) + + (no_connect (at 106.68 151.13) (uuid 07941790-699b-47f7-aa7a-6ef9d9807659)) + (no_connect (at 31.75 149.86) (uuid 11c5b2a9-fbb8-4f7e-a875-b0147ce8fd0d)) + (no_connect (at 231.14 73.66) (uuid 2c81dcd1-1073-4826-a012-d837fed751dc)) + (no_connect (at 175.26 63.5) (uuid 558ec647-d3ee-4b97-88e4-f22410513af0)) + (no_connect (at 231.14 66.04) (uuid 64930a0f-8f21-42a2-8116-843f50179af7)) + (no_connect (at 231.14 43.18) (uuid 688a6832-7822-4183-961b-b1d28530b03d)) + (no_connect (at 231.14 30.48) (uuid 6dffdd71-788a-43fc-808d-a1c335693ace)) + (no_connect (at 231.14 63.5) (uuid 72adb4b8-74e7-465f-bd6a-1b4d554bd0d4)) + (no_connect (at 106.68 168.91) (uuid 7391807f-0603-498a-8d3d-1fc8b3152881)) + (no_connect (at 106.68 52.07) (uuid 74113447-9e3f-4ca0-bca4-7123f263a462)) + (no_connect (at 231.14 38.1) (uuid 778cdac2-4cde-435a-b102-d976ede80ec9)) + (no_connect (at 231.14 35.56) (uuid 7b9a9594-0cc9-4dc7-9df8-b9eec59b5cca)) + (no_connect (at 231.14 76.2) (uuid 8d85f2c0-0208-4012-bf42-e3020c585a33)) + (no_connect (at 175.26 55.88) (uuid a47506bc-6d63-447f-b5df-ae98668ca542)) + (no_connect (at 231.14 45.72) (uuid aef21122-7f77-45d3-a307-0cfcdbbde6cc)) + (no_connect (at 231.14 33.02) (uuid bb858bd3-dcbc-4070-b96f-5af9b9a6011b)) + (no_connect (at 106.68 171.45) (uuid dca6a2d4-5c0b-43fc-9eb1-39a4e5f61de3)) + (no_connect (at 231.14 71.12) (uuid de23e3e2-2a95-4196-8da5-d34403d02204)) + (no_connect (at 106.68 57.15) (uuid e09c9905-60ff-43ab-bfd2-e49876180745)) + (no_connect (at 231.14 78.74) (uuid e936398a-52c7-4b7f-91bf-7c6e498a4cbf)) + (no_connect (at 231.14 40.64) (uuid f173787c-2210-4276-8e67-7d2d03e7d69c)) + (no_connect (at 231.14 68.58) (uuid f8ebeee6-2de4-41e3-b693-7646bcf3779f)) + + (bus_entry (at 82.55 128.27) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 02f2af4f-167d-49b3-af11-f950cbfbc0a9) + ) + (bus_entry (at 101.6 90.17) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 0e717359-73f3-4973-8e92-b74ec3bbcbbc) + ) + (bus_entry (at 101.6 113.03) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 110897c9-0879-4347-b2a4-cb28f0774111) + ) + (bus_entry (at 101.6 105.41) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 13cb82b3-7775-430f-a695-c02584982339) + ) + (bus_entry (at 180.34 134.62) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 170532d0-dcee-4f7e-9177-d13dd7015d85) + ) + (bus_entry (at 101.6 69.85) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 1775ab29-e716-40c7-8a0c-d22f365351d9) + ) + (bus_entry (at 180.34 109.22) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 1cb628a5-5676-4947-b44d-21e9a41653a1) + ) + (bus_entry (at 180.34 91.44) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 1dfa4da3-e8fc-4af8-8228-bfc41119b31d) + ) + (bus_entry (at 101.6 85.09) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 20f895e5-f276-474a-8846-93abee5ff1d8) + ) + (bus_entry (at 101.6 161.29) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 251cdc0b-acb6-4c24-a710-4b34a942284b) + ) + (bus_entry (at 82.55 97.79) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 2690bec2-b3eb-4628-8224-0b6e12df0b44) + ) + (bus_entry (at 180.34 73.66) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 29f139a8-3c13-40bb-afb9-432a9cc36587) + ) + (bus_entry (at 82.55 100.33) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 2a7affbc-bf50-41ee-af83-501b642d67cf) + ) + (bus_entry (at 180.34 124.46) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 2e0eaf2e-729c-4746-9895-9fbc5a545d55) + ) + (bus_entry (at 101.6 82.55) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 2e98907e-a013-4c2f-aeb4-584b8ea122d6) + ) + (bus_entry (at 101.6 138.43) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 30e0eee8-ce15-4197-bac8-3924318e398f) + ) + (bus_entry (at 180.34 76.2) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 3236088f-28c8-46e5-9db1-47f886717647) + ) + (bus_entry (at 180.34 58.42) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 36a6b09f-9406-424c-83d2-75d5fabf0693) + ) + (bus_entry (at 82.55 92.71) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 396472c2-896c-485e-ac45-62d1101b5d2d) + ) + (bus_entry (at 180.34 147.32) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 3b13bcf0-4222-40cd-a460-0503d551da88) + ) + (bus_entry (at 101.6 118.11) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 3bc3acb1-27a0-40cb-8962-84458000060f) + ) + (bus_entry (at 101.6 100.33) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 3bfb2c8f-97ad-45a2-bba5-18c84bba1555) + ) + (bus_entry (at 101.6 95.25) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 40b53f6a-1d63-4490-8697-f6354c25eb60) + ) + (bus_entry (at 82.55 130.81) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 4448a90c-ced5-4322-88d5-7887b8450eb5) + ) + (bus_entry (at 180.34 116.84) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 457858a0-3170-47db-ba7b-969aea9cbcd8) + ) + (bus_entry (at 101.6 110.49) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 47439ea3-3c3d-444f-a7e1-225d5577cb87) + ) + (bus_entry (at 180.34 139.7) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 49d6518b-191e-487f-8b8f-e65a01389533) + ) + (bus_entry (at 180.34 137.16) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 4afbf7c5-7e91-445a-a8d5-49016c0ac51c) + ) + (bus_entry (at 101.6 140.97) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 4b809ef8-cb2f-4dd3-a9e6-1bd0029767ea) + ) + (bus_entry (at 82.55 118.11) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 4c978136-f7d2-4df0-93bb-d08039d00ea7) + ) + (bus_entry (at 101.6 92.71) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 4d4c6f0f-338f-4a4a-892f-854fe450bec4) + ) + (bus_entry (at 82.55 82.55) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 4e0fb43d-d28a-473a-b1cd-eea2995b0962) + ) + (bus_entry (at 101.6 74.93) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 5299ecca-0f13-45b8-ae51-52864bec8c8e) + ) + (bus_entry (at 82.55 151.13) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 55e7d38e-ce98-4821-b886-a71afa024f7a) + ) + (bus_entry (at 180.34 142.24) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 57c5ee6f-be55-4776-a743-f84adfe11259) + ) + (bus_entry (at 180.34 93.98) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 58452e5d-662e-4dbb-bbc8-1321e5a24029) + ) + (bus_entry (at 82.55 140.97) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 60bce0f5-0dce-4d0e-bb76-eb867bd6a75a) + ) + (bus_entry (at 82.55 95.25) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 64996a35-f865-44dc-b772-53c8216ab67d) + ) + (bus_entry (at 180.34 96.52) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 665fddaf-51fb-4069-a825-427146b41d7e) + ) + (bus_entry (at 82.55 158.75) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 6741f5dd-b226-4324-b72f-b029e6dce52a) + ) + (bus_entry (at 82.55 115.57) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 678d5209-f945-4adb-a1b0-880d319c072c) + ) + (bus_entry (at 180.34 81.28) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 6ce9fded-e5f6-4712-be4c-04ce1a9f6dc9) + ) + (bus_entry (at 101.6 107.95) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 6d5961ac-83e1-44c9-9f0f-7f83083378d1) + ) + (bus_entry (at 101.6 143.51) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 6df547cc-088b-4c05-a1fa-c5fe4be2a3f3) + ) + (bus_entry (at 82.55 87.63) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 6f2af676-c1af-4f83-a850-21f7deaf01e9) + ) + (bus_entry (at 82.55 120.65) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 6f367db6-7754-4dce-8873-68b843be6a72) + ) + (bus_entry (at 101.6 135.89) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 6f5dd53a-ba2f-44e9-8ecb-db0deb2f2089) + ) + (bus_entry (at 101.6 158.75) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 70c63c10-fa32-469e-86fd-4a606202ff93) + ) + (bus_entry (at 101.6 87.63) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 71d3ac23-35dd-44d8-85fa-d5d7bacd971d) + ) + (bus_entry (at 82.55 153.67) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 7cb12eb0-1ebd-40b4-9bf6-0aace64ff62d) + ) + (bus_entry (at 101.6 46.99) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 7cf3721e-dd8f-4d95-9195-8219ee7be1e2) + ) + (bus_entry (at 101.6 123.19) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 7d044ec0-45d9-408d-a3c6-e9793a3a2fd1) + ) + (bus_entry (at 82.55 85.09) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 80942344-6627-4c36-8509-72ac8e8b8c4a) + ) + (bus_entry (at 101.6 163.83) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 83cb5313-6feb-4d88-8a40-0d5ae7647799) + ) + (bus_entry (at 180.34 129.54) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 85ffa1d7-47eb-442d-95b3-6abc198c3c8d) + ) + (bus_entry (at 180.34 71.12) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 8650ea6d-00ff-4984-9547-1994b1398403) + ) + (bus_entry (at 180.34 101.6) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 8758e136-4c03-4602-812d-152960a808b3) + ) + (bus_entry (at 180.34 60.96) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 8a093e36-422d-46e8-bc8c-71f7942b61cd) + ) + (bus_entry (at 101.6 77.47) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 8b1f99e8-7ce0-4a14-ad13-0d8fb332bc95) + ) + (bus_entry (at 101.6 97.79) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 8c6ee03c-7ad3-497b-838f-04914213d77b) + ) + (bus_entry (at 82.55 90.17) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 8cd305d0-17cc-4af2-9ea6-98ddf532b41e) + ) + (bus_entry (at 82.55 125.73) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 9379cadb-7d1e-4db3-80f2-e492e83e6059) + ) + (bus_entry (at 180.34 144.78) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 95137d0a-c506-49d1-a6bb-0aa5a924b340) + ) + (bus_entry (at 101.6 67.31) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 958668ea-cd4e-45da-95e4-e1a605ef1838) + ) + (bus_entry (at 180.34 114.3) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 97db6643-9b34-4b3b-b3ad-960070ab035e) + ) + (bus_entry (at 101.6 120.65) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 9a6988b2-3346-47d1-9a6f-d5c54b19e46d) + ) + (bus_entry (at 101.6 44.45) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 9e3b4d4a-6ca4-476e-a1a8-352652a96be3) + ) + (bus_entry (at 82.55 135.89) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 9ec7bf74-1b90-4617-a672-286923bb64b2) + ) + (bus_entry (at 101.6 41.91) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid a02a0f58-ac93-40e9-92f5-4a4a772bfd09) + ) + (bus_entry (at 101.6 128.27) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid a494b6ee-7fbf-4fce-a751-b7597f1f3e90) + ) + (bus_entry (at 82.55 138.43) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid a847be0e-a8a5-4ca5-83ab-8f42f9d5e4f2) + ) + (bus_entry (at 180.34 104.14) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid abb0e420-1186-4ce5-bd72-d38c75e79421) + ) + (bus_entry (at 82.55 161.29) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid b0ee9462-f94e-457a-8031-3e57e060eaa2) + ) + (bus_entry (at 180.34 127) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid b1098318-ba35-443d-8d37-48bb874e4f1c) + ) + (bus_entry (at 180.34 149.86) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid b50aa1f6-72c0-444d-a0b1-e1ec25f6da3c) + ) + (bus_entry (at 82.55 107.95) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid b5cf65bc-6b49-475b-a5e3-86b1d1a6b135) + ) + (bus_entry (at 101.6 146.05) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid b62f42fc-17b9-43d2-af7b-077600c82032) + ) + (bus_entry (at 180.34 121.92) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid b653cd1f-1c52-4d2b-bc13-8a464abb9219) + ) + (bus_entry (at 180.34 106.68) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid b6a65ca6-5e2d-48c2-9e47-7edd74351b18) + ) + (bus_entry (at 101.6 72.39) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid b7b4e533-415c-4994-8b73-7c5c292b62bb) + ) + (bus_entry (at 180.34 99.06) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid b930cb3a-7e13-448f-b266-4c3e7d1f480c) + ) + (bus_entry (at 82.55 148.59) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid ba77e192-5c9b-45db-815c-2721d44bd100) + ) + (bus_entry (at 82.55 133.35) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid bfb9e9e1-ea3d-4160-93a9-63c6ffd4d096) + ) + (bus_entry (at 82.55 123.19) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid c219537c-c118-4a78-ac17-93d5f9b5247f) + ) + (bus_entry (at 180.34 86.36) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid c253863d-e458-41cf-8281-cfa501c3a86c) + ) + (bus_entry (at 180.34 83.82) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid c44d81d7-511d-4d7d-8065-f0d4185be521) + ) + (bus_entry (at 82.55 143.51) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid c6721102-e19f-43c0-b2ce-b494866fe0c1) + ) + (bus_entry (at 101.6 115.57) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid c7249fe0-f2b8-46d1-bca2-6e18db5188ce) + ) + (bus_entry (at 82.55 105.41) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid c7d0dff1-3bdd-4164-8050-f4864168fb4b) + ) + (bus_entry (at 101.6 102.87) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid cd2614cd-639c-4258-a5cd-24942c72a356) + ) + (bus_entry (at 101.6 133.35) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid d4a79685-575f-4488-aea6-6c33d96dd1b5) + ) + (bus_entry (at 82.55 102.87) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid d63ad45d-3572-43f9-9aee-3715073827d7) + ) + (bus_entry (at 82.55 146.05) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid d8bfd6f9-412f-4d68-9878-2ba3deadd148) + ) + (bus_entry (at 82.55 113.03) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid e6a50b96-4586-424c-8b7f-bbf6ad3b0474) + ) + (bus_entry (at 101.6 130.81) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid eac40557-eeb3-4326-8015-af425a2fd949) + ) + (bus_entry (at 180.34 78.74) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid eb67c56b-d04b-4a1a-b08e-5eb085801ceb) + ) + (bus_entry (at 180.34 119.38) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid ec389b38-f311-4613-a39f-e9eaf4c908b7) + ) + (bus_entry (at 82.55 156.21) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid ecd10277-ffee-4448-a2f7-830ba585cc4b) + ) + (bus_entry (at 101.6 80.01) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid eef21e0b-b8ee-4a2e-89f5-b75d0fea1e13) + ) + (bus_entry (at 180.34 88.9) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid f0691529-4c65-4447-81e1-a50d3c4c6121) + ) + (bus_entry (at 180.34 132.08) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid f124ec97-cda6-49e9-9413-508e656c293b) + ) + (bus_entry (at 101.6 125.73) (size -2.54 -2.54) + (stroke (width 0) (type default)) + (uuid f1999ab2-2226-406b-9543-9a73fd26a9cd) + ) + (bus_entry (at 82.55 110.49) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid fb6e0b38-bea1-4c1a-a5a9-f13b8ce3cfe4) + ) + (bus_entry (at 180.34 111.76) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid fef6361a-66cf-4b39-ae1b-f1f5ea33846a) + ) + + (wire (pts (xy 180.34 60.96) (xy 175.26 60.96)) + (stroke (width 0) (type default)) + (uuid 04644fa6-56a3-4c06-af77-ed4d34ab8087) + ) + (wire (pts (xy 238.76 99.06) (xy 237.49 99.06)) + (stroke (width 0) (type default)) + (uuid 0486a3c8-fec2-4a36-9e03-0e2563700127) + ) + (bus (pts (xy 99.06 82.55) (xy 99.06 85.09)) + (stroke (width 0) (type default)) + (uuid 05e5f239-923c-48bf-b348-9b7a85d736e9) + ) + (bus (pts (xy 85.09 135.89) (xy 85.09 138.43)) + (stroke (width 0) (type default)) + (uuid 05ecf99a-d4d4-4b94-8bd0-5be9972d1766) + ) + + (wire (pts (xy 209.55 121.92) (xy 208.28 121.92)) + (stroke (width 0) (type default)) + (uuid 0765bf9d-3bb6-49bb-b80c-3795f6434343) + ) + (bus (pts (xy 182.88 68.58) (xy 182.88 71.12)) + (stroke (width 0) (type default)) + (uuid 07f719d4-5859-4957-a9e2-572080a05432) + ) + (bus (pts (xy 182.88 132.08) (xy 182.88 134.62)) + (stroke (width 0) (type default)) + (uuid 082c39d5-7c75-463d-8834-fadaa3fe5713) + ) + + (wire (pts (xy 144.78 26.67) (xy 147.32 26.67)) + (stroke (width 0) (type default)) + (uuid 092f8248-b87b-46a9-ac60-fc9b08bd282c) + ) + (wire (pts (xy 137.16 177.8) (xy 139.7 177.8)) + (stroke (width 0) (type default)) + (uuid 095d3787-443e-4ce9-8575-ff7668fbade8) + ) + (wire (pts (xy 208.28 121.92) (xy 208.28 119.38)) + (stroke (width 0) (type default)) + (uuid 0a330b6d-8c10-4b6c-bd00-cd0a7d80cd36) + ) + (bus (pts (xy 99.06 74.93) (xy 99.06 77.47)) + (stroke (width 0) (type default)) + (uuid 0b9d3f36-f437-4ff9-b6ee-ffbb5a28ef20) + ) + + (wire (pts (xy 45.72 76.2) (xy 48.26 76.2)) + (stroke (width 0) (type default)) + (uuid 0c647b5b-cbb4-409c-ac2c-1d530cdc1025) + ) + (wire (pts (xy 53.34 76.2) (xy 55.88 76.2)) + (stroke (width 0) (type default)) + (uuid 0c7a76d1-471a-4963-831d-ea2220833981) + ) + (wire (pts (xy 43.18 167.64) (xy 40.64 167.64)) + (stroke (width 0) (type default)) + (uuid 0c8e2930-6831-4f51-ab97-5b949930732a) + ) + (wire (pts (xy 175.26 81.28) (xy 180.34 81.28)) + (stroke (width 0) (type default)) + (uuid 0ca3cadc-4935-4c22-9409-04786f09d892) + ) + (wire (pts (xy 106.68 140.97) (xy 101.6 140.97)) + (stroke (width 0) (type default)) + (uuid 0cc27e56-778f-4a3b-ab32-2b5ab6f36311) + ) + (wire (pts (xy 175.26 124.46) (xy 180.34 124.46)) + (stroke (width 0) (type default)) + (uuid 0d2d49f0-ac8c-4eb2-aec0-a3026968e0f2) + ) + (bus (pts (xy 85.09 153.67) (xy 85.09 156.21)) + (stroke (width 0) (type default)) + (uuid 0e185bcd-5988-4ee8-9f85-7e8d79de0df1) + ) + (bus (pts (xy 99.06 90.17) (xy 99.06 92.71)) + (stroke (width 0) (type default)) + (uuid 0f599b2b-d0c5-4c44-9a32-5c64c72ef099) + ) + + (wire (pts (xy 33.02 182.88) (xy 43.18 182.88)) + (stroke (width 0) (type default)) + (uuid 0fcfd675-27b0-4d40-97ad-0b23eb001e86) + ) + (bus (pts (xy 99.06 118.11) (xy 99.06 120.65)) + (stroke (width 0) (type default)) + (uuid 11e76c55-0506-4899-ab1b-09d4c1c3b817) + ) + (bus (pts (xy 99.06 125.73) (xy 99.06 128.27)) + (stroke (width 0) (type default)) + (uuid 12718f26-0962-4714-b2c5-a0376d2d2067) + ) + + (wire (pts (xy 233.68 55.88) (xy 246.38 55.88)) + (stroke (width 0) (type default)) + (uuid 14f6b871-3e49-4a83-8d9d-ee66cf534cb2) + ) + (bus (pts (xy 85.09 115.57) (xy 85.09 118.11)) + (stroke (width 0) (type default)) + (uuid 165a8755-b17c-4dba-90f5-733b15644644) + ) + + (wire (pts (xy 63.5 167.64) (xy 60.96 167.64)) + (stroke (width 0) (type default)) + (uuid 16cc5701-c6ec-495a-b059-9462e6037f22) + ) + (wire (pts (xy 204.47 93.98) (xy 210.82 93.98)) + (stroke (width 0) (type default)) + (uuid 16e6fd41-e697-41e3-ab1e-24d26da424af) + ) + (wire (pts (xy 132.08 177.8) (xy 134.62 177.8)) + (stroke (width 0) (type default)) + (uuid 17506476-f843-472f-a34b-8135745294a2) + ) + (bus (pts (xy 182.88 124.46) (xy 182.88 127)) + (stroke (width 0) (type default)) + (uuid 17641384-de05-4f54-aed9-7f3667fc553c) + ) + + (wire (pts (xy 175.26 129.54) (xy 180.34 129.54)) + (stroke (width 0) (type default)) + (uuid 1769cd1c-6999-4273-a2ca-402c32eb2623) + ) + (wire (pts (xy 154.94 177.8) (xy 157.48 177.8)) + (stroke (width 0) (type default)) + (uuid 1843d1ee-47be-40cd-a3ff-ec8ec800dccc) + ) + (wire (pts (xy 77.47 100.33) (xy 82.55 100.33)) + (stroke (width 0) (type default)) + (uuid 1ab47528-41c6-4bf5-831b-5e08a95bc92c) + ) + (wire (pts (xy 175.26 139.7) (xy 180.34 139.7)) + (stroke (width 0) (type default)) + (uuid 1b4f3e4c-bb7f-42a7-a77b-461f9d6dfc1f) + ) + (bus (pts (xy 99.06 107.95) (xy 99.06 110.49)) + (stroke (width 0) (type default)) + (uuid 1ec688f8-5bf9-4d87-a228-9a04664b10a4) + ) + + (wire (pts (xy 175.26 134.62) (xy 180.34 134.62)) + (stroke (width 0) (type default)) + (uuid 1f37f5ac-e922-4503-bba2-f626b8dee85e) + ) + (wire (pts (xy 26.67 109.22) (xy 31.75 109.22)) + (stroke (width 0) (type default)) + (uuid 206cc6a1-3ed8-4b24-b53d-2f9be4c14ef8) + ) + (wire (pts (xy 149.86 177.8) (xy 152.4 177.8)) + (stroke (width 0) (type default)) + (uuid 20809a1b-b8bd-4dff-a741-77b8ac612424) + ) + (wire (pts (xy 106.68 158.75) (xy 101.6 158.75)) + (stroke (width 0) (type default)) + (uuid 22f9e27f-a697-4f05-8a40-b381ad679cfb) + ) + (wire (pts (xy 139.7 26.67) (xy 142.24 26.67)) + (stroke (width 0) (type default)) + (uuid 253a7620-e13e-4baf-8766-211e4c6eaff1) + ) + (wire (pts (xy 175.26 137.16) (xy 180.34 137.16)) + (stroke (width 0) (type default)) + (uuid 25811b88-d2cd-41e8-a429-b8cf065383f7) + ) + (wire (pts (xy 144.78 177.8) (xy 147.32 177.8)) + (stroke (width 0) (type default)) + (uuid 275a75df-c9b0-4be3-91b1-f3f366170c90) + ) + (wire (pts (xy 134.62 26.67) (xy 137.16 26.67)) + (stroke (width 0) (type default)) + (uuid 27edacbc-fd5f-4b72-b8cb-11e46800883f) + ) + (bus (pts (xy 182.88 83.82) (xy 182.88 86.36)) + (stroke (width 0) (type default)) + (uuid 28522e68-1082-4960-b462-d3f57829a122) + ) + (bus (pts (xy 85.09 146.05) (xy 85.09 148.59)) + (stroke (width 0) (type default)) + (uuid 28daa155-ee06-4594-ba68-d6a78a48e60c) + ) + + (wire (pts (xy 233.68 53.34) (xy 246.38 53.34)) + (stroke (width 0) (type default)) + (uuid 292957f7-0bd9-4384-8209-b54e2cfafba9) + ) + (wire (pts (xy 223.52 87.63) (xy 238.76 87.63)) + (stroke (width 0) (type default)) + (uuid 2af3a40a-5ef8-4b13-9686-37c34fc9bca5) + ) + (wire (pts (xy 77.47 133.35) (xy 82.55 133.35)) + (stroke (width 0) (type default)) + (uuid 2c6c65d9-42c5-4857-9a3a-9c54aa16bf22) + ) + (wire (pts (xy 106.68 92.71) (xy 101.6 92.71)) + (stroke (width 0) (type default)) + (uuid 2ce51155-55d9-48d0-8ad7-2a985f0ff7f2) + ) + (bus (pts (xy 99.06 85.09) (xy 99.06 87.63)) + (stroke (width 0) (type default)) + (uuid 2d04df5e-bf44-4e67-b13e-d5af232f8ec9) + ) + + (wire (pts (xy 142.24 26.67) (xy 144.78 26.67)) + (stroke (width 0) (type default)) + (uuid 2d8c99ec-c6cd-4823-81f4-066a5b34154d) + ) + (bus (pts (xy 99.06 135.89) (xy 99.06 138.43)) + (stroke (width 0) (type default)) + (uuid 2eb991f5-73a2-4b4d-be71-db4ab1dd99ef) + ) + + (wire (pts (xy 77.47 161.29) (xy 82.55 161.29)) + (stroke (width 0) (type default)) + (uuid 2f7c4420-6286-44d6-bc02-90fb5c9aeeb8) + ) + (wire (pts (xy 175.26 142.24) (xy 180.34 142.24)) + (stroke (width 0) (type default)) + (uuid 2fbf74e3-1590-4120-9ced-a154b3e4f939) + ) + (wire (pts (xy 152.4 26.67) (xy 154.94 26.67)) + (stroke (width 0) (type default)) + (uuid 3157be44-7371-4980-ba97-da3fa4af8a44) + ) + (bus (pts (xy 97.79 39.37) (xy 99.06 39.37)) + (stroke (width 0) (type default)) + (uuid 33062aaf-3ff4-40b7-aa4f-43fb5110007a) + ) + + (wire (pts (xy 204.47 92.71) (xy 204.47 93.98)) + (stroke (width 0) (type default)) + (uuid 333385d2-3516-45eb-87bd-81dc2d9b65e4) + ) + (bus (pts (xy 85.09 148.59) (xy 85.09 151.13)) + (stroke (width 0) (type default)) + (uuid 35274631-b59a-43ec-9c1a-cc1d937a30e4) + ) + + (wire (pts (xy 106.68 123.19) (xy 101.6 123.19)) + (stroke (width 0) (type default)) + (uuid 367550ce-b321-4d05-a993-af796efdf6a2) + ) + (wire (pts (xy 77.47 151.13) (xy 82.55 151.13)) + (stroke (width 0) (type default)) + (uuid 37deb7d5-c678-4dd1-9f8d-1affd5c25fca) + ) + (bus (pts (xy 99.06 128.27) (xy 99.06 130.81)) + (stroke (width 0) (type default)) + (uuid 384aa87b-fd0d-4b1f-88b0-43694a3decea) + ) + + (wire (pts (xy 106.68 87.63) (xy 101.6 87.63)) + (stroke (width 0) (type default)) + (uuid 38bcf16e-11b9-45b4-8906-27e084b9042c) + ) + (wire (pts (xy 53.34 182.88) (xy 63.5 182.88)) + (stroke (width 0) (type default)) + (uuid 38d2d135-01f5-4be0-969f-825a727d87d9) + ) + (wire (pts (xy 50.8 76.2) (xy 53.34 76.2)) + (stroke (width 0) (type default)) + (uuid 3b3fad39-91c3-4596-9722-d833677ca9fd) + ) + (wire (pts (xy 40.64 167.64) (xy 38.1 167.64)) + (stroke (width 0) (type default)) + (uuid 3c1f45c6-1376-45b6-b978-d7265d758e9a) + ) + (bus (pts (xy 99.06 67.31) (xy 99.06 69.85)) + (stroke (width 0) (type default)) + (uuid 3de34f9d-59a9-4959-b4c9-e40fb8b37bbf) + ) + (bus (pts (xy 99.06 39.37) (xy 99.06 41.91)) + (stroke (width 0) (type default)) + (uuid 4005be9a-0bce-4d94-bba8-d58bf1a62169) + ) + + (wire (pts (xy 152.4 177.8) (xy 154.94 177.8)) + (stroke (width 0) (type default)) + (uuid 419d0c91-55f1-46b5-8dcd-4e70c62fe34f) + ) + (bus (pts (xy 85.09 110.49) (xy 85.09 113.03)) + (stroke (width 0) (type default)) + (uuid 42ad51d7-8890-47a6-a702-95c0857b4656) + ) + + (wire (pts (xy 77.47 87.63) (xy 82.55 87.63)) + (stroke (width 0) (type default)) + (uuid 42b38d3d-ad96-42de-be18-b98da1a0f9f1) + ) + (wire (pts (xy 106.68 146.05) (xy 101.6 146.05)) + (stroke (width 0) (type default)) + (uuid 439208b0-fbaf-470b-8635-c0d76b9fd32f) + ) + (bus (pts (xy 85.09 130.81) (xy 85.09 133.35)) + (stroke (width 0) (type default)) + (uuid 43a0591b-3c86-4597-bb1e-7865bffb4b7f) + ) + + (wire (pts (xy 238.76 58.42) (xy 238.76 87.63)) + (stroke (width 0) (type default)) + (uuid 44762d7c-c53d-4621-98ce-209dfede4c96) + ) + (wire (pts (xy 104.14 62.23) (xy 106.68 62.23)) + (stroke (width 0) (type default)) + (uuid 467a76cb-7d90-484d-9344-7fc1da1aeb86) + ) + (wire (pts (xy 106.68 161.29) (xy 101.6 161.29)) + (stroke (width 0) (type default)) + (uuid 46f96426-a477-40a5-a378-fd854d84b4c6) + ) + (bus (pts (xy 85.09 113.03) (xy 85.09 115.57)) + (stroke (width 0) (type default)) + (uuid 47549cca-0b67-4d88-a292-70ff8c2ba4e5) + ) + + (wire (pts (xy 175.26 121.92) (xy 180.34 121.92)) + (stroke (width 0) (type default)) + (uuid 47bb9e0c-b444-4263-a1b6-4aa3059cbe32) + ) + (wire (pts (xy 175.26 35.56) (xy 182.88 35.56)) + (stroke (width 0) (type default)) + (uuid 48625e71-595a-4a4a-b2ac-33411b3b1f80) + ) + (bus (pts (xy 85.09 143.51) (xy 85.09 146.05)) + (stroke (width 0) (type default)) + (uuid 486edeff-3a5f-42cd-ba4f-74d7feb60eb0) + ) + + (wire (pts (xy 238.76 87.63) (xy 238.76 99.06)) + (stroke (width 0) (type default)) + (uuid 48ae5d0c-5919-4509-9209-e5bd2490b5e4) + ) + (wire (pts (xy 132.08 26.67) (xy 134.62 26.67)) + (stroke (width 0) (type default)) + (uuid 48b8e14e-3848-4b70-8a0c-85d5b52feee8) + ) + (bus (pts (xy 182.88 137.16) (xy 182.88 139.7)) + (stroke (width 0) (type default)) + (uuid 48bfa2a3-97fc-431f-b0a4-22e5935d279f) + ) + + (wire (pts (xy 77.47 148.59) (xy 82.55 148.59)) + (stroke (width 0) (type default)) + (uuid 49313a09-35c4-4e6b-bee0-bd710ac23e9c) + ) + (wire (pts (xy 101.6 41.91) (xy 106.68 41.91)) + (stroke (width 0) (type default)) + (uuid 4ae0e916-876a-4cf7-8b79-6df596cfea62) + ) + (wire (pts (xy 106.68 143.51) (xy 101.6 143.51)) + (stroke (width 0) (type default)) + (uuid 4bea1a42-c002-4d16-b27e-34a9348b054a) + ) + (wire (pts (xy 142.24 177.8) (xy 144.78 177.8)) + (stroke (width 0) (type default)) + (uuid 4cdfce2f-e34b-45bf-8c01-ca8f9dee2268) + ) + (bus (pts (xy 85.09 125.73) (xy 85.09 128.27)) + (stroke (width 0) (type default)) + (uuid 4e426ace-2638-4930-80c0-119eee7a17c3) + ) + + (wire (pts (xy 205.74 116.84) (xy 210.82 116.84)) + (stroke (width 0) (type default)) + (uuid 4e426c4b-1058-4870-a8ef-445936eea63a) + ) + (wire (pts (xy 77.47 135.89) (xy 82.55 135.89)) + (stroke (width 0) (type default)) + (uuid 4efbbec3-336c-430b-bbe6-d2f4796ad42f) + ) + (wire (pts (xy 106.68 77.47) (xy 101.6 77.47)) + (stroke (width 0) (type default)) + (uuid 529b53f2-af00-4c01-81c7-9b05c58e9554) + ) + (bus (pts (xy 99.06 113.03) (xy 99.06 115.57)) + (stroke (width 0) (type default)) + (uuid 52a0eb1f-e60c-43f9-b0e7-8d735f665d4a) + ) + + (wire (pts (xy 233.68 48.26) (xy 233.68 53.34)) + (stroke (width 0) (type default)) + (uuid 53211f32-cb08-48c9-b656-7e69e5ab8144) + ) + (wire (pts (xy 96.52 156.21) (xy 106.68 156.21)) + (stroke (width 0) (type default)) + (uuid 53a1c394-fe90-4d27-a90c-2df7337cf32d) + ) + (wire (pts (xy 175.26 93.98) (xy 180.34 93.98)) + (stroke (width 0) (type default)) + (uuid 53b2f120-b52c-4ea7-8718-9f5d7a145443) + ) + (bus (pts (xy 99.06 64.77) (xy 99.06 67.31)) + (stroke (width 0) (type default)) + (uuid 53d86044-197f-4325-a34c-011157f3e359) + ) + (bus (pts (xy 85.09 118.11) (xy 85.09 120.65)) + (stroke (width 0) (type default)) + (uuid 53eb342d-dea0-4a47-bbfb-45b30e2084ae) + ) + + (wire (pts (xy 77.47 105.41) (xy 82.55 105.41)) + (stroke (width 0) (type default)) + (uuid 54413bd3-cd3b-4649-bcdc-46b23fdb134c) + ) + (wire (pts (xy 106.68 133.35) (xy 101.6 133.35)) + (stroke (width 0) (type default)) + (uuid 54a17789-0b4f-42ff-9f22-4c205326aba0) + ) + (wire (pts (xy 205.74 114.3) (xy 210.82 114.3)) + (stroke (width 0) (type default)) + (uuid 551da646-a422-4d23-98f9-a2504b3802ab) + ) + (bus (pts (xy 97.79 161.29) (xy 99.06 161.29)) + (stroke (width 0) (type default)) + (uuid 552d031e-5ef0-4754-abe6-8311dfc34d9b) + ) + + (wire (pts (xy 106.68 107.95) (xy 101.6 107.95)) + (stroke (width 0) (type default)) + (uuid 55950433-1bed-47b8-8efa-6f26ea890664) + ) + (wire (pts (xy 77.47 110.49) (xy 82.55 110.49)) + (stroke (width 0) (type default)) + (uuid 5a1455e0-0f2d-4664-8197-2a63b4e92d5f) + ) + (bus (pts (xy 99.06 130.81) (xy 99.06 133.35)) + (stroke (width 0) (type default)) + (uuid 5ae691b9-d8a6-44f7-a9af-b1c774d31ba8) + ) + (bus (pts (xy 85.09 90.17) (xy 85.09 92.71)) + (stroke (width 0) (type default)) + (uuid 5b77d1c7-4695-48cc-8b12-dddf69f6f2f7) + ) + (bus (pts (xy 182.88 114.3) (xy 182.88 116.84)) + (stroke (width 0) (type default)) + (uuid 5b8be6c9-fdbb-4502-b475-d1be50c4e007) + ) + + (wire (pts (xy 137.16 26.67) (xy 139.7 26.67)) + (stroke (width 0) (type default)) + (uuid 5c0fe11c-c17f-43d4-a533-9a8a3b7d2290) + ) + (wire (pts (xy 26.67 114.3) (xy 31.75 114.3)) + (stroke (width 0) (type default)) + (uuid 5c846b83-414e-44a7-8875-0e033a85012d) + ) + (wire (pts (xy 175.26 76.2) (xy 180.34 76.2)) + (stroke (width 0) (type default)) + (uuid 5c969a73-4db5-46e5-84df-a6b0e44b7f3d) + ) + (wire (pts (xy 157.48 177.8) (xy 165.1 177.8)) + (stroke (width 0) (type default)) + (uuid 5f48d87c-23c5-4c1d-872a-c6f279228697) + ) + (wire (pts (xy 205.74 92.71) (xy 204.47 92.71)) + (stroke (width 0) (type default)) + (uuid 61aacb63-e2ba-41f6-a813-6198a1b5eaf2) + ) + (bus (pts (xy 85.09 140.97) (xy 85.09 143.51)) + (stroke (width 0) (type default)) + (uuid 61abfd72-9333-4793-b17b-62f16d81740c) + ) + (bus (pts (xy 99.06 100.33) (xy 99.06 102.87)) + (stroke (width 0) (type default)) + (uuid 629acac7-1b2e-4750-b669-aa063b3e6c14) + ) + + (wire (pts (xy 129.54 26.67) (xy 132.08 26.67)) + (stroke (width 0) (type default)) + (uuid 63f2b90b-0f2b-4154-b2db-e681f1d0a857) + ) + (wire (pts (xy 77.47 82.55) (xy 82.55 82.55)) + (stroke (width 0) (type default)) + (uuid 6470d7b0-90d5-4ad4-9dc0-744cdfb2815a) + ) + (wire (pts (xy 101.6 46.99) (xy 106.68 46.99)) + (stroke (width 0) (type default)) + (uuid 649de59d-aa66-4918-a50e-1d550bb46607) + ) + (wire (pts (xy 96.52 168.91) (xy 104.14 168.91)) + (stroke (width 0) (type default)) + (uuid 64b96d10-57d3-4518-a4d3-0e3dac925424) + ) + (wire (pts (xy 127 177.8) (xy 129.54 177.8)) + (stroke (width 0) (type default)) + (uuid 65ff81b8-5cc7-4c08-89b4-2d02c1f8ee4a) + ) + (wire (pts (xy 43.18 187.96) (xy 53.34 187.96)) + (stroke (width 0) (type default)) + (uuid 666b6b78-cabb-459c-9226-ba7145b28f35) + ) + (wire (pts (xy 147.32 177.8) (xy 149.86 177.8)) + (stroke (width 0) (type default)) + (uuid 6708fd34-8d85-40ce-890e-de7292e75447) + ) + (bus (pts (xy 99.06 120.65) (xy 99.06 123.19)) + (stroke (width 0) (type default)) + (uuid 6766af50-231d-4002-9c1c-5a684e591eaf) + ) + + (wire (pts (xy 106.68 110.49) (xy 101.6 110.49)) + (stroke (width 0) (type default)) + (uuid 68645966-71c6-4fcc-9197-a6d46f7cecc9) + ) + (wire (pts (xy 55.88 76.2) (xy 58.42 76.2)) + (stroke (width 0) (type default)) + (uuid 68e7dd81-f586-4c89-b1a4-f2216c23f01e) + ) + (wire (pts (xy 58.42 76.2) (xy 60.96 76.2)) + (stroke (width 0) (type default)) + (uuid 6ae1aa90-1876-4422-86aa-cf7f4739f6e7) + ) + (bus (pts (xy 182.88 73.66) (xy 182.88 76.2)) + (stroke (width 0) (type default)) + (uuid 6b0fcbf0-67fb-4f8a-bea9-fb1a8f5cffaa) + ) + (bus (pts (xy 182.88 104.14) (xy 182.88 106.68)) + (stroke (width 0) (type default)) + (uuid 6c4330d2-1ec3-4ff8-9b6a-948a49574ecd) + ) + (bus (pts (xy 99.06 140.97) (xy 99.06 143.51)) + (stroke (width 0) (type default)) + (uuid 6c6c33ba-4488-4766-9f4f-f3d31f9ef7e7) + ) + + (wire (pts (xy 77.47 95.25) (xy 82.55 95.25)) + (stroke (width 0) (type default)) + (uuid 6ef9762e-ea3b-4eaf-9277-dd8bc7819d75) + ) + (wire (pts (xy 175.26 127) (xy 180.34 127)) + (stroke (width 0) (type default)) + (uuid 70c55276-d505-4eb7-98e3-30dffbbe342f) + ) + (wire (pts (xy 77.47 146.05) (xy 82.55 146.05)) + (stroke (width 0) (type default)) + (uuid 71dc5569-d411-4afd-b804-bf7242a4cc98) + ) + (bus (pts (xy 99.06 97.79) (xy 99.06 100.33)) + (stroke (width 0) (type default)) + (uuid 71f1e6db-51d8-4548-b587-06f9b004358d) + ) + (bus (pts (xy 85.09 87.63) (xy 85.09 90.17)) + (stroke (width 0) (type default)) + (uuid 723b39d4-747b-4eb3-b1cb-3b9297dca8c9) + ) + (bus (pts (xy 85.09 95.25) (xy 85.09 97.79)) + (stroke (width 0) (type default)) + (uuid 73855829-ddc9-444b-afe5-07aa6122d355) + ) + + (wire (pts (xy 58.42 167.64) (xy 55.88 167.64)) + (stroke (width 0) (type default)) + (uuid 73dc1650-d5df-4c2c-a6c1-c35d38786c12) + ) + (bus (pts (xy 184.15 68.58) (xy 182.88 68.58)) + (stroke (width 0) (type default)) + (uuid 74868245-a5f2-4aea-b94b-60909f76aa34) + ) + + (wire (pts (xy 48.26 167.64) (xy 45.72 167.64)) + (stroke (width 0) (type default)) + (uuid 76633242-5034-4b91-91fb-5e1e71f3c621) + ) + (bus (pts (xy 182.88 99.06) (xy 182.88 101.6)) + (stroke (width 0) (type default)) + (uuid 76af7171-c289-420a-bc74-5d634a1dc50a) + ) + + (wire (pts (xy 106.68 118.11) (xy 101.6 118.11)) + (stroke (width 0) (type default)) + (uuid 76dd7b7d-0cc1-4234-af02-f2167e1cf2d9) + ) + (bus (pts (xy 85.09 128.27) (xy 85.09 130.81)) + (stroke (width 0) (type default)) + (uuid 7716c6b2-8956-4300-a97a-a0106cecc411) + ) + + (wire (pts (xy 121.92 177.8) (xy 124.46 177.8)) + (stroke (width 0) (type default)) + (uuid 7725b43d-fb6f-4658-a021-8aa326ba86b1) + ) + (wire (pts (xy 26.67 106.68) (xy 31.75 106.68)) + (stroke (width 0) (type default)) + (uuid 7777ccce-92fa-4443-9468-3ecbafd70722) + ) + (wire (pts (xy 232.41 99.06) (xy 231.14 99.06)) + (stroke (width 0) (type default)) + (uuid 78fcd5a8-d2b7-4ad0-a986-f12662cece03) + ) + (bus (pts (xy 99.06 80.01) (xy 99.06 82.55)) + (stroke (width 0) (type default)) + (uuid 796b28e9-1e39-49f3-ab38-693259f1def1) + ) + + (wire (pts (xy 175.26 73.66) (xy 180.34 73.66)) + (stroke (width 0) (type default)) + (uuid 7a06029a-7beb-4c6c-beac-41126e1e13bf) + ) + (bus (pts (xy 182.88 93.98) (xy 182.88 96.52)) + (stroke (width 0) (type default)) + (uuid 7a263669-3c73-42cd-8139-fc784a3ede93) + ) + + (wire (pts (xy 77.47 143.51) (xy 82.55 143.51)) + (stroke (width 0) (type default)) + (uuid 7a40265b-de38-4f98-a9a7-00008316d537) + ) + (wire (pts (xy 31.75 99.06) (xy 24.13 99.06)) + (stroke (width 0) (type default)) + (uuid 7b7e9b7c-b70f-4b4f-bb3b-5df660f15863) + ) + (wire (pts (xy 175.26 116.84) (xy 180.34 116.84)) + (stroke (width 0) (type default)) + (uuid 7be2769a-0ced-4090-afd7-2ab5ac256160) + ) + (wire (pts (xy 231.14 48.26) (xy 233.68 48.26)) + (stroke (width 0) (type default)) + (uuid 7c29aea4-4d7e-432d-b413-c0eb9610850b) + ) + (wire (pts (xy 53.34 167.64) (xy 50.8 167.64)) + (stroke (width 0) (type default)) + (uuid 7d4d31f1-abd7-4fdb-837a-2723857c4baa) + ) + (wire (pts (xy 53.34 187.96) (xy 63.5 187.96)) + (stroke (width 0) (type default)) + (uuid 7d85316b-e797-4e79-95cc-dc61edbdaeda) + ) + (wire (pts (xy 175.26 96.52) (xy 180.34 96.52)) + (stroke (width 0) (type default)) + (uuid 7eb33290-bdfb-4866-ac1a-c7ed7d7c5c83) + ) + (wire (pts (xy 175.26 147.32) (xy 180.34 147.32)) + (stroke (width 0) (type default)) + (uuid 7f3bad9e-fb0c-4197-97d5-f1262e8e9200) + ) + (wire (pts (xy 77.47 130.81) (xy 82.55 130.81)) + (stroke (width 0) (type default)) + (uuid 80ebe98e-0ad5-4e33-ba07-5c4f03b4b358) + ) + (wire (pts (xy 106.68 82.55) (xy 101.6 82.55)) + (stroke (width 0) (type default)) + (uuid 80f25b05-5676-4784-8833-f38df2f27e0c) + ) + (wire (pts (xy 106.68 115.57) (xy 101.6 115.57)) + (stroke (width 0) (type default)) + (uuid 827de6bb-d570-4193-8694-969ee1a2bbe1) + ) + (wire (pts (xy 233.68 55.88) (xy 233.68 60.96)) + (stroke (width 0) (type default)) + (uuid 83e96bae-c50c-4df9-aee3-4f55b17df3df) + ) + (wire (pts (xy 175.26 101.6) (xy 180.34 101.6)) + (stroke (width 0) (type default)) + (uuid 844dc7fa-5035-488d-88ed-82253a1ae7cf) + ) + (bus (pts (xy 85.09 156.21) (xy 85.09 158.75)) + (stroke (width 0) (type default)) + (uuid 846f9dd1-bba8-44bb-a37a-f61a592928fb) + ) + (bus (pts (xy 99.06 92.71) (xy 99.06 95.25)) + (stroke (width 0) (type default)) + (uuid 8524c1ee-b446-4587-9835-a1196f474ae9) + ) + + (wire (pts (xy 231.14 116.84) (xy 233.68 116.84)) + (stroke (width 0) (type default)) + (uuid 85916d33-c0b7-4db0-bd28-6c029701ead1) + ) + (bus (pts (xy 182.88 109.22) (xy 182.88 111.76)) + (stroke (width 0) (type default)) + (uuid 86e27622-3012-46f2-9b16-8d4296cc49e8) + ) + (bus (pts (xy 99.06 41.91) (xy 99.06 44.45)) + (stroke (width 0) (type default)) + (uuid 870637b6-cf9a-445e-841c-1e480af8a43e) + ) + + (wire (pts (xy 33.02 187.96) (xy 43.18 187.96)) + (stroke (width 0) (type default)) + (uuid 8a43112c-c4ab-4f1c-ba58-f9ed4889906c) + ) + (bus (pts (xy 182.88 88.9) (xy 182.88 91.44)) + (stroke (width 0) (type default)) + (uuid 8a5bab59-ea40-4368-bc68-8fc7e7c70927) + ) + + (wire (pts (xy 106.68 100.33) (xy 101.6 100.33)) + (stroke (width 0) (type default)) + (uuid 8d4872e6-d41f-4e15-92e4-37d26285a167) + ) + (wire (pts (xy 233.68 116.84) (xy 233.68 114.3)) + (stroke (width 0) (type default)) + (uuid 8da29514-9f54-4b77-95d9-851dbf500119) + ) + (bus (pts (xy 182.88 134.62) (xy 182.88 137.16)) + (stroke (width 0) (type default)) + (uuid 8de1721a-ebbf-4c4d-b0ac-d0470418b743) + ) + + (wire (pts (xy 124.46 177.8) (xy 127 177.8)) + (stroke (width 0) (type default)) + (uuid 8e88158f-7598-442f-b4e3-0734020e9e41) + ) + (wire (pts (xy 106.68 72.39) (xy 101.6 72.39)) + (stroke (width 0) (type default)) + (uuid 8efed7f0-d742-4ba3-afe1-b403157c40c0) + ) + (bus (pts (xy 99.06 77.47) (xy 99.06 80.01)) + (stroke (width 0) (type default)) + (uuid 8f7f6260-1d2e-4ee4-9308-0c96bde9aea6) + ) + (bus (pts (xy 99.06 138.43) (xy 99.06 140.97)) + (stroke (width 0) (type default)) + (uuid 8fdaa210-6628-4d4c-aa92-713781bf078f) + ) + + (wire (pts (xy 77.47 107.95) (xy 82.55 107.95)) + (stroke (width 0) (type default)) + (uuid 8ff08d54-49e4-43e9-8ffa-b7ebed9e7459) + ) + (wire (pts (xy 175.26 119.38) (xy 180.34 119.38)) + (stroke (width 0) (type default)) + (uuid 9119c159-0e47-45b7-b827-96d44e26f9d0) + ) + (bus (pts (xy 85.09 107.95) (xy 85.09 110.49)) + (stroke (width 0) (type default)) + (uuid 93441d7d-84eb-4da1-84f7-aa0e99e4780b) + ) + (bus (pts (xy 99.06 161.29) (xy 99.06 163.83)) + (stroke (width 0) (type default)) + (uuid 957eec54-315d-4e0c-8afb-6067db69fc8e) + ) + (bus (pts (xy 182.88 91.44) (xy 182.88 93.98)) + (stroke (width 0) (type default)) + (uuid 961c2f08-35c7-494b-b75e-0ceebc635379) + ) + + (wire (pts (xy 106.68 44.45) (xy 101.6 44.45)) + (stroke (width 0) (type default)) + (uuid 96a7b26b-dcc5-4a3e-bfda-5e0ea7ae8a47) + ) + (wire (pts (xy 175.26 109.22) (xy 180.34 109.22)) + (stroke (width 0) (type default)) + (uuid 97300bd4-c779-4948-975d-543bd0210f60) + ) + (bus (pts (xy 182.88 129.54) (xy 182.88 132.08)) + (stroke (width 0) (type default)) + (uuid 973187ef-0052-4d35-9104-34459e0a04dd) + ) + + (wire (pts (xy 106.68 102.87) (xy 101.6 102.87)) + (stroke (width 0) (type default)) + (uuid 985c2ad6-395d-4c68-aaa9-800211c03c61) + ) + (wire (pts (xy 66.04 167.64) (xy 63.5 167.64)) + (stroke (width 0) (type default)) + (uuid 98768ee7-410f-4b0e-ba94-395811966400) + ) + (wire (pts (xy 106.68 80.01) (xy 101.6 80.01)) + (stroke (width 0) (type default)) + (uuid 997fb4da-7657-4b39-ad5e-4839c0c8973e) + ) + (wire (pts (xy 77.47 92.71) (xy 82.55 92.71)) + (stroke (width 0) (type default)) + (uuid 99c74ffe-f510-46ea-beff-fc451e81e652) + ) + (wire (pts (xy 106.68 135.89) (xy 101.6 135.89)) + (stroke (width 0) (type default)) + (uuid 9a1ab0d3-af70-415a-a8f6-90f54b5da345) + ) + (bus (pts (xy 86.36 80.01) (xy 85.09 80.01)) + (stroke (width 0) (type default)) + (uuid 9a3ffc21-4861-4a82-9220-31f0de9ee36c) + ) + (bus (pts (xy 182.88 111.76) (xy 182.88 114.3)) + (stroke (width 0) (type default)) + (uuid 9b369755-b3f1-445a-b6d5-9b8707983bdc) + ) + + (wire (pts (xy 106.68 85.09) (xy 101.6 85.09)) + (stroke (width 0) (type default)) + (uuid 9b5cbf14-9a48-47d5-8460-8134c1df8311) + ) + (bus (pts (xy 182.88 127) (xy 182.88 129.54)) + (stroke (width 0) (type default)) + (uuid 9cb0dac9-d34a-4d41-b038-46609b4cf9b2) + ) + + (wire (pts (xy 106.68 74.93) (xy 101.6 74.93)) + (stroke (width 0) (type default)) + (uuid 9e79b4bb-03c8-44f0-9545-d402b68bd320) + ) + (bus (pts (xy 99.06 133.35) (xy 99.06 135.89)) + (stroke (width 0) (type default)) + (uuid 9f56a3be-3d77-46a1-8e20-88cd49c0651a) + ) + (bus (pts (xy 182.88 96.52) (xy 182.88 99.06)) + (stroke (width 0) (type default)) + (uuid 9f8d4448-dd7e-4c19-a3c2-134e5d629693) + ) + (bus (pts (xy 85.09 82.55) (xy 85.09 85.09)) + (stroke (width 0) (type default)) + (uuid 9fc030ef-8107-42cb-b2d2-7cf7e9a9a84c) + ) + (bus (pts (xy 182.88 106.68) (xy 182.88 109.22)) + (stroke (width 0) (type default)) + (uuid 9ff630a5-1fa2-4cbe-9159-001d69d74d03) + ) + (bus (pts (xy 182.88 78.74) (xy 182.88 81.28)) + (stroke (width 0) (type default)) + (uuid a00803db-d6e9-49ee-a8d1-f147681a4f86) + ) + + (wire (pts (xy 266.7 55.88) (xy 274.32 55.88)) + (stroke (width 0) (type default)) + (uuid a039b590-e264-4a42-8c80-ba4038cfb498) + ) + (wire (pts (xy 26.67 111.76) (xy 31.75 111.76)) + (stroke (width 0) (type default)) + (uuid a052a6ce-360a-4826-bf4a-bd599b3624d1) + ) + (wire (pts (xy 77.47 115.57) (xy 82.55 115.57)) + (stroke (width 0) (type default)) + (uuid a12ddb1f-c97d-4267-a87f-5eb160fd19b6) + ) + (bus (pts (xy 184.15 55.88) (xy 182.88 55.88)) + (stroke (width 0) (type default)) + (uuid a1cfc589-29d2-4e0b-ada0-4e989f21705f) + ) + + (wire (pts (xy 77.47 153.67) (xy 82.55 153.67)) + (stroke (width 0) (type default)) + (uuid a1e1d048-5a91-46bc-8d31-42787bb5f299) + ) + (wire (pts (xy 266.7 116.84) (xy 274.32 116.84)) + (stroke (width 0) (type default)) + (uuid a244d5d9-a973-4ff5-80f0-8272d7d92751) + ) + (bus (pts (xy 85.09 133.35) (xy 85.09 135.89)) + (stroke (width 0) (type default)) + (uuid a31daea7-205d-4892-b25a-6ee6ab732167) + ) + (bus (pts (xy 99.06 69.85) (xy 99.06 72.39)) + (stroke (width 0) (type default)) + (uuid a37b5ae0-4688-4da0-9f78-a11ae605c89e) + ) + + (wire (pts (xy 77.47 158.75) (xy 82.55 158.75)) + (stroke (width 0) (type default)) + (uuid a388cedf-c59f-4a62-8199-4c370943f746) + ) + (wire (pts (xy 233.68 114.3) (xy 246.38 114.3)) + (stroke (width 0) (type default)) + (uuid a3a2e86f-e54e-4deb-9652-95fcfc930100) + ) + (bus (pts (xy 99.06 105.41) (xy 99.06 107.95)) + (stroke (width 0) (type default)) + (uuid a471667d-4916-451a-8742-15a3625bdcce) + ) + (bus (pts (xy 182.88 142.24) (xy 182.88 144.78)) + (stroke (width 0) (type default)) + (uuid a61b0bce-163b-4745-a28c-512687b1a4c4) + ) + (bus (pts (xy 182.88 101.6) (xy 182.88 104.14)) + (stroke (width 0) (type default)) + (uuid a6431dc9-46b8-45c9-9f71-36e43dc0536f) + ) + (bus (pts (xy 99.06 72.39) (xy 99.06 74.93)) + (stroke (width 0) (type default)) + (uuid a6c44541-296e-4355-976a-71dcf8d86312) + ) + + (wire (pts (xy 238.76 58.42) (xy 246.38 58.42)) + (stroke (width 0) (type default)) + (uuid a7f3d39d-e653-4fe6-a1cb-a49195761aff) + ) + (bus (pts (xy 182.88 144.78) (xy 182.88 147.32)) + (stroke (width 0) (type default)) + (uuid a8bd53f4-2714-4e2e-82ca-bb1ace9c7f72) + ) + + (wire (pts (xy 208.28 119.38) (xy 210.82 119.38)) + (stroke (width 0) (type default)) + (uuid a8beef16-1870-4949-8ec8-13333328393b) + ) + (wire (pts (xy 127 26.67) (xy 129.54 26.67)) + (stroke (width 0) (type default)) + (uuid a8ca689e-6986-4a49-9589-4db44c46a961) + ) + (bus (pts (xy 182.88 81.28) (xy 182.88 83.82)) + (stroke (width 0) (type default)) + (uuid a962a6eb-8d42-4e0e-948a-f7d33c1430e7) + ) + + (wire (pts (xy 60.96 76.2) (xy 63.5 76.2)) + (stroke (width 0) (type default)) + (uuid aa3b602f-f1e0-4681-93e0-8ea53d97a814) + ) + (wire (pts (xy 77.47 97.79) (xy 82.55 97.79)) + (stroke (width 0) (type default)) + (uuid aa6c16d7-ad30-4f14-a5f7-b4a227527c76) + ) + (wire (pts (xy 106.68 128.27) (xy 101.6 128.27)) + (stroke (width 0) (type default)) + (uuid aab3d70b-84f6-40c3-a816-e63260958d4f) + ) + (wire (pts (xy 77.47 85.09) (xy 82.55 85.09)) + (stroke (width 0) (type default)) + (uuid ac6f7f74-8beb-47bb-959a-82388aa17a1d) + ) + (bus (pts (xy 99.06 163.83) (xy 99.06 166.37)) + (stroke (width 0) (type default)) + (uuid acae0e08-b4ea-4cc6-a8b7-cfdba3100bb4) + ) + + (wire (pts (xy 104.14 166.37) (xy 106.68 166.37)) + (stroke (width 0) (type default)) + (uuid ae0abfed-89a7-4546-812f-c3f0de259329) + ) + (wire (pts (xy 175.26 88.9) (xy 180.34 88.9)) + (stroke (width 0) (type default)) + (uuid ae841b0c-cf2d-449f-b58a-2d63befa1b26) + ) + (wire (pts (xy 139.7 177.8) (xy 142.24 177.8)) + (stroke (width 0) (type default)) + (uuid afb7f6be-8b5d-49c7-953b-c271ac01f868) + ) + (wire (pts (xy 106.68 138.43) (xy 101.6 138.43)) + (stroke (width 0) (type default)) + (uuid b1170d12-428b-4463-8baa-7074fd4dd7db) + ) + (bus (pts (xy 99.06 115.57) (xy 99.06 118.11)) + (stroke (width 0) (type default)) + (uuid b1fd1492-c129-406e-98ca-57966eb9b81a) + ) + (bus (pts (xy 97.79 64.77) (xy 99.06 64.77)) + (stroke (width 0) (type default)) + (uuid b531a4b5-04aa-4867-8f1a-9e99f5549534) + ) + + (wire (pts (xy 77.47 123.19) (xy 82.55 123.19)) + (stroke (width 0) (type default)) + (uuid b58014c8-240f-4d48-b28e-9636f881a58a) + ) + (wire (pts (xy 175.26 58.42) (xy 180.34 58.42)) + (stroke (width 0) (type default)) + (uuid b78bfba9-e4a1-470f-82c4-3011466169fe) + ) + (wire (pts (xy 175.26 71.12) (xy 180.34 71.12)) + (stroke (width 0) (type default)) + (uuid b8a5dddd-c2ad-4254-b688-04e602121195) + ) + (wire (pts (xy 45.72 167.64) (xy 43.18 167.64)) + (stroke (width 0) (type default)) + (uuid ba6777b8-d386-4d24-8fe6-4ad9d2516be4) + ) + (wire (pts (xy 129.54 177.8) (xy 132.08 177.8)) + (stroke (width 0) (type default)) + (uuid bb332883-c322-4614-8cbe-27da20e80b73) + ) + (bus (pts (xy 99.06 102.87) (xy 99.06 105.41)) + (stroke (width 0) (type default)) + (uuid bbd4d9ae-bdc1-4055-b8c0-ef37b923040f) + ) + + (wire (pts (xy 175.26 78.74) (xy 180.34 78.74)) + (stroke (width 0) (type default)) + (uuid bcba8509-830a-467e-bee6-150dfa19534c) + ) + (wire (pts (xy 210.82 93.98) (xy 210.82 87.63)) + (stroke (width 0) (type default)) + (uuid bd2410aa-715c-4a6a-896a-8d6ff8967293) + ) + (bus (pts (xy 85.09 151.13) (xy 85.09 153.67)) + (stroke (width 0) (type default)) + (uuid bd75cdc1-2de6-4408-a2c9-0745fa5b0c45) + ) + + (wire (pts (xy 77.47 102.87) (xy 82.55 102.87)) + (stroke (width 0) (type default)) + (uuid bdb7a5dd-9e09-4b90-a9fe-242ad422fc02) + ) + (wire (pts (xy 106.68 69.85) (xy 101.6 69.85)) + (stroke (width 0) (type default)) + (uuid bdc09dcf-ebbd-4d1d-b46f-7f31ce93445f) + ) + (wire (pts (xy 50.8 167.64) (xy 48.26 167.64)) + (stroke (width 0) (type default)) + (uuid be19455f-2825-4538-bdb1-d033032752d5) + ) + (wire (pts (xy 210.82 87.63) (xy 218.44 87.63)) + (stroke (width 0) (type default)) + (uuid beaa1ce7-af7d-49f1-bbae-0db725def81d) + ) + (wire (pts (xy 77.47 138.43) (xy 82.55 138.43)) + (stroke (width 0) (type default)) + (uuid beb6d8dd-ecbb-4e6f-9ba0-411f65d163c5) + ) + (wire (pts (xy 106.68 67.31) (xy 101.6 67.31)) + (stroke (width 0) (type default)) + (uuid bfcabeb6-1841-412b-b1d7-9820ca53220a) + ) + (wire (pts (xy 231.14 60.96) (xy 233.68 60.96)) + (stroke (width 0) (type default)) + (uuid c3465e8a-915a-43a8-91e6-a3b59b364ed5) + ) + (wire (pts (xy 77.47 113.03) (xy 82.55 113.03)) + (stroke (width 0) (type default)) + (uuid c4059322-01f6-4b8d-9eb4-de8570b16adc) + ) + (bus (pts (xy 85.09 123.19) (xy 85.09 125.73)) + (stroke (width 0) (type default)) + (uuid c4b6eba4-56c2-4fbf-ba6e-20f94f6be864) + ) + + (wire (pts (xy 175.26 111.76) (xy 180.34 111.76)) + (stroke (width 0) (type default)) + (uuid c65fab38-a992-48ed-848c-f067ad85a830) + ) + (wire (pts (xy 175.26 104.14) (xy 180.34 104.14)) + (stroke (width 0) (type default)) + (uuid c681eeb0-b67e-4d45-9045-6de1df043af8) + ) + (wire (pts (xy 147.32 26.67) (xy 149.86 26.67)) + (stroke (width 0) (type default)) + (uuid c6e0e0b1-2178-4362-a630-d9a142010cec) + ) + (bus (pts (xy 182.88 139.7) (xy 182.88 142.24)) + (stroke (width 0) (type default)) + (uuid c97046ff-1415-460e-808c-1c518f193d5b) + ) + (bus (pts (xy 85.09 102.87) (xy 85.09 105.41)) + (stroke (width 0) (type default)) + (uuid c98514b9-727d-4fa0-99e1-1242a37c6aa0) + ) + + (wire (pts (xy 175.26 114.3) (xy 180.34 114.3)) + (stroke (width 0) (type default)) + (uuid cb80a3b8-d1c6-4f56-98a6-99c2859ce422) + ) + (wire (pts (xy 175.26 132.08) (xy 180.34 132.08)) + (stroke (width 0) (type default)) + (uuid cb922e26-1e1c-4068-a845-17284eba2039) + ) + (wire (pts (xy 77.47 128.27) (xy 82.55 128.27)) + (stroke (width 0) (type default)) + (uuid cbb64c75-2eb0-48a4-990e-6d8f2396b378) + ) + (wire (pts (xy 77.47 120.65) (xy 82.55 120.65)) + (stroke (width 0) (type default)) + (uuid cc659410-644f-46f0-8836-648fd3a010a4) + ) + (wire (pts (xy 175.26 99.06) (xy 180.34 99.06)) + (stroke (width 0) (type default)) + (uuid cd663c23-f8e6-4f18-8610-fb332f9cc13c) + ) + (bus (pts (xy 99.06 95.25) (xy 99.06 97.79)) + (stroke (width 0) (type default)) + (uuid ce13f1d1-913a-411d-8f3c-2e2f1bb83607) + ) + + (wire (pts (xy 175.26 86.36) (xy 180.34 86.36)) + (stroke (width 0) (type default)) + (uuid ce4f6f1b-c869-45f7-a377-505948ca9854) + ) + (wire (pts (xy 77.47 125.73) (xy 82.55 125.73)) + (stroke (width 0) (type default)) + (uuid cea751a5-fb24-46be-b44a-c3990eace6a4) + ) + (bus (pts (xy 182.88 116.84) (xy 182.88 119.38)) + (stroke (width 0) (type default)) + (uuid ceba4e87-320c-4a52-adb3-63ca526a9489) + ) + + (wire (pts (xy 175.26 106.68) (xy 180.34 106.68)) + (stroke (width 0) (type default)) + (uuid d011c1e4-6c51-4ba0-99f1-818afc01f92b) + ) + (wire (pts (xy 210.82 76.2) (xy 210.82 78.74)) + (stroke (width 0) (type default)) + (uuid d044c146-635f-4185-b0fb-631ea3aa467c) + ) + (bus (pts (xy 182.88 71.12) (xy 182.88 73.66)) + (stroke (width 0) (type default)) + (uuid d36ae6a3-5cad-4ec2-ae27-8c4db252fe1c) + ) + (bus (pts (xy 85.09 100.33) (xy 85.09 102.87)) + (stroke (width 0) (type default)) + (uuid d44e6349-081e-44cd-99cf-e820af416827) + ) + + (wire (pts (xy 106.68 130.81) (xy 101.6 130.81)) + (stroke (width 0) (type default)) + (uuid d469207d-be92-4d1c-9146-feaf86f535f8) + ) + (wire (pts (xy 106.68 105.41) (xy 101.6 105.41)) + (stroke (width 0) (type default)) + (uuid d5f27efb-575a-4eb8-b609-f81129059f7d) + ) + (wire (pts (xy 106.68 97.79) (xy 101.6 97.79)) + (stroke (width 0) (type default)) + (uuid d66e6431-70ef-4542-81c9-50ebb665be33) + ) + (wire (pts (xy 175.26 83.82) (xy 180.34 83.82)) + (stroke (width 0) (type default)) + (uuid d671585d-0dee-410e-bc48-fb80cfb65b54) + ) + (wire (pts (xy 77.47 118.11) (xy 82.55 118.11)) + (stroke (width 0) (type default)) + (uuid d71560ae-1ee4-4fd0-9c8d-2b9bce5521a5) + ) + (wire (pts (xy 106.68 62.23) (xy 106.68 59.69)) + (stroke (width 0) (type default)) + (uuid d7259ece-9c82-4349-b282-9a498fa57756) + ) + (wire (pts (xy 210.82 45.72) (xy 210.82 48.26)) + (stroke (width 0) (type default)) + (uuid d98f3a86-9ded-42fd-ae69-310153c54500) + ) + (wire (pts (xy 77.47 90.17) (xy 82.55 90.17)) + (stroke (width 0) (type default)) + (uuid d9d41ab6-cc4c-46c5-8c56-5bc98625bce2) + ) + (bus (pts (xy 182.88 121.92) (xy 182.88 124.46)) + (stroke (width 0) (type default)) + (uuid db9415dd-1596-40fa-a346-50c84083140c) + ) + + (wire (pts (xy 48.26 76.2) (xy 50.8 76.2)) + (stroke (width 0) (type default)) + (uuid dc78089f-cd3a-4257-8d01-77b1c21da51c) + ) + (bus (pts (xy 182.88 119.38) (xy 182.88 121.92)) + (stroke (width 0) (type default)) + (uuid dd227c28-ae9c-4381-b00e-f8dfaea4d9c1) + ) + + (wire (pts (xy 24.13 96.52) (xy 31.75 96.52)) + (stroke (width 0) (type default)) + (uuid de1cc24d-7a4f-41b1-b91e-4a0e1446f2de) + ) + (bus (pts (xy 182.88 86.36) (xy 182.88 88.9)) + (stroke (width 0) (type default)) + (uuid dec7faa1-bc39-4270-bd76-a95f5346763f) + ) + + (wire (pts (xy 106.68 120.65) (xy 101.6 120.65)) + (stroke (width 0) (type default)) + (uuid e0e4e3f9-468f-499f-b5ad-38f8a7a31c09) + ) + (wire (pts (xy 106.68 113.03) (xy 101.6 113.03)) + (stroke (width 0) (type default)) + (uuid e123a8c6-184b-4a6f-88ed-7b4732eb93eb) + ) + (wire (pts (xy 106.68 90.17) (xy 101.6 90.17)) + (stroke (width 0) (type default)) + (uuid e24cc298-4953-4c70-865b-8813e68df72a) + ) + (bus (pts (xy 182.88 76.2) (xy 182.88 78.74)) + (stroke (width 0) (type default)) + (uuid e39eb0db-6afb-4e79-a570-b8eb291dca59) + ) + (bus (pts (xy 99.06 87.63) (xy 99.06 90.17)) + (stroke (width 0) (type default)) + (uuid e56abdea-b3d9-4109-80e1-c894da8cdc19) + ) + (bus (pts (xy 99.06 110.49) (xy 99.06 113.03)) + (stroke (width 0) (type default)) + (uuid e5b70ba7-afcf-465e-8540-07c009ea22d9) + ) + + (wire (pts (xy 104.14 168.91) (xy 104.14 166.37)) + (stroke (width 0) (type default)) + (uuid e6ada75d-85e4-429c-b498-ac34719cb669) + ) + (wire (pts (xy 77.47 156.21) (xy 82.55 156.21)) + (stroke (width 0) (type default)) + (uuid e9c5c9c0-813b-4e8d-9b4c-6f9c6c241c83) + ) + (wire (pts (xy 149.86 26.67) (xy 152.4 26.67)) + (stroke (width 0) (type default)) + (uuid ea710688-15ce-4669-a030-21c38735c9e5) + ) + (bus (pts (xy 85.09 138.43) (xy 85.09 140.97)) + (stroke (width 0) (type default)) + (uuid eca29594-6ba2-453c-b2c5-33beebfed075) + ) + (bus (pts (xy 182.88 55.88) (xy 182.88 58.42)) + (stroke (width 0) (type default)) + (uuid ed04ab5f-b755-4758-974c-d550295a70bd) + ) + + (wire (pts (xy 134.62 177.8) (xy 137.16 177.8)) + (stroke (width 0) (type default)) + (uuid ed7888fe-d94c-408f-9ad6-b26173b7708a) + ) + (wire (pts (xy 106.68 125.73) (xy 101.6 125.73)) + (stroke (width 0) (type default)) + (uuid edb584ac-9876-4e43-9612-8d1c9ee025a8) + ) + (wire (pts (xy 55.88 167.64) (xy 53.34 167.64)) + (stroke (width 0) (type default)) + (uuid edf48317-87fc-4620-8c99-3c36e407b58e) + ) + (bus (pts (xy 85.09 80.01) (xy 85.09 82.55)) + (stroke (width 0) (type default)) + (uuid f0f55908-7732-44ae-a051-30ab2e25016d) + ) + (bus (pts (xy 85.09 92.71) (xy 85.09 95.25)) + (stroke (width 0) (type default)) + (uuid f265b6d4-21ce-4d0e-a44a-2c84c51fa71f) + ) + (bus (pts (xy 99.06 123.19) (xy 99.06 125.73)) + (stroke (width 0) (type default)) + (uuid f2ecfa6b-709b-4da7-8c16-5c56920cebcb) + ) + + (wire (pts (xy 60.96 167.64) (xy 58.42 167.64)) + (stroke (width 0) (type default)) + (uuid f3580e03-d3bc-4039-b36b-78c15194eea0) + ) + (wire (pts (xy 77.47 140.97) (xy 82.55 140.97)) + (stroke (width 0) (type default)) + (uuid f42f46fb-fe7b-492d-9c59-0ae990576a87) + ) + (bus (pts (xy 85.09 105.41) (xy 85.09 107.95)) + (stroke (width 0) (type default)) + (uuid f5429508-2682-41c0-b604-bee72e6b5960) + ) + + (wire (pts (xy 175.26 149.86) (xy 180.34 149.86)) + (stroke (width 0) (type default)) + (uuid f5939522-34c5-44b8-8932-989ff0a3e5f2) + ) + (wire (pts (xy 106.68 95.25) (xy 101.6 95.25)) + (stroke (width 0) (type default)) + (uuid f720df08-21dc-405b-b402-6e603bae45a7) + ) + (wire (pts (xy 175.26 91.44) (xy 180.34 91.44)) + (stroke (width 0) (type default)) + (uuid f7da3109-6616-467d-8f09-bd2983cc9cb2) + ) + (bus (pts (xy 85.09 97.79) (xy 85.09 100.33)) + (stroke (width 0) (type default)) + (uuid fa5aae62-86b8-4e15-9b3b-d073b881feb4) + ) + (bus (pts (xy 85.09 120.65) (xy 85.09 123.19)) + (stroke (width 0) (type default)) + (uuid fc84575c-f0c2-49d8-bc0c-7dcbd49f7e3e) + ) + + (wire (pts (xy 53.34 182.88) (xy 43.18 182.88)) + (stroke (width 0) (type default)) + (uuid fe3e2023-9e61-4887-8c98-9e2765425781) + ) + (wire (pts (xy 175.26 144.78) (xy 180.34 144.78)) + (stroke (width 0) (type default)) + (uuid fe8615d4-d83a-4810-8de1-29a92841460d) + ) + (wire (pts (xy 106.68 163.83) (xy 101.6 163.83)) + (stroke (width 0) (type default)) + (uuid fe92b7ef-75ba-450a-85f4-030e6f5e2cc8) + ) + (bus (pts (xy 85.09 85.09) (xy 85.09 87.63)) + (stroke (width 0) (type default)) + (uuid ff37bb84-15d3-46d8-a177-f086744b524e) + ) + + (label "FC1" (at 210.82 35.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 03e08e28-85c6-4799-886f-d0b0e651f89a) + ) + (label "A4" (at 106.68 140.97 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 04f1412f-b6b4-458d-8f9d-54eb9e4d06ac) + ) + (label "D28" (at 175.26 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 09b0d4af-5748-49f0-800d-9bedb4e14ba1) + ) + (label "D21" (at 77.47 107.95 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0aa20330-415c-46bf-8236-580630d1f7a1) + ) + (label "A2" (at 106.68 146.05 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0b83f34d-e668-4dc1-9538-0ed29c58e35f) + ) + (label "D8" (at 77.47 140.97 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0bc39ea5-a2c2-4ff9-947e-e7ad50f17fb0) + ) + (label "A9" (at 106.68 128.27 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 11a29045-21d9-40b6-918c-5622bdfd1658) + ) + (label "D11" (at 175.26 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 14ccaf07-b9fd-4abb-a84a-80320e1d16e7) + ) + (label "D18" (at 77.47 115.57 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 16f3a7e5-d424-4be9-bbca-e5ec2f31c892) + ) + (label "A5" (at 106.68 138.43 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 17854013-8a8a-4394-9087-f402e483ceed) + ) + (label "A4" (at 26.67 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 19b323a7-5d6d-42cf-92c9-813e971b9ce4) + ) + (label "D20" (at 77.47 110.49 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1cc432dc-079f-4372-8466-47f937031487) + ) + (label "D19" (at 175.26 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1eef3d3a-8211-4ea7-bec5-0da284af8910) + ) + (label "~{IPL}0" (at 106.68 163.83 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 20348261-4d17-4c0b-9c5e-26044a67fe75) + ) + (label "D27" (at 77.47 92.71 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 21699c77-fcee-4e54-9a67-2380f607014e) + ) + (label "A24" (at 106.68 90.17 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 23975f8a-b8c3-4d1c-aa89-2fc5d41f1837) + ) + (label "A23" (at 106.68 92.71 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2533b261-eeb4-44eb-803e-337277600e47) + ) + (label "A16" (at 210.82 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 26e142c1-253e-4d8a-8564-244d8d4c47aa) + ) + (label "D30" (at 175.26 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 27df8612-8a04-4bf7-9d80-7499f366ae1a) + ) + (label "A2" (at 26.67 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2894a440-3fe8-49dc-943f-32903a1dbe5c) + ) + (label "A15" (at 210.82 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 291eefe1-7457-40ee-88e2-53e389b30675) + ) + (label "D17" (at 175.26 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2da86455-cf7e-489f-a4f8-78b81f525fa3) + ) + (label "FC1" (at 106.68 44.45 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3066fa67-3691-4839-ad5c-286004b0b552) + ) + (label "D3" (at 175.26 142.24 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 32757cc9-4d5a-4690-9496-71910a6f2980) + ) + (label "A11" (at 106.68 123.19 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 337c33ba-047f-403f-b39f-718956fd7048) + ) + (label "D6" (at 175.26 134.62 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3400e139-ddda-49db-9a9a-13102e720c05) + ) + (label "D0" (at 77.47 161.29 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 38053108-b604-4a1e-9a2b-ee6d58994a88) + ) + (label "D9" (at 77.47 138.43 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 396f3100-2106-4017-a952-aff1dfbe2abb) + ) + (label "D29" (at 175.26 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3aba1c45-373d-40e9-82f5-32317d295da4) + ) + (label "A30" (at 205.74 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3c5c9494-9689-4d66-8fe8-70858c03cae6) + ) + (label "A29" (at 106.68 77.47 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3da91b9d-0473-481f-9d83-b3129afcef55) + ) + (label "A13" (at 210.82 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3df2f4bb-e926-42a6-a08a-d503baa294f6) + ) + (label "A28" (at 106.68 80.01 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3e7911b5-cb34-4fde-9dab-16f7e6759abd) + ) + (label "~{DS}" (at 24.13 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3fb1a188-153f-47ad-8ba9-079f3a550a0d) + ) + (label "A22" (at 106.68 95.25 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 46f6c9e4-13e5-4849-8827-fde5cda301f4) + ) + (label "D2" (at 175.26 144.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4a0e8880-36d2-464f-b99a-be49433d6edc) + ) + (label "D22" (at 77.47 105.41 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4d8c6e14-d879-44d4-903c-feed5a5273e5) + ) + (label "D15" (at 77.47 123.19 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4e9800db-f2b5-49f6-b094-01e588b1e5c4) + ) + (label "D25" (at 175.26 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4ed59c5c-8f7f-49b0-a296-824d26d244b6) + ) + (label "D10" (at 77.47 135.89 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4fbd5edb-3134-47d5-8747-36c06cc4b48d) + ) + (label "D14" (at 77.47 125.73 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 56adc834-4fa1-47be-a2ee-d40771551328) + ) + (label "SIZ1" (at 175.26 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 57984590-090d-43ef-945f-5c3813c7c9b9) + ) + (label "~{IPL}2" (at 106.68 158.75 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5c5686bb-223d-4ab8-8dd9-47c7e63fc448) + ) + (label "A20" (at 106.68 100.33 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 626ef0d4-e584-4d9a-bdb2-d15400655eb6) + ) + (label "D7" (at 77.47 143.51 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6691dad8-b265-48dc-9d90-c640fc7625ce) + ) + (label "D5" (at 175.26 137.16 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 67cee33b-8e2a-48d9-a470-2f2401125ed1) + ) + (label "D4" (at 77.47 151.13 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6ad85169-2dcf-4074-803a-e00b476d3651) + ) + (label "A1" (at 106.68 69.85 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6c809923-7a2b-42b0-a04e-e5ff983c2a45) + ) + (label "SIZ0" (at 175.26 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6d408d0f-a602-43f0-9367-58afb09163ee) + ) + (label "A26" (at 106.68 85.09 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6d520f2e-06e9-4c2d-8d9d-80dd73b74d59) + ) + (label "D16" (at 77.47 120.65 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6fa4fde7-0578-43f6-9bc4-cc4bcd3b0386) + ) + (label "D11" (at 77.47 133.35 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 73f9476f-bfb5-4175-b15e-4810de2cc249) + ) + (label "A25" (at 106.68 87.63 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 77d2cdff-b112-4f1a-b92d-1ccfad24ff78) + ) + (label "A15" (at 106.68 113.03 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 79cdef6c-b60c-4948-8ae1-3b314356db01) + ) + (label "D6" (at 77.47 146.05 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 79e0477d-1d18-41c5-a1ce-e9f806d743f2) + ) + (label "D31" (at 175.26 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7b884d24-f700-4026-aeba-cd39f1ca4866) + ) + (label "A17" (at 210.82 40.64 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7e666073-5bb2-4bf5-8bfe-737e2b0e641c) + ) + (label "D20" (at 175.26 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7e7a88f3-d810-4951-bfea-5b5de55b88a3) + ) + (label "~{STERM}" (at 274.32 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7efbe3ea-6b69-4f3a-9402-e5dcbcd78125) + ) + (label "D1" (at 175.26 147.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 81acdd00-7a61-43ef-9239-001d2fed663c) + ) + (label "D12" (at 77.47 130.81 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 86947ded-d602-45ed-897e-e40d1ba6820d) + ) + (label "D25" (at 77.47 97.79 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 88f8ab0a-a360-41ae-8259-f083e1274ff3) + ) + (label "A31" (at 205.74 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8b899105-9bbe-4416-b099-9936808e995c) + ) + (label "FPU~{CS}" (at 24.13 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8d6199fb-55f0-4909-892c-92330c0d9f95) + ) + (label "D24" (at 77.47 100.33 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8d675a41-3cfa-4cd7-8f2e-a4bb3b90472c) + ) + (label "D31" (at 77.47 82.55 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8e7491fe-0787-4923-b689-fa44468707a9) + ) + (label "FC0" (at 210.82 33.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8f2dac52-71c1-4023-a209-21c46d7ee964) + ) + (label "A13" (at 106.68 118.11 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 90505321-1721-43d4-ab87-f76626521d4c) + ) + (label "D26" (at 77.47 95.25 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 90aa0bad-e2df-4baf-ade3-319856a99b4e) + ) + (label "A19" (at 210.82 43.18 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 925d21e7-7fbf-4b6d-b25d-aa72227d200e) + ) + (label "D5" (at 77.47 148.59 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 947002f9-db92-4886-907c-32f2e7255cfa) + ) + (label "A3" (at 26.67 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9715a5f4-ec98-479c-ac31-b4c77165be03) + ) + (label "D7" (at 175.26 132.08 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9b9c710c-c2ca-4e5b-8c58-f2ffc14cbe91) + ) + (label "FC2" (at 106.68 41.91 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9c1cc1d9-58d3-4f4f-ab87-8bb58d70d4fc) + ) + (label "~{DS}" (at 175.26 50.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9dd3578b-6084-45e3-8851-a96187a65bc8) + ) + (label "A14" (at 210.82 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9e09e940-f722-479d-a5d9-cf576c1fd820) + ) + (label "D13" (at 175.26 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9f7e004d-c04b-477d-b4b4-b4db3655b115) + ) + (label "D23" (at 175.26 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9fc6b569-9baf-4fb3-a0cc-7fdb90b6ea63) + ) + (label "D28" (at 77.47 90.17 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a1032d40-5ed2-46d3-84c5-1f92786355a2) + ) + (label "D16" (at 175.26 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a4872804-3b32-4a8f-8cee-f3002042e362) + ) + (label "A18" (at 106.68 105.41 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a666af40-dff6-4dcb-9a04-1c8b3565deae) + ) + (label "FC0" (at 106.68 46.99 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a95a339a-1de2-45b8-8d7f-c1b30d511a62) + ) + (label "D1" (at 77.47 158.75 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b1846916-935e-4f79-9f27-38e14bef9725) + ) + (label "D18" (at 175.26 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b40f9274-6295-4d3d-9486-dd2b3cb6cffa) + ) + (label "A17" (at 106.68 107.95 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b661421d-684a-40e0-8fca-f6e098ec957a) + ) + (label "A18" (at 210.82 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b859cc2e-f3f3-4a95-bd40-76580db2a1bc) + ) + (label "~{STERM}" (at 182.88 35.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bd4430c4-858f-4912-a8ca-1632d4164003) + ) + (label "FPU~{CS}" (at 274.32 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bdf87f77-fe12-4fb2-a0bd-ee3deb8dd7ae) + ) + (label "D12" (at 175.26 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bf77a91a-897d-49f2-9337-8cfd01009891) + ) + (label "D30" (at 77.47 85.09 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c2613b12-b3aa-4aa8-bdc1-6d65c25d26ed) + ) + (label "D17" (at 77.47 118.11 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c3eaa53a-37fd-4368-b9f9-1a55166b725c) + ) + (label "~{IPL}1" (at 106.68 161.29 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c404d87f-2e08-4bf8-85f3-46b10e3dde0e) + ) + (label "D10" (at 175.26 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c667c9cf-3e74-4187-b0b1-67e1648fddb6) + ) + (label "A21" (at 106.68 97.79 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ce67d963-d77f-415e-9818-481df6c932bf) + ) + (label "D24" (at 175.26 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d06822d8-3b23-440b-b768-de51cafba80b) + ) + (label "D29" (at 77.47 87.63 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d30aaca2-15de-475f-a55f-0a5e6af49db2) + ) + (label "D13" (at 77.47 128.27 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d3b183e4-8d55-4162-9d16-d8f0d55ddcb4) + ) + (label "D2" (at 77.47 156.21 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d8f37003-312d-4996-ab48-0b0b29c48bdf) + ) + (label "D21" (at 175.26 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid da72bca9-4537-46bb-bf21-685e7861bf1f) + ) + (label "A12" (at 106.68 120.65 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dd3521d9-fa27-4775-824a-009f21630ecb) + ) + (label "A8" (at 106.68 130.81 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid de37fd56-9a3d-47bc-9048-d0b248b88704) + ) + (label "FC2" (at 210.82 38.1 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid de95ef77-dc71-48f6-9023-e2b4dcae28d0) + ) + (label "D26" (at 175.26 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid df811168-df90-4f7a-bf57-6f01c7b95987) + ) + (label "A27" (at 106.68 82.55 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dfe292cc-1ae6-4842-8e05-e82d6c62de39) + ) + (label "D3" (at 77.47 153.67 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e096bf4e-7f32-4eeb-a7d6-08d4fbdddb1c) + ) + (label "A31" (at 106.68 72.39 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e0fc6079-fffd-4cef-8f3f-1889b9114531) + ) + (label "D22" (at 175.26 93.98 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e27a0804-3e93-4706-94f2-9aa103cac4fd) + ) + (label "A7" (at 106.68 133.35 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e3fbfaac-1a93-43bd-b2f0-019faa273d24) + ) + (label "A1" (at 26.67 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e4415117-2a40-4893-8cf4-af9c8767b785) + ) + (label "D14" (at 175.26 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e86b42e4-d62a-4e7e-8ca9-b1d2ca6e4b60) + ) + (label "A3" (at 106.68 143.51 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e89ebc1c-56cf-4d11-b18d-51af61bbb407) + ) + (label "D27" (at 175.26 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e9a6117a-7c4a-4763-aabf-1ae73744e35f) + ) + (label "A16" (at 106.68 110.49 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid eda63712-259b-44aa-8b6a-8f3599ec9600) + ) + (label "D23" (at 77.47 102.87 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ee008069-b734-4dd8-93f0-e7b456c04655) + ) + (label "A14" (at 106.68 115.57 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f3effeef-f67e-463b-9a28-7fad9a436432) + ) + (label "D19" (at 77.47 113.03 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f406c756-8f60-4729-b94c-cf631814a287) + ) + (label "A6" (at 106.68 135.89 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f48ee942-5c98-46f3-b506-946e22518695) + ) + (label "D15" (at 175.26 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f5f2a542-1c6b-404e-9ab7-2ed7768bd88b) + ) + (label "D8" (at 175.26 129.54 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f856f3bc-b753-4a49-af5e-973eed6d6e83) + ) + (label "A0" (at 106.68 67.31 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f85e00ab-138b-4d8c-89a2-4bce9793e3e1) + ) + (label "D0" (at 175.26 149.86 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fa036652-c4e6-4dee-a185-1e1951162f06) + ) + (label "A19" (at 106.68 102.87 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fb0851e6-427f-4467-97fd-4d0b79627c04) + ) + (label "A30" (at 106.68 74.93 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fc05e581-b5d4-4b7a-879e-40a590e0d4ed) + ) + (label "A10" (at 106.68 125.73 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fcc645c4-9a91-46f7-a772-a5ea7d11e67b) + ) + (label "D9" (at 175.26 127 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ff2e0a43-c642-4f03-b58e-a5e789c31d0e) + ) + (label "D4" (at 175.26 139.7 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ffc35e49-06b5-4669-b02e-46d8ad15d276) + ) + + (hierarchical_label "~{RESET}" (shape bidirectional) (at 31.75 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 075ffef3-47f7-45e3-a17e-2061c74d4b97) + ) + (hierarchical_label "CPUCLK" (shape input) (at 210.82 96.52 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1618f7c4-505e-46ec-98f2-4a5ca2f3d396) + ) + (hierarchical_label "FC[2..0]" (shape output) (at 97.79 39.37 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1ac162bf-92b2-4865-965b-20c8f38286cf) + ) + (hierarchical_label "~{RESET}" (shape bidirectional) (at 106.68 153.67 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2efc2636-7a83-49a9-88ab-70b0ac6c5294) + ) + (hierarchical_label "~{DSACK}0" (shape bidirectional) (at 31.75 127 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 335ce877-35e8-47af-a8fd-cf26390c8891) + ) + (hierarchical_label "S~{STERM}" (shape input) (at 246.38 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3ba11dfd-1713-4a37-9723-dbbd9a06179f) + ) + (hierarchical_label "~{RMC}" (shape output) (at 106.68 49.53 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 581be93c-bc51-4fc1-83e8-653b864469d4) + ) + (hierarchical_label "~{IPL}[2..0]" (shape input) (at 97.79 161.29 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 5bd0beb1-54d4-4442-a8d9-e1625f2e15dd) + ) + (hierarchical_label "~{CBREQ}" (shape output) (at 175.26 45.72 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5c0e01c9-f9fd-484b-a0ab-4b7dd330c8cc) + ) + (hierarchical_label "~{CBACK}" (shape input) (at 175.26 43.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 5cb2943c-abc3-48db-bca8-8cdbfcc98564) + ) + (hierarchical_label "D[31..0]" (shape bidirectional) (at 184.15 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 60650d62-b64d-4a51-a134-71a3841e49a3) + ) + (hierarchical_label "FPUCLK" (shape input) (at 31.75 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 63cf44e4-c4d8-4ceb-acc5-19367c39adbe) + ) + (hierarchical_label "R~{W}" (shape output) (at 209.55 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 63f4909b-55a6-4f86-8b4f-42769f032a21) + ) + (hierarchical_label "SIZ[1..0]" (shape output) (at 184.15 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 6c2a4396-0811-4746-b83d-ea74f1b913dc) + ) + (hierarchical_label "~{AS}" (shape output) (at 205.74 92.71 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 747fa22e-d760-46d5-832b-6520bc09497a) + ) + (hierarchical_label "ASTERM~{EN}" (shape input) (at 246.38 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 77539d14-0fd2-440c-b90e-9f3bbd48fdf7) + ) + (hierarchical_label "R~{W}" (shape output) (at 175.26 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 787a74fc-d3a4-4a63-a2e7-829779cf578c) + ) + (hierarchical_label "~{AS}" (shape output) (at 175.26 48.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 79986272-be1f-402f-9b3c-57188f161b8e) + ) + (hierarchical_label "R~{W}" (shape output) (at 31.75 101.6 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7ad1c473-29a8-4e8e-947b-adcabb31a14c) + ) + (hierarchical_label "~{DSACK}0" (shape bidirectional) (at 106.68 34.29 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 7ea5129f-e70b-45ec-82a5-08d60a82c6db) + ) + (hierarchical_label "~{DSACK}1" (shape bidirectional) (at 175.26 33.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 97d9dcb8-7742-486c-9aaa-9411d4cbf784) + ) + (hierarchical_label "D[31..0]" (shape bidirectional) (at 86.36 80.01 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 98720e9d-be5d-465d-9ffc-c916af5ccc37) + ) + (hierarchical_label "~{AS}" (shape output) (at 31.75 93.98 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ad41b46c-aaf6-46a1-ba83-fe465587f7ff) + ) + (hierarchical_label "CPUCLK" (shape input) (at 106.68 36.83 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid ce90a403-009c-4c86-b87b-f7ddbc3226eb) + ) + (hierarchical_label "~{BERR}" (shape input) (at 175.26 38.1 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d4b3b456-cde7-478b-8b63-3430f07d3a79) + ) + (hierarchical_label "~{CIOUT}" (shape output) (at 106.68 54.61 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d823e101-50c3-4536-ac70-9c3366c95773) + ) + (hierarchical_label "~{DSACK}1" (shape bidirectional) (at 31.75 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid da92f2a5-4ac5-4a7f-b3d6-e00ff4047fd7) + ) + (hierarchical_label "~{CIIN}" (shape input) (at 175.26 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid de871fd0-5074-4583-b75d-3953e024203b) + ) + (hierarchical_label "~{HALT}" (shape input) (at 175.26 40.64 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f5032940-ef78-456b-9eb0-dbca285d4cf2) + ) + (hierarchical_label "A[31..0]" (shape output) (at 97.79 64.77 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f7457ddc-8b4b-44a4-81b5-c2195b3d1613) + ) + + (symbol (lib_id "Device:C_Small") (at 63.5 185.42 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000613f0b66) + (property "Reference" "C?" (at 64.77 184.15 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 64.77 186.69 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 63.5 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 63.5 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 72d8594e-c210-496c-83cd-68339d97e517)) + (pin "2" (uuid 23b2cd7f-2ae8-4cde-8cfc-bc3597e572ef)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "C15") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 53.34 185.42 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000613f0b6d) + (property "Reference" "C?" (at 54.61 184.15 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 54.61 186.69 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 53.34 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 53.34 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f2a71d6f-270a-4cab-9a41-efb0503a4140)) + (pin "2" (uuid 507e5472-fd2f-4410-a249-33b2fce6741c)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "C14") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 63.5 187.96 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000613f0b73) + (property "Reference" "#PWR0116" (at 63.5 194.31 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 63.5 191.77 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 63.5 187.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 63.5 187.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b08d3c50-86c5-4d5b-aed7-b5cd45130a70)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR0116") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 33.02 182.88 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006161ac98) + (property "Reference" "#PWR?" (at 33.02 186.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 33.02 179.07 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 33.02 182.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 33.02 182.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7e5d6d4f-2270-47c6-ae5f-0f4d57a32f2b)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR0115") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 43.18 185.42 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006161aca0) + (property "Reference" "C?" (at 44.45 184.15 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 44.45 186.69 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 43.18 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 43.18 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7f960e34-b22f-439d-a856-68545c359104)) + (pin "2" (uuid 7651777e-7b64-49b2-9d7b-0e619ced14fa)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "C13") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 33.02 185.42 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006161aca7) + (property "Reference" "C?" (at 34.29 184.15 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 34.29 186.69 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 33.02 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 33.02 185.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 64a0d128-6d7b-498c-90e0-34ca4fdc25e7)) + (pin "2" (uuid e875c53b-685d-4558-b036-98f9d5bae895)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "C12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 165.1 177.8 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061a7750e) + (property "Reference" "#PWR0106" (at 165.1 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 165.1 181.61 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 165.1 177.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 165.1 177.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid de5cd686-330e-4f5f-bf31-e7cc8c2acbac)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR0106") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 96.52 156.21 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000633f6e3a) + (property "Reference" "#PWR0117" (at 96.52 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 96.52 152.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 96.52 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 96.52 156.21 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0162e855-c137-4572-b326-183aa88927aa)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR0117") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 96.52 168.91 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000633fc13e) + (property "Reference" "#PWR0118" (at 96.52 172.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 96.52 165.1 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 96.52 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 96.52 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid be03814b-c33f-43a2-b530-c6cfb84abdcc)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR0118") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 106.68 39.37 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006344ae39) + (property "Reference" "#PWR0119" (at 100.33 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 101.6 39.37 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 106.68 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 106.68 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 010a92a7-61c3-4e91-bf9c-64abf72f1ce2)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR0119") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 104.14 62.23 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000634727c8) + (property "Reference" "#PWR0120" (at 104.14 66.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 104.14 58.42 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 62.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 62.23 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid dcb37b9c-4337-4d1c-ba71-dec6874e002d)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR0120") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_CPU:MC68882FN") (at 54.61 121.92 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063a841dd) + (property "Reference" "U2" (at 54.61 121.92 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MC68882FN" (at 54.61 123.19 0) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "stdpads:Motorola_CQFP-132" (at 54.61 109.22 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (at 54.61 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 649fceae-26e2-43cf-baf0-f703992467e5)) + (pin "10" (uuid c6bee249-79d0-4243-83d7-eb45325e3b4b)) + (pin "11" (uuid 7ef95dc1-faeb-4285-9ba2-0de1448fdc92)) + (pin "12" (uuid 881d392a-72c5-4173-8b32-6eb64e4977eb)) + (pin "13" (uuid 3dd2da0d-1ef5-4f92-a25f-18a27fa33a7f)) + (pin "14" (uuid 0bf3ffdf-28ae-4ccd-8d26-a984d3745273)) + (pin "15" (uuid 3d360479-b5dc-4c03-a448-34fd540cb48e)) + (pin "16" (uuid 528e9752-cdf8-4c36-b8f9-b48c0598ff69)) + (pin "17" (uuid 93d00081-8147-465e-8c28-a2301d1fc61d)) + (pin "18" (uuid 1a6bff60-5021-420e-8fd6-6243d26342fc)) + (pin "19" (uuid b0e5d3c8-dd9a-46f4-96a3-86214a06a004)) + (pin "2" (uuid dfcdff72-c57f-4658-9bf6-a5d4cf9af17b)) + (pin "20" (uuid 29806017-cde6-4c39-8348-ed29cfefcd3d)) + (pin "21" (uuid 24a08b89-a0e5-48ea-84e0-375521768e69)) + (pin "22" (uuid 9b3725de-c409-4c53-aa00-f0984ec76b42)) + (pin "23" (uuid db8a2174-382a-4d4f-b124-21d5454fa9c8)) + (pin "24" (uuid e3330cbb-273b-482f-a88c-12c6c857b31c)) + (pin "25" (uuid abf90e9e-9136-40a2-ad8d-646e23c756d1)) + (pin "26" (uuid 05f7c77e-f5f3-4d1b-9548-4c2713d8b681)) + (pin "27" (uuid 8a72ade4-a4f4-4512-aa94-9be8be841c9f)) + (pin "28" (uuid c41591e4-f70f-4c72-a97c-b9774a2d4155)) + (pin "29" (uuid fa2e7ccd-5810-4c29-adf1-6c1cad300d8e)) + (pin "3" (uuid c7866714-f794-4614-8a6a-b5d48324c15f)) + (pin "30" (uuid bec0c167-ffc9-4f2f-bf05-07ef1cfaea82)) + (pin "31" (uuid c340f8ac-292f-4615-a63f-39a89824ab00)) + (pin "32" (uuid 293bebf3-513d-45c9-ae82-f158f256b65d)) + (pin "33" (uuid e5d0bcdd-58b3-4cae-af24-4ef27bf153c0)) + (pin "34" (uuid 31f64c67-6a07-430c-9b73-2c5ff2660374)) + (pin "35" (uuid 6f6d1807-1258-4360-a766-c12fd01fecfa)) + (pin "36" (uuid 9d1dfee5-1466-4624-a837-eb0367bb993b)) + (pin "37" (uuid be673e08-e047-4c4f-99b4-e7aaf6b1fe1f)) + (pin "38" (uuid 5019f204-41cc-416e-ae17-2361d05bd0f2)) + (pin "39" (uuid 783a9a78-489a-4a18-a0dd-4579028a6c70)) + (pin "4" (uuid f81e51f8-2293-40e7-8270-b1abf86e8583)) + (pin "40" (uuid ec051dc5-2bdc-443b-a9e1-b4549943bd80)) + (pin "41" (uuid be12ca0a-eb7c-4c5d-b01e-02a0d9b7dfce)) + (pin "42" (uuid d7b7704a-bb33-44b3-a3c9-f16c93a2958b)) + (pin "43" (uuid 4f5a666a-4741-43f5-a787-a7fd24058550)) + (pin "44" (uuid 1c3b8854-57b8-4631-9bff-258f2f537888)) + (pin "45" (uuid b5b49b99-e2f5-4118-88bf-c0b57cce8698)) + (pin "46" (uuid 11d5b5dd-8a47-420f-b2b4-de351bf84f6e)) + (pin "47" (uuid 3de9208e-d493-4533-971a-762d05480184)) + (pin "48" (uuid 5a1af7ac-1897-4076-8335-49891e9b7261)) + (pin "49" (uuid 26d615c9-38ba-4ba1-9070-aa904ffccf01)) + (pin "5" (uuid d0d86f80-b132-43d6-9d6d-4badc12f220d)) + (pin "50" (uuid eaf648a6-817b-4172-a97a-6333c8683ad8)) + (pin "51" (uuid 9b70b7bf-5533-4ccb-a706-090cc0bab08a)) + (pin "52" (uuid adafdf1f-e188-4b61-9201-dabda1fe844d)) + (pin "53" (uuid 1a9468e6-b606-4b21-951a-494ffad56d61)) + (pin "54" (uuid 71288fd1-86b1-4fc8-9415-c49fecafc07d)) + (pin "55" (uuid 92655f82-913f-40dc-81fc-bf0cf8557e80)) + (pin "56" (uuid 0720c4e2-11c7-449e-9d00-fb9a0e52b6f1)) + (pin "57" (uuid 6e0fbef3-cdad-4694-8a79-a7fda7939fc9)) + (pin "58" (uuid 875c6de8-57a5-49da-b98a-3f4fcfd4e2e5)) + (pin "59" (uuid 91c0acbd-006a-4fdc-920b-e6c0c2d7630b)) + (pin "6" (uuid 8ef0c456-d723-467f-863e-5642975d8fbd)) + (pin "60" (uuid 908dfba5-9306-4dd2-90f1-2f4b99c3579f)) + (pin "61" (uuid 2bc2ff69-a1a8-45cd-aeac-f523112d0b53)) + (pin "62" (uuid 4e44add2-85bf-4c86-8182-4c91c6720be5)) + (pin "63" (uuid 87a37d77-8a74-4270-874d-c97d8d287249)) + (pin "64" (uuid 10448bca-f1e5-4d7f-b7f0-70bccd9956fd)) + (pin "65" (uuid 6d8eb6d6-cc7c-42bc-a6ef-92b53cdf6d14)) + (pin "66" (uuid 8354d3be-2ff0-4ade-a938-aa9e16e58a96)) + (pin "67" (uuid 586a2fc3-b644-44e7-a78d-b3d5b59879ef)) + (pin "68" (uuid cc1cee3f-8ebd-4375-a31a-645b39000611)) + (pin "7" (uuid 4cec29ca-99df-47fd-8b9c-23241973bab4)) + (pin "8" (uuid 84043b00-d891-442c-ac0e-2d4c22b31011)) + (pin "9" (uuid 1efb0f22-c97c-43f9-8a57-1c0d9c9c3a7d)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "U2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 66.04 167.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063ac6be8) + (property "Reference" "#PWR0121" (at 66.04 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 66.04 171.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 66.04 167.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 66.04 167.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid fb7a95b4-d9a4-4e5a-b6ee-22ed79b95ddc)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR0121") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 45.72 76.2 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063aea411) + (property "Reference" "#PWR0122" (at 45.72 80.01 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 45.72 72.39 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 45.72 76.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 45.72 76.2 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 655f8733-fcc1-43ec-a544-cb06e28b6f34)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR0122") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 127 26.67 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063afab70) + (property "Reference" "#PWR0123" (at 127 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 127 22.86 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 127 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 127 26.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3fa6b10b-bd90-444d-bde5-6c88fb2dccc4)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR0123") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 31.75 142.24 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063b1c1a9) + (property "Reference" "#PWR0124" (at 31.75 146.05 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 31.75 138.43 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 31.75 142.24 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 31.75 142.24 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1bf90c3c-243d-4341-97e1-8356ac9cd7c5)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR0124") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 31.75 119.38 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063b6680e) + (property "Reference" "#PWR0125" (at 31.75 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 31.75 115.57 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 31.75 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 31.75 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8ad409f7-0aa9-4bcc-b480-4b166670362d)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR0125") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 231.14 114.3 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 02b4a5ff-5210-424d-80d4-e5ac312f3c21) + (property "Reference" "#PWR020" (at 231.14 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 231.14 110.49 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 231.14 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 231.14 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b4fc88d5-6d9a-4901-be07-a6f462f4a761)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR020") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 266.7 58.42 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 06b32e57-b71e-4865-a417-419e2ca2f275) + (property "Reference" "#PWR024" (at 266.7 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 266.7 62.23 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 266.7 58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 266.7 58.42 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3a3a9060-36b5-4c2f-9636-c64bcbbba470)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR024") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 266.7 119.38 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 09b8075a-499b-4e2c-9cc2-75565a279095) + (property "Reference" "#PWR022" (at 266.7 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 266.7 123.19 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 266.7 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 266.7 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 24e7ae07-379f-4597-9f11-a6ddae53157b)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR022") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G3208GW") (at 256.54 116.84 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 1e01d444-640c-48fe-b598-e5af44e60236) + (property "Reference" "U30" (at 256.54 116.84 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G3208GW" (at 256.54 123.19 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-363" (at 256.54 124.46 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 256.54 121.92 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 6c41956a-3509-4466-8f5b-00a30cb16108)) + (pin "2" (uuid ec91e820-367d-4a76-b601-0e4573e629c3)) + (pin "3" (uuid bda5e930-3083-412b-8e6d-f7d103ea495f)) + (pin "4" (uuid b4243184-386b-4c76-b620-ee85e6e461f7)) + (pin "5" (uuid 912957ed-2fcb-4060-9ca1-f568602b0ac7)) + (pin "6" (uuid 3f8e4981-be18-4003-a0d6-2ea9ea4988f2)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "U30") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 231.14 93.98 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 25bfba7e-9749-4fe8-91e1-b36b82c8ad8a) + (property "Reference" "#PWR025" (at 231.14 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 231.14 90.17 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 231.14 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 231.14 93.98 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c43b7852-30a4-4817-9fa9-0a056c9af4b5)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR025") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 266.7 114.3 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 2b7cbdaf-4830-4cc9-b966-3f7bd67cb74a) + (property "Reference" "#PWR021" (at 266.7 118.11 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 266.7 110.49 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 266.7 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 266.7 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3c53f298-35d9-4f1a-9a59-61a4a95eccc4)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR021") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G332GW") (at 220.98 116.84 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 3510e09d-955b-4809-82ef-96b2c1ce36c7) + (property "Reference" "U31" (at 220.98 116.84 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G332GW" (at 220.98 123.19 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-363" (at 220.98 124.46 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 220.98 121.92 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 33a3eb48-e845-4149-8d48-e59102fb3d38)) + (pin "2" (uuid d69a104c-aac1-4e12-bbef-fecd2d578753)) + (pin "3" (uuid 464b218c-4922-46db-9a61-15bfd5ce9a22)) + (pin "4" (uuid 71cc31e4-4956-49e4-943f-bbd75542c326)) + (pin "5" (uuid 90d9ce0c-c280-441a-a7c7-be5e1264b555)) + (pin "6" (uuid 1585b6bf-ee4b-49e1-bccc-afa6c891130d)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "U31") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74138") (at 220.98 69.85 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 405ff5c5-f151-4b6b-94d0-fdd9fe1c55f0) + (property "Reference" "U23" (at 220.98 69.85 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC138APW" (at 220.98 82.55 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-16_4.4x5mm_P0.65mm" (at 220.98 86.36 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 220.98 67.31 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid bd7a3359-779e-4049-bfe6-6b60268e312e)) + (pin "10" (uuid 5f4c6f68-5e28-43cf-ad09-fc6e5b3ace1b)) + (pin "11" (uuid 1ff4ca7f-e7b5-4eff-97d2-ee1dd5c0f767)) + (pin "12" (uuid b5701b3f-bdd4-4689-b909-b1b4b8249e7f)) + (pin "13" (uuid e18b2380-bb88-405e-a3ff-ae7f4c768d4e)) + (pin "14" (uuid 3c91a6f2-3784-43d5-9c5d-cfcdfa9e7a1d)) + (pin "15" (uuid c1b5b542-af9f-4253-abee-0755202d256d)) + (pin "16" (uuid f266c9f6-fc30-41fe-ba34-be41e2137bca)) + (pin "2" (uuid 159d5e05-a1c2-4689-8160-02c732c82ea2)) + (pin "3" (uuid 6162add4-9bbd-4185-8d90-7eca07a44765)) + (pin "4" (uuid 3b63b32b-05bb-476f-b71a-0a73887ad6ac)) + (pin "5" (uuid 7a973ef4-db9b-41e6-91e5-c353df7fc6b3)) + (pin "6" (uuid bb083134-dbce-43e0-9e0a-ece4da148c36)) + (pin "7" (uuid 7857129a-a75c-4387-b0fd-4ee69e80d1d8)) + (pin "8" (uuid f4c11da5-9089-46a9-8844-83016c551846)) + (pin "9" (uuid a71b6090-5331-40ce-98b2-bb90bfa3f8f0)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "U23") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 231.14 119.38 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 6aa4f792-88b7-4237-a53c-b5bc4c843fcd) + (property "Reference" "#PWR019" (at 231.14 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 231.14 123.19 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 231.14 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 231.14 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 50adbedf-3ecc-4071-ad37-0f671ab11494)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR019") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_CPU:MC68030RC") (at 140.97 113.03 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 8f9331ae-1864-4e9e-b376-3fe67230d44c) + (property "Reference" "U1" (at 154.3559 26.67 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "MC68030RC" (at 154.3559 29.21 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:PGA-128_MC68030" (at 140.97 100.33 0) + (effects (font (size 1.016 1.016)) hide) + ) + (property "Datasheet" "" (at 140.97 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A1" (uuid 7d7afd91-78ab-406d-a66b-88d03a86f158)) + (pin "A10" (uuid d8d3bcf1-ad46-47a3-99dd-d52c100937e0)) + (pin "A11" (uuid cb59dc3f-e420-4384-a19f-6ee59f028614)) + (pin "A12" (uuid 2748cbfb-d8a0-494d-97e9-8b4b93f83b0b)) + (pin "A13" (uuid 8b07f0b5-6e30-410f-a68b-7317d02a3a0b)) + (pin "A2" (uuid fe86fc5d-0982-4d5b-89d4-283a32b9a630)) + (pin "A3" (uuid 41252df0-d274-4eda-8adf-21c4e4430693)) + (pin "A4" (uuid 36be0b73-4ca4-46e6-8b70-c4c4a5f604ea)) + (pin "A5" (uuid b5aa11e1-ce8d-44f4-8f65-c651479a4eeb)) + (pin "A6" (uuid eccf69cf-3c03-4ad4-8227-6aee95efebda)) + (pin "A7" (uuid e0b8bca9-a83c-4bd8-81ca-67ee42ef9ed8)) + (pin "A8" (uuid 49d34b4e-e6ff-478e-82e0-6b6d746ab97e)) + (pin "A9" (uuid 34c3807a-4fab-4b77-80b9-bc137bb2831b)) + (pin "B1" (uuid 3d67f7f9-fd40-445c-ba56-6bcbc9b5e433)) + (pin "B10" (uuid 1d85ed27-9413-45cb-a8ef-579ff6bff625)) + (pin "B11" (uuid 7f34dbd5-f039-4590-8670-6a25ae30ad69)) + (pin "B12" (uuid c45d4744-496c-4b87-9afd-00a43097c009)) + (pin "B13" (uuid e43db138-2cfd-4e64-8eb3-e1ec8598f64c)) + (pin "B2" (uuid 743dddf6-8dea-4d56-85ce-1fdae7be7764)) + (pin "B3" (uuid 6e09833c-6f7a-43cd-9cdd-9fb70024e421)) + (pin "B4" (uuid 57fe01bc-e7bb-4962-8ae1-dc7d60f0db8c)) + (pin "B5" (uuid 97dbba6c-a970-46e0-902b-5b49eab66212)) + (pin "B6" (uuid 23afd5b6-2607-4f77-a2a3-a2dc9b8b570b)) + (pin "B7" (uuid c785c3ef-5160-4796-909c-d9974df8777e)) + (pin "B8" (uuid ca5da436-132a-4cdc-af5b-6d2ddf6f9350)) + (pin "B9" (uuid ce9c78fa-9976-47b4-8f20-c7abd2c7b8ae)) + (pin "C1" (uuid 049bc747-e8da-4556-a5ab-1172e13f3f40)) + (pin "C10" (uuid 9eeb5898-3f51-4014-ab5b-b1be7c8dfacf)) + (pin "C11" (uuid 394680d6-cbb8-4051-9e4b-b48b65635789)) + (pin "C12" (uuid 5c239971-8c38-4f93-a5eb-f058782f3c55)) + (pin "C13" (uuid 3d37f46c-371d-498c-b623-1748377a8fef)) + (pin "C2" (uuid d87ad265-d8ed-4170-9fdd-a5125a5dab6e)) + (pin "C3" (uuid 329b2a8d-71c2-4681-9e28-4fb81fa6e1aa)) + (pin "C4" (uuid bae900d5-7853-49cf-bbcd-2b258364754a)) + (pin "C5" (uuid 5d3818aa-fb0c-40aa-a7c3-855666202fa2)) + (pin "C6" (uuid 4a186d6f-1188-4f7a-9d3d-680c6e71e980)) + (pin "C7" (uuid 3aab7bd2-de2c-422b-9154-1ad4fd88669a)) + (pin "C8" (uuid e3f62691-80e8-4430-98a4-a145db112986)) + (pin "C9" (uuid 7c8a9f0f-82e0-4465-9576-ce1c45abd09c)) + (pin "D1" (uuid 41e31000-803a-416a-b00e-74492b0a5a10)) + (pin "D10" (uuid a6127bee-d104-4dc9-9b81-33ccc3a8ec68)) + (pin "D11" (uuid 80537cad-7bf6-43ea-af3c-7685e9bafba7)) + (pin "D12" (uuid 4b0a3d2e-0ec6-4892-99b7-f47e37881b23)) + (pin "D13" (uuid 9bea1c75-9de3-416d-8e0b-c5adbab02195)) + (pin "D2" (uuid 52070ae4-f55e-4b63-8c50-2344ebc17e31)) + (pin "D3" (uuid c8dabde2-709c-48e5-9591-9aaf0762c7b8)) + (pin "D4" (uuid d2c5ac68-aa32-4038-bc75-516fe5e9725d)) + (pin "E1" (uuid 4899d2c7-6cfb-4e42-9c46-935727e14bcd)) + (pin "E11" (uuid cc264890-b514-4696-8217-a823c55ab8f9)) + (pin "E13" (uuid 5493f246-71c7-4c61-91ec-65db0414e5c8)) + (pin "E2" (uuid 1d09a05c-0576-42ca-bd20-63c340cddbb1)) + (pin "E3" (uuid f19bf16f-a618-4f5a-8313-043ec838c4a8)) + (pin "F1" (uuid a8a79d64-ea88-4e86-a1a1-22203e9b9e2c)) + (pin "F11" (uuid 8fbd438a-6fad-40ea-944e-ec203b2e9b8a)) + (pin "F12" (uuid 2e580fd1-c652-453a-8569-8e7bbe366592)) + (pin "F13" (uuid fccbb9a4-506a-481b-bbb9-bd7483ee0d15)) + (pin "F2" (uuid d21117db-5fd0-43ea-886f-e89d3e4a90f2)) + (pin "F3" (uuid ed4c186e-f6f1-4f97-89f6-8adb91a89499)) + (pin "G1" (uuid 7b265e9e-b065-4cde-947d-b3fee19cbd0c)) + (pin "G11" (uuid 90f4fed1-dafc-4838-b4e4-5325b6e24148)) + (pin "G12" (uuid d933aa30-6584-40af-9014-925732cdc95a)) + (pin "G13" (uuid dc37d249-eb88-42d5-99b2-0e5dcd95ac27)) + (pin "G2" (uuid 7ed18f05-a06f-4670-a56c-03567f4a443c)) + (pin "G3" (uuid 343de14d-acdc-4c87-bfa0-f1d6de65d898)) + (pin "H1" (uuid edd006bf-4183-437b-8175-f4c15f349218)) + (pin "H1" (uuid edd006bf-4183-437b-8175-f4c15f349218)) + (pin "H12" (uuid 911bd260-f559-450d-9985-48553bc6df03)) + (pin "H13" (uuid 29143b89-e3de-45ee-a272-2d6ef594ad8f)) + (pin "H2" (uuid ff3b6ce1-37dc-42d9-8f8c-3212faa2c36f)) + (pin "H3" (uuid db8e2444-ba7d-434d-9940-1189756c6064)) + (pin "J1" (uuid 48048a5e-32b1-4167-a5e0-f6636fb6cdac)) + (pin "J11" (uuid c5a66bf6-ceed-473d-a587-bbca62a1d249)) + (pin "J12" (uuid c2aa2259-4ff6-4137-af1d-58faa15caa19)) + (pin "J13" (uuid eb8f95e5-2962-475a-b66c-0a917c0fb41d)) + (pin "J2" (uuid 7f249576-a7b5-4d5f-b81a-265bc1930d20)) + (pin "J3" (uuid 7ee0db97-819c-47bb-ad3f-2a4b456596c0)) + (pin "K1" (uuid 5d09c43d-0771-4bc5-8538-676c1c462fbf)) + (pin "K10" (uuid 3e4e8753-5d2d-414e-a495-043b58645a93)) + (pin "K11" (uuid 6615acdb-d05c-423e-b05c-e8ff47190547)) + (pin "K12" (uuid aef5e01d-2b7d-43f4-969a-96a7a5204931)) + (pin "K13" (uuid c26e5603-ebbd-4b5b-a0f4-ee0a03acfa43)) + (pin "K2" (uuid b95430b5-e304-444f-bf62-40346ca6e0d5)) + (pin "K3" (uuid bd5f2994-e154-479d-94ac-e0affa7429c6)) + (pin "K4" (uuid 4ccc4dba-9090-4966-96dd-40cac623ebb5)) + (pin "L1" (uuid 29f0d399-8397-4482-a1c2-376dc2c9f4e1)) + (pin "L10" (uuid 2eedb269-2c3a-4be7-a2a1-c1b9abdebc71)) + (pin "L11" (uuid 8ca0b443-f71d-4c4c-9784-b5a5116a9a6d)) + (pin "L12" (uuid 16a743fd-5d0e-4230-8b27-3db8a15ed0c7)) + (pin "L13" (uuid 0fce23d3-f431-4700-992d-2c1f0c589736)) + (pin "L2" (uuid 2c1f46df-8f63-44f8-885e-d8e42407ea06)) + (pin "L3" (uuid 5c1914de-3b67-497a-868e-a4ab7d409fa7)) + (pin "L4" (uuid 092fd0e5-967e-4e01-9327-f65caba5055b)) + (pin "L5" (uuid 96dbc267-5927-4fa4-9be9-2d9cb4a1acdc)) + (pin "L6" (uuid 42d42ff1-5adf-466d-9741-fc5c93f9d732)) + (pin "L7" (uuid 6f522308-e3bf-40e1-aeb0-d0a73b7cdbf0)) + (pin "L8" (uuid 74d917eb-0385-47a7-8247-ade425a152a5)) + (pin "L9" (uuid fa50bee6-39ce-40f0-99c1-20edd5d4f5f6)) + (pin "M1" (uuid 5b7a9258-5c18-4a60-8537-5c7b6e680835)) + (pin "M10" (uuid 5832fbbb-1c3b-4e43-923f-337eb69ddfcf)) + (pin "M11" (uuid 29680f9f-95d8-4b06-9d1f-d0071d32eae5)) + (pin "M12" (uuid 8e222270-8ffe-49ac-8a33-6477f764fcb1)) + (pin "M13" (uuid 3689cf01-8180-44fa-aa8c-8703d2b88fe7)) + (pin "M2" (uuid 099fb092-1aa3-4963-ae79-db7576421cc9)) + (pin "M3" (uuid 12244562-4dcc-4396-8011-f481def9594e)) + (pin "M4" (uuid 8a152e59-5592-4f51-90df-64ddb69c31d8)) + (pin "M5" (uuid b3ad6f83-bdb3-4e95-a002-9ecca45340f9)) + (pin "M6" (uuid 08d3f4ba-8b57-4499-865a-be2c3b87f7d9)) + (pin "M7" (uuid a3600e9d-8ce4-4ff2-809a-e2e27a99c87c)) + (pin "M8" (uuid 8dc92807-0bd3-4911-87a9-d4b9863299ce)) + (pin "M9" (uuid beaa2816-7f26-416a-8730-8fd748f81ab3)) + (pin "N1" (uuid 69149b5c-d804-4c1a-bceb-5296514fbc3f)) + (pin "N10" (uuid 367a0660-2473-4521-986a-e0b3dab45532)) + (pin "N11" (uuid 17274781-6228-4c81-95b1-ca20f9b8ae34)) + (pin "N12" (uuid 6cd9c4e2-0135-4377-b1dd-421998da4836)) + (pin "N13" (uuid bc191a33-ab0f-4e25-8824-edf7fada1d48)) + (pin "N2" (uuid 1ce0ccb6-d221-42fb-a0b7-cb4dd11a0413)) + (pin "N3" (uuid fe409c3b-1415-45ca-a7fb-097bee198577)) + (pin "N4" (uuid 9a5b7dd0-20db-461c-896f-880885c0bc31)) + (pin "N5" (uuid 5096fd5c-fe1a-4454-abc1-e1b04e680671)) + (pin "N6" (uuid 645fba64-abf3-4a3d-bb18-a83c1b75bf54)) + (pin "N7" (uuid aed26d99-c261-4278-80ae-2147e35abcbe)) + (pin "N8" (uuid 35bc88d3-7125-406d-8cc3-24aed29f8efe)) + (pin "N9" (uuid 9fd52c3a-1e4a-48c6-b624-60f8103d30b9)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "U1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 210.82 78.74 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 95aae2a0-8499-467f-9c43-fee7374df08e) + (property "Reference" "#PWR018" (at 210.82 85.09 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 210.82 82.55 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 210.82 78.74 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 210.82 78.74 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3c3339da-af29-48a2-a853-aa4bcb277f6d)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR018") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74138") (at 220.98 39.37 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 99812b06-8dee-4401-a336-13c096da389c) + (property "Reference" "U22" (at 220.98 39.37 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC138APW" (at 220.98 52.07 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-16_4.4x5mm_P0.65mm" (at 220.98 55.88 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 220.98 36.83 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 1d818c46-7511-4fcd-be4a-456ca42338f5)) + (pin "10" (uuid 16c1489f-18bd-4cd9-98f5-14b2327c5559)) + (pin "11" (uuid ccb2f18c-f8e0-4983-bed8-1d6318ef31c1)) + (pin "12" (uuid b1665c8d-0386-4398-a2c5-ab3ff1bedd6a)) + (pin "13" (uuid aadf0959-83fc-4104-aad8-a476f34c7e34)) + (pin "14" (uuid b2ae246e-06a9-46b1-8067-88540f3def24)) + (pin "15" (uuid bdfc9720-3d54-4cca-988b-8b0826686504)) + (pin "16" (uuid 89670db5-2c65-4785-b9fa-c47f498db756)) + (pin "2" (uuid 1ad0e5ae-bb8f-4017-aabf-0d120bcad610)) + (pin "3" (uuid 67de4549-07c0-4875-8162-1d27f25df2d1)) + (pin "4" (uuid 32912514-1c2d-4818-8911-9a9c1d558f7e)) + (pin "5" (uuid 7b09f60b-0408-4172-9f6f-7fbe85f2ace9)) + (pin "6" (uuid b9196826-ed79-4df7-b808-a37a8eee133e)) + (pin "7" (uuid ae5f6349-9124-452e-868a-0b10f4b2b666)) + (pin "8" (uuid ece90e56-fb81-47c9-9c31-8812f5df801f)) + (pin "9" (uuid b165ed5c-bd51-4451-80bb-239fb53d00f4)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "U22") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G08GW") (at 220.98 96.52 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 9f2388f7-f688-4e86-96b4-a367f9eb9243) + (property "Reference" "U33" (at 220.98 96.52 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "741G08GW" (at 220.98 102.87 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 220.98 104.14 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 220.98 101.6 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid c505e016-875f-4c77-a41e-04a82caa162e)) + (pin "2" (uuid 63677294-873b-4cf4-b22b-f641cf748912)) + (pin "3" (uuid 67ad4d75-4144-4fbf-9178-1e7f6509ec4e)) + (pin "4" (uuid b89204de-5946-4416-a84b-a5770d91c8e4)) + (pin "5" (uuid 17df477d-bb82-407b-ace7-186072296136)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "U33") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 210.82 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid b140b11c-3f84-4962-b37f-7c72beec1318) + (property "Reference" "#PWR015" (at 210.82 34.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 210.82 26.67 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 210.82 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 210.82 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e8cc2e2f-d4cd-4ab5-bf2e-1dbd72c48205)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR015") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 210.82 99.06 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b8bc9749-25e2-424d-a552-fd11a0e63d65) + (property "Reference" "#PWR026" (at 210.82 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 210.82 102.87 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 210.82 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 210.82 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3706f468-0409-4ae5-967e-ba28e1342aa9)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR026") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G332GW") (at 256.54 55.88 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid bf2cc665-8358-4232-aa38-ab82e2946a51) + (property "Reference" "U32" (at 256.54 55.88 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G332GW" (at 256.54 62.23 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-363" (at 256.54 63.5 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 256.54 60.96 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid abbe999e-d4fc-49eb-a96d-7b3c648d83af)) + (pin "2" (uuid 2f3d5922-7f92-4e54-ba42-08e31ba430a4)) + (pin "3" (uuid f5393df6-5a23-4c32-859c-9700d46125ae)) + (pin "4" (uuid f6c17d4b-96f6-4fa3-b9ef-9c6224c0bd02)) + (pin "5" (uuid 41d3f05b-ba96-40d2-afdd-d0a57f8cb9ae)) + (pin "6" (uuid ee3e8447-33ca-4ffc-b501-cb2df138e698)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "U32") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 234.95 99.06 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d280374c-c9ea-4961-b230-bad437095c64) + (property "Reference" "R4" (at 234.95 97.79 90) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Value" "47" (at 234.95 100.33 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "" (at 234.95 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 234.95 99.06 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 359bdc24-ff6b-4afe-9199-2d1955293985)) + (pin "2" (uuid 6c387d30-f837-4fc3-80d3-34dbda78de6b)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "R4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 210.82 60.96 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid de35f366-93d3-4ff6-b310-5dd2efe342fc) + (property "Reference" "#PWR017" (at 210.82 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 210.82 57.15 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 210.82 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 210.82 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 31770385-343e-47b0-b61c-0fda0dd64252)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR017") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 210.82 48.26 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid e27f9707-1a84-4213-aa0b-c1eed4b5a27e) + (property "Reference" "#PWR016" (at 210.82 54.61 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 210.82 52.07 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 210.82 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 210.82 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f771757c-805c-4858-a454-7a1fc13c7e03)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR016") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 266.7 53.34 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid e6c5c08a-f924-41d8-8203-838c6cdad6dd) + (property "Reference" "#PWR023" (at 266.7 57.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 266.7 49.53 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 266.7 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 266.7 53.34 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 593af83a-8568-4d0b-ac9a-495801dd60ed)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "#PWR023") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 220.98 87.63 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid e769dd78-8878-444c-9ce6-5f9a36916a18) + (property "Reference" "R3" (at 220.98 86.36 90) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Value" "47" (at 220.98 88.9 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "" (at 220.98 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 220.98 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5ca7bcd0-b72f-4740-922d-e04d7bae507e)) + (pin "2" (uuid 186b223c-75e0-4b36-a7ac-3142341e90bf)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f72f108" + (reference "R3") (unit 1) + ) + ) + ) + ) +) diff --git a/MC68k.sch b/MC68k.sch deleted file mode 100644 index 670e0f3..0000000 --- a/MC68k.sch +++ /dev/null @@ -1,1139 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 3 7 -Title "" -Date "" -Rev "" -Comp "" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -$Comp -L power:+5V #PWR? -U 1 1 6161AC98 -P 1300 7200 -AR Path="/6161AC98" Ref="#PWR?" Part="1" -AR Path="/60D70CB4/6161AC98" Ref="#PWR?" Part="1" -AR Path="/5F72F108/6161AC98" Ref="#PWR0115" Part="1" -F 0 "#PWR0115" H 1300 7050 50 0001 C CNN -F 1 "+5V" H 1300 7350 50 0000 C CNN -F 2 "" H 1300 7200 50 0001 C CNN -F 3 "" H 1300 7200 50 0001 C CNN - 1 1300 7200 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1300 7200 1700 7200 -$Comp -L Device:C_Small C? -U 1 1 6161ACA0 -P 1700 7300 -AR Path="/6161ACA0" Ref="C?" Part="1" -AR Path="/60D70CB4/6161ACA0" Ref="C?" Part="1" -AR Path="/5F72F108/6161ACA0" Ref="C13" Part="1" -F 0 "C13" H 1750 7350 50 0000 L CNN -F 1 "10u" H 1750 7250 50 0000 L CNN -F 2 "stdpads:C_0805" H 1700 7300 50 0001 C CNN -F 3 "~" H 1700 7300 50 0001 C CNN - 1 1700 7300 - 1 0 0 -1 -$EndComp -Connection ~ 1300 7200 -$Comp -L Device:C_Small C? -U 1 1 6161ACA7 -P 1300 7300 -AR Path="/6161ACA7" Ref="C?" Part="1" -AR Path="/60D70CB4/6161ACA7" Ref="C?" Part="1" -AR Path="/5F72F108/6161ACA7" Ref="C12" Part="1" -F 0 "C12" H 1350 7350 50 0000 L CNN -F 1 "10u" H 1350 7250 50 0000 L CNN -F 2 "stdpads:C_0805" H 1300 7300 50 0001 C CNN -F 3 "~" H 1300 7300 50 0001 C CNN - 1 1300 7300 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1300 7400 1700 7400 -Connection ~ 2500 7400 -Wire Wire Line - 2100 7200 2500 7200 -$Comp -L Device:C_Small C? -U 1 1 613F0B66 -P 2500 7300 -AR Path="/613F0B66" Ref="C?" Part="1" -AR Path="/60D70CB4/613F0B66" Ref="C?" Part="1" -AR Path="/5F72F108/613F0B66" Ref="C15" Part="1" -F 0 "C15" H 2550 7350 50 0000 L CNN -F 1 "10u" H 2550 7250 50 0000 L CNN -F 2 "stdpads:C_0805" H 2500 7300 50 0001 C CNN -F 3 "~" H 2500 7300 50 0001 C CNN - 1 2500 7300 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 613F0B6D -P 2100 7300 -AR Path="/613F0B6D" Ref="C?" Part="1" -AR Path="/60D70CB4/613F0B6D" Ref="C?" Part="1" -AR Path="/5F72F108/613F0B6D" Ref="C14" Part="1" -F 0 "C14" H 2150 7350 50 0000 L CNN -F 1 "10u" H 2150 7250 50 0000 L CNN -F 2 "stdpads:C_0805" H 2100 7300 50 0001 C CNN -F 3 "~" H 2100 7300 50 0001 C CNN - 1 2100 7300 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR? -U 1 1 613F0B73 -P 2500 7400 -AR Path="/5F723900/613F0B73" Ref="#PWR?" Part="1" -AR Path="/60D70CB4/613F0B73" Ref="#PWR?" Part="1" -AR Path="/613F0B73" Ref="#PWR?" Part="1" -AR Path="/5F72F108/613F0B73" Ref="#PWR0116" Part="1" -F 0 "#PWR0116" H 2500 7150 50 0001 C CNN -F 1 "GND" H 2500 7250 50 0000 C CNN -F 2 "" H 2500 7400 50 0001 C CNN -F 3 "" H 2500 7400 50 0001 C CNN - 1 2500 7400 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2100 7400 2500 7400 -Wire Wire Line - 1700 7400 2100 7400 -Connection ~ 1700 7400 -Connection ~ 2100 7400 -Wire Wire Line - 2100 7200 1700 7200 -Connection ~ 2100 7200 -Connection ~ 1700 7200 -$Comp -L GW_CPU:MC68030FE U1 -U 1 1 627CB7E6 -P 5550 4450 -AR Path="/627CB7E6" Ref="U1" Part="1" -AR Path="/5F72F108/627CB7E6" Ref="U1" Part="1" -F 0 "U1" H 5550 8031 50 0000 C CNN -F 1 "MC68030FE" H 5550 7940 50 0000 C CNN -F 2 "stdpads:Motorola_CQFP-132" H 5550 4950 40 0001 C CNN -F 3 "" H 5550 4950 50 0001 C CNN - 1 5550 4450 - 1 0 0 -1 -$EndComp -Text Label 6900 5100 0 50 ~ 0 -D8 -Text Label 6900 5000 0 50 ~ 0 -D9 -Text Label 6900 4900 0 50 ~ 0 -D10 -Text Label 6900 4800 0 50 ~ 0 -D11 -Text Label 6900 4700 0 50 ~ 0 -D12 -Text Label 6900 4600 0 50 ~ 0 -D13 -Text Label 6900 4500 0 50 ~ 0 -D14 -Text Label 6900 4400 0 50 ~ 0 -D15 -Text Label 6900 4300 0 50 ~ 0 -D16 -Text Label 6900 4200 0 50 ~ 0 -D17 -Text Label 6900 4100 0 50 ~ 0 -D18 -Text Label 6900 4000 0 50 ~ 0 -D19 -Text Label 6900 3900 0 50 ~ 0 -D20 -Text Label 6900 3800 0 50 ~ 0 -D21 -Text Label 6900 3700 0 50 ~ 0 -D22 -Text Label 6900 3600 0 50 ~ 0 -D23 -Text Label 6900 3500 0 50 ~ 0 -D24 -Text Label 6900 3400 0 50 ~ 0 -D25 -Text Label 6900 3300 0 50 ~ 0 -D26 -Text Label 6900 3200 0 50 ~ 0 -D27 -Text Label 6900 3100 0 50 ~ 0 -D28 -Text Label 6900 3000 0 50 ~ 0 -D29 -Text Label 6900 2900 0 50 ~ 0 -D30 -Text Label 6900 2800 0 50 ~ 0 -D31 -Text Label 6900 5900 0 50 ~ 0 -D0 -Text Label 6900 5700 0 50 ~ 0 -D2 -Text Label 6900 5600 0 50 ~ 0 -D3 -Text Label 6900 5500 0 50 ~ 0 -D4 -Text Label 6900 5400 0 50 ~ 0 -D5 -Text Label 6900 5300 0 50 ~ 0 -D6 -Text Label 6900 5200 0 50 ~ 0 -D7 -Wire Wire Line - 6900 5200 7100 5200 -Wire Wire Line - 6900 5300 7100 5300 -Wire Wire Line - 6900 5400 7100 5400 -Wire Wire Line - 6900 5500 7100 5500 -Wire Wire Line - 6900 5600 7100 5600 -Wire Wire Line - 6900 5700 7100 5700 -Wire Wire Line - 6900 5800 7100 5800 -Wire Wire Line - 6900 5900 7100 5900 -Entry Wire Line - 7100 5900 7200 5800 -Entry Wire Line - 7100 5800 7200 5700 -Entry Wire Line - 7100 5700 7200 5600 -Entry Wire Line - 7100 5600 7200 5500 -Entry Wire Line - 7100 5500 7200 5400 -Entry Wire Line - 7100 5400 7200 5300 -Entry Wire Line - 7100 5300 7200 5200 -Text HLabel 7250 2700 2 50 BiDi ~ 0 -D[31..0] -Wire Bus Line - 7250 2700 7200 2700 -Wire Wire Line - 6900 4300 7100 4300 -Wire Wire Line - 6900 4200 7100 4200 -Wire Wire Line - 6900 4100 7100 4100 -Wire Wire Line - 6900 4000 7100 4000 -Wire Wire Line - 6900 3900 7100 3900 -Wire Wire Line - 6900 3800 7100 3800 -Wire Wire Line - 6900 3700 7100 3700 -Wire Wire Line - 6900 3600 7100 3600 -Wire Wire Line - 6900 3500 7100 3500 -Wire Wire Line - 6900 3400 7100 3400 -Wire Wire Line - 6900 3300 7100 3300 -Wire Wire Line - 6900 3200 7100 3200 -Wire Wire Line - 6900 3100 7100 3100 -Wire Wire Line - 6900 3000 7100 3000 -Wire Wire Line - 6900 2900 7100 2900 -Wire Wire Line - 6900 2800 7100 2800 -Wire Wire Line - 6900 5100 7100 5100 -Wire Wire Line - 6900 5000 7100 5000 -Wire Wire Line - 6900 4900 7100 4900 -Wire Wire Line - 6900 4800 7100 4800 -Wire Wire Line - 6900 4700 7100 4700 -Wire Wire Line - 6900 4600 7100 4600 -Wire Wire Line - 6900 4500 7100 4500 -Wire Wire Line - 6900 4400 7100 4400 -Entry Wire Line - 7100 5100 7200 5000 -Entry Wire Line - 7100 5000 7200 4900 -Entry Wire Line - 7100 4900 7200 4800 -Entry Wire Line - 7100 4800 7200 4700 -Entry Wire Line - 7100 4700 7200 4600 -Entry Wire Line - 7100 4600 7200 4500 -Entry Wire Line - 7100 4500 7200 4400 -Entry Wire Line - 7100 4400 7200 4300 -Entry Wire Line - 7100 4300 7200 4200 -Entry Wire Line - 7100 4200 7200 4100 -Entry Wire Line - 7100 4100 7200 4000 -Entry Wire Line - 7100 4000 7200 3900 -Entry Wire Line - 7100 3900 7200 3800 -Entry Wire Line - 7100 3800 7200 3700 -Entry Wire Line - 7100 3700 7200 3600 -Entry Wire Line - 7100 3600 7200 3500 -Entry Wire Line - 7100 3500 7200 3400 -Entry Wire Line - 7100 3400 7200 3300 -Entry Wire Line - 7100 3300 7200 3200 -Entry Wire Line - 7100 3200 7200 3100 -Entry Wire Line - 7100 3100 7200 3000 -Entry Wire Line - 7100 3000 7200 2900 -Entry Wire Line - 7100 2900 7200 2800 -Entry Wire Line - 7100 2800 7200 2700 -Text Label 4200 5150 2 50 ~ 0 -A8 -Text Label 4200 4450 2 50 ~ 0 -A15 -Text Label 4200 4350 2 50 ~ 0 -A16 -Text Label 4200 3650 2 50 ~ 0 -A23 -Text Label 4200 3550 2 50 ~ 0 -A24 -Text Label 4200 2850 2 50 ~ 0 -A31 -Text Label 4200 2650 2 50 ~ 0 -A0 -Text Label 4200 5250 2 50 ~ 0 -A7 -Text Label 4200 1650 2 50 ~ 0 -FC2 -Text Label 4200 1850 2 50 ~ 0 -FC0 -Text Label 4200 1750 2 50 ~ 0 -FC1 -Text HLabel 6900 1800 2 50 Output ~ 0 -~CBREQ~ -Text HLabel 6900 1900 2 50 Output ~ 0 -~AS~ -Text HLabel 4200 2150 0 50 Output ~ 0 -~CIOUT~ -Text HLabel 6900 2600 2 50 Output ~ 0 -R~W~ -Text HLabel 4200 1950 0 50 Output ~ 0 -~RMC~ -Text Label 4200 2950 2 50 ~ 0 -A30 -Text Label 4200 3050 2 50 ~ 0 -A29 -Text Label 4200 3150 2 50 ~ 0 -A28 -Text Label 4200 3250 2 50 ~ 0 -A27 -Text Label 4200 3350 2 50 ~ 0 -A26 -Text Label 4200 3450 2 50 ~ 0 -A25 -Text Label 4200 3750 2 50 ~ 0 -A22 -Text Label 4200 3850 2 50 ~ 0 -A21 -Text Label 4200 3950 2 50 ~ 0 -A20 -Text Label 4200 4050 2 50 ~ 0 -A19 -Text Label 4200 4150 2 50 ~ 0 -A18 -Text Label 4200 4250 2 50 ~ 0 -A17 -Text Label 4200 4550 2 50 ~ 0 -A14 -Text Label 4200 4650 2 50 ~ 0 -A13 -Text Label 4200 4750 2 50 ~ 0 -A12 -Text Label 4200 4850 2 50 ~ 0 -A11 -Text Label 4200 4950 2 50 ~ 0 -A10 -Text Label 4200 5050 2 50 ~ 0 -A9 -Text Label 4200 5350 2 50 ~ 0 -A6 -Text Label 4200 5450 2 50 ~ 0 -A5 -Text Label 4200 5550 2 50 ~ 0 -A4 -Text Label 4200 5650 2 50 ~ 0 -A3 -Text Label 4200 5750 2 50 ~ 0 -A2 -Text Label 4200 2750 2 50 ~ 0 -A1 -Text Label 6900 5800 0 50 ~ 0 -D1 -Wire Wire Line - 4200 5250 4000 5250 -Wire Wire Line - 4200 5350 4000 5350 -Wire Wire Line - 4200 5450 4000 5450 -Wire Wire Line - 4200 5550 4000 5550 -Wire Wire Line - 4200 5650 4000 5650 -Wire Wire Line - 4200 5750 4000 5750 -Wire Wire Line - 4200 2750 4000 2750 -Wire Wire Line - 4200 2650 4000 2650 -Entry Wire Line - 4000 2750 3900 2650 -Entry Wire Line - 4000 2650 3900 2550 -Entry Wire Line - 4000 5750 3900 5650 -Entry Wire Line - 4000 5650 3900 5550 -Entry Wire Line - 4000 5550 3900 5450 -Entry Wire Line - 4000 5450 3900 5350 -Entry Wire Line - 4000 5350 3900 5250 -Entry Wire Line - 4000 5250 3900 5150 -Text HLabel 3850 2550 0 50 Output ~ 0 -A[31..0] -Wire Bus Line - 3850 2550 3900 2550 -Wire Wire Line - 4200 3650 4000 3650 -Wire Wire Line - 4200 3750 4000 3750 -Wire Wire Line - 4200 4250 4000 4250 -Wire Wire Line - 4200 4150 4000 4150 -Wire Wire Line - 4200 4050 4000 4050 -Wire Wire Line - 4200 3950 4000 3950 -Wire Wire Line - 4200 3850 4000 3850 -Wire Wire Line - 4200 3050 4000 3050 -Wire Wire Line - 4200 2950 4000 2950 -Wire Wire Line - 4200 3550 4000 3550 -Wire Wire Line - 4200 3350 4000 3350 -Wire Wire Line - 4200 3250 4000 3250 -Wire Wire Line - 4200 3150 4000 3150 -Wire Wire Line - 4200 4450 4000 4450 -Wire Wire Line - 4200 5150 4000 5150 -Wire Wire Line - 4200 5050 4000 5050 -Wire Wire Line - 4200 4950 4000 4950 -Wire Wire Line - 4200 4850 4000 4850 -Wire Wire Line - 4200 4750 4000 4750 -Wire Wire Line - 4200 4650 4000 4650 -Wire Wire Line - 4200 4550 4000 4550 -Entry Wire Line - 4000 4450 3900 4350 -Entry Wire Line - 4000 5150 3900 5050 -Entry Wire Line - 4000 5050 3900 4950 -Entry Wire Line - 4000 4950 3900 4850 -Entry Wire Line - 4000 4850 3900 4750 -Entry Wire Line - 4000 4750 3900 4650 -Entry Wire Line - 4000 4650 3900 4550 -Entry Wire Line - 4000 4550 3900 4450 -Entry Wire Line - 4000 3650 3900 3550 -Entry Wire Line - 4000 3750 3900 3650 -Entry Wire Line - 4000 4350 3900 4250 -Entry Wire Line - 4000 4250 3900 4150 -Entry Wire Line - 4000 4150 3900 4050 -Entry Wire Line - 4000 4050 3900 3950 -Entry Wire Line - 4000 3950 3900 3850 -Entry Wire Line - 4000 3850 3900 3750 -Entry Wire Line - 4000 3050 3900 2950 -Entry Wire Line - 4000 2950 3900 2850 -Entry Wire Line - 4000 2850 3900 2750 -Entry Wire Line - 4000 3550 3900 3450 -Entry Wire Line - 4000 3450 3900 3350 -Entry Wire Line - 4000 3350 3900 3250 -Entry Wire Line - 4000 3250 3900 3150 -Entry Wire Line - 4000 3150 3900 3050 -Wire Wire Line - 4200 4350 4000 4350 -Wire Wire Line - 4200 2850 4000 2850 -Wire Wire Line - 4200 3450 4000 3450 -Wire Wire Line - 4000 1650 4200 1650 -Wire Wire Line - 4200 1750 4000 1750 -Wire Wire Line - 4000 1850 4200 1850 -Wire Bus Line - 3850 1550 3900 1550 -Text HLabel 3850 1550 0 50 Output ~ 0 -FC[2..0] -Entry Wire Line - 4000 1750 3900 1650 -Entry Wire Line - 4000 1850 3900 1750 -Entry Wire Line - 4000 1650 3900 1550 -Text HLabel 7250 2200 2 50 Output ~ 0 -SIZ[1..0] -Wire Bus Line - 7250 2200 7200 2200 -Wire Wire Line - 7100 2400 6900 2400 -Wire Wire Line - 6900 2300 7100 2300 -Wire Bus Line - 7200 2200 7200 2300 -Entry Wire Line - 7100 2400 7200 2300 -Entry Wire Line - 7100 2300 7200 2200 -Text Label 6900 2300 0 50 ~ 0 -SIZ0 -Text Label 6900 2400 0 50 ~ 0 -SIZ1 -Text HLabel 6900 1300 2 50 BiDi ~ 0 -~DSACK~1 -Text HLabel 4200 1350 0 50 BiDi ~ 0 -~DSACK~0 -Entry Wire Line - 7100 5200 7200 5100 -NoConn ~ 4200 5950 -Text HLabel 4200 6050 0 50 BiDi ~ 0 -~RESET~ -Wire Wire Line - 4200 6450 4000 6450 -Wire Wire Line - 4200 6350 4000 6350 -Entry Wire Line - 4000 6450 3900 6550 -Entry Wire Line - 4000 6350 3900 6450 -Text HLabel 3850 6350 0 50 Input ~ 0 -~IPL~[2..0] -Wire Wire Line - 4200 6250 4000 6250 -Text Label 4200 6250 2 50 ~ 0 -~IPL~2 -Entry Wire Line - 4000 6250 3900 6350 -Wire Bus Line - 3850 6350 3900 6350 -Text Label 4200 6350 2 50 ~ 0 -~IPL~1 -Text Label 4200 6450 2 50 ~ 0 -~IPL~0 -$Comp -L power:+5V #PWR0117 -U 1 1 633F6E3A -P 3800 6150 -F 0 "#PWR0117" H 3800 6000 50 0001 C CNN -F 1 "+5V" H 3800 6300 50 0000 C CNN -F 2 "" H 3800 6150 50 0001 C CNN -F 3 "" H 3800 6150 50 0001 C CNN - 1 3800 6150 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3800 6150 4200 6150 -$Comp -L power:+5V #PWR0118 -U 1 1 633FC13E -P 3800 6650 -F 0 "#PWR0118" H 3800 6500 50 0001 C CNN -F 1 "+5V" H 3800 6800 50 0000 C CNN -F 2 "" H 3800 6650 50 0001 C CNN -F 3 "" H 3800 6650 50 0001 C CNN - 1 3800 6650 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3800 6650 4100 6650 -Wire Wire Line - 4100 6650 4100 6550 -Wire Wire Line - 4100 6550 4200 6550 -NoConn ~ 4200 6650 -NoConn ~ 4200 6750 -Text HLabel 6900 2000 2 50 Output ~ 0 -~DS~ -Text HLabel 4200 1450 0 50 Input ~ 0 -CPUCLK -$Comp -L power:GND #PWR? -U 1 1 6344AE39 -P 4200 1550 -AR Path="/5F723900/6344AE39" Ref="#PWR?" Part="1" -AR Path="/60D70CB4/6344AE39" Ref="#PWR?" Part="1" -AR Path="/6344AE39" Ref="#PWR?" Part="1" -AR Path="/5F72F108/6344AE39" Ref="#PWR0119" Part="1" -F 0 "#PWR0119" H 4200 1300 50 0001 C CNN -F 1 "GND" V 4200 1350 50 0000 C CNN -F 2 "" H 4200 1550 50 0001 C CNN -F 3 "" H 4200 1550 50 0001 C CNN - 1 4200 1550 - 0 1 1 0 -$EndComp -NoConn ~ 6900 2200 -Text HLabel 6900 2100 2 50 Input ~ 0 -~CIIN~ -Text HLabel 6900 1400 2 50 Input ~ 0 -~STERM~ -Text HLabel 6900 1500 2 50 Input ~ 0 -~BERR~ -Text HLabel 6900 1600 2 50 Input ~ 0 -~HALT~ -Text HLabel 6900 1700 2 50 Input ~ 0 -~CBACK~ -Text HLabel 6900 2500 2 50 Output ~ 0 -~ECS~ -NoConn ~ 4200 2050 -NoConn ~ 4200 2250 -$Comp -L power:+5V #PWR0120 -U 1 1 634727C8 -P 4100 2450 -F 0 "#PWR0120" H 4100 2300 50 0001 C CNN -F 1 "+5V" H 4100 2600 50 0000 C CNN -F 2 "" H 4100 2450 50 0001 C CNN -F 3 "" H 4100 2450 50 0001 C CNN - 1 4100 2450 - 1 0 0 -1 -$EndComp -Wire Wire Line - 4100 2450 4200 2450 -Wire Wire Line - 4200 2450 4200 2350 -Connection ~ 4200 2450 -Text HLabel 1250 3500 0 50 Input ~ 0 -FPUCLK -Text HLabel 1250 3900 0 50 Input ~ 0 -FPU~CS~ -$Comp -L GW_CPU:MC68882FN U2 -U 1 1 63A841DD -P 2150 4800 -F 0 "U2" H 2150 4800 50 0000 C CNN -F 1 "MC68882FN" H 2150 4750 50 0000 C TNN -F 2 "stdpads:Motorola_CQFP-132" H 2150 5300 40 0001 C CNN -F 3 "" H 2150 5300 50 0001 C CNN - 1 2150 4800 - 1 0 0 -1 -$EndComp -Text HLabel 1250 3700 0 50 Output ~ 0 -~AS~ -Text HLabel 1250 3800 0 50 Output ~ 0 -~DS~ -Text HLabel 1250 4000 0 50 Output ~ 0 -R~W~ -Text Label 1050 4200 0 50 ~ 0 -A4 -Text Label 1050 4300 0 50 ~ 0 -A3 -Text Label 1050 4400 0 50 ~ 0 -A2 -Wire Wire Line - 1050 4200 1250 4200 -Wire Wire Line - 1050 4300 1250 4300 -Wire Wire Line - 1050 4400 1250 4400 -Text Label 1050 4500 0 50 ~ 0 -A1 -Wire Wire Line - 1050 4500 1250 4500 -Text HLabel 1250 5200 0 50 BiDi ~ 0 -~RESET~ -Text HLabel 1250 4900 0 50 BiDi ~ 0 -~DSACK~1 -Text HLabel 1250 5000 0 50 BiDi ~ 0 -~DSACK~0 -NoConn ~ 1250 5900 -$Comp -L power:GND #PWR0121 -U 1 1 63AC6BE8 -P 2600 6600 -F 0 "#PWR0121" H 2600 6350 50 0001 C CNN -F 1 "GND" H 2600 6450 50 0000 C CNN -F 2 "" H 2600 6600 50 0001 C CNN -F 3 "" H 2600 6600 50 0001 C CNN - 1 2600 6600 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2600 6600 2500 6600 -Connection ~ 2600 6600 -Connection ~ 1600 6600 -Wire Wire Line - 1600 6600 1500 6600 -Connection ~ 1700 6600 -Wire Wire Line - 1700 6600 1600 6600 -Connection ~ 1800 6600 -Wire Wire Line - 1800 6600 1700 6600 -Connection ~ 1900 6600 -Wire Wire Line - 1900 6600 1800 6600 -Connection ~ 2000 6600 -Wire Wire Line - 2000 6600 1900 6600 -Connection ~ 2100 6600 -Wire Wire Line - 2100 6600 2000 6600 -Connection ~ 2200 6600 -Wire Wire Line - 2200 6600 2100 6600 -Connection ~ 2300 6600 -Wire Wire Line - 2300 6600 2200 6600 -Connection ~ 2400 6600 -Wire Wire Line - 2400 6600 2300 6600 -Connection ~ 2500 6600 -Wire Wire Line - 2500 6600 2400 6600 -Connection ~ 1800 3000 -Wire Wire Line - 1800 3000 1900 3000 -Connection ~ 1900 3000 -Wire Wire Line - 1900 3000 2000 3000 -Connection ~ 2000 3000 -Wire Wire Line - 2000 3000 2100 3000 -Connection ~ 2100 3000 -Wire Wire Line - 2100 3000 2200 3000 -Connection ~ 2200 3000 -Wire Wire Line - 2200 3000 2300 3000 -Connection ~ 2300 3000 -Wire Wire Line - 2300 3000 2400 3000 -Connection ~ 2400 3000 -Wire Wire Line - 2400 3000 2500 3000 -$Comp -L power:+5V #PWR0122 -U 1 1 63AEA411 -P 1800 3000 -F 0 "#PWR0122" H 1800 2850 50 0001 C CNN -F 1 "+5V" H 1800 3150 50 0000 C CNN -F 2 "" H 1800 3000 50 0001 C CNN -F 3 "" H 1800 3000 50 0001 C CNN - 1 1800 3000 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0123 -U 1 1 63AFAB70 -P 5000 1050 -F 0 "#PWR0123" H 5000 900 50 0001 C CNN -F 1 "+5V" H 5000 1200 50 0000 C CNN -F 2 "" H 5000 1050 50 0001 C CNN -F 3 "" H 5000 1050 50 0001 C CNN - 1 5000 1050 - 1 0 0 -1 -$EndComp -Wire Wire Line - 5000 1050 5100 1050 -Connection ~ 5000 1050 -Connection ~ 5100 1050 -Wire Wire Line - 5100 1050 5200 1050 -Connection ~ 5200 1050 -Wire Wire Line - 5200 1050 5300 1050 -Connection ~ 5300 1050 -Wire Wire Line - 5300 1050 5400 1050 -Connection ~ 5400 1050 -Wire Wire Line - 5400 1050 5500 1050 -Connection ~ 5500 1050 -Wire Wire Line - 5500 1050 5600 1050 -Connection ~ 5600 1050 -Wire Wire Line - 5600 1050 5700 1050 -Connection ~ 5700 1050 -Wire Wire Line - 5700 1050 5800 1050 -Connection ~ 5800 1050 -Wire Wire Line - 5800 1050 5900 1050 -Connection ~ 5900 1050 -Wire Wire Line - 5900 1050 6000 1050 -Connection ~ 6000 1050 -Wire Wire Line - 6000 1050 6100 1050 -Text Label 3050 5550 0 50 ~ 0 -D8 -Text Label 3050 5450 0 50 ~ 0 -D9 -Text Label 3050 5350 0 50 ~ 0 -D10 -Text Label 3050 5250 0 50 ~ 0 -D11 -Text Label 3050 5150 0 50 ~ 0 -D12 -Text Label 3050 5050 0 50 ~ 0 -D13 -Text Label 3050 4950 0 50 ~ 0 -D14 -Text Label 3050 4850 0 50 ~ 0 -D15 -Text Label 3050 4750 0 50 ~ 0 -D16 -Text Label 3050 4650 0 50 ~ 0 -D17 -Text Label 3050 4550 0 50 ~ 0 -D18 -Text Label 3050 4450 0 50 ~ 0 -D19 -Text Label 3050 4350 0 50 ~ 0 -D20 -Text Label 3050 4250 0 50 ~ 0 -D21 -Text Label 3050 4150 0 50 ~ 0 -D22 -Text Label 3050 4050 0 50 ~ 0 -D23 -Text Label 3050 3950 0 50 ~ 0 -D24 -Text Label 3050 3850 0 50 ~ 0 -D25 -Text Label 3050 3750 0 50 ~ 0 -D26 -Text Label 3050 3650 0 50 ~ 0 -D27 -Text Label 3050 3550 0 50 ~ 0 -D28 -Text Label 3050 3450 0 50 ~ 0 -D29 -Text Label 3050 3350 0 50 ~ 0 -D30 -Text Label 3050 3250 0 50 ~ 0 -D31 -Text Label 3050 6350 0 50 ~ 0 -D0 -Text Label 3050 6150 0 50 ~ 0 -D2 -Text Label 3050 6050 0 50 ~ 0 -D3 -Text Label 3050 5950 0 50 ~ 0 -D4 -Text Label 3050 5850 0 50 ~ 0 -D5 -Text Label 3050 5750 0 50 ~ 0 -D6 -Text Label 3050 5650 0 50 ~ 0 -D7 -Wire Wire Line - 3050 5650 3250 5650 -Wire Wire Line - 3050 5750 3250 5750 -Wire Wire Line - 3050 5850 3250 5850 -Wire Wire Line - 3050 5950 3250 5950 -Wire Wire Line - 3050 6050 3250 6050 -Wire Wire Line - 3050 6150 3250 6150 -Wire Wire Line - 3050 6250 3250 6250 -Wire Wire Line - 3050 6350 3250 6350 -Entry Wire Line - 3250 6350 3350 6250 -Entry Wire Line - 3250 6250 3350 6150 -Entry Wire Line - 3250 6150 3350 6050 -Entry Wire Line - 3250 6050 3350 5950 -Entry Wire Line - 3250 5950 3350 5850 -Entry Wire Line - 3250 5850 3350 5750 -Entry Wire Line - 3250 5750 3350 5650 -Text HLabel 3400 3150 2 50 BiDi ~ 0 -D[31..0] -Wire Bus Line - 3400 3150 3350 3150 -Wire Wire Line - 3050 4750 3250 4750 -Wire Wire Line - 3050 4650 3250 4650 -Wire Wire Line - 3050 4550 3250 4550 -Wire Wire Line - 3050 4450 3250 4450 -Wire Wire Line - 3050 4350 3250 4350 -Wire Wire Line - 3050 4250 3250 4250 -Wire Wire Line - 3050 4150 3250 4150 -Wire Wire Line - 3050 4050 3250 4050 -Wire Wire Line - 3050 3950 3250 3950 -Wire Wire Line - 3050 3850 3250 3850 -Wire Wire Line - 3050 3750 3250 3750 -Wire Wire Line - 3050 3650 3250 3650 -Wire Wire Line - 3050 3550 3250 3550 -Wire Wire Line - 3050 3450 3250 3450 -Wire Wire Line - 3050 3350 3250 3350 -Wire Wire Line - 3050 3250 3250 3250 -Wire Wire Line - 3050 5550 3250 5550 -Wire Wire Line - 3050 5450 3250 5450 -Wire Wire Line - 3050 5350 3250 5350 -Wire Wire Line - 3050 5250 3250 5250 -Wire Wire Line - 3050 5150 3250 5150 -Wire Wire Line - 3050 5050 3250 5050 -Wire Wire Line - 3050 4950 3250 4950 -Wire Wire Line - 3050 4850 3250 4850 -Entry Wire Line - 3250 5550 3350 5450 -Entry Wire Line - 3250 5450 3350 5350 -Entry Wire Line - 3250 5350 3350 5250 -Entry Wire Line - 3250 5250 3350 5150 -Entry Wire Line - 3250 5150 3350 5050 -Entry Wire Line - 3250 5050 3350 4950 -Entry Wire Line - 3250 4950 3350 4850 -Entry Wire Line - 3250 4850 3350 4750 -Entry Wire Line - 3250 4750 3350 4650 -Entry Wire Line - 3250 4650 3350 4550 -Entry Wire Line - 3250 4550 3350 4450 -Entry Wire Line - 3250 4450 3350 4350 -Entry Wire Line - 3250 4350 3350 4250 -Entry Wire Line - 3250 4250 3350 4150 -Entry Wire Line - 3250 4150 3350 4050 -Entry Wire Line - 3250 4050 3350 3950 -Entry Wire Line - 3250 3950 3350 3850 -Entry Wire Line - 3250 3850 3350 3750 -Entry Wire Line - 3250 3750 3350 3650 -Entry Wire Line - 3250 3650 3350 3550 -Entry Wire Line - 3250 3550 3350 3450 -Entry Wire Line - 3250 3450 3350 3350 -Entry Wire Line - 3250 3350 3350 3250 -Entry Wire Line - 3250 3250 3350 3150 -Text Label 3050 6250 0 50 ~ 0 -D1 -Entry Wire Line - 3250 5650 3350 5550 -$Comp -L power:+5V #PWR0124 -U 1 1 63B1C1A9 -P 1250 5600 -F 0 "#PWR0124" H 1250 5450 50 0001 C CNN -F 1 "+5V" H 1250 5750 50 0000 C CNN -F 2 "" H 1250 5600 50 0001 C CNN -F 3 "" H 1250 5600 50 0001 C CNN - 1 1250 5600 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0125 -U 1 1 63B6680E -P 1250 4700 -F 0 "#PWR0125" H 1250 4550 50 0001 C CNN -F 1 "+5V" H 1250 4850 50 0000 C CNN -F 2 "" H 1250 4700 50 0001 C CNN -F 3 "" H 1250 4700 50 0001 C CNN - 1 1250 4700 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0106 -U 1 1 61A7750E -P 6500 7000 -F 0 "#PWR0106" H 6500 6750 50 0001 C CNN -F 1 "GND" H 6500 6850 50 0000 C CNN -F 2 "" H 6500 7000 50 0001 C CNN -F 3 "" H 6500 7000 50 0001 C CNN - 1 6500 7000 - 1 0 0 -1 -$EndComp -Wire Wire Line - 6500 7000 6400 7000 -Connection ~ 6500 7000 -Connection ~ 4900 7000 -Wire Wire Line - 4900 7000 4800 7000 -Connection ~ 5000 7000 -Wire Wire Line - 5000 7000 4900 7000 -Connection ~ 5100 7000 -Wire Wire Line - 5100 7000 5000 7000 -Connection ~ 5200 7000 -Wire Wire Line - 5200 7000 5100 7000 -Connection ~ 5300 7000 -Wire Wire Line - 5300 7000 5200 7000 -Connection ~ 5400 7000 -Wire Wire Line - 5400 7000 5300 7000 -Connection ~ 5500 7000 -Wire Wire Line - 5500 7000 5400 7000 -Connection ~ 5600 7000 -Wire Wire Line - 5600 7000 5500 7000 -Connection ~ 5700 7000 -Wire Wire Line - 5700 7000 5600 7000 -Connection ~ 5800 7000 -Wire Wire Line - 5800 7000 5700 7000 -Connection ~ 5900 7000 -Wire Wire Line - 5900 7000 5800 7000 -Connection ~ 6000 7000 -Wire Wire Line - 6000 7000 5900 7000 -Connection ~ 6100 7000 -Wire Wire Line - 6100 7000 6000 7000 -Connection ~ 6200 7000 -Wire Wire Line - 6200 7000 6100 7000 -Connection ~ 6300 7000 -Wire Wire Line - 6300 7000 6200 7000 -Connection ~ 6400 7000 -Wire Wire Line - 6400 7000 6300 7000 -Wire Bus Line - 3900 1550 3900 1750 -Wire Bus Line - 3900 6350 3900 6550 -Wire Bus Line - 3900 2550 3900 5650 -Wire Bus Line - 7200 2700 7200 5800 -Wire Bus Line - 3350 3150 3350 6250 -$EndSCHEMATC diff --git a/PDS.kicad_sch b/PDS.kicad_sch new file mode 100644 index 0000000..eb2375f --- /dev/null +++ b/PDS.kicad_sch @@ -0,0 +1,2942 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid e38df7cc-a87a-43f1-b48a-15a42f20fe58) + + (paper "A4") + + (lib_symbols + (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "C" (at 0.254 1.778 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C_Small" (at 0.254 -2.032 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "capacitor cap" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 -0.508) + (xy 1.524 -0.508) + ) + (stroke (width 0.3302) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.508) + (xy 1.524 0.508) + ) + (stroke (width 0.3048) (type default)) + (fill (type none)) + ) + ) + (symbol "C_Small_1_1" + (pin passive line (at 0 2.54 270) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Connector:MacLCPDS" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "J" (at 0 45.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MacLCPDS" (at 0 -40.64 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_locked" "" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (symbol "MacLCPDS_0_1" + (rectangle (start 0 44.45) (end -10.16 -39.37) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "MacLCPDS_1_1" + (pin unspecified line (at 3.81 41.91 180) (length 3.81) + (name "SND" (effects (font (size 1.27 1.27)))) + (number "A1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 19.05 180) (length 3.81) + (name "D28" (effects (font (size 1.27 1.27)))) + (number "A10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 16.51 180) (length 3.81) + (name "D25" (effects (font (size 1.27 1.27)))) + (number "A11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 13.97 180) (length 3.81) + (name "D22" (effects (font (size 1.27 1.27)))) + (number "A12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 11.43 180) (length 3.81) + (name "D19" (effects (font (size 1.27 1.27)))) + (number "A13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 8.89 180) (length 3.81) + (name "D16" (effects (font (size 1.27 1.27)))) + (number "A14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 6.35 180) (length 3.81) + (name "D13" (effects (font (size 1.27 1.27)))) + (number "A15" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 3.81 180) (length 3.81) + (name "D10" (effects (font (size 1.27 1.27)))) + (number "A16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 1.27 180) (length 3.81) + (name "~{BGACK}" (effects (font (size 1.27 1.27)))) + (number "A17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -1.27 180) (length 3.81) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "A18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -3.81 180) (length 3.81) + (name "A26" (effects (font (size 1.27 1.27)))) + (number "A19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 39.37 180) (length 3.81) + (name "~{IRQ}" (effects (font (size 1.27 1.27)))) + (number "A2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -6.35 180) (length 3.81) + (name "A23" (effects (font (size 1.27 1.27)))) + (number "A20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -8.89 180) (length 3.81) + (name "A20" (effects (font (size 1.27 1.27)))) + (number "A21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -11.43 180) (length 3.81) + (name "~{IPL}0" (effects (font (size 1.27 1.27)))) + (number "A22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -13.97 180) (length 3.81) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "A23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -16.51 180) (length 3.81) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "A24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -19.05 180) (length 3.81) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "A25" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -21.59 180) (length 3.81) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "A26" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -24.13 180) (length 3.81) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "A27" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -26.67 180) (length 3.81) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "A28" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -29.21 180) (length 3.81) + (name "A16" (effects (font (size 1.27 1.27)))) + (number "A29" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 36.83 180) (length 3.81) + (name "~{AS}" (effects (font (size 1.27 1.27)))) + (number "A3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -31.75 180) (length 3.81) + (name "A18" (effects (font (size 1.27 1.27)))) + (number "A30" (effects (font (size 1.27 1.27)))) + ) + (pin no_connect line (at 3.81 -34.29 180) (length 3.81) + (name "NC" (effects (font (size 1.27 1.27)))) + (number "A31" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -36.83 180) (length 3.81) + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "A32" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 34.29 180) (length 3.81) + (name "~{DSACK}1" (effects (font (size 1.27 1.27)))) + (number "A4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 31.75 180) (length 3.81) + (name "~{HALT}" (effects (font (size 1.27 1.27)))) + (number "A5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 29.21 180) (length 3.81) + (name "FC2" (effects (font (size 1.27 1.27)))) + (number "A6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 26.67 180) (length 3.81) + (name "FC0" (effects (font (size 1.27 1.27)))) + (number "A7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 24.13 180) (length 3.81) + (name "~{RMC}" (effects (font (size 1.27 1.27)))) + (number "A8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 21.59 180) (length 3.81) + (name "D31" (effects (font (size 1.27 1.27)))) + (number "A9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "MacLCPDS_2_1" + (pin unspecified line (at 3.81 41.91 180) (length 3.81) + (name "AGND" (effects (font (size 1.27 1.27)))) + (number "B1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 19.05 180) (length 3.81) + (name "D27" (effects (font (size 1.27 1.27)))) + (number "B10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 16.51 180) (length 3.81) + (name "D24" (effects (font (size 1.27 1.27)))) + (number "B11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 13.97 180) (length 3.81) + (name "D21" (effects (font (size 1.27 1.27)))) + (number "B12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 11.43 180) (length 3.81) + (name "D18" (effects (font (size 1.27 1.27)))) + (number "B13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 8.89 180) (length 3.81) + (name "D15" (effects (font (size 1.27 1.27)))) + (number "B14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 6.35 180) (length 3.81) + (name "D12" (effects (font (size 1.27 1.27)))) + (number "B15" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 3.81 180) (length 3.81) + (name "D9" (effects (font (size 1.27 1.27)))) + (number "B16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 1.27 180) (length 3.81) + (name "~{BR}" (effects (font (size 1.27 1.27)))) + (number "B17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -1.27 180) (length 3.81) + (name "A31" (effects (font (size 1.27 1.27)))) + (number "B18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -3.81 180) (length 3.81) + (name "A25" (effects (font (size 1.27 1.27)))) + (number "B19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 39.37 180) (length 3.81) + (name "R~{W}" (effects (font (size 1.27 1.27)))) + (number "B2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -6.35 180) (length 3.81) + (name "A22" (effects (font (size 1.27 1.27)))) + (number "B20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -8.89 180) (length 3.81) + (name "~{IPL}2" (effects (font (size 1.27 1.27)))) + (number "B21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -11.43 180) (length 3.81) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "B22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -13.97 180) (length 3.81) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "B23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -16.51 180) (length 3.81) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "B24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -19.05 180) (length 3.81) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "B25" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -21.59 180) (length 3.81) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "B26" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -24.13 180) (length 3.81) + (name "A13" (effects (font (size 1.27 1.27)))) + (number "B27" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -26.67 180) (length 3.81) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "B28" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -29.21 180) (length 3.81) + (name "A15" (effects (font (size 1.27 1.27)))) + (number "B29" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 36.83 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -31.75 180) (length 3.81) + (name "A17" (effects (font (size 1.27 1.27)))) + (number "B30" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -34.29 180) (length 3.81) + (name "AIICLK" (effects (font (size 1.27 1.27)))) + (number "B31" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -36.83 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B32" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 34.29 180) (length 3.81) + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "B4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 31.75 180) (length 3.81) + (name "SIZ1" (effects (font (size 1.27 1.27)))) + (number "B5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 29.21 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 26.67 180) (length 3.81) + (name "C16M" (effects (font (size 1.27 1.27)))) + (number "B7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 24.13 180) (length 3.81) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "B8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 21.59 180) (length 3.81) + (name "D30" (effects (font (size 1.27 1.27)))) + (number "B9" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "MacLCPDS_3_1" + (pin unspecified line (at 3.81 41.91 180) (length 3.81) + (name "~{FPU}" (effects (font (size 1.27 1.27)))) + (number "C1" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 19.05 180) (length 3.81) + (name "D26" (effects (font (size 1.27 1.27)))) + (number "C10" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 16.51 180) (length 3.81) + (name "D23" (effects (font (size 1.27 1.27)))) + (number "C11" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 13.97 180) (length 3.81) + (name "D20" (effects (font (size 1.27 1.27)))) + (number "C12" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 11.43 180) (length 3.81) + (name "D17" (effects (font (size 1.27 1.27)))) + (number "C13" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 8.89 180) (length 3.81) + (name "D14" (effects (font (size 1.27 1.27)))) + (number "C14" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 6.35 180) (length 3.81) + (name "D11" (effects (font (size 1.27 1.27)))) + (number "C15" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 3.81 180) (length 3.81) + (name "D8" (effects (font (size 1.27 1.27)))) + (number "C16" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 1.27 180) (length 3.81) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "C17" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -1.27 180) (length 3.81) + (name "A27" (effects (font (size 1.27 1.27)))) + (number "C18" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -3.81 180) (length 3.81) + (name "A24" (effects (font (size 1.27 1.27)))) + (number "C19" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 39.37 180) (length 3.81) + (name "~{DS}" (effects (font (size 1.27 1.27)))) + (number "C2" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -6.35 180) (length 3.81) + (name "A21" (effects (font (size 1.27 1.27)))) + (number "C20" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -8.89 180) (length 3.81) + (name "~{IPL}1" (effects (font (size 1.27 1.27)))) + (number "C21" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -11.43 180) (length 3.81) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "C22" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -13.97 180) (length 3.81) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "C23" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -16.51 180) (length 3.81) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "C24" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -19.05 180) (length 3.81) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "C25" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -21.59 180) (length 3.81) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "C26" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -24.13 180) (length 3.81) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "C27" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -26.67 180) (length 3.81) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "C28" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -29.21 180) (length 3.81) + (name "A14" (effects (font (size 1.27 1.27)))) + (number "C29" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 36.83 180) (length 3.81) + (name "~{BERR}" (effects (font (size 1.27 1.27)))) + (number "C3" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -31.75 180) (length 3.81) + (name "A19" (effects (font (size 1.27 1.27)))) + (number "C30" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -34.29 180) (length 3.81) + (name "FC3" (effects (font (size 1.27 1.27)))) + (number "C31" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 -36.83 180) (length 3.81) + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "C32" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 34.29 180) (length 3.81) + (name "~{DSACK}0" (effects (font (size 1.27 1.27)))) + (number "C4" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 31.75 180) (length 3.81) + (name "SIZ0" (effects (font (size 1.27 1.27)))) + (number "C5" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 29.21 180) (length 3.81) + (name "FC1" (effects (font (size 1.27 1.27)))) + (number "C6" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 26.67 180) (length 3.81) + (name "~{RESET}" (effects (font (size 1.27 1.27)))) + (number "C7" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 24.13 180) (length 3.81) + (name "~{BG}" (effects (font (size 1.27 1.27)))) + (number "C8" (effects (font (size 1.27 1.27)))) + ) + (pin unspecified line (at 3.81 21.59 180) (length 3.81) + (name "D29" (effects (font (size 1.27 1.27)))) + (number "C9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+12V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+12V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+12V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+12V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:-12V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-12V" (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"-12V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "-12V_0_0" + (pin power_in line (at 0 0 90) (length 0) hide + (name "-12V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "-12V_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 1.27) + (xy 0.762 1.27) + (xy 0 2.54) + (xy -0.762 1.27) + (xy 0 1.27) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + ) + ) + (symbol "power:-5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 2.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (at 0 3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"-5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "-5V_0_0" + (pin power_in line (at 0 0 90) (length 0) hide + (name "-5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + (symbol "-5V_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 1.27) + (xy 0.762 1.27) + (xy 0 2.54) + (xy -0.762 1.27) + (xy 0 1.27) + ) + (stroke (width 0) (type default)) + (fill (type outline)) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 139.7 60.96) (diameter 0) (color 0 0 0 0) + (uuid 0542557d-a939-43de-8c20-85dac0fc1543) + ) + (junction (at -8.89 152.4) (diameter 0) (color 0 0 0 0) + (uuid 0747c937-2af1-4507-9cef-2dd8915d45b2) + ) + (junction (at 11.43 147.32) (diameter 0) (color 0 0 0 0) + (uuid 15c45de2-7aef-4d25-944a-da357bd2ffe4) + ) + (junction (at 149.86 68.58) (diameter 0) (color 0 0 0 0) + (uuid 2236e994-f378-417a-acdd-5a7b199b9dbb) + ) + (junction (at -19.05 152.4) (diameter 0) (color 0 0 0 0) + (uuid 3cf5b398-e603-4e19-b4ae-78a9104d2a28) + ) + (junction (at -29.21 147.32) (diameter 0) (color 0 0 0 0) + (uuid 4fdf5d24-b305-4ee9-9408-7210d1f055ff) + ) + (junction (at -29.21 152.4) (diameter 0) (color 0 0 0 0) + (uuid 6b244b5b-98b2-49aa-8fa0-5331785e21a9) + ) + (junction (at 1.27 152.4) (diameter 0) (color 0 0 0 0) + (uuid c21d9ff2-e354-4230-a4b3-b78eaddf2bc0) + ) + (junction (at -8.89 147.32) (diameter 0) (color 0 0 0 0) + (uuid cfea2ad8-e6b9-4bb9-85e5-6b968872a546) + ) + (junction (at 11.43 152.4) (diameter 0) (color 0 0 0 0) + (uuid cffc0a85-90b1-4038-b1fe-d5aef1ffc1fc) + ) + (junction (at 21.59 152.4) (diameter 0) (color 0 0 0 0) + (uuid d04be97a-dea5-49c9-8cc4-8fdc4deaf6fd) + ) + (junction (at -39.37 152.4) (diameter 0) (color 0 0 0 0) + (uuid d6b8d5a0-39ff-4852-8832-77d20c701406) + ) + (junction (at -49.53 147.32) (diameter 0) (color 0 0 0 0) + (uuid ff02ff13-a07c-41a7-9c8c-44dbae69a036) + ) + + (no_connect (at 139.7 53.34) (uuid 40ac89b9-b606-48f5-b0e3-b9517467d7dd)) + (no_connect (at 190.5 129.54) (uuid 504f8227-fdab-499b-8c5f-a4aac6da8900)) + (no_connect (at 190.5 53.34) (uuid 82d618b4-bf15-4489-97ff-b4476d641408)) + (no_connect (at 88.9 93.98) (uuid b68b5556-3c63-499a-af17-d200bf2d7340)) + (no_connect (at 190.5 71.12) (uuid b7e194d0-83ed-4cca-bd2c-a4501360411e)) + (no_connect (at 88.9 53.34) (uuid bc59ab6f-2f21-40ad-96dd-a907c0df4502)) + (no_connect (at 139.7 129.54) (uuid e4f0b1be-1c42-4ff8-ab0a-6c8879af241f)) + + (bus_entry (at 200.66 111.76) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 050ca1f5-373a-4999-a27a-1f4f3db1afde) + ) + (bus_entry (at 149.86 119.38) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 09085c40-bd67-47d4-9253-4fa2c35292f5) + ) + (bus_entry (at 149.86 124.46) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 10e0361a-d8db-410c-966c-563b2bcb68f9) + ) + (bus_entry (at 200.66 93.98) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1186006e-a1b0-4fce-bb34-c295359e1291) + ) + (bus_entry (at 149.86 109.22) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1ab5926e-4dda-45d3-aaa9-35b554ac04c3) + ) + (bus_entry (at 200.66 99.06) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2793194b-27aa-4209-9c37-3d4c82921f69) + ) + (bus_entry (at 200.66 119.38) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2b76c1d3-a78b-4253-b216-5c7713c1970f) + ) + (bus_entry (at 200.66 96.52) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 312c8547-59bf-4167-b9f4-1a85f6dfd744) + ) + (bus_entry (at 149.86 78.74) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 33ded2c3-c84f-4186-ae66-ed041e49d779) + ) + (bus_entry (at 99.06 86.36) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3490ef56-8238-4090-9ee8-313e8af2c936) + ) + (bus_entry (at 200.66 104.14) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3b80705b-5e41-4352-bab1-e84d81b80b81) + ) + (bus_entry (at 200.66 114.3) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3cf5a84b-d72e-4b79-80df-5f10129eaa48) + ) + (bus_entry (at 200.66 63.5) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3d0fa497-1324-4c80-b067-9dca1729a9c3) + ) + (bus_entry (at 99.06 81.28) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 410754f2-94de-4239-9c77-c7fb99b584da) + ) + (bus_entry (at 200.66 78.74) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 49f31143-2b95-4b5c-9532-ee1b6c1591ba) + ) + (bus_entry (at 149.86 106.68) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4e390718-773c-4e2c-90c4-ed0683ac4b04) + ) + (bus_entry (at 149.86 99.06) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 50b25174-5fc7-484c-8c70-c7f6363456c5) + ) + (bus_entry (at 99.06 78.74) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 59020c84-dad5-47dc-b228-f791f8ed49d0) + ) + (bus_entry (at 99.06 101.6) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5cc1ebc6-a198-43ea-ad83-f6ce6b54ef71) + ) + (bus_entry (at 200.66 81.28) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5f2497ef-14d1-4448-bff1-e8caa690bfb6) + ) + (bus_entry (at 200.66 109.22) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5f24af9b-eb5f-4cc6-8389-a5ae6a132252) + ) + (bus_entry (at 149.86 81.28) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 62628318-4401-475b-9cc9-5c082f9947d7) + ) + (bus_entry (at 200.66 73.66) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 63bc060c-bc27-44e3-9362-d9964c922e82) + ) + (bus_entry (at 149.86 101.6) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 694f9047-24cf-47ee-9eef-71a695fd0515) + ) + (bus_entry (at 99.06 96.52) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6befbe82-26b9-4b74-adf4-0d1a9527d068) + ) + (bus_entry (at 99.06 116.84) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6d836150-ca3a-4b8a-9cd3-a2a0c468c00f) + ) + (bus_entry (at 99.06 124.46) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7465555c-3432-45bc-8bf9-817eccee4131) + ) + (bus_entry (at 99.06 73.66) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 79470b71-3cde-4b69-80e4-1e2df5f621eb) + ) + (bus_entry (at 149.86 76.2) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 888fe690-6e8b-415a-8fd7-1964590a68a8) + ) + (bus_entry (at 149.86 86.36) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8a3c048a-6694-4af5-b7a0-e8e5dea5ed54) + ) + (bus_entry (at 99.06 66.04) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 90f32c71-b94c-440e-a3fc-2a6e1480914d) + ) + (bus_entry (at 200.66 76.2) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 925d65ad-5b9d-4e3c-a544-9ad53cae6baa) + ) + (bus_entry (at 149.86 63.5) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 953e4ae5-8e44-4e84-b6a4-d214516d33b7) + ) + (bus_entry (at 149.86 121.92) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9a7a78ca-f320-4eac-b1bf-021bf8f200bc) + ) + (bus_entry (at 99.06 68.58) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9ad0ba1a-a7ee-45a4-b307-e858f1a7ccdb) + ) + (bus_entry (at 149.86 127) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9d2fabbd-2e8b-4a57-a7b3-ce6864a17995) + ) + (bus_entry (at 200.66 88.9) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9fea9784-4926-45f0-9c7a-4747e06a4d48) + ) + (bus_entry (at 200.66 127) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a1a37ac8-9b47-474c-9c21-d1c6bc762671) + ) + (bus_entry (at 99.06 114.3) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a34b04dc-dd3e-49ed-8d8b-1448f7fa16a6) + ) + (bus_entry (at 99.06 83.82) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid aa051ec0-e87c-47dd-a579-1aa1f3499839) + ) + (bus_entry (at 149.86 116.84) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid aa2bb5c2-ca0e-4149-acbe-30ab97df1b1b) + ) + (bus_entry (at 200.66 121.92) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid ae1f38b7-acce-448f-b7cc-3a012c98cdf9) + ) + (bus_entry (at 99.06 109.22) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid ae96b4f3-9531-4a9e-8e2f-7845a7ccc923) + ) + (bus_entry (at 149.86 91.44) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b0f7afca-e842-499b-929c-28eba70d68d0) + ) + (bus_entry (at 99.06 88.9) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b305956b-f9fe-4e84-93fa-ab7526aa6af5) + ) + (bus_entry (at 200.66 106.68) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b320e1af-213a-4736-89ce-546f376bf2c7) + ) + (bus_entry (at 99.06 99.06) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid bee9b16f-9107-4a69-a3d6-868f95ec48b5) + ) + (bus_entry (at 99.06 104.14) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c3e2308e-3a18-48cd-9c78-b5f631341f25) + ) + (bus_entry (at 99.06 127) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c5a6f388-369e-4a7c-9be2-5347796b2d88) + ) + (bus_entry (at 200.66 101.6) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c99a603c-651a-4ac0-918c-dc8cb069113f) + ) + (bus_entry (at 200.66 116.84) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid cc6307f9-cc86-4125-b97b-b02621b4e563) + ) + (bus_entry (at 200.66 91.44) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d43731f7-a3df-486a-8e8b-9d7964ce8b16) + ) + (bus_entry (at 149.86 111.76) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d670ad22-1f9b-4ec1-8827-043e34375965) + ) + (bus_entry (at 200.66 66.04) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d70eec4a-ad3a-4d99-8d81-0818d93b3b67) + ) + (bus_entry (at 99.06 121.92) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d9685fee-8afd-419a-a73e-120898d28ea8) + ) + (bus_entry (at 99.06 76.2) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid db08df80-5db4-42b4-92b4-eb2e669bb244) + ) + (bus_entry (at 99.06 106.68) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e00b2b5c-e161-4b82-85b7-3592335bec74) + ) + (bus_entry (at 149.86 83.82) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e2c696ad-f70b-4062-89df-886fd29b79f7) + ) + (bus_entry (at 149.86 114.3) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid eb268362-822e-4f95-a608-a0dfbc3470a6) + ) + (bus_entry (at 99.06 111.76) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid ec60a39c-759d-450b-b0f5-2ec9aef06df4) + ) + (bus_entry (at 200.66 124.46) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid eca790f8-d1f6-467b-8f43-e44a686568c2) + ) + (bus_entry (at 200.66 83.82) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid ef81a27c-8289-4ad1-b420-c12a7fed837d) + ) + (bus_entry (at 149.86 88.9) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f126ba5d-848f-41f2-8d37-002b8b4afec9) + ) + (bus_entry (at 99.06 119.38) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f1df6245-b7f2-4c53-99ae-a5a564da6aaf) + ) + (bus_entry (at 149.86 104.14) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f3580d15-2d89-4c1c-a31d-7ad557cd7c09) + ) + (bus_entry (at 99.06 91.44) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f4888567-fb5a-4501-9f08-801ddd18d4e4) + ) + (bus_entry (at 200.66 86.36) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f8be73f0-c620-4dfe-b008-016e6317f63a) + ) + (bus_entry (at 149.86 73.66) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f956236f-ac0d-45ef-a1ee-eebf5610ad69) + ) + (bus_entry (at 149.86 96.52) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid fde64da9-7753-426b-b844-fdf38fdc7c8e) + ) + + (bus (pts (xy 204.47 66.04) (xy 203.2 66.04)) + (stroke (width 0) (type default)) + (uuid 0208e752-32ac-4062-a9f7-47d9dba9cee6) + ) + + (wire (pts (xy 88.9 76.2) (xy 99.06 76.2)) + (stroke (width 0) (type default)) + (uuid 023cd493-8c93-4ded-a106-ff4fe8133e44) + ) + (wire (pts (xy 139.7 101.6) (xy 149.86 101.6)) + (stroke (width 0) (type default)) + (uuid 03e26b3a-7fc7-4d99-8bf2-bba0b5ba8d66) + ) + (wire (pts (xy 139.7 114.3) (xy 149.86 114.3)) + (stroke (width 0) (type default)) + (uuid 0947e159-76a4-41a4-a5a8-90d477db55ef) + ) + (bus (pts (xy 101.6 76.2) (xy 102.87 76.2)) + (stroke (width 0) (type default)) + (uuid 0c76c12a-a886-4377-8c90-30d6bd6571de) + ) + + (wire (pts (xy 190.5 78.74) (xy 200.66 78.74)) + (stroke (width 0) (type default)) + (uuid 0c8830c9-f6f9-4e20-bfdc-5033ee71df18) + ) + (wire (pts (xy 139.7 83.82) (xy 149.86 83.82)) + (stroke (width 0) (type default)) + (uuid 0fcb6a79-90b8-4680-9fdb-c62caaf34f71) + ) + (bus (pts (xy 203.2 76.2) (xy 204.47 76.2)) + (stroke (width 0) (type default)) + (uuid 103a8866-4449-40fe-af10-b2c6c1e2a925) + ) + (bus (pts (xy 101.6 68.58) (xy 101.6 71.12)) + (stroke (width 0) (type default)) + (uuid 115c195f-a401-4229-a29b-17d9b2dea060) + ) + (bus (pts (xy 152.4 81.28) (xy 152.4 78.74)) + (stroke (width 0) (type default)) + (uuid 1207693c-3783-459a-99ca-44c44ed4ef9c) + ) + + (wire (pts (xy 139.7 127) (xy 149.86 127)) + (stroke (width 0) (type default)) + (uuid 120b8fd0-6e6a-4678-bbac-6950825e20cb) + ) + (bus (pts (xy 203.2 104.14) (xy 203.2 101.6)) + (stroke (width 0) (type default)) + (uuid 15c427db-ce87-4d35-a131-f93f590366e1) + ) + (bus (pts (xy 152.4 78.74) (xy 152.4 76.2)) + (stroke (width 0) (type default)) + (uuid 16804129-9a7f-47fd-9750-20ef49194833) + ) + + (wire (pts (xy -8.89 147.32) (xy 1.27 147.32)) + (stroke (width 0) (type default)) + (uuid 16b6fbae-c32b-4bab-9d3f-1cbef33ab6df) + ) + (wire (pts (xy 139.7 66.04) (xy 149.86 66.04)) + (stroke (width 0) (type default)) + (uuid 189fb144-452d-483b-a4c3-be5d725c85c0) + ) + (bus (pts (xy 101.6 81.28) (xy 101.6 78.74)) + (stroke (width 0) (type default)) + (uuid 1a672dc7-30cf-4b00-b48a-f1388d3c6995) + ) + + (wire (pts (xy 190.5 119.38) (xy 200.66 119.38)) + (stroke (width 0) (type default)) + (uuid 1b210944-39cc-442b-b794-b0d95a11afac) + ) + (bus (pts (xy 203.2 124.46) (xy 203.2 127)) + (stroke (width 0) (type default)) + (uuid 1f9ca83f-7e42-4ac3-9912-9b70fb0abbd1) + ) + + (wire (pts (xy 190.5 106.68) (xy 200.66 106.68)) + (stroke (width 0) (type default)) + (uuid 20da7f29-7423-4629-8ac8-c9def7f51faf) + ) + (wire (pts (xy 190.5 96.52) (xy 200.66 96.52)) + (stroke (width 0) (type default)) + (uuid 20dccaae-fcd4-49b4-afdd-314d91aa0720) + ) + (bus (pts (xy 152.4 104.14) (xy 152.4 101.6)) + (stroke (width 0) (type default)) + (uuid 21faef81-e3cf-46f0-ab47-81e1b9725090) + ) + + (wire (pts (xy 190.5 114.3) (xy 200.66 114.3)) + (stroke (width 0) (type default)) + (uuid 22708aff-6c35-4a1b-a100-c5ad1cb757a5) + ) + (wire (pts (xy 88.9 111.76) (xy 99.06 111.76)) + (stroke (width 0) (type default)) + (uuid 2418c228-01f1-4f7e-a394-673013f1f7ea) + ) + (wire (pts (xy 139.7 88.9) (xy 149.86 88.9)) + (stroke (width 0) (type default)) + (uuid 2a085530-16d6-47cd-9eff-4eb91dc895b1) + ) + (wire (pts (xy 88.9 106.68) (xy 99.06 106.68)) + (stroke (width 0) (type default)) + (uuid 2a2bf238-1103-462c-b4de-43d45b9c3f04) + ) + (wire (pts (xy 139.7 104.14) (xy 149.86 104.14)) + (stroke (width 0) (type default)) + (uuid 2be7d295-e58a-4ec6-9b05-3a0952e26d5b) + ) + (wire (pts (xy 139.7 73.66) (xy 149.86 73.66)) + (stroke (width 0) (type default)) + (uuid 2ee6ff2b-89d7-4831-9280-4c13d7f31ae3) + ) + (wire (pts (xy 88.9 109.22) (xy 99.06 109.22)) + (stroke (width 0) (type default)) + (uuid 2f7ac44a-7d3e-4779-aad5-e755f5380240) + ) + (wire (pts (xy 149.86 68.58) (xy 152.4 68.58)) + (stroke (width 0) (type default)) + (uuid 2fccbd7d-ef06-4c03-8112-289c9b7b48fa) + ) + (bus (pts (xy 101.6 86.36) (xy 101.6 83.82)) + (stroke (width 0) (type default)) + (uuid 300f7b27-adf0-499e-b14f-5724e33768c3) + ) + (bus (pts (xy 203.2 129.54) (xy 203.2 127)) + (stroke (width 0) (type default)) + (uuid 31aab9e0-a9f3-4d16-b740-e77d376145a7) + ) + + (wire (pts (xy 139.7 81.28) (xy 149.86 81.28)) + (stroke (width 0) (type default)) + (uuid 32a12b36-632e-46f5-84b6-bce653ec41d2) + ) + (bus (pts (xy 203.2 86.36) (xy 203.2 83.82)) + (stroke (width 0) (type default)) + (uuid 32c530a3-bbfe-48bc-86b4-314bee5cd0df) + ) + (bus (pts (xy 101.6 124.46) (xy 101.6 127)) + (stroke (width 0) (type default)) + (uuid 3464a78b-b742-445b-b51a-6e16bfc423fe) + ) + (bus (pts (xy 203.2 119.38) (xy 203.2 116.84)) + (stroke (width 0) (type default)) + (uuid 37d90d0e-59f0-4c0d-adda-40a0afc1fee0) + ) + (bus (pts (xy 101.6 101.6) (xy 101.6 99.06)) + (stroke (width 0) (type default)) + (uuid 3891888d-f2e4-44ac-be18-aecfcc262778) + ) + (bus (pts (xy 203.2 81.28) (xy 203.2 78.74)) + (stroke (width 0) (type default)) + (uuid 39b17f80-38e5-4c7f-8675-0aca82b97aa7) + ) + + (wire (pts (xy 190.5 88.9) (xy 200.66 88.9)) + (stroke (width 0) (type default)) + (uuid 39d663ce-18c6-45ec-b86b-d3f98f5c7d6b) + ) + (wire (pts (xy 190.5 93.98) (xy 200.66 93.98)) + (stroke (width 0) (type default)) + (uuid 3bce03ef-1e53-43ce-a126-2f8b73c3b91e) + ) + (bus (pts (xy 152.4 101.6) (xy 152.4 99.06)) + (stroke (width 0) (type default)) + (uuid 3c1e573f-6b6e-4f85-9c46-f7c7fa1e2b18) + ) + + (wire (pts (xy 139.7 121.92) (xy 149.86 121.92)) + (stroke (width 0) (type default)) + (uuid 3d946dc2-26fd-4a1f-bb9d-b048f8b5fe73) + ) + (wire (pts (xy 190.5 109.22) (xy 200.66 109.22)) + (stroke (width 0) (type default)) + (uuid 3e333873-da7e-4753-92ac-2cc2d66b573b) + ) + (wire (pts (xy 139.7 63.5) (xy 149.86 63.5)) + (stroke (width 0) (type default)) + (uuid 3f970207-02ef-4115-96f5-ae3839c65203) + ) + (bus (pts (xy 204.47 106.68) (xy 203.2 106.68)) + (stroke (width 0) (type default)) + (uuid 4017e123-41e8-42a0-8f45-99ad6e3e0716) + ) + (bus (pts (xy 152.4 109.22) (xy 153.67 109.22)) + (stroke (width 0) (type default)) + (uuid 418e6f0b-d1ac-49fe-9725-16e3a4b3a8d7) + ) + + (wire (pts (xy 190.5 121.92) (xy 200.66 121.92)) + (stroke (width 0) (type default)) + (uuid 422a7442-aaf9-44d2-9919-f3748df84ffe) + ) + (wire (pts (xy 139.7 71.12) (xy 149.86 71.12)) + (stroke (width 0) (type default)) + (uuid 42481abc-b3e9-42b2-97f2-11705ec6f064) + ) + (bus (pts (xy 152.4 116.84) (xy 153.67 116.84)) + (stroke (width 0) (type default)) + (uuid 44564865-902f-458d-a447-b7fbfd7604ff) + ) + (bus (pts (xy 101.6 119.38) (xy 101.6 121.92)) + (stroke (width 0) (type default)) + (uuid 44f52dba-0cf6-4b4c-a230-3084b4863dce) + ) + + (wire (pts (xy 88.9 132.08) (xy 96.52 132.08)) + (stroke (width 0) (type default)) + (uuid 4632caf9-4544-498a-8474-954731d01ef3) + ) + (bus (pts (xy 101.6 88.9) (xy 101.6 86.36)) + (stroke (width 0) (type default)) + (uuid 4666adab-210b-43db-9b46-e2178d6d11fb) + ) + (bus (pts (xy 203.2 91.44) (xy 203.2 88.9)) + (stroke (width 0) (type default)) + (uuid 470dcadf-9e62-412a-925e-75c05aed8d86) + ) + (bus (pts (xy 101.6 93.98) (xy 101.6 91.44)) + (stroke (width 0) (type default)) + (uuid 479b2903-2d3a-430d-a137-2ec1ab8e65b3) + ) + + (wire (pts (xy 190.5 66.04) (xy 200.66 66.04)) + (stroke (width 0) (type default)) + (uuid 4a772c3f-cbac-4fb1-9606-9bc6b69bd6bb) + ) + (bus (pts (xy 152.4 114.3) (xy 152.4 111.76)) + (stroke (width 0) (type default)) + (uuid 4b230e21-b1c6-43ce-a0dc-c1b14840679c) + ) + + (wire (pts (xy 88.9 127) (xy 99.06 127)) + (stroke (width 0) (type default)) + (uuid 4c33d710-21f4-472a-99fd-b9b143425a9c) + ) + (wire (pts (xy 139.7 99.06) (xy 149.86 99.06)) + (stroke (width 0) (type default)) + (uuid 51eac9ab-c029-485f-b935-e242ea580530) + ) + (bus (pts (xy 203.2 96.52) (xy 204.47 96.52)) + (stroke (width 0) (type default)) + (uuid 5325ffc1-1bc6-4f02-b945-8650c8cf2576) + ) + (bus (pts (xy 203.2 83.82) (xy 203.2 81.28)) + (stroke (width 0) (type default)) + (uuid 53b6e002-7e64-47f7-bf9c-9b70b29b9113) + ) + + (wire (pts (xy 190.5 124.46) (xy 200.66 124.46)) + (stroke (width 0) (type default)) + (uuid 5819cffe-fc0e-4ce4-a096-e1002475d458) + ) + (bus (pts (xy 101.6 99.06) (xy 102.87 99.06)) + (stroke (width 0) (type default)) + (uuid 585e5052-c511-44da-b328-eed6214aec3d) + ) + (bus (pts (xy 101.6 116.84) (xy 102.87 116.84)) + (stroke (width 0) (type default)) + (uuid 58c9da2c-b763-4cc6-80f6-5e4256e60a52) + ) + (bus (pts (xy 152.4 88.9) (xy 152.4 86.36)) + (stroke (width 0) (type default)) + (uuid 593fe817-2dad-4ef2-a837-e1c6b432aad3) + ) + (bus (pts (xy 203.2 119.38) (xy 203.2 121.92)) + (stroke (width 0) (type default)) + (uuid 5be9b1b3-6a11-4f0f-8792-e4690edb3f6b) + ) + + (wire (pts (xy 190.5 104.14) (xy 200.66 104.14)) + (stroke (width 0) (type default)) + (uuid 5c9fd758-c502-4e65-9731-1a4121400360) + ) + (wire (pts (xy 190.5 116.84) (xy 200.66 116.84)) + (stroke (width 0) (type default)) + (uuid 5dc632c7-f807-4c3f-9963-a8e2281bdd17) + ) + (bus (pts (xy 153.67 66.04) (xy 152.4 66.04)) + (stroke (width 0) (type default)) + (uuid 6364480c-2f4e-4763-b9d6-8c3a0ceb2c9f) + ) + (bus (pts (xy 101.6 119.38) (xy 101.6 116.84)) + (stroke (width 0) (type default)) + (uuid 64991c88-1c03-40ae-97ac-77e846297668) + ) + (bus (pts (xy 101.6 104.14) (xy 101.6 101.6)) + (stroke (width 0) (type default)) + (uuid 65034f46-0bbf-4014-a795-9bdfa2de6f0a) + ) + (bus (pts (xy 203.2 93.98) (xy 203.2 91.44)) + (stroke (width 0) (type default)) + (uuid 66dc1da5-14e6-4a50-a9a5-797af5026c88) + ) + + (wire (pts (xy 139.7 78.74) (xy 149.86 78.74)) + (stroke (width 0) (type default)) + (uuid 6b18fd0d-bcd1-4b9a-9e5e-25df3c445f52) + ) + (bus (pts (xy 152.4 86.36) (xy 152.4 83.82)) + (stroke (width 0) (type default)) + (uuid 6b8d24bc-4797-43dd-b9ac-52720e04eee1) + ) + + (wire (pts (xy 190.5 99.06) (xy 200.66 99.06)) + (stroke (width 0) (type default)) + (uuid 6bd0c405-8abf-4d85-a090-fd62fc4c987b) + ) + (wire (pts (xy -39.37 152.4) (xy -29.21 152.4)) + (stroke (width 0) (type default)) + (uuid 6e5a8bfa-37cd-4da9-b5f9-4470ca082c2e) + ) + (wire (pts (xy -8.89 152.4) (xy 1.27 152.4)) + (stroke (width 0) (type default)) + (uuid 6e994514-5cee-4b4a-b973-d1f000e544ec) + ) + (wire (pts (xy -49.53 147.32) (xy -39.37 147.32)) + (stroke (width 0) (type default)) + (uuid 6ece4096-a124-4d9d-84fc-22fa3d92b6c1) + ) + (wire (pts (xy 139.7 116.84) (xy 149.86 116.84)) + (stroke (width 0) (type default)) + (uuid 7060c93d-046c-4843-be3c-f97333f4cf95) + ) + (bus (pts (xy 152.4 91.44) (xy 152.4 88.9)) + (stroke (width 0) (type default)) + (uuid 746b9168-d8f1-437c-ac68-9ea0f2328271) + ) + + (wire (pts (xy 190.5 81.28) (xy 200.66 81.28)) + (stroke (width 0) (type default)) + (uuid 76794b4b-5470-41aa-81cb-2624e1a3e9d1) + ) + (bus (pts (xy 203.2 109.22) (xy 203.2 111.76)) + (stroke (width 0) (type default)) + (uuid 7754c851-74f7-4784-9625-dcea6698f2ff) + ) + + (wire (pts (xy 88.9 104.14) (xy 99.06 104.14)) + (stroke (width 0) (type default)) + (uuid 7d65049d-577c-4c91-8bbf-70d034420975) + ) + (wire (pts (xy 190.5 63.5) (xy 200.66 63.5)) + (stroke (width 0) (type default)) + (uuid 82003708-a48e-4403-be21-101a313ebd47) + ) + (wire (pts (xy -29.21 147.32) (xy -19.05 147.32)) + (stroke (width 0) (type default)) + (uuid 82f4a4fc-e8ae-48c3-95fd-85eea7997358) + ) + (wire (pts (xy 190.5 91.44) (xy 200.66 91.44)) + (stroke (width 0) (type default)) + (uuid 836d2cf2-9a94-4ddd-b1b5-f6bf3c3cd354) + ) + (wire (pts (xy 88.9 83.82) (xy 99.06 83.82)) + (stroke (width 0) (type default)) + (uuid 84031818-45d3-4b2e-8951-e94e412b14cb) + ) + (wire (pts (xy 88.9 86.36) (xy 99.06 86.36)) + (stroke (width 0) (type default)) + (uuid 865187bb-ebf8-49c4-a00e-6b753c7ef228) + ) + (wire (pts (xy 139.7 111.76) (xy 149.86 111.76)) + (stroke (width 0) (type default)) + (uuid 87f06d64-5168-4993-b9db-68a9f82419f5) + ) + (wire (pts (xy 88.9 121.92) (xy 99.06 121.92)) + (stroke (width 0) (type default)) + (uuid 885ce8c8-1948-4ea9-8ac1-cebe27a34cf9) + ) + (bus (pts (xy 152.4 93.98) (xy 152.4 91.44)) + (stroke (width 0) (type default)) + (uuid 89766757-5a1b-4989-830c-95494afab336) + ) + + (wire (pts (xy 149.86 71.12) (xy 149.86 68.58)) + (stroke (width 0) (type default)) + (uuid 89c54791-08f5-49fe-a0de-4656ca64783f) + ) + (wire (pts (xy 190.5 83.82) (xy 200.66 83.82)) + (stroke (width 0) (type default)) + (uuid 8a3bbc56-e60d-48ef-ac1a-3899b108c7db) + ) + (wire (pts (xy 88.9 119.38) (xy 99.06 119.38)) + (stroke (width 0) (type default)) + (uuid 8b4adb55-b8bb-4b77-ba4a-6e4cb5c5ef52) + ) + (bus (pts (xy 101.6 121.92) (xy 101.6 124.46)) + (stroke (width 0) (type default)) + (uuid 8c0fb38a-0266-40e3-818c-d00b7054ac45) + ) + (bus (pts (xy 152.4 119.38) (xy 152.4 121.92)) + (stroke (width 0) (type default)) + (uuid 8d3d4e9a-90e3-4538-aae4-2445699a8bd9) + ) + (bus (pts (xy 203.2 121.92) (xy 203.2 124.46)) + (stroke (width 0) (type default)) + (uuid 8e57acc5-822b-4c95-a879-9a7528422ad9) + ) + (bus (pts (xy 204.47 68.58) (xy 203.2 68.58)) + (stroke (width 0) (type default)) + (uuid 8f80f81a-8003-4dba-8e1d-82fe638c3b6c) + ) + (bus (pts (xy 153.67 106.68) (xy 152.4 106.68)) + (stroke (width 0) (type default)) + (uuid 8fb9349b-71ef-48f2-8d06-64ce9229b558) + ) + (bus (pts (xy 152.4 99.06) (xy 153.67 99.06)) + (stroke (width 0) (type default)) + (uuid 8ff4c2c4-5fd6-4499-82ed-a54d9b56a18e) + ) + + (wire (pts (xy 139.7 76.2) (xy 149.86 76.2)) + (stroke (width 0) (type default)) + (uuid 90193717-1fb3-4aba-91c6-ad471238dfd5) + ) + (wire (pts (xy 139.7 60.96) (xy 139.7 58.42)) + (stroke (width 0) (type default)) + (uuid 90f744e0-7ed4-49b1-800a-356370f8ce0c) + ) + (bus (pts (xy 101.6 78.74) (xy 101.6 76.2)) + (stroke (width 0) (type default)) + (uuid 97e34abb-06a4-4a72-a4ed-ade89995186c) + ) + (bus (pts (xy 203.2 109.22) (xy 204.47 109.22)) + (stroke (width 0) (type default)) + (uuid 98cca868-07e2-4ee5-8b36-b73f0e22fa25) + ) + + (wire (pts (xy 88.9 124.46) (xy 99.06 124.46)) + (stroke (width 0) (type default)) + (uuid 9af861a5-b5d4-4788-ae6b-c1bbda513db4) + ) + (wire (pts (xy 88.9 81.28) (xy 99.06 81.28)) + (stroke (width 0) (type default)) + (uuid 9b4f604d-e174-48d0-945d-8ad5b5c13c78) + ) + (bus (pts (xy 152.4 76.2) (xy 153.67 76.2)) + (stroke (width 0) (type default)) + (uuid 9c467168-81f3-4001-9e35-3081e4f3c78d) + ) + + (wire (pts (xy 139.7 109.22) (xy 149.86 109.22)) + (stroke (width 0) (type default)) + (uuid a59541df-5da1-4ea1-bbae-4b65d3d774c8) + ) + (wire (pts (xy 190.5 101.6) (xy 200.66 101.6)) + (stroke (width 0) (type default)) + (uuid a595c46a-2503-4298-ba65-223dec093bd8) + ) + (wire (pts (xy 88.9 116.84) (xy 99.06 116.84)) + (stroke (width 0) (type default)) + (uuid a77df4cb-85a0-41a7-bf34-b7452afaf232) + ) + (bus (pts (xy 203.2 88.9) (xy 203.2 86.36)) + (stroke (width 0) (type default)) + (uuid a7837af0-be71-45ac-ae1d-afd6f1cad4bb) + ) + (bus (pts (xy 101.6 114.3) (xy 101.6 111.76)) + (stroke (width 0) (type default)) + (uuid a7bf1f1e-d729-4fd7-9d68-e7ae44f503c5) + ) + (bus (pts (xy 203.2 116.84) (xy 204.47 116.84)) + (stroke (width 0) (type default)) + (uuid aa959694-9021-4a5e-8cb9-3354f11c6504) + ) + + (wire (pts (xy 88.9 91.44) (xy 99.06 91.44)) + (stroke (width 0) (type default)) + (uuid acc3fc69-fba7-431d-b7a1-24dbef639ac4) + ) + (bus (pts (xy 152.4 121.92) (xy 152.4 124.46)) + (stroke (width 0) (type default)) + (uuid aea65bf4-43af-46b8-b88c-f2abaa036a9c) + ) + (bus (pts (xy 152.4 111.76) (xy 152.4 109.22)) + (stroke (width 0) (type default)) + (uuid b0ca14ed-d5e4-4089-8fd6-0813050cf572) + ) + (bus (pts (xy 102.87 68.58) (xy 101.6 68.58)) + (stroke (width 0) (type default)) + (uuid b14fb7a1-c073-4f0b-906e-4ab0886bb657) + ) + + (wire (pts (xy 190.5 76.2) (xy 200.66 76.2)) + (stroke (width 0) (type default)) + (uuid b1f4dc45-a833-4691-b8bc-9f4bdb18c892) + ) + (bus (pts (xy 203.2 114.3) (xy 203.2 111.76)) + (stroke (width 0) (type default)) + (uuid b6eb8da0-cdf4-4d51-bd81-07298927694c) + ) + + (wire (pts (xy 88.9 78.74) (xy 99.06 78.74)) + (stroke (width 0) (type default)) + (uuid b70bfec4-c8fb-4ba7-b829-76eb6776c7d9) + ) + (wire (pts (xy 1.27 152.4) (xy 11.43 152.4)) + (stroke (width 0) (type default)) + (uuid b79ac329-e39e-4ac7-b54c-1ad2b500a3e5) + ) + (wire (pts (xy 88.9 96.52) (xy 99.06 96.52)) + (stroke (width 0) (type default)) + (uuid b7e89a44-d248-40ba-84d4-c6bdbf704b20) + ) + (bus (pts (xy 152.4 124.46) (xy 152.4 127)) + (stroke (width 0) (type default)) + (uuid b8d06b55-c4e7-4400-b5eb-2686445eb702) + ) + + (wire (pts (xy 149.86 68.58) (xy 149.86 66.04)) + (stroke (width 0) (type default)) + (uuid baacdfff-ec9d-42ca-9562-6d72380cbb5b) + ) + (bus (pts (xy 101.6 129.54) (xy 101.6 127)) + (stroke (width 0) (type default)) + (uuid bea3eae5-c6b7-4fef-947f-5502d882ed94) + ) + + (wire (pts (xy 88.9 73.66) (xy 99.06 73.66)) + (stroke (width 0) (type default)) + (uuid bf4813ec-0cad-45fc-9c5e-e40cc673596f) + ) + (wire (pts (xy 139.7 91.44) (xy 149.86 91.44)) + (stroke (width 0) (type default)) + (uuid c0159e9a-ae04-4b70-90b3-3d4d99d89266) + ) + (wire (pts (xy 190.5 127) (xy 200.66 127)) + (stroke (width 0) (type default)) + (uuid c6b733fe-60b4-41bf-b08b-84a7730cd0cd) + ) + (wire (pts (xy 139.7 86.36) (xy 149.86 86.36)) + (stroke (width 0) (type default)) + (uuid c7310d78-c834-44c5-9fd1-81166f6f0388) + ) + (bus (pts (xy 152.4 129.54) (xy 152.4 127)) + (stroke (width 0) (type default)) + (uuid c8a84800-be83-4347-a42a-be26371b677c) + ) + + (wire (pts (xy -49.53 152.4) (xy -39.37 152.4)) + (stroke (width 0) (type default)) + (uuid c8c9182a-1824-4da5-ba55-41924764c86a) + ) + (wire (pts (xy 11.43 147.32) (xy 21.59 147.32)) + (stroke (width 0) (type default)) + (uuid cb446216-4709-45c2-9cac-347958490731) + ) + (wire (pts (xy 88.9 99.06) (xy 99.06 99.06)) + (stroke (width 0) (type default)) + (uuid ccc2cdcd-1c86-4e2f-a896-eb9b44ca8d3b) + ) + (bus (pts (xy 203.2 78.74) (xy 203.2 76.2)) + (stroke (width 0) (type default)) + (uuid cd27af4a-1001-472c-903a-b951de4a740c) + ) + + (wire (pts (xy 88.9 101.6) (xy 99.06 101.6)) + (stroke (width 0) (type default)) + (uuid ce1c1373-3cac-4612-afe2-f6109e2c67c2) + ) + (wire (pts (xy 88.9 88.9) (xy 99.06 88.9)) + (stroke (width 0) (type default)) + (uuid ce6ec256-5c47-4a48-ac9b-403ad411ebcf) + ) + (wire (pts (xy 88.9 68.58) (xy 99.06 68.58)) + (stroke (width 0) (type default)) + (uuid cec9cf6f-7faf-4e4c-9202-1a7202f9377f) + ) + (wire (pts (xy 88.9 66.04) (xy 99.06 66.04)) + (stroke (width 0) (type default)) + (uuid cf7125ec-aa51-4e45-8165-1595bcbae12b) + ) + (bus (pts (xy 101.6 91.44) (xy 101.6 88.9)) + (stroke (width 0) (type default)) + (uuid d22907f3-f82c-46c3-a1d7-5ff90f4d1de6) + ) + (bus (pts (xy 203.2 101.6) (xy 203.2 99.06)) + (stroke (width 0) (type default)) + (uuid d34a4dd2-e731-4c56-97c7-faf31ee6f948) + ) + + (wire (pts (xy 190.5 111.76) (xy 200.66 111.76)) + (stroke (width 0) (type default)) + (uuid db3d3ecc-153a-41ec-8bbb-0fa633447d4d) + ) + (wire (pts (xy 190.5 86.36) (xy 200.66 86.36)) + (stroke (width 0) (type default)) + (uuid dbded0bb-c0a7-4256-8b23-75585a63abe3) + ) + (bus (pts (xy 152.4 119.38) (xy 152.4 116.84)) + (stroke (width 0) (type default)) + (uuid ddbb37ca-d1d0-4745-bc9e-736ab4e8a8c2) + ) + (bus (pts (xy 203.2 99.06) (xy 203.2 96.52)) + (stroke (width 0) (type default)) + (uuid dea60dc5-a9eb-4a8e-87c4-fc3cb0cd4f97) + ) + + (wire (pts (xy 147.32 60.96) (xy 139.7 60.96)) + (stroke (width 0) (type default)) + (uuid dfa75390-60c1-4381-849d-bfd75a1b08a6) + ) + (wire (pts (xy 139.7 119.38) (xy 149.86 119.38)) + (stroke (width 0) (type default)) + (uuid dfdd90ae-edce-4fae-899d-c45abffc0b1b) + ) + (wire (pts (xy 139.7 124.46) (xy 149.86 124.46)) + (stroke (width 0) (type default)) + (uuid e17092e6-beb9-402b-b1ac-41d2e0c92e1a) + ) + (wire (pts (xy 139.7 96.52) (xy 149.86 96.52)) + (stroke (width 0) (type default)) + (uuid e420d027-28e7-43f7-b2d9-a306edb413ab) + ) + (bus (pts (xy 102.87 109.22) (xy 101.6 109.22)) + (stroke (width 0) (type default)) + (uuid e8daba06-e9bd-4de7-aa46-a46649d48709) + ) + (bus (pts (xy 101.6 106.68) (xy 101.6 104.14)) + (stroke (width 0) (type default)) + (uuid ea42dff0-8620-4a99-b99c-22602aca4501) + ) + + (wire (pts (xy 139.7 106.68) (xy 149.86 106.68)) + (stroke (width 0) (type default)) + (uuid eba12873-57dd-4ab5-a577-1d1f66afc22f) + ) + (bus (pts (xy 101.6 83.82) (xy 101.6 81.28)) + (stroke (width 0) (type default)) + (uuid ed39bab3-7874-4408-9c83-afcbe3ee139c) + ) + + (wire (pts (xy 190.5 73.66) (xy 200.66 73.66)) + (stroke (width 0) (type default)) + (uuid ed5436e9-8672-44e3-b0d3-4ebe82f73428) + ) + (wire (pts (xy -29.21 152.4) (xy -19.05 152.4)) + (stroke (width 0) (type default)) + (uuid ed7d1c25-ab59-4bf8-890f-c1f109e539af) + ) + (bus (pts (xy 152.4 83.82) (xy 152.4 81.28)) + (stroke (width 0) (type default)) + (uuid f035e200-35e0-4b8b-9bd3-dfef548fa304) + ) + + (wire (pts (xy -19.05 152.4) (xy -8.89 152.4)) + (stroke (width 0) (type default)) + (uuid f0adb14b-b541-408d-8494-d387a8aa7695) + ) + (wire (pts (xy 11.43 152.4) (xy 21.59 152.4)) + (stroke (width 0) (type default)) + (uuid fbacd44e-4f94-46f8-b7ff-dc394e519997) + ) + (bus (pts (xy 101.6 111.76) (xy 102.87 111.76)) + (stroke (width 0) (type default)) + (uuid fbae331e-e00c-4897-9f50-08d5ffb21275) + ) + + (wire (pts (xy 88.9 114.3) (xy 99.06 114.3)) + (stroke (width 0) (type default)) + (uuid ff635a88-b59c-4cd8-8d3b-aba5d85b7aa1) + ) + + (label "A11" (at 88.9 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 028fce53-5308-481d-b97d-b7a388cc8a01) + ) + (label "D9" (at 139.7 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 099c9e2d-8565-4885-869a-b838c9a18d48) + ) + (label "A17" (at 139.7 127 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0b53112a-732f-461c-89c3-5a1e37265868) + ) + (label "A19" (at 190.5 127 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0c2e5354-a432-4e1b-8a6d-5c2c14b9e0b3) + ) + (label "FC0" (at 88.9 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2248208e-e184-4dc6-8e4f-3af2a8089413) + ) + (label "~{IPL}1" (at 190.5 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 22a18471-362c-481e-bbbe-e59b78c7943d) + ) + (label "D3" (at 139.7 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2dbdb7ca-eee2-46bd-acf7-cf4b944df2cc) + ) + (label "D13" (at 88.9 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 320197e3-2215-4beb-8aa7-3c7f08a07770) + ) + (label "D18" (at 139.7 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 33e6011c-3a68-475c-b5ed-0e59c6ba5b7f) + ) + (label "D11" (at 190.5 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3a8bc22d-df0c-4ab4-b3c9-df040183d675) + ) + (label "A2" (at 139.7 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3aaca8b3-cb46-4780-9b6c-bdd6dfbacb68) + ) + (label "D27" (at 139.7 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 40119825-8f30-45a1-bf75-3d400b533e82) + ) + (label "D16" (at 88.9 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 40355ed4-644c-4ada-ae18-a0b9ea6bbd21) + ) + (label "A9" (at 88.9 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 41d0d97d-a57b-4fe1-8e3a-f5f74ba3e2d6) + ) + (label "D26" (at 190.5 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 452990de-98f5-41ea-94e4-7e59302a05ba) + ) + (label "A18" (at 88.9 127 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5907fd2c-b681-4a34-b309-e8f9f838dff9) + ) + (label "~{IPL}0" (at 88.9 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5945e190-2e48-4ff1-a930-e462d7f41717) + ) + (label "A6" (at 88.9 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5a60b7bc-4765-4044-9601-b97b473f9114) + ) + (label "SIZ0" (at 190.5 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 678a44db-c8a1-41bb-965c-138dd9cd409f) + ) + (label "D23" (at 190.5 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 67b4aa97-b4b8-401e-8681-40c3e81f8cdd) + ) + (label "A26" (at 88.9 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6df06ffc-1ba3-4992-a578-3d9d82ff0cdd) + ) + (label "D0" (at 139.7 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7069a3bb-b5c5-423a-acb1-a87c10436807) + ) + (label "A25" (at 139.7 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 75b5f32e-23fb-4787-ad17-c3764aca0c7d) + ) + (label "A31" (at 139.7 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 76228403-4d36-4301-9525-7be2f397c4cf) + ) + (label "A7" (at 190.5 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7692cfc9-5bb5-477f-96bb-f500995791b8) + ) + (label "D8" (at 190.5 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 78257752-562e-4120-a25b-4c6b2deced49) + ) + (label "D19" (at 88.9 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7a5c2ccd-ac89-4cda-a927-8664766810c9) + ) + (label "D15" (at 139.7 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 84795f6e-e65a-4b89-be33-fc99124e8a38) + ) + (label "D17" (at 190.5 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 857eed6c-95d2-4fb2-bf94-0f8448646858) + ) + (label "FC1" (at 190.5 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8956064a-1850-41c8-9fb0-d45474b5a0f5) + ) + (label "A8" (at 139.7 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8a9a0ca1-c25a-4530-99b7-034a753169fb) + ) + (label "A1" (at 90.17 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8ae906de-cd36-4c29-a086-474072b05d77) + ) + (label "D12" (at 139.7 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8b47610a-10dd-4f55-84f4-50e2dd318c5b) + ) + (label "A12" (at 139.7 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8d4a07df-206f-4dd2-90ec-69e1c2f91dcc) + ) + (label "A24" (at 190.5 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 98e0d9c1-b8a3-4699-ba48-9b23edf3507c) + ) + (label "A4" (at 88.9 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9b644473-86d9-4e90-ace5-5850f2f209f6) + ) + (label "D7" (at 190.5 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9dcbd992-05ae-4091-ae13-82e6626fa914) + ) + (label "FC2" (at 88.9 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9f2fc11a-8b75-4f3d-b8ca-32c2bcd56e1d) + ) + (label "A14" (at 190.5 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a089bd96-0544-40a3-b95d-6e50c6451a3b) + ) + (label "SIZ1" (at 139.7 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a1762e05-2f41-452e-9665-59256d3528b3) + ) + (label "D25" (at 88.9 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ac13633a-7174-46aa-aedf-f4673fa47eb9) + ) + (label "A27" (at 190.5 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ac5ae717-13f0-4d64-9b74-ac70691d79d3) + ) + (label "A13" (at 139.7 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b823d62f-f0fe-4d0d-bc3a-b479ec3ba032) + ) + (label "D5" (at 139.7 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b846c9d5-fd06-4d53-9759-ec6bd4070af5) + ) + (label "A21" (at 190.5 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bb68e12f-a4e6-4acb-98f9-f29802a549ac) + ) + (label "A10" (at 190.5 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bfdff7c3-fed5-4e6c-9ce0-4023952189cf) + ) + (label "A22" (at 139.7 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c39e4634-e7d9-4395-92d6-7d2fd08cd727) + ) + (label "A20" (at 88.9 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c3e7d2f9-e293-42df-86fd-059f9d270a74) + ) + (label "D22" (at 88.9 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c4f3ce76-dc43-471c-a316-c624fef83f38) + ) + (label "D29" (at 190.5 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c5d09772-b381-482b-8e22-6bad2e27d2d2) + ) + (label "D21" (at 139.7 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c5dffa46-2411-498a-b2cb-7ac3f6e74bb7) + ) + (label "D10" (at 88.9 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c8db2461-9cd4-4bf9-bac6-be0d6f22b69b) + ) + (label "D30" (at 139.7 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c9df704a-4c73-472c-979c-c2ca4c612216) + ) + (label "D2" (at 88.9 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d5c9266b-7139-476f-94b8-1d592f9b74fb) + ) + (label "A15" (at 139.7 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d9605c74-7b72-44c2-b80e-0c5272af146e) + ) + (label "A23" (at 88.9 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid da8e2f48-8a2a-404f-9c45-8b313d735a37) + ) + (label "D14" (at 190.5 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid daa8b7b3-f3c5-4813-aaff-714550709ae3) + ) + (label "D20" (at 190.5 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dcf110e7-4608-4a66-9039-0b93060ac88b) + ) + (label "A0" (at 190.5 93.98 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid de969981-8036-4aa5-b590-0ce4c62b5196) + ) + (label "~{IPL}2" (at 139.7 104.14 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ded13dde-8f09-4812-b388-b5bc39c037ee) + ) + (label "D28" (at 88.9 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid df3c4e40-3343-4a5d-baeb-01a9330eeb88) + ) + (label "D1" (at 88.9 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dff143d1-e2d8-45ff-9a25-725dc92a1af4) + ) + (label "D24" (at 139.7 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e19ad655-9ba5-4374-8989-d4359b785842) + ) + (label "D31" (at 88.9 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ef9cf2a7-54aa-4b3f-b9a3-d55d4f67fb9d) + ) + (label "D6" (at 190.5 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f07809f3-131f-4bb6-99e6-1648e8921cd8) + ) + (label "D4" (at 190.5 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f45c9175-c73c-4183-a3ce-157d97c6cecf) + ) + (label "A16" (at 88.9 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f627b421-7b52-4148-9eae-63052b9eba5a) + ) + (label "A5" (at 190.5 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fa7e95c9-e39f-413e-ad50-674cf9ecb9c6) + ) + (label "A3" (at 190.5 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fe98309d-8c0f-45a2-8ce7-0ae9d85ad39c) + ) + + (hierarchical_label "~{RMC}" (shape tri_state) (at 88.9 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 00e3a6b8-8ba4-4416-a131-ceb99270b360) + ) + (hierarchical_label "~{DS}" (shape tri_state) (at 190.5 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 01307c85-b4dd-4b99-ac81-fb61bebe52d6) + ) + (hierarchical_label "FC[2..0]" (shape bidirectional) (at 204.47 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 05aad6f4-9c0b-4246-b2ac-3330582b8ca5) + ) + (hierarchical_label "~{DSACK}0" (shape output) (at 190.5 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 06dea171-ea1f-4c63-a4d1-a7d5b78b4179) + ) + (hierarchical_label "A[31..0]" (shape tri_state) (at 153.67 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 076dca38-1d0d-4f6f-9813-db57b83ecce5) + ) + (hierarchical_label "A[31..0]" (shape tri_state) (at 204.47 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 122d06af-cf02-4d52-a8ac-6bb161277d65) + ) + (hierarchical_label "~{AS}" (shape tri_state) (at 88.9 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 150e60e1-26a8-4cb4-a6ae-d8288d6fac9a) + ) + (hierarchical_label "D[31..0]" (shape bidirectional) (at 204.47 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1724bd4d-f468-49f7-811d-1f2c245226ec) + ) + (hierarchical_label "R~{W}" (shape tri_state) (at 139.7 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 17642071-dd80-4447-92b1-8154e8176a31) + ) + (hierarchical_label "C16M" (shape output) (at 139.7 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2300d014-266e-49bb-8b14-ff2f460f19b1) + ) + (hierarchical_label "~{IRQ}" (shape input) (at 88.9 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2a9b9b08-bb9f-46dc-aa4a-1a7108a5d831) + ) + (hierarchical_label "~{HALT}" (shape output) (at 88.9 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3def622f-6e93-4646-a63d-0fef2e917261) + ) + (hierarchical_label "A[31..0]" (shape tri_state) (at 102.87 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 4a5b97f1-25c6-4c3f-aa38-e2be3a337efd) + ) + (hierarchical_label "~{DSACK}1" (shape output) (at 88.9 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 58f4c769-266b-47d7-8f6f-16e8c33d292a) + ) + (hierarchical_label "SIZ[1..0]" (shape bidirectional) (at 153.67 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 69c39ee5-c4ec-4f89-bb3d-8e6ca60befeb) + ) + (hierarchical_label "~{FAN}" (shape input) (at 88.9 129.54 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 749bd7f2-d11c-415f-b833-52a232f6ee4f) + ) + (hierarchical_label "~{BERR}" (shape output) (at 190.5 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 78c10a3d-550c-4db9-b9cd-c3425b9adb6a) + ) + (hierarchical_label "~{IPL}[2..0]" (shape output) (at 153.67 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 939adc9b-1993-44ba-81f0-9bb948363e7c) + ) + (hierarchical_label "~{IPL}[2..0]" (shape output) (at 102.87 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 95157f6a-b53f-4b02-9d0e-8199873715e0) + ) + (hierarchical_label "~{RESET}" (shape bidirectional) (at 190.5 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9bb9c682-2c6c-4956-b8f1-15c3f6ef949d) + ) + (hierarchical_label "FC[2..0]" (shape bidirectional) (at 102.87 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 9d2e2804-806e-4f89-90b3-fbaeae1b0f14) + ) + (hierarchical_label "A[31..0]" (shape tri_state) (at 204.47 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid a9679ff8-5c1a-42c0-b2f3-a76723b8429f) + ) + (hierarchical_label "D[31..0]" (shape bidirectional) (at 153.67 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b314fab5-08c7-4acd-8840-c1688822d8ed) + ) + (hierarchical_label "A[31..0]" (shape tri_state) (at 153.67 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b5c1b233-ca8b-4aa5-bfee-807ef310159f) + ) + (hierarchical_label "A[31..0]" (shape tri_state) (at 102.87 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b8eb5945-2854-42a7-ae50-040643526b7d) + ) + (hierarchical_label "SIZ[1..0]" (shape bidirectional) (at 204.47 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c39072bb-2eac-4a56-90e0-4dc1fdcca2fb) + ) + (hierarchical_label "D[31..0]" (shape bidirectional) (at 102.87 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c6b4fd5f-e85a-4509-837c-b70568cb18bd) + ) + (hierarchical_label "D[31..0]" (shape bidirectional) (at 204.47 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d393ca05-3e3d-4d99-af4b-83291eb31132) + ) + (hierarchical_label "~{IPL}[2..0]" (shape output) (at 204.47 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid db44138a-fd0b-4bff-bcf3-cda2b5e7450c) + ) + (hierarchical_label "~{BR}" (shape input) (at 139.7 93.98 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid dcd3688d-e76e-40b4-b848-01b6cf0bee76) + ) + (hierarchical_label "D[31..0]" (shape bidirectional) (at 153.67 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e1b3c04c-9855-42b5-98ae-dc3b697972d2) + ) + (hierarchical_label "D[31..0]" (shape bidirectional) (at 102.87 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid efeafab5-156f-4fa8-a7a4-32a6dc6c39e5) + ) + + (symbol (lib_id "Device:C_Small") (at -29.21 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616de7be) + (property "Reference" "C6" (at -27.94 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at -27.94 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at -29.21 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -29.21 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d5c6da0e-f1d5-4101-9289-89d456cc9b87)) + (pin "2" (uuid 4f92440d-8a7d-4a54-b7f9-3a218697c257)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C6") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 1.27 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616de7c5) + (property "Reference" "C9" (at 2.54 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 2.54 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 1.27 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 1.27 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8c3243e4-9fb1-48f0-a7ce-47dd03e3c2db)) + (pin "2" (uuid 49b25fc4-91b4-40f4-96b1-de568532d782)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C9") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -39.37 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616de7d1) + (property "Reference" "C5" (at -38.1 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at -38.1 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at -39.37 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -39.37 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0509e2d0-cf90-40a8-97a4-a129df8e4b0f)) + (pin "2" (uuid 6d945434-2473-4b90-a1ff-78f0049f88f1)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C5") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -8.89 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616de7db) + (property "Reference" "C8" (at -7.62 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at -7.62 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at -8.89 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -8.89 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 83b58d6d-6a08-4939-9d6b-2827c998a805)) + (pin "2" (uuid f6ff1cbc-8fc6-48de-9b1f-ccee6c145dcc)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C8") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -49.53 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616de7e8) + (property "Reference" "C4" (at -48.26 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at -48.26 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at -49.53 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -49.53 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 894c0594-8bef-4fc6-94a9-dd42ad2ac781)) + (pin "2" (uuid 06f0c2f5-91a7-446c-b767-c23658eeafbe)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C4") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -19.05 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616de7f4) + (property "Reference" "C7" (at -17.78 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at -17.78 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at -19.05 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -19.05 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0fbe0be9-9d8e-4551-9c09-612caba5093a)) + (pin "2" (uuid 4f16f29f-d2b8-4f53-bd2c-58bbbd3800ac)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C7") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at -49.53 147.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616e93b6) + (property "Reference" "#PWR0110" (at -49.53 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at -49.53 143.51 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -49.53 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at -49.53 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8001877d-9d38-4f50-93b9-438cc4f972c9)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "#PWR0110") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+12V") (at -8.89 147.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616f0982) + (property "Reference" "#PWR0114" (at -8.89 151.13 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (at -8.89 143.51 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -8.89 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at -8.89 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f7684ecb-a260-4d7b-866e-e034411d331e)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "#PWR0114") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:-12V") (at 11.43 147.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616f1447) + (property "Reference" "#PWR0111" (at 11.43 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-12V" (at 11.43 143.51 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 11.43 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 11.43 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 33e9e4de-7a62-4231-b038-f5f9a890a04d)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "#PWR0111") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 21.59 152.4 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616f27a0) + (property "Reference" "#PWR0112" (at 21.59 158.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 21.59 156.21 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 21.59 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 21.59 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3e5eceb3-e22d-4772-9092-8a33c1670a69)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "#PWR0112") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 21.59 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616f27a7) + (property "Reference" "C11" (at 22.86 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 22.86 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 21.59 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 21.59 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 798f3f15-048b-435a-ab4b-f717f9fbe211)) + (pin "2" (uuid 4e5e8cdd-fc5f-4256-bda0-000a93950e8c)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 11.43 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616f27b1) + (property "Reference" "C10" (at 12.7 148.59 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 12.7 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 11.43 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 11.43 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 313f56fd-961b-4498-a40b-27683af95e3c)) + (pin "2" (uuid 0cd69014-17a8-4f23-9f74-491838cdfb08)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C10") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:-5V") (at -29.21 147.32 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616fd697) + (property "Reference" "#PWR0113" (at -29.21 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (at -29.21 143.51 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -29.21 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at -29.21 147.32 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4deb781e-2b19-464a-8928-2a34971cab3e)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "#PWR0113") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Connector:MacLCPDS") (at 186.69 95.25 0) (unit 3) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 16cf2817-a9ed-42e8-a6fe-bbe17104e22a) + (property "Reference" "J2" (at 181.61 46.99 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MacLCPDS" (at 181.61 49.53 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:DIN41612_R_3x32_Male_Vertical_THT" (at 186.69 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 186.69 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A1" (uuid 40b17fb5-f2bc-4bfd-89ec-e08475f74a53)) + (pin "A10" (uuid 23f3f74c-de3f-46c4-980b-7efa499eb333)) + (pin "A11" (uuid d8d6fb7e-1969-44a4-94f5-df20c98f7af1)) + (pin "A12" (uuid 18c4b8ae-666c-4f4f-9689-0cf53cef0a2e)) + (pin "A13" (uuid 12d56cd8-2409-46fa-978f-fbf746b693e4)) + (pin "A14" (uuid 97ffa057-a6a6-4934-88c6-10357c135b88)) + (pin "A15" (uuid 0bd324c5-208f-4b92-b50d-d0f6a615fb99)) + (pin "A16" (uuid a37a17ff-1abd-410b-886a-cbfe67eec097)) + (pin "A17" (uuid d3a6c17e-8722-4bca-bf55-2ab10468b5dd)) + (pin "A18" (uuid 1dd32bfa-0a4b-41f1-9f3f-1c1c2efa1bff)) + (pin "A19" (uuid fff555dc-d294-4663-a1f1-e45eba5c381c)) + (pin "A2" (uuid 1a83854f-944b-49a5-9cd8-1b1afe749891)) + (pin "A20" (uuid 18114a89-6a66-4064-b452-0c21a1a5e9f5)) + (pin "A21" (uuid 71965ad1-f796-460a-80fe-9d29d57245c4)) + (pin "A22" (uuid 52e47187-38d4-4674-9fe4-501e1fa8a8f7)) + (pin "A23" (uuid f382c988-6cd3-4c30-a120-58f319d280ab)) + (pin "A24" (uuid f4f190dc-ad85-4b98-99fa-077af5d8c4b2)) + (pin "A25" (uuid f9e6470a-2091-4376-8d37-5b5f88137e4a)) + (pin "A26" (uuid d5016089-af2c-47c6-8a0f-7f004d9a65f6)) + (pin "A27" (uuid ff5611da-e4ac-43c8-9dd7-4c3795feef94)) + (pin "A28" (uuid ed00f010-d755-4d8b-a7f2-a2672d0d3fb1)) + (pin "A29" (uuid 5045f35d-ebd2-4f8d-98a5-13322a25ba84)) + (pin "A3" (uuid 663a1a1b-8607-46e9-990b-b008cb29f8e7)) + (pin "A30" (uuid 4d6a64ca-087b-416d-b8a1-b18f297b6637)) + (pin "A31" (uuid 426eff23-74b2-4da6-8cbb-ab723aafddd3)) + (pin "A32" (uuid da548a4b-322a-4010-aab5-10f54b727dff)) + (pin "A4" (uuid 37899383-2101-4871-919b-ec40fb08973c)) + (pin "A5" (uuid e667584a-245e-4f33-bfb2-8357640f16a0)) + (pin "A6" (uuid 35926426-7702-4918-b593-7e93a3859659)) + (pin "A7" (uuid 598a7d25-adcf-4df8-9d10-e6250e26e5d0)) + (pin "A8" (uuid 40e8d298-e0fa-4bca-821b-692a36504190)) + (pin "A9" (uuid 1cee8e81-c750-4dde-9d3d-c72124070b55)) + (pin "B1" (uuid fdbc4467-1202-45e9-9f16-e2303b15844f)) + (pin "B10" (uuid 82ffe236-a9cc-4ea0-8082-0777affa9469)) + (pin "B11" (uuid fbb2f483-b92e-44f6-aa4a-866b659a320d)) + (pin "B12" (uuid f1a230c1-95f6-4a18-bb89-69633ce445a5)) + (pin "B13" (uuid f29f33d5-9dcb-47ec-ab6c-269f578175e9)) + (pin "B14" (uuid 277d8149-05e6-4cea-a546-179a0dcecc9b)) + (pin "B15" (uuid a5942ada-608d-4c8f-b1cc-a3f6ac7cae14)) + (pin "B16" (uuid aea7b27b-164a-4dfc-8db2-6a3dc4dd05d6)) + (pin "B17" (uuid 806df976-5a5b-4fec-ae26-ca0d27dd3f0e)) + (pin "B18" (uuid a5e8599f-3a6e-461c-b06a-f1e7c267b547)) + (pin "B19" (uuid c132b294-a579-41d3-b15c-7ee49808337f)) + (pin "B2" (uuid 544ac48f-f34d-4bdf-a2f7-ce47476669a6)) + (pin "B20" (uuid 1dd048a0-3f10-49e8-88a3-294d81b5dfe6)) + (pin "B21" (uuid 31ccbeae-f85f-43b6-bcdc-e0fa32f96d30)) + (pin "B22" (uuid 67c12eaf-a8da-421d-bab3-e3b95e0b8fb0)) + (pin "B23" (uuid ecc0c27f-9c91-4693-a05d-759f8b6ab235)) + (pin "B24" (uuid e615b73e-75d0-4f8e-936f-44d2823ba515)) + (pin "B25" (uuid 9218a20e-835a-4d05-a515-f242bcd7f8eb)) + (pin "B26" (uuid 92c5a3d1-d048-4b7d-a16c-666f303b902f)) + (pin "B27" (uuid eb254a14-98a0-4f04-ae5e-b00ec6b6c944)) + (pin "B28" (uuid 1fa76a4f-4617-4abb-9c24-0c2d86791195)) + (pin "B29" (uuid f5056532-4f04-4737-b5ac-848aad83650e)) + (pin "B3" (uuid b597270c-005b-4ac7-9b5c-eb5f89210db9)) + (pin "B30" (uuid f5ddfc2d-c884-468e-9352-0318fd099a33)) + (pin "B31" (uuid 34a56e09-8e50-4e9c-b2c3-e69aff7a7a63)) + (pin "B32" (uuid a7610bec-28fb-41fc-bf2b-a9acf485dc32)) + (pin "B4" (uuid 556789f1-8dd1-43cc-b86a-d178963b9571)) + (pin "B5" (uuid e561ccf9-a6b5-43f5-8e94-8a66e5afaa1c)) + (pin "B6" (uuid 9a62a2fb-4f94-4ab7-bd77-9ea1a0197d2f)) + (pin "B7" (uuid 1ef3c7fe-9b04-4719-9e5b-ac7c0e1c5f72)) + (pin "B8" (uuid 7c144927-3c56-4bc6-b14f-a5cca6cc4240)) + (pin "B9" (uuid a00ebb09-d3bc-4cb9-a039-ae3edbd9d306)) + (pin "C1" (uuid 5eb5a065-4f60-444f-b46f-9b4b4f634205)) + (pin "C10" (uuid 03c7fecb-3850-4958-81c6-83cd2bccdb7f)) + (pin "C11" (uuid 1f621346-9438-49f7-b65c-1d9b512b2129)) + (pin "C12" (uuid 98d5a08a-3b08-4dc3-90e4-e8b88593d7a7)) + (pin "C13" (uuid ba930018-427c-4450-a4d5-416e741a6568)) + (pin "C14" (uuid 41f36ee8-9fcc-4ebf-86f6-553b92601943)) + (pin "C15" (uuid 938bed8d-19d0-41d4-a81f-ddc530c24724)) + (pin "C16" (uuid 17b54cff-7298-4235-b098-e5791697bd56)) + (pin "C17" (uuid f1e87133-e4cb-4545-a41a-02bfccf336cf)) + (pin "C18" (uuid 9dcfff21-0b4d-44b9-bae4-6bdb4659fc0a)) + (pin "C19" (uuid 2e1b4a3c-111a-442c-a29f-0377dbf180e8)) + (pin "C2" (uuid 7c558c20-dcda-4a6c-8714-eedd06a8bfa1)) + (pin "C20" (uuid 7b083f34-9dab-462d-b4ac-2d86a06d9303)) + (pin "C21" (uuid 946bd947-7ce4-4149-ba9b-91ccffedc705)) + (pin "C22" (uuid cec32122-2060-4b26-b333-a3b7a381f9c2)) + (pin "C23" (uuid d0ebce55-52b5-47bf-9ffa-6ceab73a5915)) + (pin "C24" (uuid f038d55f-34d3-47d3-a702-3a7d4debc963)) + (pin "C25" (uuid 51db40b9-052f-479c-890c-10746f8eb2d4)) + (pin "C26" (uuid d7413c55-0d86-431e-966e-0bcc507fd059)) + (pin "C27" (uuid db0e79b1-6d4c-4b8e-a6b5-28107661f15d)) + (pin "C28" (uuid 11d7c243-41b6-44b8-9bb0-082083b3d0d2)) + (pin "C29" (uuid abb10c2f-9f27-41a0-aafb-8e6e30cb42f4)) + (pin "C3" (uuid 894682a1-c312-448b-8533-277260a4b2ce)) + (pin "C30" (uuid 83cbf4e2-f839-483f-a434-56022511acfb)) + (pin "C31" (uuid 6cc8c47c-f148-4176-b4bc-de02bbf61d89)) + (pin "C32" (uuid 8b9390fd-5ed5-48a0-8d79-5f8643bd6ad4)) + (pin "C4" (uuid 0ef0ff58-8bf7-4ae1-b76c-d774e50c369b)) + (pin "C5" (uuid 34266978-82cc-4dad-a961-5fccedda3320)) + (pin "C6" (uuid d265f814-f769-4d4d-9b02-76b9ce2c9725)) + (pin "C7" (uuid 0dd6ea62-c92d-4b52-aad6-6f3e3e1cffec)) + (pin "C8" (uuid f27e1a86-6e8b-4536-8506-9c4321962d5a)) + (pin "C9" (uuid fa3cb883-bf19-4f5b-8e3f-aeafa47e6894)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "J2") (unit 3) + ) + ) + ) + ) + + (symbol (lib_id "power:-5V") (at 190.5 132.08 180) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 1c781232-510d-4f6e-aa70-635d451465ba) + (property "Reference" "#PWR049" (at 190.5 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "-5V" (at 190.5 135.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 190.5 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 190.5 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 11c9ea6c-544b-4dba-955c-9454d11122f3)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "#PWR049") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 147.32 60.96 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 253a272a-60f1-40a5-933d-35165fa864b0) + (property "Reference" "#PWR046" (at 147.32 64.77 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 147.32 57.15 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 147.32 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 147.32 60.96 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5bb250b4-be6f-4e90-96d4-4a87e3f32ec2)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "#PWR046") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Connector:MacLCPDS") (at 85.09 95.25 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 2cd7a76a-1c05-453d-bd24-0d863625636a) + (property "Reference" "J2" (at 80.01 46.99 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MacLCPDS" (at 80.01 49.53 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:DIN41612_R_3x32_Male_Vertical_THT" (at 85.09 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 85.09 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A1" (uuid 25c687d6-7c41-4b3a-9770-3f16d5a02827)) + (pin "A10" (uuid 991ad04b-82fb-4a55-875a-cfe6b04f9661)) + (pin "A11" (uuid cc296b4e-ec09-475f-973a-0d7970d168a8)) + (pin "A12" (uuid 53c257f9-e4a1-4a27-b7b9-b28ae726dfd7)) + (pin "A13" (uuid 54742a02-c256-40ba-9c9e-05c25e3fa3e9)) + (pin "A14" (uuid af916460-cc1d-48c4-910d-f12227b2bd16)) + (pin "A15" (uuid df499c91-d1cb-4983-8566-3a3b4c7a1aca)) + (pin "A16" (uuid 5303faac-d57c-48bd-9451-131e2372db2d)) + (pin "A17" (uuid 37800a6a-71cf-4f0a-bb77-85fdd1c8998a)) + (pin "A18" (uuid f00dc6f4-e76c-4c72-b5d8-33b15fc94a99)) + (pin "A19" (uuid 66207821-9ef1-4cef-aeb8-33f6b8f2c5ed)) + (pin "A2" (uuid 01a8aafe-04fd-4316-9632-457ab60b0042)) + (pin "A20" (uuid 199e9719-6c9c-4ed9-a0fc-5846360dc302)) + (pin "A21" (uuid 2d028f5e-04d4-41f9-8bab-f54611d33bcd)) + (pin "A22" (uuid 94a44579-713c-45e7-a22b-8fff8ffa6575)) + (pin "A23" (uuid 99f26f13-7d38-4423-985d-6d6465c4a684)) + (pin "A24" (uuid 87c461cb-a119-471b-8579-3acdf6013caa)) + (pin "A25" (uuid a3d1b8fd-c2f1-43a8-9f77-9e2cd6c2b7ea)) + (pin "A26" (uuid 0e9be116-5e6f-40ea-8991-78f3a9067d65)) + (pin "A27" (uuid 225821a6-43cc-463b-aef5-1c7808938b7a)) + (pin "A28" (uuid 218b4a00-3a09-4fe0-8f84-8b8a90a35135)) + (pin "A29" (uuid 9713f48e-e9cd-40c8-9276-9e7ee4ed9101)) + (pin "A3" (uuid 062af45c-d93d-4d18-9da8-f6ca33f93d39)) + (pin "A30" (uuid fe1ae6d8-ee3b-49a6-a914-bf7850968243)) + (pin "A31" (uuid c14e3fa8-b587-4705-9a55-72548d956e2d)) + (pin "A32" (uuid 55332d14-57d0-4a08-938b-d90bbb3b906c)) + (pin "A4" (uuid 63bd488d-b2a6-4507-8d3b-b190bbbbba0a)) + (pin "A5" (uuid 659a923d-1f98-4ff9-be4e-621bdd71a071)) + (pin "A6" (uuid b93f587a-c4fa-4343-8571-d5f7b7603191)) + (pin "A7" (uuid 16cc75a3-a0f6-4564-824f-d67b3af8dcf6)) + (pin "A8" (uuid 08992313-9c9a-47a9-b42e-652b4c43442b)) + (pin "A9" (uuid 71acaf95-512b-462c-a1ae-0e807f118de2)) + (pin "B1" (uuid a60ed2ab-eb6b-4766-a998-76d4fb9978ae)) + (pin "B10" (uuid b77db083-4e7f-4a94-99dc-2853f7e4d473)) + (pin "B11" (uuid 24281a47-7299-470b-83c3-cb4464847659)) + (pin "B12" (uuid 22081347-b1cc-43f8-89f2-f70e40a0a86f)) + (pin "B13" (uuid acec413c-2ba7-4818-bca6-d455481ae529)) + (pin "B14" (uuid d0442733-31e0-4e25-9ad2-86f95b7f61b1)) + (pin "B15" (uuid d4c5a4dc-5098-49a1-86b7-db91e0c21d5f)) + (pin "B16" (uuid 55007f52-a37f-4b23-b7bd-e8076ee5cd0c)) + (pin "B17" (uuid 0e8bbc7d-1bfe-4447-a58d-062e98828c9e)) + (pin "B18" (uuid da847cca-fef7-4e5b-be5e-555bf92cc410)) + (pin "B19" (uuid f1a68675-89d1-42c1-9e58-bd24a173d1e6)) + (pin "B2" (uuid fc966987-2d47-4978-937e-5f2f62bcbee7)) + (pin "B20" (uuid 02de8285-262d-42fa-9633-c3728884317d)) + (pin "B21" (uuid 063f7c8b-3c04-4f0a-a695-a5118b81b569)) + (pin "B22" (uuid aa794c23-5108-4fa2-9090-28b78d8dbda7)) + (pin "B23" (uuid e93c3349-c822-4c3a-8bb6-35939fa37230)) + (pin "B24" (uuid 126ef875-24c4-450b-952a-b8480bc35716)) + (pin "B25" (uuid 2b0c257e-04ef-4fb2-9612-1e767b901773)) + (pin "B26" (uuid 57a3c782-24c9-42da-81ff-240f502d22d3)) + (pin "B27" (uuid 8fe26a8a-39da-4e7b-b9ae-bf34a74351f2)) + (pin "B28" (uuid 989bbf87-b082-4ccf-8b8d-8c008bbb97d7)) + (pin "B29" (uuid 738757f2-27b8-4723-8284-ec6c2487a29e)) + (pin "B3" (uuid 7ee5b291-f9a4-4544-a97e-a7e4f9286f04)) + (pin "B30" (uuid 9fb5531b-80f9-49d8-8685-af10e488c2fd)) + (pin "B31" (uuid 3acf31c8-0438-4de8-8c99-f7fbb1462e2b)) + (pin "B32" (uuid 16912b9d-fdb2-4bf5-9815-97fe84bb6506)) + (pin "B4" (uuid 446baeda-d070-4be4-adfe-b30162fa7e93)) + (pin "B5" (uuid 0a05ef7e-81a5-4230-a90f-9526c67a2fa5)) + (pin "B6" (uuid 48be3616-0fe7-4872-9143-e3183935a2c5)) + (pin "B7" (uuid 2b921015-524b-487c-913d-ff5fe544ae38)) + (pin "B8" (uuid 8dd67964-868f-4f8f-bb94-1447c44c456d)) + (pin "B9" (uuid ae27db9e-1e58-44f4-b339-c2351cddc97c)) + (pin "C1" (uuid 17cace64-c292-40ed-b859-b591ce41740e)) + (pin "C10" (uuid 5f338852-9227-42b7-b45b-7fd19cb4093c)) + (pin "C11" (uuid c35a50a2-fa81-48c1-8185-ed7a86286626)) + (pin "C12" (uuid f6a6aebb-20be-45d9-ba4c-3f4566389d82)) + (pin "C13" (uuid 5f9f8daf-2b4f-41f5-ad54-0e42b767cd21)) + (pin "C14" (uuid 52d6e31c-774f-4e81-aa4e-5bd543c1ee65)) + (pin "C15" (uuid 6a55a758-fb85-4b63-8194-7b122a9509ba)) + (pin "C16" (uuid 078d3a26-bf85-4b1a-92c6-34cb2c2339b5)) + (pin "C17" (uuid ebf96c43-4898-4cca-b04a-fab5b52bbe7a)) + (pin "C18" (uuid cd72d606-256b-40e7-9a0f-349e66a577f8)) + (pin "C19" (uuid 67c8a89b-095c-4526-ad41-045258c92c63)) + (pin "C2" (uuid a883d1b9-5afd-489d-9fc0-452ab922fc2d)) + (pin "C20" (uuid 7d6ea576-bf0f-4028-bd29-d766abfcce0f)) + (pin "C21" (uuid a172e504-8e9b-42c5-9951-ee59fc5778a3)) + (pin "C22" (uuid 30d7d81f-9bdb-4b4b-9874-e0987ece8890)) + (pin "C23" (uuid 9e04cfd6-7ead-42fa-a0f2-cd61d123b381)) + (pin "C24" (uuid e4ec6c5d-817c-43b2-b9e8-d6004cab571c)) + (pin "C25" (uuid 25a4f438-1a33-485f-a370-a81c6202b524)) + (pin "C26" (uuid 44779ee8-7cd3-4059-88d8-5134c953a7ea)) + (pin "C27" (uuid 705559b8-d575-4d7b-aa0b-87bcf6c6e950)) + (pin "C28" (uuid 1a22c0af-b1bf-4e99-9221-895309208f28)) + (pin "C29" (uuid 01ec8546-c742-4a0e-9074-bfde23f0eccd)) + (pin "C3" (uuid 5efdcc1e-3e02-4373-a621-b534386b0fd3)) + (pin "C30" (uuid 9efb40d9-fa9e-4e75-bdc7-fc75d55b8900)) + (pin "C31" (uuid bfd8fb81-71f9-45fe-ae2a-eee38a13d02b)) + (pin "C32" (uuid 02387ed3-9924-4df7-8eb4-95d82d3fae9e)) + (pin "C4" (uuid 2ddd18e9-8525-4094-a123-d6ffc462cf38)) + (pin "C5" (uuid ff31bb58-0a57-4a65-9c5d-28582a61a060)) + (pin "C6" (uuid 0bc16a6f-8afb-40c7-8352-05ef2b4f71e2)) + (pin "C7" (uuid 0276160e-4704-48fa-9af2-bef1894179c8)) + (pin "C8" (uuid e47853e4-55a8-4119-84f3-7b3837a5e3f8)) + (pin "C9" (uuid 27ee7f13-7c71-48e7-937d-77de461b5713)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "J2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+12V") (at 96.52 132.08 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 79b5059a-474b-45b5-a26d-6bd176c8caef) + (property "Reference" "#PWR050" (at 96.52 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+12V" (at 96.52 128.27 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 96.52 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 96.52 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7a2ced61-545b-4698-8183-ff22cc206169)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "#PWR050") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Connector:MacLCPDS") (at 135.89 95.25 0) (unit 2) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 916321ba-9d41-4be0-b13d-6a7428057539) + (property "Reference" "J2" (at 130.81 46.99 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "MacLCPDS" (at 130.81 49.53 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:DIN41612_R_3x32_Male_Vertical_THT" (at 135.89 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 135.89 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "A1" (uuid 7f4727e3-5a64-4ba0-89a3-8c2b19e15e4c)) + (pin "A10" (uuid c2bfaa18-82c8-4a81-9e22-b9c3668ea55d)) + (pin "A11" (uuid 3c4f97ba-ebd8-49ae-95cf-b6d717135fda)) + (pin "A12" (uuid 89be8eda-563b-45c5-a100-b08e3345ff18)) + (pin "A13" (uuid 75859b1f-35ed-409f-ac6c-90266b662650)) + (pin "A14" (uuid 89b606e1-e3be-46ee-b1f6-25c624ab574f)) + (pin "A15" (uuid c9e14da1-dddd-4238-a8a9-ca574e143fed)) + (pin "A16" (uuid ae7fee61-fe03-44ca-b9ee-ede9ff4c8e03)) + (pin "A17" (uuid b404fc6c-8847-4718-bd91-08d52b1ba868)) + (pin "A18" (uuid 2b79d730-efb9-4be6-a0a5-cc47898fedbb)) + (pin "A19" (uuid d2666002-2963-45f3-9f50-f99bba0a5643)) + (pin "A2" (uuid 59993435-511d-4371-908f-4af3866ae7bc)) + (pin "A20" (uuid 01b36d97-6b6a-4911-a9b9-0c836e3e4b1d)) + (pin "A21" (uuid a624cbd9-2513-4777-acec-24b9fdeaca56)) + (pin "A22" (uuid 931bd1b0-7926-4100-8440-20bc8f5cc24e)) + (pin "A23" (uuid 713d301d-61cb-457d-9c98-c791c246e920)) + (pin "A24" (uuid bc0f9541-3ce1-4f71-8550-6cc0c3704bc0)) + (pin "A25" (uuid ac2701c8-da5b-42cc-aa7d-c1846488a002)) + (pin "A26" (uuid 6932d41a-f31f-4996-b612-67d2b33f5b66)) + (pin "A27" (uuid d4872eed-6ad1-4b89-bb84-11f07c0651d2)) + (pin "A28" (uuid 297fe2c4-2ad1-4c16-82a0-0840d512613e)) + (pin "A29" (uuid a981c03e-95e8-480a-b5b5-871b2b0c421a)) + (pin "A3" (uuid ae8ada7e-5ea6-4bc8-b8a9-a675e6d3a522)) + (pin "A30" (uuid 5581a667-71a4-4c05-a140-489a8b92edc4)) + (pin "A31" (uuid 9a1a9e7d-3c9f-45e6-9199-82740a086e6c)) + (pin "A32" (uuid 8b729160-52cd-4fc5-8194-07394863d0ef)) + (pin "A4" (uuid c2eca393-022a-4c09-97f2-52f1ea4734da)) + (pin "A5" (uuid 6e527bd6-3771-453f-b088-0c220a1ab8ab)) + (pin "A6" (uuid 3cc71375-717c-48ce-b351-78c6443f069e)) + (pin "A7" (uuid 51781b69-7351-4943-8cea-acf0b42e365b)) + (pin "A8" (uuid 6a043a62-7508-4e1f-ba6d-88bed4a33d82)) + (pin "A9" (uuid cea2957e-f053-4108-a20e-55deeaf59d1f)) + (pin "B1" (uuid 12e99409-f46c-481d-a1bc-03d5b00ec46f)) + (pin "B10" (uuid 9cdafd01-38f1-4d2d-8fad-75de2e1fc892)) + (pin "B11" (uuid 1ecc93af-ea3b-41c4-ab0e-75bcd1a20542)) + (pin "B12" (uuid 1b75dfd4-23cd-4ac6-9184-662ce73dba99)) + (pin "B13" (uuid 6edbbf62-4a1a-467d-9fe2-91f0d4808fe9)) + (pin "B14" (uuid 3bd335d9-eb4a-49c4-9472-ae07f7aed04a)) + (pin "B15" (uuid 464d5be5-3648-478e-a7f0-20c5f3829a1b)) + (pin "B16" (uuid b9bd27c6-0f66-494c-9aa4-62dc62083b23)) + (pin "B17" (uuid c8706a1f-3a3b-4d1d-88de-2f4d49fd1a24)) + (pin "B18" (uuid ddde42f3-7853-4cb6-9580-023a2adb069e)) + (pin "B19" (uuid bf1587af-651a-46d0-9040-890e6e6392d2)) + (pin "B2" (uuid ecb5b8d9-086e-43b4-a0aa-234d05ce9709)) + (pin "B20" (uuid 190b7896-f862-421b-9726-74b5db704e8b)) + (pin "B21" (uuid 55880eee-f02c-40bc-9041-24377b779d3f)) + (pin "B22" (uuid 2342f78c-32b9-4b9a-9b37-b4452f159d52)) + (pin "B23" (uuid 90241c5f-5ca2-451a-8108-c88da54e6d45)) + (pin "B24" (uuid 5360fecb-a4ed-40d9-bc6d-310988b55217)) + (pin "B25" (uuid c263dc08-f01e-4343-901a-c0c37d9b58f3)) + (pin "B26" (uuid b140990a-c04b-41ff-8e0f-145fc5bdb4c9)) + (pin "B27" (uuid 163c7320-6b0a-43f7-a1d2-a35654f231d6)) + (pin "B28" (uuid d4d8d984-6c65-4767-9160-4b6d021fe378)) + (pin "B29" (uuid 102a729e-2bd2-411d-953a-70f045861689)) + (pin "B3" (uuid 66cedb9e-d91f-4824-b32c-a69ce1d2bd4d)) + (pin "B30" (uuid 38dee71e-ce8f-4ecf-ba15-f6f0caaa4946)) + (pin "B31" (uuid bb066f36-0d43-4fb3-87cf-4c0b350b042c)) + (pin "B32" (uuid 97834198-b27a-44ae-8034-c0bb5811de0e)) + (pin "B4" (uuid fd974894-4faa-490d-a0ab-14d308cf6fc9)) + (pin "B5" (uuid fd98b223-7e56-4b24-95ab-8c99b84718ed)) + (pin "B6" (uuid 1dd7e6ef-8fc0-42c5-bcb9-c1d387e28cf3)) + (pin "B7" (uuid 32126847-04a7-417d-b784-7a327fc031f1)) + (pin "B8" (uuid 0bf67ad4-7a86-4544-9fe5-e60aa7b45c64)) + (pin "B9" (uuid cdb23294-379b-44af-b412-3a733032b850)) + (pin "C1" (uuid a1c42836-4447-4769-a297-4ec7684743ca)) + (pin "C10" (uuid 19c30c75-0f36-46bb-b0f8-da06f783c3f3)) + (pin "C11" (uuid 5dee6791-d50c-4a3e-9eca-9c1498a4d27f)) + (pin "C12" (uuid 29e1c9a4-7815-41e3-96f1-bef111192695)) + (pin "C13" (uuid efbcc98a-e733-4124-8bb1-ac35e57f640e)) + (pin "C14" (uuid 04e20391-506f-4ef0-be5d-c903ae87e84f)) + (pin "C15" (uuid 5c0f4bc8-4817-4d04-a0f0-e224fee72fbc)) + (pin "C16" (uuid b78e1041-58d1-472d-98dc-7951a0d06e3b)) + (pin "C17" (uuid 36f2e86d-a529-446f-8f31-a826726eadd7)) + (pin "C18" (uuid 9c94cd5d-3b4c-4b0c-b114-33493f11fc35)) + (pin "C19" (uuid 1b84d3a9-edef-4aae-9039-aee380f0178c)) + (pin "C2" (uuid 12598405-f4fd-43f4-b79a-c901ff2785fb)) + (pin "C20" (uuid 6c8cfdf4-5d26-4a65-bae9-2c84a963824a)) + (pin "C21" (uuid e42c272c-a79c-4bcb-a9db-b40338dbf8d8)) + (pin "C22" (uuid 0ae84ee4-7afa-43b0-8455-e9d129fffc3a)) + (pin "C23" (uuid 52a1aea3-a277-4029-980b-dfe45651a51e)) + (pin "C24" (uuid 201fc193-4d44-4a1b-9555-273b31efa678)) + (pin "C25" (uuid 8e325328-6f52-446c-bcd2-130924bf18e4)) + (pin "C26" (uuid d7a4d598-e4dd-425e-83d4-ce07b44bd28e)) + (pin "C27" (uuid d33f2ce8-f4dc-4530-91d0-5e2072a4d9f8)) + (pin "C28" (uuid 7817114c-ca07-4371-9a32-396466f798b4)) + (pin "C29" (uuid 36eda730-da22-4d37-988d-651a34540534)) + (pin "C3" (uuid 6df149b6-7c3f-408a-8b28-1f15e90a1d64)) + (pin "C30" (uuid 3e8be73b-b933-45ef-9750-3f6e9bd24fcd)) + (pin "C31" (uuid b61a907f-efd4-4af2-84d1-71653b65867f)) + (pin "C32" (uuid 88ab82b3-97a0-44d3-85f6-3f17f5054aa0)) + (pin "C4" (uuid 0c7ca293-e87e-4432-b0cc-28d2398d3358)) + (pin "C5" (uuid c698ee64-b86a-4c63-a4c6-3cef3690e15c)) + (pin "C6" (uuid 50ddeacf-3855-46ba-8f71-91e1333dc2cb)) + (pin "C7" (uuid 33aa2cac-3de6-4d4e-b679-81679da0e93b)) + (pin "C8" (uuid 308553b6-60eb-4f1e-87b1-dd5d8b5446cd)) + (pin "C9" (uuid 0a5da0e9-7169-4412-935d-ea81ee43c13e)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "J2") (unit 2) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 139.7 132.08 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b23bdb6c-b51b-4600-bd62-f4a5bdb9d97d) + (property "Reference" "#PWR048" (at 139.7 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 139.7 135.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 139.7 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 139.7 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a11cb12e-9494-4a62-905a-2d656f5c2d59)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "#PWR048") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 152.4 68.58 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid ec3e0c3b-e7fe-4874-b150-33457b02db4f) + (property "Reference" "#PWR047" (at 152.4 74.93 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 152.4 72.39 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 152.4 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 152.4 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1ef32c59-5ab1-4945-b22d-1443e5739729)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "#PWR047") (unit 1) + ) + ) + ) + ) +) diff --git a/PDS.sch b/PDS.sch deleted file mode 100644 index dcd91d2..0000000 --- a/PDS.sch +++ /dev/null @@ -1,642 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 2 7 -Title "" -Date "" -Rev "" -Comp "" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -Text HLabel 5250 4200 2 50 Output ~ 0 -C16M -Text Label 4050 2600 0 50 ~ 0 -D0 -Text Label 4050 2700 0 50 ~ 0 -D1 -Text Label 4050 2800 0 50 ~ 0 -D2 -Text Label 4050 2900 0 50 ~ 0 -D3 -Text Label 4050 3000 0 50 ~ 0 -D4 -Text Label 4050 3100 0 50 ~ 0 -D5 -Text Label 4050 3200 0 50 ~ 0 -D6 -Text Label 4050 3300 0 50 ~ 0 -D7 -Text Label 4050 3400 0 50 ~ 0 -D8 -Text Label 4050 3500 0 50 ~ 0 -D9 -Text Label 4050 3600 0 50 ~ 0 -D10 -Text Label 4050 3700 0 50 ~ 0 -D11 -Text Label 4050 3800 0 50 ~ 0 -D12 -Text Label 4050 3900 0 50 ~ 0 -D13 -Text Label 4050 4000 0 50 ~ 0 -D14 -Text Label 4050 4100 0 50 ~ 0 -D15 -Wire Wire Line - 4050 4100 4250 4100 -Wire Wire Line - 4050 4000 4250 4000 -Wire Wire Line - 4050 3900 4250 3900 -Wire Wire Line - 4050 3800 4250 3800 -Wire Wire Line - 4050 3700 4250 3700 -Wire Wire Line - 4050 3600 4250 3600 -Wire Wire Line - 4050 3500 4250 3500 -Wire Wire Line - 4050 3400 4250 3400 -Wire Wire Line - 4050 3300 4250 3300 -Wire Wire Line - 4050 3200 4250 3200 -Wire Wire Line - 4050 3100 4250 3100 -Wire Wire Line - 4050 3000 4250 3000 -Wire Wire Line - 4050 2900 4250 2900 -Wire Wire Line - 4050 2800 4250 2800 -Wire Wire Line - 4050 2700 4250 2700 -Wire Wire Line - 4050 2600 4250 2600 -Wire Wire Line - 1500 4100 1700 4100 -Wire Wire Line - 1500 4000 1700 4000 -Wire Wire Line - 1500 3900 1700 3900 -Wire Wire Line - 1500 3800 1700 3800 -Wire Wire Line - 1500 3700 1700 3700 -Wire Wire Line - 1500 3600 1700 3600 -Wire Wire Line - 1500 3500 1700 3500 -Wire Wire Line - 1500 3400 1700 3400 -Wire Wire Line - 1500 3300 1700 3300 -Wire Wire Line - 1500 3200 1700 3200 -Wire Wire Line - 1500 3100 1700 3100 -Wire Wire Line - 1500 3000 1700 3000 -Wire Wire Line - 1500 2900 1700 2900 -Wire Wire Line - 1500 2800 1700 2800 -Wire Wire Line - 1500 2700 1700 2700 -Wire Wire Line - 1500 2600 1700 2600 -Entry Wire Line - 1700 4100 1800 4200 -Entry Wire Line - 1700 4000 1800 4100 -Entry Wire Line - 1700 3900 1800 4000 -Entry Wire Line - 1700 3800 1800 3900 -Entry Wire Line - 1700 3700 1800 3800 -Entry Wire Line - 1700 3600 1800 3700 -Entry Wire Line - 1700 3500 1800 3600 -Entry Wire Line - 1700 3400 1800 3500 -Entry Wire Line - 1700 3300 1800 3400 -Entry Wire Line - 1700 3200 1800 3300 -Entry Wire Line - 1700 3100 1800 3200 -Entry Wire Line - 1700 3000 1800 3100 -Entry Wire Line - 1700 2900 1800 3000 -Entry Wire Line - 1700 2800 1800 2900 -Entry Wire Line - 1700 2700 1800 2800 -Entry Wire Line - 1700 2600 1800 2700 -Text HLabel 1850 2600 2 50 Output ~ 0 -A[31..0] -Wire Wire Line - 1500 4800 1700 4800 -Wire Wire Line - 1500 4700 1700 4700 -Wire Wire Line - 1500 4600 1700 4600 -Wire Wire Line - 1500 4500 1700 4500 -Wire Wire Line - 1500 4400 1700 4400 -Wire Wire Line - 1500 4300 1700 4300 -Wire Wire Line - 1500 4200 1700 4200 -Entry Wire Line - 1700 4800 1800 4900 -Entry Wire Line - 1700 4700 1800 4800 -Entry Wire Line - 1700 4600 1800 4700 -Entry Wire Line - 1700 4500 1800 4600 -Entry Wire Line - 1700 4400 1800 4500 -Entry Wire Line - 1700 4300 1800 4400 -Entry Wire Line - 1700 4200 1800 4300 -Text Label 1500 2600 0 50 ~ 0 -A1 -Text Label 1500 2700 0 50 ~ 0 -A2 -Text Label 1500 2800 0 50 ~ 0 -A3 -Text Label 1500 2900 0 50 ~ 0 -A4 -Text Label 1500 3000 0 50 ~ 0 -A5 -Text Label 1500 3100 0 50 ~ 0 -A6 -Text Label 1500 3200 0 50 ~ 0 -A7 -Text Label 1500 3300 0 50 ~ 0 -A8 -Text Label 1500 3400 0 50 ~ 0 -A9 -Text Label 1500 3500 0 50 ~ 0 -A10 -Text Label 1500 3600 0 50 ~ 0 -A11 -Text Label 1500 3700 0 50 ~ 0 -A12 -Text Label 1500 3800 0 50 ~ 0 -A13 -Text Label 1500 3900 0 50 ~ 0 -A14 -Text Label 1500 4000 0 50 ~ 0 -A15 -Text Label 1500 4100 0 50 ~ 0 -A16 -Text Label 1500 4200 0 50 ~ 0 -A17 -Text Label 1500 4300 0 50 ~ 0 -A18 -Text Label 1500 4400 0 50 ~ 0 -A19 -Text Label 1500 4500 0 50 ~ 0 -A20 -Text Label 1500 4600 0 50 ~ 0 -A21 -Text Label 1500 4700 0 50 ~ 0 -A22 -Text Label 1500 4800 0 50 ~ 0 -A23 -Connection ~ 2100 6400 -$Comp -L Device:C_Small C? -U 1 1 616DE7BE -P 2100 6300 -AR Path="/616DE7BE" Ref="C?" Part="1" -AR Path="/5F6DA71D/616DE7BE" Ref="C6" Part="1" -F 0 "C6" H 2150 6350 50 0000 L CNN -F 1 "10u" H 2150 6250 50 0000 L CNN -F 2 "stdpads:C_0805" H 2100 6300 50 0001 C CNN -F 3 "~" H 2100 6300 50 0001 C CNN - 1 2100 6300 - 1 0 0 -1 -$EndComp -Connection ~ 3300 6400 -$Comp -L Device:C_Small C? -U 1 1 616DE7C5 -P 3300 6300 -AR Path="/616DE7C5" Ref="C?" Part="1" -AR Path="/5F6DA71D/616DE7C5" Ref="C9" Part="1" -F 0 "C9" H 3350 6350 50 0000 L CNN -F 1 "10u" H 3350 6250 50 0000 L CNN -F 2 "stdpads:C_0805" H 3300 6300 50 0001 C CNN -F 3 "~" H 3300 6300 50 0001 C CNN - 1 3300 6300 - 1 0 0 -1 -$EndComp -Wire Wire Line - 1700 6400 2100 6400 -Wire Wire Line - 1300 6400 1700 6400 -Connection ~ 1700 6400 -Wire Wire Line - 1300 6200 1700 6200 -$Comp -L Device:C_Small C? -U 1 1 616DE7D1 -P 1700 6300 -AR Path="/616DE7D1" Ref="C?" Part="1" -AR Path="/5F6DA71D/616DE7D1" Ref="C5" Part="1" -F 0 "C5" H 1750 6350 50 0000 L CNN -F 1 "10u" H 1750 6250 50 0000 L CNN -F 2 "stdpads:C_0805" H 1700 6300 50 0001 C CNN -F 3 "~" H 1700 6300 50 0001 C CNN - 1 1700 6300 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2900 6400 3300 6400 -Connection ~ 2900 6400 -$Comp -L Device:C_Small C? -U 1 1 616DE7DB -P 2900 6300 -AR Path="/616DE7DB" Ref="C?" Part="1" -AR Path="/5F6DA71D/616DE7DB" Ref="C8" Part="1" -F 0 "C8" H 2950 6350 50 0000 L CNN -F 1 "10u" H 2950 6250 50 0000 L CNN -F 2 "stdpads:C_0805" H 2900 6300 50 0001 C CNN -F 3 "~" H 2900 6300 50 0001 C CNN - 1 2900 6300 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 616DE7E8 -P 1300 6300 -AR Path="/616DE7E8" Ref="C?" Part="1" -AR Path="/5F6DA71D/616DE7E8" Ref="C4" Part="1" -F 0 "C4" H 1350 6350 50 0000 L CNN -F 1 "10u" H 1350 6250 50 0000 L CNN -F 2 "stdpads:C_0805" H 1300 6300 50 0001 C CNN -F 3 "~" H 1300 6300 50 0001 C CNN - 1 1300 6300 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2500 6400 2900 6400 -Wire Wire Line - 2100 6400 2500 6400 -Connection ~ 2500 6400 -Wire Wire Line - 2100 6200 2500 6200 -$Comp -L Device:C_Small C? -U 1 1 616DE7F4 -P 2500 6300 -AR Path="/616DE7F4" Ref="C?" Part="1" -AR Path="/5F6DA71D/616DE7F4" Ref="C7" Part="1" -F 0 "C7" H 2550 6350 50 0000 L CNN -F 1 "10u" H 2550 6250 50 0000 L CNN -F 2 "stdpads:C_0805" H 2500 6300 50 0001 C CNN -F 3 "~" H 2500 6300 50 0001 C CNN - 1 2500 6300 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0110 -U 1 1 616E93B6 -P 1300 6200 -F 0 "#PWR0110" H 1300 6050 50 0001 C CNN -F 1 "+5V" H 1300 6350 50 0000 C CNN -F 2 "" H 1300 6200 50 0001 C CNN -F 3 "" H 1300 6200 50 0001 C CNN - 1 1300 6200 - 1 0 0 -1 -$EndComp -Connection ~ 1300 6200 -$Comp -L power:-12V #PWR0111 -U 1 1 616F1447 -P 3700 6200 -F 0 "#PWR0111" H 3700 6300 50 0001 C CNN -F 1 "-12V" H 3700 6350 50 0000 C CNN -F 2 "" H 3700 6200 50 0001 C CNN -F 3 "" H 3700 6200 50 0001 C CNN - 1 3700 6200 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR? -U 1 1 616F27A0 -P 4100 6400 -AR Path="/616F27A0" Ref="#PWR?" Part="1" -AR Path="/5F6DA71D/616F27A0" Ref="#PWR0112" Part="1" -F 0 "#PWR0112" H 4100 6150 50 0001 C CNN -F 1 "GND" H 4100 6250 50 0000 C CNN -F 2 "" H 4100 6400 50 0001 C CNN -F 3 "" H 4100 6400 50 0001 C CNN - 1 4100 6400 - -1 0 0 -1 -$EndComp -Connection ~ 4100 6400 -$Comp -L Device:C_Small C? -U 1 1 616F27A7 -P 4100 6300 -AR Path="/616F27A7" Ref="C?" Part="1" -AR Path="/5F6DA71D/616F27A7" Ref="C11" Part="1" -F 0 "C11" H 4150 6350 50 0000 L CNN -F 1 "10u" H 4150 6250 50 0000 L CNN -F 2 "stdpads:C_0805" H 4100 6300 50 0001 C CNN -F 3 "~" H 4100 6300 50 0001 C CNN - 1 4100 6300 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3700 6400 4100 6400 -Connection ~ 3700 6400 -Wire Wire Line - 3700 6200 4100 6200 -Connection ~ 3700 6200 -$Comp -L Device:C_Small C? -U 1 1 616F27B1 -P 3700 6300 -AR Path="/616F27B1" Ref="C?" Part="1" -AR Path="/5F6DA71D/616F27B1" Ref="C10" Part="1" -F 0 "C10" H 3750 6350 50 0000 L CNN -F 1 "10u" H 3750 6250 50 0000 L CNN -F 2 "stdpads:C_0805" H 3700 6300 50 0001 C CNN -F 3 "~" H 3700 6300 50 0001 C CNN - 1 3700 6300 - 1 0 0 -1 -$EndComp -Wire Wire Line - 3300 6400 3700 6400 -$Comp -L power:-5V #PWR0113 -U 1 1 616FD697 -P 2100 6200 -F 0 "#PWR0113" H 2100 6300 50 0001 C CNN -F 1 "-5V" H 2100 6350 50 0000 C CNN -F 2 "" H 2100 6200 50 0001 C CNN -F 3 "" H 2100 6200 50 0001 C CNN - 1 2100 6200 - 1 0 0 -1 -$EndComp -Connection ~ 2100 6200 -Wire Wire Line - 2900 6200 3300 6200 -$Comp -L power:+12V #PWR0114 -U 1 1 616F0982 -P 2900 6200 -F 0 "#PWR0114" H 2900 6050 50 0001 C CNN -F 1 "+12V" H 2900 6350 50 0000 C CNN -F 2 "" H 2900 6200 50 0001 C CNN -F 3 "" H 2900 6200 50 0001 C CNN - 1 2900 6200 - 1 0 0 -1 -$EndComp -Connection ~ 2900 6200 -Entry Wire Line - 4250 2600 4350 2700 -Entry Wire Line - 4250 2700 4350 2800 -Entry Wire Line - 4250 2800 4350 2900 -Entry Wire Line - 4250 2900 4350 3000 -Entry Wire Line - 4250 3000 4350 3100 -Entry Wire Line - 4250 3100 4350 3200 -Entry Wire Line - 4250 3200 4350 3300 -Entry Wire Line - 4250 3300 4350 3400 -Entry Wire Line - 4250 3400 4350 3500 -Entry Wire Line - 4250 3500 4350 3600 -Entry Wire Line - 4250 3600 4350 3700 -Entry Wire Line - 4250 3700 4350 3800 -Entry Wire Line - 4250 3800 4350 3900 -Entry Wire Line - 4250 3900 4350 4000 -Entry Wire Line - 4250 4000 4350 4100 -Entry Wire Line - 4250 4100 4350 4200 -Text HLabel 5650 3300 0 50 Output ~ 0 -~AS~ -Text HLabel 5650 3400 0 50 Output ~ 0 -~DS~ -Text HLabel 5650 3600 0 50 Input ~ 0 -~BERR~ -Text HLabel 5650 3800 0 50 Input ~ 0 -~DSACK~0 -Text HLabel 5650 3900 0 50 Input ~ 0 -~DSACK~1 -Text HLabel 5650 2700 0 50 Output ~ 0 -R~W~ -Text HLabel 5650 2500 0 50 Output ~ 0 -SIZ[1..0] -Text HLabel 5650 3700 0 50 Input ~ 0 -~HALT~ -Text HLabel 5650 3500 0 50 BiDi ~ 0 -~RESET~ -Text HLabel 5650 2800 0 50 Output ~ 0 -FC[2..0] -Text HLabel 5650 2600 0 50 Output ~ 0 -~RMC~ -Text Label 4050 4200 0 50 ~ 0 -D16 -Text Label 4050 4300 0 50 ~ 0 -D17 -Text Label 4050 4400 0 50 ~ 0 -D18 -Text Label 4050 4500 0 50 ~ 0 -D19 -Text Label 4050 4600 0 50 ~ 0 -D20 -Text Label 4050 4700 0 50 ~ 0 -D21 -Text Label 4050 4800 0 50 ~ 0 -D22 -Text Label 4050 4900 0 50 ~ 0 -D23 -Text Label 4050 5000 0 50 ~ 0 -D24 -Text Label 4050 5100 0 50 ~ 0 -D25 -Text Label 4050 5200 0 50 ~ 0 -D26 -Text Label 4050 5300 0 50 ~ 0 -D27 -Text Label 4050 5400 0 50 ~ 0 -D28 -Text Label 4050 5500 0 50 ~ 0 -D29 -Text Label 4050 5600 0 50 ~ 0 -D30 -Text Label 4050 5700 0 50 ~ 0 -D31 -Wire Wire Line - 4050 5700 4250 5700 -Wire Wire Line - 4050 5600 4250 5600 -Wire Wire Line - 4050 5500 4250 5500 -Wire Wire Line - 4050 5400 4250 5400 -Wire Wire Line - 4050 5300 4250 5300 -Wire Wire Line - 4050 5200 4250 5200 -Wire Wire Line - 4050 5100 4250 5100 -Wire Wire Line - 4050 5000 4250 5000 -Wire Wire Line - 4050 4900 4250 4900 -Wire Wire Line - 4050 4800 4250 4800 -Wire Wire Line - 4050 4700 4250 4700 -Wire Wire Line - 4050 4600 4250 4600 -Wire Wire Line - 4050 4500 4250 4500 -Wire Wire Line - 4050 4400 4250 4400 -Wire Wire Line - 4050 4300 4250 4300 -Wire Wire Line - 4050 4200 4250 4200 -Entry Wire Line - 4250 4200 4350 4300 -Entry Wire Line - 4250 4300 4350 4400 -Entry Wire Line - 4250 4400 4350 4500 -Entry Wire Line - 4250 4500 4350 4600 -Entry Wire Line - 4250 4600 4350 4700 -Entry Wire Line - 4250 4700 4350 4800 -Entry Wire Line - 4250 4800 4350 4900 -Entry Wire Line - 4250 4900 4350 5000 -Entry Wire Line - 4250 5000 4350 5100 -Entry Wire Line - 4250 5100 4350 5200 -Entry Wire Line - 4250 5200 4350 5300 -Entry Wire Line - 4250 5300 4350 5400 -Entry Wire Line - 4250 5400 4350 5500 -Entry Wire Line - 4250 5500 4350 5600 -Entry Wire Line - 4250 5600 4350 5700 -Entry Wire Line - 4250 5700 4350 5800 -Text HLabel 4400 2700 2 50 BiDi ~ 0 -D[31..0] -Wire Wire Line - 1500 2500 1700 2500 -Text Label 1500 2500 0 50 ~ 0 -A0 -Entry Wire Line - 1700 2500 1800 2600 -Wire Bus Line - 1850 2600 1800 2600 -Wire Bus Line - 4400 2700 4350 2700 -Wire Wire Line - 1500 5200 1700 5200 -Wire Wire Line - 1500 5100 1700 5100 -Wire Wire Line - 1500 5000 1700 5000 -Entry Wire Line - 1700 5200 1800 5300 -Entry Wire Line - 1700 5100 1800 5200 -Entry Wire Line - 1700 5000 1800 5100 -Entry Wire Line - 1700 4900 1800 5000 -Text Label 1500 5000 0 50 ~ 0 -A25 -Text Label 1500 5100 0 50 ~ 0 -A26 -Text Label 1500 5200 0 50 ~ 0 -A27 -Wire Wire Line - 1500 4900 1700 4900 -Text Label 1500 4900 0 50 ~ 0 -A24 -Wire Wire Line - 2400 3450 2600 3450 -Wire Wire Line - 2400 3350 2600 3350 -Entry Wire Line - 2600 3450 2700 3550 -Entry Wire Line - 2600 3350 2700 3450 -Text HLabel 2750 3350 2 50 Output ~ 0 -~IPL~[2..0] -Wire Wire Line - 2400 3250 2600 3250 -Text Label 2400 3250 0 50 ~ 0 -~IPL~2 -Entry Wire Line - 2600 3250 2700 3350 -Wire Bus Line - 2750 3350 2700 3350 -Text Label 2400 3350 0 50 ~ 0 -~IPL~1 -Text Label 2400 3450 0 50 ~ 0 -~IPL~0 -Wire Wire Line - 1500 5400 1700 5400 -Wire Wire Line - 1500 5300 1700 5300 -Entry Wire Line - 1700 5400 1800 5500 -Entry Wire Line - 1700 5300 1800 5400 -Text Label 1500 5300 0 50 ~ 0 -A30 -Text Label 1500 5400 0 50 ~ 0 -A31 -Wire Bus Line - 2700 3350 2700 3550 -Wire Bus Line - 1800 2600 1800 5500 -Wire Bus Line - 4350 2700 4350 5800 -$EndSCHEMATC diff --git a/PDSBuf.kicad_sch b/PDSBuf.kicad_sch new file mode 100644 index 0000000..2592071 --- /dev/null +++ b/PDSBuf.kicad_sch @@ -0,0 +1,5262 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid 147b5262-ac62-4d04-bbc0-b04649082d41) + + (paper "A4") + + (lib_symbols + (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "C" (at 0.254 1.778 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C_Small" (at 0.254 -2.032 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "capacitor cap" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 -0.508) + (xy 1.524 -0.508) + ) + (stroke (width 0.3302) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.508) + (xy 1.524 0.508) + ) + (stroke (width 0.3048) (type default)) + (fill (type none)) + ) + ) + (symbol "C_Small_1_1" + (pin passive line (at 0 2.54 270) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 0.762 0.508 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "R_Small" (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_Small_0_1" + (rectangle (start -0.762 1.778) (end 0.762 -1.778) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + ) + (symbol "R_Small_1_1" + (pin passive line (at 0 2.54 270) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:741G125GW" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_741G125GW" (at 0 -6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 0 -7.62 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 -5.08 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "741G125GW_0_1" + (rectangle (start 5.08 -5.08) (end -5.08 5.08) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "741G125GW_1_1" + (pin input line (at -10.16 2.54 0) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 0 0) (length 5.08) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -2.54 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 -2.54 180) (length 5.08) + (name "Y" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 2.54 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:74244" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_74244" (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74244_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "74244_1_1" + (pin input line (at 10.16 11.43 180) (length 5.08) + (name "1~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -8.89 180) (length 5.08) + (name "2A3" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 8.89 0) (length 5.08) + (name "1Y3" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -6.35 180) (length 5.08) + (name "2A2" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 6.35 0) (length 5.08) + (name "1Y2" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -3.81 180) (length 5.08) + (name "2A1" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 3.81 0) (length 5.08) + (name "1Y1" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -1.27 180) (length 5.08) + (name "2A0" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 1.27 0) (length 5.08) + (name "1Y0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -11.43 180) (length 5.08) + (name "2~{OE}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "1A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 11.43 0) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -1.27 0) (length 5.08) + (name "2Y0" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 6.35 180) (length 5.08) + (name "1A1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -3.81 0) (length 5.08) + (name "2Y1" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 3.81 180) (length 5.08) + (name "1A2" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -6.35 0) (length 5.08) + (name "2Y2" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 1.27 180) (length 5.08) + (name "1A3" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at -10.16 -8.89 0) (length 5.08) + (name "2Y3" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:74245" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_74245" (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74245_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "74245_1_1" + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "AtoB" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -11.43 180) (length 5.08) + (name "B7" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -8.89 180) (length 5.08) + (name "B6" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -6.35 180) (length 5.08) + (name "B5" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -3.81 180) (length 5.08) + (name "B4" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 -1.27 180) (length 5.08) + (name "B3" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 1.27 180) (length 5.08) + (name "B2" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 3.81 180) (length 5.08) + (name "B1" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 10.16 6.35 180) (length 5.08) + (name "B0" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 8.89 180) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 8.89 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 6.35 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 3.81 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 1.27 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -1.27 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -3.81 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -6.35 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at -10.16 -8.89 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Logic:74573" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_Logic_74573" (at 0 -15.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 -16.51 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 0 2.54 0) + (effects (font (size 1.524 1.524)) hide) + ) + (symbol "74573_0_1" + (rectangle (start -5.08 13.97) (end 5.08 -13.97) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "74573_1_1" + (pin input line (at -10.16 11.43 0) (length 5.08) + (name "~{OE}" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 -11.43 0) (length 5.08) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -11.43 180) (length 5.08) + (name "~{LE}" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -8.89 180) (length 5.08) + (name "Q7" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -6.35 180) (length 5.08) + (name "Q6" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -3.81 180) (length 5.08) + (name "Q5" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 -1.27 180) (length 5.08) + (name "Q4" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 1.27 180) (length 5.08) + (name "Q3" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 3.81 180) (length 5.08) + (name "Q2" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 6.35 180) (length 5.08) + (name "Q1" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin tri_state line (at 10.16 8.89 180) (length 5.08) + (name "Q0" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 8.89 0) (length 5.08) + (name "D0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 10.16 11.43 180) (length 5.08) + (name "Vcc" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 6.35 0) (length 5.08) + (name "D1" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 3.81 0) (length 5.08) + (name "D2" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 1.27 0) (length 5.08) + (name "D3" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -1.27 0) (length 5.08) + (name "D4" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -3.81 0) (length 5.08) + (name "D5" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -6.35 0) (length 5.08) + (name "D6" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -8.89 0) (length 5.08) + (name "D7" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+5V_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+5V_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+5V" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at -57.15 154.94) (diameter 0) (color 0 0 0 0) + (uuid 071c38d8-95bd-4c3b-b0ac-0f59b42e9a0a) + ) + (junction (at -36.83 160.02) (diameter 0) (color 0 0 0 0) + (uuid 0ec42072-dd9f-41a8-9a12-7ae9158937b2) + ) + (junction (at -46.99 165.1) (diameter 0) (color 0 0 0 0) + (uuid 112e0df9-4a60-4987-bc01-ea35844bc38e) + ) + (junction (at 144.78 66.04) (diameter 0) (color 0 0 0 0) + (uuid 151e9c93-09e5-425a-8b12-42c8c3006f59) + ) + (junction (at 175.26 27.94) (diameter 0) (color 0 0 0 0) + (uuid 41262f0b-6743-4012-aa79-683d0ffcbefd) + ) + (junction (at -57.15 165.1) (diameter 0) (color 0 0 0 0) + (uuid 4130068c-ae8c-41f1-a91f-a2c10c3f5cc7) + ) + (junction (at 144.78 68.58) (diameter 0) (color 0 0 0 0) + (uuid 47d8829d-fbae-470b-a527-f959feef9f60) + ) + (junction (at -26.67 165.1) (diameter 0) (color 0 0 0 0) + (uuid 4c419f5f-cc1c-4ba5-8b3c-a06d813a22c7) + ) + (junction (at -67.31 149.86) (diameter 0) (color 0 0 0 0) + (uuid 5e85abc1-e136-45fb-8f21-1da641d9c8ad) + ) + (junction (at -26.67 154.94) (diameter 0) (color 0 0 0 0) + (uuid 61f5b7c1-db1a-4a23-a967-8862aeb1ebc4) + ) + (junction (at -46.99 160.02) (diameter 0) (color 0 0 0 0) + (uuid 6768527a-60f8-4816-8198-9467327e4107) + ) + (junction (at 172.72 33.02) (diameter 0) (color 0 0 0 0) + (uuid 85afebde-8017-4384-b67e-00a84f058faf) + ) + (junction (at -46.99 154.94) (diameter 0) (color 0 0 0 0) + (uuid 8ba16002-7ed3-489d-9e42-6bebc3098471) + ) + (junction (at -26.67 149.86) (diameter 0) (color 0 0 0 0) + (uuid 8ce4718a-8bd5-4a3e-8454-f0ee31ced373) + ) + (junction (at -36.83 154.94) (diameter 0) (color 0 0 0 0) + (uuid 99e5425d-b9ef-48ff-a9fd-4e1c85167fe9) + ) + (junction (at -57.15 160.02) (diameter 0) (color 0 0 0 0) + (uuid a4e0e781-da26-4c01-9498-ad55ddaaae9a) + ) + (junction (at -57.15 149.86) (diameter 0) (color 0 0 0 0) + (uuid ace571dd-39de-4007-a6b2-6ef9f6392847) + ) + (junction (at -46.99 149.86) (diameter 0) (color 0 0 0 0) + (uuid ca65e96c-bc75-4437-a4e9-353fd0bf346e) + ) + (junction (at -16.51 165.1) (diameter 0) (color 0 0 0 0) + (uuid cedae199-0f44-4b4a-abb8-4d4a74d27e1b) + ) + (junction (at -67.31 160.02) (diameter 0) (color 0 0 0 0) + (uuid d140c3eb-77b8-42ff-9d10-5ab36292d94b) + ) + (junction (at -36.83 149.86) (diameter 0) (color 0 0 0 0) + (uuid d63aff46-71f7-48f8-84f8-0c10d4572de3) + ) + (junction (at -16.51 154.94) (diameter 0) (color 0 0 0 0) + (uuid e48ed688-25b3-4799-878d-2e4afae14de0) + ) + (junction (at -26.67 160.02) (diameter 0) (color 0 0 0 0) + (uuid f00378cd-1975-4d65-84a1-2ffb5344a145) + ) + (junction (at -36.83 165.1) (diameter 0) (color 0 0 0 0) + (uuid f017fee3-529f-4339-9548-d709ff41881a) + ) + + (no_connect (at 165.1 63.5) (uuid 0f2f35c5-f0b6-4592-97e3-acefdc15f6f4)) + (no_connect (at 165.1 66.04) (uuid 19795b5a-c1d4-468d-a068-3d08c7e9acfd)) + + (bus_entry (at 172.72 187.96) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 00f05f98-b220-4cd4-a626-df6baa45bdae) + ) + (bus_entry (at 114.3 142.24) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 01a10425-4a10-46c7-89b5-adf0634a9842) + ) + (bus_entry (at 172.72 121.92) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 066f43e0-2340-4b1a-bb44-f8bcab9989f3) + ) + (bus_entry (at 114.3 127) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 067215ea-7aa0-4354-b44a-8b0607b6e953) + ) + (bus_entry (at 114.3 149.86) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 06ca0334-8067-4079-be0a-d7fa9759b2a2) + ) + (bus_entry (at 57.15 88.9) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid 0915f2d9-4d82-4c0c-b60d-1f5a013d8946) + ) + (bus_entry (at 137.16 93.98) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0aad174b-2c47-4238-ae9c-ae4de122a237) + ) + (bus_entry (at 114.3 147.32) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0b0b424f-9af4-49d6-ab2f-3f7a45ca03e7) + ) + (bus_entry (at 73.66 129.54) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0b325164-4a72-4463-a06a-f2e079de9ccb) + ) + (bus_entry (at 137.16 187.96) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0bf05f23-27d2-4cb3-ac25-be5439bb523d) + ) + (bus_entry (at 137.16 116.84) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0cbd92e5-848c-42f2-84e8-8c228ef7b1b2) + ) + (bus_entry (at 114.3 124.46) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 127d24c7-f1c3-4f83-b33d-95489157594d) + ) + (bus_entry (at 73.66 142.24) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1296b795-8bc7-4436-9c54-9604437c8ea7) + ) + (bus_entry (at 137.16 99.06) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 14a324f0-669f-4092-a42a-d8be51e123aa) + ) + (bus_entry (at 114.3 99.06) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 15e1763e-64af-4526-bba1-1883b98ce770) + ) + (bus_entry (at 114.3 93.98) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 17a16829-4c0f-4d74-a1b7-efb7cd10b218) + ) + (bus_entry (at 114.3 172.72) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 198e183b-7e48-4c23-a51b-df4d37e49f02) + ) + (bus_entry (at 137.16 119.38) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1c02d657-5169-4b90-8a70-b1c4c15bdd4c) + ) + (bus_entry (at 137.16 190.5) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1c35cd5e-faf2-426e-9eef-d7251a1ccc34) + ) + (bus_entry (at 137.16 121.92) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1d804677-2cb5-4199-a7e6-62cf26702f66) + ) + (bus_entry (at 137.16 127) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1e1fd8d5-e315-469c-816a-40fc253f6589) + ) + (bus_entry (at 172.72 190.5) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1f08efdf-a9f3-4dac-8cad-fa3e3ea2e49a) + ) + (bus_entry (at 172.72 175.26) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1fd7284e-ed56-4e75-b598-1a6dfc294e7e) + ) + (bus_entry (at 73.66 81.28) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 20cf7d1f-7e9a-4ad2-92c9-0e307daab1c5) + ) + (bus_entry (at 73.66 172.72) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2114cb5e-2905-49b4-89d7-61f9744af9f9) + ) + (bus_entry (at 12.7 27.94) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2230cfa9-11e3-4e2e-8bd1-b3bd6ed7a467) + ) + (bus_entry (at 137.16 88.9) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 234c9cc7-319c-46b9-b26e-df4915e61f03) + ) + (bus_entry (at 114.3 187.96) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 27cb111a-fdab-4021-85af-b6f6ab3babc6) + ) + (bus_entry (at 114.3 175.26) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 27ef5d4d-62db-4024-9bdb-4ad43cf01e56) + ) + (bus_entry (at 73.66 187.96) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2b260377-7c73-40d9-bfcb-5a0ef264e5c2) + ) + (bus_entry (at 114.3 50.8) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2bd53101-c516-4576-bf7c-a27705842cd1) + ) + (bus_entry (at 73.66 177.8) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 318a51fb-608f-466e-a20f-16b03df74d44) + ) + (bus_entry (at 172.72 149.86) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 32b45104-54b4-466f-817e-79a56b225e15) + ) + (bus_entry (at 137.16 177.8) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 356f22c4-8faf-4cfe-aafb-c355e02568b5) + ) + (bus_entry (at 73.66 175.26) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 38baf5c0-56fb-4e29-a3c3-41150946d629) + ) + (bus_entry (at 73.66 182.88) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3936fb57-73ec-4888-b4ff-6dc714439958) + ) + (bus_entry (at 114.3 53.34) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3ccdc8fe-bfce-4f16-b65f-99f143ed30e7) + ) + (bus_entry (at 73.66 99.06) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3d2f63a5-dd2b-46a4-a38a-ac86cd769617) + ) + (bus_entry (at 137.16 162.56) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3d8ba8fb-9d7e-4548-a243-30d3e0e99300) + ) + (bus_entry (at 172.72 91.44) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 42e157c1-5ce5-4528-b3db-a6c0021aacde) + ) + (bus_entry (at 114.3 86.36) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4449c1e7-9311-4d52-ae5b-18609f0f074d) + ) + (bus_entry (at 137.16 132.08) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 476c74b2-82b8-4c87-aec0-67a486fea6ff) + ) + (bus_entry (at 114.3 116.84) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 481e1cb5-dbfd-45f2-80ec-7d86f97afc89) + ) + (bus_entry (at 114.3 68.58) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 494e4119-e1f9-4d96-acfb-8db6241a7e3f) + ) + (bus_entry (at 137.16 83.82) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4a8a96d0-b885-4b89-bb8a-c8f70febc473) + ) + (bus_entry (at 172.72 83.82) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4aa76a67-dba1-4d6b-9bcf-74fce11f0c77) + ) + (bus_entry (at 172.72 160.02) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4b76d348-e0cf-4509-b204-9e9e9e948709) + ) + (bus_entry (at 12.7 25.4) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4e9322c2-9bbd-4c0b-85fc-50bb019890bc) + ) + (bus_entry (at 172.72 154.94) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 57693583-6117-41fd-bc2c-28c0976f6a50) + ) + (bus_entry (at 114.3 66.04) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5df06de5-0dc8-4734-8a38-6fe8f9282e2a) + ) + (bus_entry (at 137.16 193.04) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5f238c28-122f-460b-b23b-6df58338fa33) + ) + (bus_entry (at 172.72 86.36) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5fa4246c-28de-4997-97ee-399ead0f32e8) + ) + (bus_entry (at 137.16 157.48) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5ffa4386-bade-4f61-83cb-391e4ea7f9cc) + ) + (bus_entry (at 172.72 88.9) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 687624f2-4b9a-404c-b542-c72975f1ede7) + ) + (bus_entry (at 12.7 22.86) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6d2d83c5-d995-450b-90c5-b3a8f92516dd) + ) + (bus_entry (at 114.3 83.82) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6d97150d-abab-40aa-8317-250b7e812817) + ) + (bus_entry (at 172.72 124.46) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6dd5cf1b-d516-41f8-b9dd-4185dfd8a88d) + ) + (bus_entry (at 137.16 182.88) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6f36ae85-f901-40b4-8f81-3891a6f8502a) + ) + (bus_entry (at 137.16 154.94) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 70320339-39da-4421-a088-a251921c7052) + ) + (bus_entry (at 114.3 190.5) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 70e69279-e166-48ad-8e79-ae89f2912176) + ) + (bus_entry (at 73.66 152.4) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 71afba4b-1962-4809-9a95-5858b9bc5f85) + ) + (bus_entry (at 137.16 129.54) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7301d507-0a07-4328-8b5a-863d23882b5f) + ) + (bus_entry (at 172.72 93.98) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7335a235-56d2-4c50-8478-8186aa7e60a6) + ) + (bus_entry (at 73.66 116.84) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 76d1f231-5dd3-4a2d-88f2-641199aba2b0) + ) + (bus_entry (at 114.3 121.92) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 79d1503d-a29d-445f-8d4e-322644be7da9) + ) + (bus_entry (at 137.16 86.36) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7cc7ad18-d843-4dcb-862a-491f46a4f862) + ) + (bus_entry (at 137.16 175.26) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7e8a4262-9b61-4a58-995e-dea46c750283) + ) + (bus_entry (at 137.16 180.34) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7f90e651-03fe-434f-9caa-e90f2ba290f8) + ) + (bus_entry (at 137.16 91.44) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 80c2ceb4-0ed7-408a-a88e-bf8b66c1e6e9) + ) + (bus_entry (at 172.72 116.84) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 812ce478-31bd-4e59-a7f3-64723d90f991) + ) + (bus_entry (at 114.3 114.3) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 827b11fd-484f-4f76-8950-cb141d9cf9bd) + ) + (bus_entry (at 114.3 144.78) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 834c428f-497c-4080-9bc0-d872d64315e0) + ) + (bus_entry (at 172.72 81.28) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 86b0c2e9-54ce-4301-88a7-5174fba512be) + ) + (bus_entry (at 114.3 58.42) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8981bfd2-7223-415a-ad2b-afefcc85176b) + ) + (bus_entry (at 172.72 157.48) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8b58c633-a82a-4401-a5e5-943dc6e0db17) + ) + (bus_entry (at 172.72 152.4) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8e09b70e-b4a1-4ada-8186-97cf8b0d7533) + ) + (bus_entry (at 172.72 127) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9221039a-777b-4caa-a389-045b25b9a819) + ) + (bus_entry (at 172.72 142.24) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 936f08f3-c0df-4a24-8534-6f89f00e7d00) + ) + (bus_entry (at 114.3 55.88) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 984ce8f9-a669-460e-9415-25cf07094c5d) + ) + (bus_entry (at 73.66 127) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 995b567f-ee91-41d9-9235-45d535cb3782) + ) + (bus_entry (at 114.3 60.96) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 998eb1ea-af1f-4abb-98ed-73a49b1f6529) + ) + (bus_entry (at 137.16 152.4) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 99e30be6-875d-4db5-8eda-3c21d0a83ba1) + ) + (bus_entry (at 114.3 129.54) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9add0b35-495e-468b-866d-51af8f014e89) + ) + (bus_entry (at 172.72 172.72) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9d0de739-da27-4676-b88a-da7dfc4cd54f) + ) + (bus_entry (at 137.16 147.32) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9d70a33c-390f-45c5-af4b-012e64a45b95) + ) + (bus_entry (at 73.66 190.5) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9f6c1561-963c-4598-a94a-0766a44f04e0) + ) + (bus_entry (at 114.3 185.42) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a138ec0d-0796-4bbc-8f95-af342ca7f404) + ) + (bus_entry (at 172.72 111.76) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a219a6df-3c6a-43db-b4d7-e34875b4e183) + ) + (bus_entry (at 114.3 111.76) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a2959367-00a1-4737-b4d1-f5dbd7d12fa1) + ) + (bus_entry (at 73.66 121.92) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid a2f7ba04-1321-4c46-926a-971e8f5d0966) + ) + (bus_entry (at 73.66 154.94) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid a370a4c5-8eca-4f04-b131-deea823f5355) + ) + (bus_entry (at 137.16 149.86) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid a6800a18-b063-4f01-a1cc-7514fffe2a20) + ) + (bus_entry (at 172.72 144.78) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a6d5871c-9471-47ea-b2bd-214be221a450) + ) + (bus_entry (at 114.3 88.9) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid aa5a27e1-b7d3-4e76-95d8-47c0091314ad) + ) + (bus_entry (at 114.3 160.02) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid ac86067e-4251-4ce1-ba41-0ac1ef06ad69) + ) + (bus_entry (at 114.3 96.52) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b126af1d-3cbd-4d00-aa68-22bc1ac4e826) + ) + (bus_entry (at 73.66 144.78) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b2aa6510-cf15-4123-ace8-58978e210a6d) + ) + (bus_entry (at 172.72 147.32) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b3800d24-433d-45c9-9492-cbef66561bb3) + ) + (bus_entry (at 114.3 81.28) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b70d00ea-3405-4e2a-a36c-fd823f78cb89) + ) + (bus_entry (at 73.66 124.46) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b77862b9-6525-4889-a069-534fe136ad85) + ) + (bus_entry (at 73.66 157.48) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid b8283b29-ae57-409d-81aa-c2c73c4c2e5c) + ) + (bus_entry (at 172.72 119.38) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b844d9c0-d9df-4d5e-a128-c1a5aa3c4782) + ) + (bus_entry (at 172.72 180.34) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid b8b90a16-8fbc-4ec7-af7d-de107b36d818) + ) + (bus_entry (at 172.72 177.8) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid ba3799b6-83cb-435f-a0a7-df4a636c7baa) + ) + (bus_entry (at 114.3 119.38) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid bb8e0371-698a-4a4b-8e94-e8e9eaac12b9) + ) + (bus_entry (at 73.66 114.3) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid bd634c49-bd25-4d25-ad4f-bf15b1e6a39c) + ) + (bus_entry (at 114.3 91.44) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid bf8eeab0-3fd5-4b5b-a868-5bb88bca5307) + ) + (bus_entry (at 114.3 182.88) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c105b6bc-a66b-4597-b38a-1d2c7c150cc3) + ) + (bus_entry (at 172.72 185.42) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c19f8afe-9409-4cc6-a946-49c1c78bc112) + ) + (bus_entry (at 73.66 91.44) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid c2e150cf-2bcf-42cd-a319-83504cef754b) + ) + (bus_entry (at 137.16 101.6) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid c30d30e4-e879-4838-bce4-d18e84ff6695) + ) + (bus_entry (at 114.3 154.94) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c34a5b95-afd9-4e68-bdf7-575cc2267a7b) + ) + (bus_entry (at 73.66 185.42) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid c7e9de7c-2f2e-4a3b-9101-266b850c9ea3) + ) + (bus_entry (at 114.3 157.48) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid ce3e12a9-84ce-438f-921e-7e8d61e7cf77) + ) + (bus_entry (at 73.66 160.02) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid cebe08f6-d156-49ac-8b87-2378d9d8b91d) + ) + (bus_entry (at 73.66 149.86) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid d0ed920d-265c-4397-9b11-5c033f93adb4) + ) + (bus_entry (at 114.3 177.8) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d33ce80b-5de7-4e15-b2ca-73fb8a28ebdd) + ) + (bus_entry (at 114.3 63.5) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d87bf89f-115f-4794-a206-6a4ffcdc4e39) + ) + (bus_entry (at 172.72 182.88) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid d8da7090-8080-43d0-81d1-a95327941616) + ) + (bus_entry (at 73.66 111.76) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid da9ffeeb-f2a6-4235-9c2c-35d1a6784b7e) + ) + (bus_entry (at 73.66 147.32) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid dc609a45-28d1-4dda-9dba-7abfb8a04ff1) + ) + (bus_entry (at 73.66 119.38) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid de1dbafe-2d45-4b07-b564-4ca2c6d40022) + ) + (bus_entry (at 137.16 144.78) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid e0db4da0-a0a1-4e4a-9861-96923d57fabe) + ) + (bus_entry (at 137.16 114.3) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid e2f68340-a080-40fa-86ea-65b1025d52c4) + ) + (bus_entry (at 114.3 180.34) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e509ffa1-2ba2-409e-9453-c13338f27242) + ) + (bus_entry (at 172.72 129.54) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e5876622-fbea-465f-94b8-03ca6429879c) + ) + (bus_entry (at 137.16 124.46) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid e5ebdc74-7387-4285-a164-a6dca10f8964) + ) + (bus_entry (at 172.72 99.06) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e8a19d20-f866-4306-879f-a8df66119763) + ) + (bus_entry (at 137.16 185.42) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid eabc4b7c-0c9a-421c-bb95-6b18cc5bee72) + ) + (bus_entry (at 137.16 96.52) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid ec62a361-e40b-4dca-8ed1-68352074e99d) + ) + (bus_entry (at 57.15 91.44) (size 2.54 -2.54) + (stroke (width 0) (type default)) + (uuid ed660e81-7b6e-44ee-9f9d-ff4347db748c) + ) + (bus_entry (at 137.16 160.02) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid f1c00c4a-2850-4320-8c68-4dbd4544a142) + ) + (bus_entry (at 172.72 96.52) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f27a3b65-9d60-44e2-8f68-2f410c4facb5) + ) + (bus_entry (at 73.66 180.34) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid f3c72dd0-90fd-4039-8afb-38af5378a085) + ) + (bus_entry (at 172.72 114.3) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f717036e-33f4-4386-9ad5-3bf10b0b259b) + ) + (bus_entry (at 73.66 93.98) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid f8c88a0f-ca71-47ea-9622-eada96e5872c) + ) + (bus_entry (at 73.66 96.52) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid fdb60e3b-d7b3-44e2-b9fc-a38992eeae7a) + ) + (bus_entry (at 114.3 152.4) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid ff7931de-74f5-4699-8fe4-131ea4873082) + ) + + (wire (pts (xy 104.14 96.52) (xy 114.3 96.52)) + (stroke (width 0) (type default)) + (uuid 00b2b291-4ed8-4ed2-8b01-095bb8e30c23) + ) + (bus (pts (xy 71.12 124.46) (xy 71.12 127)) + (stroke (width 0) (type default)) + (uuid 01517775-975d-4a22-9e39-3568224c02f4) + ) + (bus (pts (xy 116.84 177.8) (xy 116.84 180.34)) + (stroke (width 0) (type default)) + (uuid 016f641d-4dda-4a2e-b9fd-f4245653764e) + ) + + (wire (pts (xy 165.1 129.54) (xy 172.72 129.54)) + (stroke (width 0) (type default)) + (uuid 0232a8ed-a178-4174-916d-47707fb8b321) + ) + (wire (pts (xy 76.2 86.36) (xy 83.82 86.36)) + (stroke (width 0) (type default)) + (uuid 026265fa-063c-406c-91c9-1991efaad0b0) + ) + (bus (pts (xy 134.62 99.06) (xy 134.62 101.6)) + (stroke (width 0) (type default)) + (uuid 02fd0ec7-15a5-4aa4-a946-d5e4f384dabf) + ) + + (wire (pts (xy 83.82 88.9) (xy 76.2 88.9)) + (stroke (width 0) (type default)) + (uuid 03855ad2-c36a-4717-9086-5eb0d0078dd6) + ) + (bus (pts (xy 134.62 147.32) (xy 134.62 149.86)) + (stroke (width 0) (type default)) + (uuid 05207a8a-1e5e-4999-9860-d88ccc5da3ba) + ) + + (wire (pts (xy 83.82 149.86) (xy 73.66 149.86)) + (stroke (width 0) (type default)) + (uuid 058167ff-d93f-45bf-836b-f8a890d7915c) + ) + (bus (pts (xy 116.84 132.08) (xy 116.84 144.78)) + (stroke (width 0) (type default)) + (uuid 05960c24-9282-40ee-8aa9-8e61660aab27) + ) + + (wire (pts (xy 165.1 177.8) (xy 172.72 177.8)) + (stroke (width 0) (type default)) + (uuid 05eec887-602e-4cea-90ad-cb6aec737f41) + ) + (bus (pts (xy 175.26 182.88) (xy 175.26 185.42)) + (stroke (width 0) (type default)) + (uuid 0709a9fe-bd68-4ec5-abd0-c68d2316bda0) + ) + (bus (pts (xy 175.26 99.06) (xy 175.26 101.6)) + (stroke (width 0) (type default)) + (uuid 07b58c9b-7245-435d-81d3-925c5ff6c95e) + ) + + (wire (pts (xy 144.78 88.9) (xy 137.16 88.9)) + (stroke (width 0) (type default)) + (uuid 09fc757a-31b0-4fb6-9702-598faec7778d) + ) + (bus (pts (xy 116.84 180.34) (xy 116.84 182.88)) + (stroke (width 0) (type default)) + (uuid 0c112909-a174-476d-8dd6-fc4305f87a00) + ) + + (wire (pts (xy -26.67 154.94) (xy -16.51 154.94)) + (stroke (width 0) (type default)) + (uuid 0cce02af-fb60-4f6b-b1db-6922c34e7ed9) + ) + (wire (pts (xy 83.82 147.32) (xy 73.66 147.32)) + (stroke (width 0) (type default)) + (uuid 0cd8c860-318c-4ec6-ae9a-4bcaca61a708) + ) + (wire (pts (xy 172.72 30.48) (xy 172.72 33.02)) + (stroke (width 0) (type default)) + (uuid 0d41c6eb-c9f5-43c8-9c99-666a59937693) + ) + (wire (pts (xy 165.1 127) (xy 172.72 127)) + (stroke (width 0) (type default)) + (uuid 10adb04e-b4b9-474b-af01-904cb31e2e10) + ) + (wire (pts (xy 144.78 116.84) (xy 137.16 116.84)) + (stroke (width 0) (type default)) + (uuid 10f35114-0278-49a7-8adb-3cf42d6e786b) + ) + (wire (pts (xy 144.78 119.38) (xy 137.16 119.38)) + (stroke (width 0) (type default)) + (uuid 147931c9-04c0-402e-8f0b-1ff0565f22c9) + ) + (bus (pts (xy 134.62 157.48) (xy 134.62 160.02)) + (stroke (width 0) (type default)) + (uuid 164f9620-884e-4977-a83d-d9e8d7f7861a) + ) + + (wire (pts (xy -36.83 160.02) (xy -26.67 160.02)) + (stroke (width 0) (type default)) + (uuid 166bed8c-b6b5-4615-ae12-3e152e779a33) + ) + (bus (pts (xy 134.62 185.42) (xy 134.62 187.96)) + (stroke (width 0) (type default)) + (uuid 17fb7e37-c460-4801-b27f-7084a081b5d6) + ) + + (wire (pts (xy 165.1 185.42) (xy 172.72 185.42)) + (stroke (width 0) (type default)) + (uuid 191df1a7-f805-4574-88d3-7a33a5e6174e) + ) + (wire (pts (xy 165.1 182.88) (xy 172.72 182.88)) + (stroke (width 0) (type default)) + (uuid 1972b316-5d6f-481c-96f2-508c8e70fdbf) + ) + (wire (pts (xy 104.14 149.86) (xy 114.3 149.86)) + (stroke (width 0) (type default)) + (uuid 1a643e18-ac0c-411f-8cdb-468dccd2b141) + ) + (wire (pts (xy 114.3 63.5) (xy 104.14 63.5)) + (stroke (width 0) (type default)) + (uuid 1a72e555-d236-414c-a0b5-f96863f63a98) + ) + (bus (pts (xy 116.84 99.06) (xy 116.84 101.6)) + (stroke (width 0) (type default)) + (uuid 1c225cb2-c5d0-4c26-8b16-f0e540f94f2a) + ) + (bus (pts (xy 71.12 93.98) (xy 71.12 96.52)) + (stroke (width 0) (type default)) + (uuid 1c9c6447-b77c-4eae-92e5-ab041144cd2a) + ) + + (wire (pts (xy -67.31 154.94) (xy -57.15 154.94)) + (stroke (width 0) (type default)) + (uuid 1f8082eb-428a-48d8-8d4c-d74d346c9548) + ) + (bus (pts (xy 116.84 182.88) (xy 116.84 185.42)) + (stroke (width 0) (type default)) + (uuid 21aba84e-b410-4035-a522-165ca643d354) + ) + (bus (pts (xy 71.12 83.82) (xy 71.12 93.98)) + (stroke (width 0) (type default)) + (uuid 21d4cbce-84fa-4ad5-92ee-fc34df7a989c) + ) + (bus (pts (xy 134.62 152.4) (xy 134.62 154.94)) + (stroke (width 0) (type default)) + (uuid 22dbf020-2c79-472d-b7c9-f49de555180b) + ) + + (wire (pts (xy 165.1 187.96) (xy 172.72 187.96)) + (stroke (width 0) (type default)) + (uuid 242d4822-0316-476e-9294-7410c90eae13) + ) + (wire (pts (xy 144.78 40.64) (xy 144.78 45.72)) + (stroke (width 0) (type default)) + (uuid 2446574c-d4d0-4595-92ef-7a2a284a08ce) + ) + (bus (pts (xy 71.12 127) (xy 71.12 129.54)) + (stroke (width 0) (type default)) + (uuid 24aa9b01-b0a3-48f2-a4f2-b322d923eee9) + ) + + (wire (pts (xy 83.82 111.76) (xy 73.66 111.76)) + (stroke (width 0) (type default)) + (uuid 24d4d983-6bb8-44b9-993b-8182b8d89a78) + ) + (wire (pts (xy 144.78 86.36) (xy 137.16 86.36)) + (stroke (width 0) (type default)) + (uuid 24e916a3-a55e-44a1-82c6-7f23384b2dfd) + ) + (bus (pts (xy 175.26 119.38) (xy 175.26 121.92)) + (stroke (width 0) (type default)) + (uuid 260ec750-a5b7-4ab1-b2af-789b64c8082a) + ) + + (wire (pts (xy 104.14 152.4) (xy 114.3 152.4)) + (stroke (width 0) (type default)) + (uuid 2634f878-5225-44f3-804f-70c83299d0fe) + ) + (bus (pts (xy 71.12 180.34) (xy 71.12 182.88)) + (stroke (width 0) (type default)) + (uuid 26577b44-18c1-4219-b30f-66998b6dd612) + ) + + (wire (pts (xy 59.69 86.36) (xy 68.58 86.36)) + (stroke (width 0) (type default)) + (uuid 28cd0ffc-3e88-4a63-96af-38a24b07309e) + ) + (wire (pts (xy 144.78 124.46) (xy 137.16 124.46)) + (stroke (width 0) (type default)) + (uuid 28e1144d-d1f4-47e4-bdee-39311b8ef509) + ) + (bus (pts (xy 71.12 160.02) (xy 71.12 162.56)) + (stroke (width 0) (type default)) + (uuid 297f30dd-1a06-4816-868d-aef67dae2033) + ) + + (wire (pts (xy 104.14 185.42) (xy 114.3 185.42)) + (stroke (width 0) (type default)) + (uuid 29d0771f-0812-4559-94cf-ccf2f7d20485) + ) + (bus (pts (xy 175.26 175.26) (xy 175.26 177.8)) + (stroke (width 0) (type default)) + (uuid 2a072ec0-61f7-40b6-8012-a445db03bc41) + ) + (bus (pts (xy 175.26 157.48) (xy 175.26 160.02)) + (stroke (width 0) (type default)) + (uuid 2a5a9205-2892-4c6a-8e53-d54d865e05be) + ) + + (wire (pts (xy 165.1 157.48) (xy 172.72 157.48)) + (stroke (width 0) (type default)) + (uuid 2ae78b64-0084-4099-a28f-42206f8440f4) + ) + (wire (pts (xy -67.31 165.1) (xy -57.15 165.1)) + (stroke (width 0) (type default)) + (uuid 2b5792d1-f065-4536-a693-b48405a34858) + ) + (wire (pts (xy 175.26 27.94) (xy 172.72 27.94)) + (stroke (width 0) (type default)) + (uuid 2c16d7bf-0fe1-4613-a66e-a9948fcc053d) + ) + (bus (pts (xy 116.84 88.9) (xy 116.84 91.44)) + (stroke (width 0) (type default)) + (uuid 2e2f4d34-4c79-41e4-af23-d1e39e021c37) + ) + + (wire (pts (xy 144.78 91.44) (xy 137.16 91.44)) + (stroke (width 0) (type default)) + (uuid 2e8e8609-4264-4b7b-b86f-b119cffc0bda) + ) + (wire (pts (xy 104.14 175.26) (xy 114.3 175.26)) + (stroke (width 0) (type default)) + (uuid 3098ede3-1f0c-43ed-835e-59c03029acc7) + ) + (wire (pts (xy 114.3 50.8) (xy 104.14 50.8)) + (stroke (width 0) (type default)) + (uuid 324def9a-0b7e-41c0-b8c4-250bcb719a27) + ) + (wire (pts (xy 104.14 88.9) (xy 114.3 88.9)) + (stroke (width 0) (type default)) + (uuid 331c681e-d1f7-48e8-97ba-c8e15034c33e) + ) + (wire (pts (xy 83.82 124.46) (xy 73.66 124.46)) + (stroke (width 0) (type default)) + (uuid 359dacb2-1852-45f8-a919-5a81725a99f0) + ) + (bus (pts (xy 71.12 177.8) (xy 71.12 180.34)) + (stroke (width 0) (type default)) + (uuid 36490b1f-920c-4fda-83ec-215108b220df) + ) + (bus (pts (xy 116.84 162.56) (xy 116.84 175.26)) + (stroke (width 0) (type default)) + (uuid 37971442-dba8-42ed-8ba1-4594ab84fd4b) + ) + + (wire (pts (xy 104.14 66.04) (xy 114.3 66.04)) + (stroke (width 0) (type default)) + (uuid 37ad63b4-a22d-4306-a8a7-b42f4451e39d) + ) + (wire (pts (xy 83.82 175.26) (xy 73.66 175.26)) + (stroke (width 0) (type default)) + (uuid 37cffebe-b894-44b9-bfb2-e5381a83d814) + ) + (bus (pts (xy 175.26 187.96) (xy 175.26 190.5)) + (stroke (width 0) (type default)) + (uuid 38321b6a-d89a-46fb-b3eb-de5872a2a6b0) + ) + + (wire (pts (xy 83.82 114.3) (xy 73.66 114.3)) + (stroke (width 0) (type default)) + (uuid 38c40110-7716-4ae9-b8b4-862920731519) + ) + (bus (pts (xy 175.26 147.32) (xy 175.26 149.86)) + (stroke (width 0) (type default)) + (uuid 393343f0-2fa0-469c-9a88-6368a33bb835) + ) + (bus (pts (xy 175.26 144.78) (xy 175.26 147.32)) + (stroke (width 0) (type default)) + (uuid 395afb39-446b-41ae-b38f-26dc6df5ec99) + ) + + (wire (pts (xy 144.78 177.8) (xy 137.16 177.8)) + (stroke (width 0) (type default)) + (uuid 39c83900-d5df-4095-8c36-1aa62f46b900) + ) + (bus (pts (xy 134.62 119.38) (xy 134.62 121.92)) + (stroke (width 0) (type default)) + (uuid 3a105055-7cf1-4346-afb7-eaa86c332241) + ) + + (wire (pts (xy 165.1 114.3) (xy 172.72 114.3)) + (stroke (width 0) (type default)) + (uuid 3b0f5a64-062e-4d44-933c-b5c30545b9bf) + ) + (wire (pts (xy 83.82 96.52) (xy 73.66 96.52)) + (stroke (width 0) (type default)) + (uuid 3c06c3fb-bdfd-4adb-9e57-309e090af53c) + ) + (wire (pts (xy 144.78 144.78) (xy 137.16 144.78)) + (stroke (width 0) (type default)) + (uuid 3cfa4952-35cb-40cf-bfd9-fe1a88de679e) + ) + (wire (pts (xy 144.78 182.88) (xy 137.16 182.88)) + (stroke (width 0) (type default)) + (uuid 3d9e19ee-9309-4f18-9cc1-8914b8be490b) + ) + (bus (pts (xy 71.12 154.94) (xy 71.12 157.48)) + (stroke (width 0) (type default)) + (uuid 3e0d8292-93fd-407b-a014-aef1a5f9d5c1) + ) + (bus (pts (xy 55.88 88.9) (xy 57.15 88.9)) + (stroke (width 0) (type default)) + (uuid 3fc724e0-8c11-494c-aef0-3c0751b66281) + ) + + (wire (pts (xy 142.24 40.64) (xy 144.78 40.64)) + (stroke (width 0) (type default)) + (uuid 434937bb-8d56-4493-9e92-5a3db3042a01) + ) + (bus (pts (xy 116.84 149.86) (xy 116.84 152.4)) + (stroke (width 0) (type default)) + (uuid 44c8662a-7629-442f-8805-e7f7ee028566) + ) + + (wire (pts (xy 165.1 111.76) (xy 172.72 111.76)) + (stroke (width 0) (type default)) + (uuid 4566a52d-007b-4a94-8d69-46cb9f0107de) + ) + (bus (pts (xy 116.84 127) (xy 116.84 129.54)) + (stroke (width 0) (type default)) + (uuid 4656edf4-c5c8-4fdc-a197-a96172e95081) + ) + + (wire (pts (xy 114.3 83.82) (xy 104.14 83.82)) + (stroke (width 0) (type default)) + (uuid 478dc779-3523-499a-8e7d-baa8ce55fb17) + ) + (wire (pts (xy 22.86 43.18) (xy 22.86 40.64)) + (stroke (width 0) (type default)) + (uuid 48bdc584-9ca1-40a0-9464-d3126788f883) + ) + (wire (pts (xy 165.1 119.38) (xy 172.72 119.38)) + (stroke (width 0) (type default)) + (uuid 4a20b4c6-e67d-4bc0-8a47-687500a5b733) + ) + (bus (pts (xy 175.26 162.56) (xy 175.26 175.26)) + (stroke (width 0) (type default)) + (uuid 4a6b9054-57e3-485f-8e99-93a0a8082d39) + ) + (bus (pts (xy 175.26 121.92) (xy 175.26 124.46)) + (stroke (width 0) (type default)) + (uuid 4c56b525-db3a-4293-be86-d297d571a36e) + ) + + (wire (pts (xy 12.7 22.86) (xy 22.86 22.86)) + (stroke (width 0) (type default)) + (uuid 4c74d880-b64c-4449-846f-86337203ca94) + ) + (wire (pts (xy 144.78 66.04) (xy 144.78 68.58)) + (stroke (width 0) (type default)) + (uuid 4cc7131b-2d3c-4fa8-b465-6efde5115871) + ) + (wire (pts (xy 165.1 93.98) (xy 172.72 93.98)) + (stroke (width 0) (type default)) + (uuid 4d2ab470-50d8-4cca-84b1-6fd9ec629314) + ) + (bus (pts (xy 175.26 160.02) (xy 175.26 162.56)) + (stroke (width 0) (type default)) + (uuid 4dd8973a-dd1d-4799-8f83-4375bc18883a) + ) + + (wire (pts (xy 83.82 187.96) (xy 73.66 187.96)) + (stroke (width 0) (type default)) + (uuid 4ea130c0-b2ca-48f1-859b-7ae0919090ad) + ) + (bus (pts (xy 71.12 116.84) (xy 71.12 119.38)) + (stroke (width 0) (type default)) + (uuid 4ec008dc-2209-43ea-b7be-c2cb7014b4e6) + ) + (bus (pts (xy 175.26 154.94) (xy 175.26 157.48)) + (stroke (width 0) (type default)) + (uuid 509dd51b-7641-46fa-a052-d5e4157dbfe0) + ) + (bus (pts (xy 134.62 187.96) (xy 134.62 190.5)) + (stroke (width 0) (type default)) + (uuid 50ae556f-858a-4892-aa31-901fdf77ace1) + ) + + (wire (pts (xy 83.82 160.02) (xy 73.66 160.02)) + (stroke (width 0) (type default)) + (uuid 538af795-5e6e-4d8f-a096-a9e5d20b0375) + ) + (wire (pts (xy 83.82 154.94) (xy 73.66 154.94)) + (stroke (width 0) (type default)) + (uuid 54ddbb36-3060-44d6-8ce4-b3b81ac17c51) + ) + (wire (pts (xy 144.78 114.3) (xy 137.16 114.3)) + (stroke (width 0) (type default)) + (uuid 5530b77f-2017-4fbe-a558-6dfa884cdae0) + ) + (wire (pts (xy 144.78 132.08) (xy 137.16 132.08)) + (stroke (width 0) (type default)) + (uuid 55a39a5a-cdb3-4174-ac64-5817b4dd4fde) + ) + (bus (pts (xy 116.84 96.52) (xy 116.84 99.06)) + (stroke (width 0) (type default)) + (uuid 56e9e13d-37f1-468c-a093-09c3c502655a) + ) + + (wire (pts (xy 144.78 157.48) (xy 137.16 157.48)) + (stroke (width 0) (type default)) + (uuid 57c4f10c-3978-4ce1-803e-a2cfd1a591a6) + ) + (wire (pts (xy -36.83 165.1) (xy -26.67 165.1)) + (stroke (width 0) (type default)) + (uuid 595ff32b-55d8-43c9-8a98-763e445b3ecb) + ) + (bus (pts (xy 116.84 58.42) (xy 116.84 60.96)) + (stroke (width 0) (type default)) + (uuid 5ca547e5-0dd8-431b-af7c-d4d72942677b) + ) + (bus (pts (xy 134.62 160.02) (xy 134.62 162.56)) + (stroke (width 0) (type default)) + (uuid 5d520344-9177-45ef-ad93-77bdd57f7580) + ) + (bus (pts (xy 175.26 129.54) (xy 175.26 132.08)) + (stroke (width 0) (type default)) + (uuid 5d60342e-c72e-4fbd-8536-bf49ed21f9cb) + ) + (bus (pts (xy 71.12 119.38) (xy 71.12 121.92)) + (stroke (width 0) (type default)) + (uuid 5dba6a57-67b9-4966-bfe1-b2ac1a8a95e3) + ) + + (wire (pts (xy 144.78 93.98) (xy 137.16 93.98)) + (stroke (width 0) (type default)) + (uuid 5e06beff-bc87-4069-abce-f0277da795d6) + ) + (wire (pts (xy 104.14 187.96) (xy 114.3 187.96)) + (stroke (width 0) (type default)) + (uuid 5ea75824-bad8-4c7a-8609-4de46d300079) + ) + (bus (pts (xy 116.84 68.58) (xy 116.84 71.12)) + (stroke (width 0) (type default)) + (uuid 603cd9b7-a08f-4008-afb7-8cb8e36d277c) + ) + + (wire (pts (xy 104.14 147.32) (xy 114.3 147.32)) + (stroke (width 0) (type default)) + (uuid 607d15a8-e937-4086-88e9-e6accacc55f3) + ) + (wire (pts (xy 144.78 63.5) (xy 144.78 66.04)) + (stroke (width 0) (type default)) + (uuid 62c6be55-6859-49c4-86c2-0bb867506ebd) + ) + (wire (pts (xy 81.28 78.74) (xy 83.82 78.74)) + (stroke (width 0) (type default)) + (uuid 62c8105a-b20d-4e5c-9c44-5abd09ffb683) + ) + (wire (pts (xy 104.14 160.02) (xy 114.3 160.02)) + (stroke (width 0) (type default)) + (uuid 63e4f781-693d-4332-ad4b-202d9290af33) + ) + (wire (pts (xy -26.67 149.86) (xy -16.51 149.86)) + (stroke (width 0) (type default)) + (uuid 64579290-ab3b-4fcc-8d8f-990504af6f6e) + ) + (wire (pts (xy 165.1 190.5) (xy 172.72 190.5)) + (stroke (width 0) (type default)) + (uuid 669e2690-538b-4aa0-a75b-bd219794934d) + ) + (wire (pts (xy -67.31 149.86) (xy -57.15 149.86)) + (stroke (width 0) (type default)) + (uuid 6823d1bc-660a-470e-8ae0-59ee55159103) + ) + (wire (pts (xy 165.1 96.52) (xy 172.72 96.52)) + (stroke (width 0) (type default)) + (uuid 6841a86a-6666-4449-8172-784c523b1bb8) + ) + (wire (pts (xy 83.82 180.34) (xy 73.66 180.34)) + (stroke (width 0) (type default)) + (uuid 6891fa6b-20df-4e68-97a4-74fa9b2ecdbc) + ) + (bus (pts (xy 175.26 93.98) (xy 175.26 96.52)) + (stroke (width 0) (type default)) + (uuid 6910f8ec-1ade-420c-988c-d266f2c5a30c) + ) + (bus (pts (xy 176.53 83.82) (xy 175.26 83.82)) + (stroke (width 0) (type default)) + (uuid 6993980d-6402-4263-98d2-ebf66c5e1a3e) + ) + + (wire (pts (xy 165.1 121.92) (xy 172.72 121.92)) + (stroke (width 0) (type default)) + (uuid 6aae22a3-fcf6-4a95-a26b-0344a2f117d7) + ) + (wire (pts (xy 104.14 127) (xy 114.3 127)) + (stroke (width 0) (type default)) + (uuid 6b6c65f5-d3f8-48d7-b6ee-25020b132a7f) + ) + (wire (pts (xy -26.67 160.02) (xy -16.51 160.02)) + (stroke (width 0) (type default)) + (uuid 6cb05917-11bd-4a96-95a4-00c24f6b6086) + ) + (bus (pts (xy 116.84 101.6) (xy 116.84 114.3)) + (stroke (width 0) (type default)) + (uuid 6e73ff06-30e2-4b46-9ac4-95e1f3658eb6) + ) + + (wire (pts (xy 165.1 160.02) (xy 172.72 160.02)) + (stroke (width 0) (type default)) + (uuid 70037f5c-5bb9-453d-b34d-7a4b8806a847) + ) + (bus (pts (xy 57.15 88.9) (xy 57.15 91.44)) + (stroke (width 0) (type default)) + (uuid 7082bfc5-6906-43a7-8043-fc85668f9c93) + ) + + (wire (pts (xy 104.14 81.28) (xy 114.3 81.28)) + (stroke (width 0) (type default)) + (uuid 70dcf8b1-1147-4586-963d-25377e45dce9) + ) + (bus (pts (xy 71.12 147.32) (xy 71.12 149.86)) + (stroke (width 0) (type default)) + (uuid 75cab22e-51e6-42f8-a8e2-43c863818a3a) + ) + (bus (pts (xy 71.12 149.86) (xy 71.12 152.4)) + (stroke (width 0) (type default)) + (uuid 7668b3f4-bae5-45fa-bc05-e584990c9527) + ) + (bus (pts (xy 134.62 101.6) (xy 134.62 104.14)) + (stroke (width 0) (type default)) + (uuid 78de94f1-f99f-4ef6-b2a5-7b0ac27edcbb) + ) + (bus (pts (xy 118.11 83.82) (xy 116.84 83.82)) + (stroke (width 0) (type default)) + (uuid 7a4d4cb8-9733-4e8b-936d-062a67ec70bb) + ) + + (wire (pts (xy 144.78 152.4) (xy 137.16 152.4)) + (stroke (width 0) (type default)) + (uuid 7a5ed972-cf8f-47b9-abdf-a163b695082d) + ) + (wire (pts (xy -57.15 160.02) (xy -46.99 160.02)) + (stroke (width 0) (type default)) + (uuid 7a87f633-38c3-46ea-9eea-6c44b5c0059d) + ) + (wire (pts (xy 165.1 152.4) (xy 172.72 152.4)) + (stroke (width 0) (type default)) + (uuid 7cd54140-4eef-4e15-b40c-de54a935da33) + ) + (bus (pts (xy 10.16 25.4) (xy 10.16 27.94)) + (stroke (width 0) (type default)) + (uuid 7dca86f9-2924-48b5-8d5c-a849a167c562) + ) + + (wire (pts (xy -46.99 154.94) (xy -36.83 154.94)) + (stroke (width 0) (type default)) + (uuid 7f9eae30-5a33-4a6e-96d4-89251ba07e54) + ) + (bus (pts (xy 116.84 154.94) (xy 116.84 157.48)) + (stroke (width 0) (type default)) + (uuid 8065f3a3-dd05-4e86-87de-8379ea9db082) + ) + + (wire (pts (xy 165.1 99.06) (xy 172.72 99.06)) + (stroke (width 0) (type default)) + (uuid 80cdcf19-5637-460c-bdd5-bff2fc3080fc) + ) + (wire (pts (xy 22.86 25.4) (xy 12.7 25.4)) + (stroke (width 0) (type default)) + (uuid 818f25b0-e649-4e6e-b312-911c46d8ca12) + ) + (bus (pts (xy 134.62 121.92) (xy 134.62 124.46)) + (stroke (width 0) (type default)) + (uuid 836a0aeb-a799-448a-a426-7c5e2d5a8e37) + ) + + (wire (pts (xy -36.83 154.94) (xy -26.67 154.94)) + (stroke (width 0) (type default)) + (uuid 83c872a2-68d4-4499-8944-132c1075f292) + ) + (wire (pts (xy -26.67 165.1) (xy -16.51 165.1)) + (stroke (width 0) (type default)) + (uuid 8410483f-36f4-45b7-8731-8dc5a0e9cee0) + ) + (bus (pts (xy 134.62 182.88) (xy 134.62 185.42)) + (stroke (width 0) (type default)) + (uuid 871fcd50-1428-4aaa-acf8-a7381d58ee62) + ) + + (wire (pts (xy 165.1 86.36) (xy 172.72 86.36)) + (stroke (width 0) (type default)) + (uuid 87cc5a5c-6455-434f-93c6-90e68918377d) + ) + (wire (pts (xy 83.82 81.28) (xy 73.66 81.28)) + (stroke (width 0) (type default)) + (uuid 8a6687bc-be32-4e40-9a70-d21a078f1bb3) + ) + (bus (pts (xy 116.84 119.38) (xy 116.84 121.92)) + (stroke (width 0) (type default)) + (uuid 8a897a81-d1d2-458b-aec1-059bab99af06) + ) + (bus (pts (xy 71.12 101.6) (xy 71.12 114.3)) + (stroke (width 0) (type default)) + (uuid 8c32ba7d-fc62-4944-8479-7caa00a0cdb0) + ) + (bus (pts (xy 71.12 152.4) (xy 71.12 154.94)) + (stroke (width 0) (type default)) + (uuid 8d9590c2-59b5-4c52-ba7b-9e5f1ef30dd8) + ) + (bus (pts (xy 175.26 190.5) (xy 175.26 193.04)) + (stroke (width 0) (type default)) + (uuid 8df58038-6889-4e3c-8d67-7e4959136742) + ) + (bus (pts (xy 175.26 83.82) (xy 175.26 86.36)) + (stroke (width 0) (type default)) + (uuid 8efbff88-5cd0-4ce5-ae68-5d45c53a5652) + ) + + (wire (pts (xy 83.82 182.88) (xy 73.66 182.88)) + (stroke (width 0) (type default)) + (uuid 8f414a12-e13e-461e-b650-5aff54cecd3a) + ) + (bus (pts (xy 175.26 149.86) (xy 175.26 152.4)) + (stroke (width 0) (type default)) + (uuid 8f99c81a-92f7-45af-907c-aa85caf99c17) + ) + (bus (pts (xy 116.84 129.54) (xy 116.84 132.08)) + (stroke (width 0) (type default)) + (uuid 8fb01f69-614a-4f51-8f18-ca0209be97c6) + ) + + (wire (pts (xy 104.14 154.94) (xy 114.3 154.94)) + (stroke (width 0) (type default)) + (uuid 9042cd2a-1dfa-47a7-b45c-af959a6a8a11) + ) + (bus (pts (xy 175.26 86.36) (xy 175.26 88.9)) + (stroke (width 0) (type default)) + (uuid 925c7cd8-2bb4-4761-93ad-e5eebaa4c697) + ) + (bus (pts (xy 71.12 157.48) (xy 71.12 160.02)) + (stroke (width 0) (type default)) + (uuid 932a5e79-5f27-437e-b014-8dc0b9f49ec5) + ) + + (wire (pts (xy 104.14 111.76) (xy 114.3 111.76)) + (stroke (width 0) (type default)) + (uuid 944fb164-1c76-4283-a0c8-941b8fb5d09c) + ) + (bus (pts (xy 116.84 116.84) (xy 116.84 119.38)) + (stroke (width 0) (type default)) + (uuid 96dc0509-b374-462b-a4c0-a7090b76844c) + ) + (bus (pts (xy 134.62 93.98) (xy 134.62 96.52)) + (stroke (width 0) (type default)) + (uuid 972c0cf9-3822-4c5c-9b50-c065cbbac613) + ) + + (wire (pts (xy 144.78 193.04) (xy 137.16 193.04)) + (stroke (width 0) (type default)) + (uuid 97f5da54-30e5-4217-a769-7257c8522719) + ) + (wire (pts (xy -57.15 149.86) (xy -46.99 149.86)) + (stroke (width 0) (type default)) + (uuid 989d78e9-c4b4-48ef-b6d0-3dbb3c2b29e7) + ) + (bus (pts (xy 116.84 91.44) (xy 116.84 93.98)) + (stroke (width 0) (type default)) + (uuid 98e71039-ab27-4bab-9566-3d173c00074b) + ) + + (wire (pts (xy 165.1 149.86) (xy 172.72 149.86)) + (stroke (width 0) (type default)) + (uuid 99344584-a4a9-4ef6-84fa-48b99bfa9e93) + ) + (wire (pts (xy 104.14 55.88) (xy 114.3 55.88)) + (stroke (width 0) (type default)) + (uuid 9967dca6-012b-4ef7-aa3b-0952df1e5937) + ) + (wire (pts (xy 104.14 177.8) (xy 114.3 177.8)) + (stroke (width 0) (type default)) + (uuid 997d7308-391b-4608-8208-9c360cc28dd6) + ) + (bus (pts (xy 116.84 53.34) (xy 116.84 55.88)) + (stroke (width 0) (type default)) + (uuid 9aafc51b-061d-43c6-a0fc-3d0136d01105) + ) + + (wire (pts (xy 83.82 119.38) (xy 73.66 119.38)) + (stroke (width 0) (type default)) + (uuid 9ad47f44-b76f-49c7-8dc1-f120c23f9244) + ) + (bus (pts (xy 8.89 25.4) (xy 10.16 25.4)) + (stroke (width 0) (type default)) + (uuid 9b42f9c5-0b6e-4ccb-ab12-8e75824f9d1a) + ) + (bus (pts (xy 134.62 86.36) (xy 134.62 88.9)) + (stroke (width 0) (type default)) + (uuid 9bae31a2-cfb2-4293-b1fe-05247fe6da12) + ) + + (wire (pts (xy 144.78 175.26) (xy 137.16 175.26)) + (stroke (width 0) (type default)) + (uuid 9cfdd04f-675c-4d92-854a-607fb76c680f) + ) + (wire (pts (xy 104.14 142.24) (xy 114.3 142.24)) + (stroke (width 0) (type default)) + (uuid 9eb42bae-b523-4ec4-a2fc-288305781292) + ) + (bus (pts (xy 134.62 124.46) (xy 134.62 127)) + (stroke (width 0) (type default)) + (uuid a180ee76-10f5-4d34-a5dc-ac18b1727056) + ) + + (wire (pts (xy 144.78 185.42) (xy 137.16 185.42)) + (stroke (width 0) (type default)) + (uuid a2338cca-4b6a-429a-8d67-7e12c0da460c) + ) + (wire (pts (xy 144.78 149.86) (xy 137.16 149.86)) + (stroke (width 0) (type default)) + (uuid a2aaa4f1-fcbd-4b84-aea0-75bacac1f6b8) + ) + (wire (pts (xy 83.82 152.4) (xy 73.66 152.4)) + (stroke (width 0) (type default)) + (uuid a3bce155-3b35-4355-811b-b655388770e1) + ) + (wire (pts (xy 165.1 124.46) (xy 172.72 124.46)) + (stroke (width 0) (type default)) + (uuid a53dcf20-4a8e-426c-8585-a638bf50bde6) + ) + (bus (pts (xy 116.84 160.02) (xy 116.84 162.56)) + (stroke (width 0) (type default)) + (uuid a551f259-beff-4b68-baf6-35cf232dc874) + ) + (bus (pts (xy 175.26 127) (xy 175.26 129.54)) + (stroke (width 0) (type default)) + (uuid a6d68dfc-5e40-4bf4-b481-d400fc904695) + ) + + (wire (pts (xy -36.83 149.86) (xy -26.67 149.86)) + (stroke (width 0) (type default)) + (uuid a6de5c6d-af06-4a44-99b2-c41e185c7060) + ) + (bus (pts (xy 175.26 177.8) (xy 175.26 180.34)) + (stroke (width 0) (type default)) + (uuid a7536a60-d4b6-4bb4-8929-fb978a052679) + ) + (bus (pts (xy 10.16 27.94) (xy 10.16 30.48)) + (stroke (width 0) (type default)) + (uuid a80555a0-5f39-4151-af39-7a9de2e10a8b) + ) + + (wire (pts (xy 144.78 129.54) (xy 137.16 129.54)) + (stroke (width 0) (type default)) + (uuid a8dd1a3a-ea30-4765-8f01-a397e2973976) + ) + (wire (pts (xy 83.82 142.24) (xy 73.66 142.24)) + (stroke (width 0) (type default)) + (uuid a98c5902-4de2-4e89-a214-7bc2ce8277a1) + ) + (bus (pts (xy 134.62 165.1) (xy 134.62 177.8)) + (stroke (width 0) (type default)) + (uuid a992bd38-9e11-4218-904b-dd2849950dae) + ) + (bus (pts (xy 175.26 116.84) (xy 175.26 119.38)) + (stroke (width 0) (type default)) + (uuid aa22f22f-292d-44d4-a0cd-4759964d2f87) + ) + + (wire (pts (xy 83.82 190.5) (xy 73.66 190.5)) + (stroke (width 0) (type default)) + (uuid aa519dba-d90a-4b4d-98cc-b7fb29a68273) + ) + (bus (pts (xy 134.62 134.62) (xy 134.62 147.32)) + (stroke (width 0) (type default)) + (uuid aad32bb8-e19e-47cd-b063-57509b4a7e5c) + ) + (bus (pts (xy 116.84 53.34) (xy 118.11 53.34)) + (stroke (width 0) (type default)) + (uuid aae3e20a-7cd6-4dfa-b876-42660c371604) + ) + + (wire (pts (xy 165.1 144.78) (xy 172.72 144.78)) + (stroke (width 0) (type default)) + (uuid ac97d48b-1b74-4ae7-ad71-35dfbe48562f) + ) + (wire (pts (xy 83.82 129.54) (xy 73.66 129.54)) + (stroke (width 0) (type default)) + (uuid ace1d091-0275-47b9-9d04-bd3bd6cb3800) + ) + (wire (pts (xy 165.1 175.26) (xy 172.72 175.26)) + (stroke (width 0) (type default)) + (uuid ade027cb-6ca4-4d7e-b641-813e1bf4a3e3) + ) + (wire (pts (xy -57.15 154.94) (xy -46.99 154.94)) + (stroke (width 0) (type default)) + (uuid adf1978a-a285-44d2-b71d-7631043c3a8d) + ) + (bus (pts (xy 71.12 121.92) (xy 71.12 124.46)) + (stroke (width 0) (type default)) + (uuid ae47d24f-9622-435d-a420-ebaa1db84a13) + ) + (bus (pts (xy 116.84 187.96) (xy 116.84 190.5)) + (stroke (width 0) (type default)) + (uuid b1d9d1ce-1a94-4ea5-ba89-7db91c18351c) + ) + + (wire (pts (xy 83.82 91.44) (xy 73.66 91.44)) + (stroke (width 0) (type default)) + (uuid b23f935b-6745-462b-b787-a03b649909a5) + ) + (wire (pts (xy 144.78 154.94) (xy 137.16 154.94)) + (stroke (width 0) (type default)) + (uuid b3858696-03f4-412b-805b-ef8348aa9974) + ) + (wire (pts (xy 104.14 144.78) (xy 114.3 144.78)) + (stroke (width 0) (type default)) + (uuid b4fb27f4-17d9-4104-9603-36a3314369af) + ) + (bus (pts (xy 116.84 124.46) (xy 116.84 127)) + (stroke (width 0) (type default)) + (uuid b51fc74a-14be-479e-ba1e-baad88fdf86c) + ) + (bus (pts (xy 71.12 144.78) (xy 71.12 147.32)) + (stroke (width 0) (type default)) + (uuid b69033c5-0941-4ca0-a2b2-7850669b1e1f) + ) + (bus (pts (xy 116.84 93.98) (xy 116.84 96.52)) + (stroke (width 0) (type default)) + (uuid bb5ec520-d740-416e-b488-03f2a724e02d) + ) + + (wire (pts (xy 20.32 43.18) (xy 22.86 43.18)) + (stroke (width 0) (type default)) + (uuid bbafd66a-e068-42ed-a53c-514075ffa320) + ) + (wire (pts (xy 104.14 114.3) (xy 114.3 114.3)) + (stroke (width 0) (type default)) + (uuid bc6bd9e9-03ef-465b-9e5f-8fff394ed1de) + ) + (wire (pts (xy 165.1 81.28) (xy 172.72 81.28)) + (stroke (width 0) (type default)) + (uuid be4eb8a2-7680-4020-b5c8-385d2a61ed17) + ) + (bus (pts (xy 175.26 185.42) (xy 175.26 187.96)) + (stroke (width 0) (type default)) + (uuid be65fa38-7bd8-45a0-81c0-8e3ec6e56f77) + ) + (bus (pts (xy 134.62 88.9) (xy 134.62 91.44)) + (stroke (width 0) (type default)) + (uuid c096f139-7d65-481d-a9a9-46a99a16d0f1) + ) + + (wire (pts (xy 83.82 144.78) (xy 73.66 144.78)) + (stroke (width 0) (type default)) + (uuid c0a7e79c-d839-4184-9efc-c073be145ffe) + ) + (wire (pts (xy 114.3 68.58) (xy 104.14 68.58)) + (stroke (width 0) (type default)) + (uuid c15d5984-f728-48ae-897d-a52c7c7a43f7) + ) + (wire (pts (xy 144.78 121.92) (xy 137.16 121.92)) + (stroke (width 0) (type default)) + (uuid c1db876a-a50c-4978-8937-45dbf100a6fc) + ) + (wire (pts (xy 83.82 116.84) (xy 73.66 116.84)) + (stroke (width 0) (type default)) + (uuid c349fa58-3221-4046-a9f7-c4dfb2da98a2) + ) + (wire (pts (xy 144.78 187.96) (xy 137.16 187.96)) + (stroke (width 0) (type default)) + (uuid c42d52a6-9c97-4d19-88e4-787d79a5d9fd) + ) + (bus (pts (xy 175.26 101.6) (xy 175.26 114.3)) + (stroke (width 0) (type default)) + (uuid c42e2392-5b6e-4f8a-8a85-16839491a5a2) + ) + + (wire (pts (xy 165.1 142.24) (xy 172.72 142.24)) + (stroke (width 0) (type default)) + (uuid c4a9b240-f527-4f5e-9ade-fd00de189104) + ) + (wire (pts (xy 106.68 44.45) (xy 104.14 44.45)) + (stroke (width 0) (type default)) + (uuid c5a7ece3-920e-44b3-9587-80a546757a87) + ) + (bus (pts (xy 134.62 116.84) (xy 134.62 119.38)) + (stroke (width 0) (type default)) + (uuid c64507e1-1251-4d0d-8cfb-1129d59d8b1b) + ) + + (wire (pts (xy 104.14 190.5) (xy 114.3 190.5)) + (stroke (width 0) (type default)) + (uuid c73cea14-ccd5-4035-8f2d-30918fc8b36b) + ) + (bus (pts (xy 116.84 144.78) (xy 116.84 147.32)) + (stroke (width 0) (type default)) + (uuid c74633c2-7bda-4076-91b3-08147b0f5324) + ) + + (wire (pts (xy 83.82 157.48) (xy 73.66 157.48)) + (stroke (width 0) (type default)) + (uuid c8468424-879f-4284-9da2-3cd8a15fca77) + ) + (wire (pts (xy -46.99 149.86) (xy -36.83 149.86)) + (stroke (width 0) (type default)) + (uuid c89688af-39cd-42c3-a8e7-74924be7e6c1) + ) + (bus (pts (xy 134.62 132.08) (xy 134.62 134.62)) + (stroke (width 0) (type default)) + (uuid c8d07269-cf1e-427c-ae6b-41fdfb470992) + ) + + (wire (pts (xy 144.78 180.34) (xy 137.16 180.34)) + (stroke (width 0) (type default)) + (uuid c99f7a01-0c92-460b-8c0f-49be39b8e8e5) + ) + (wire (pts (xy 104.14 124.46) (xy 114.3 124.46)) + (stroke (width 0) (type default)) + (uuid ca0ea535-470c-4599-af1e-026aae9a0369) + ) + (wire (pts (xy 104.14 93.98) (xy 114.3 93.98)) + (stroke (width 0) (type default)) + (uuid ca2d7966-cba5-4701-abc6-ea22c8a81a52) + ) + (wire (pts (xy 12.7 27.94) (xy 22.86 27.94)) + (stroke (width 0) (type default)) + (uuid cacfe591-15cc-4590-8d78-bbe97a1d06bc) + ) + (wire (pts (xy 104.14 116.84) (xy 114.3 116.84)) + (stroke (width 0) (type default)) + (uuid cad286ca-d3ca-401a-88c7-c49cc86039d2) + ) + (wire (pts (xy 114.3 58.42) (xy 104.14 58.42)) + (stroke (width 0) (type default)) + (uuid cad2c372-ab0c-4aab-ae05-4cbf9ad90e0e) + ) + (bus (pts (xy 116.84 63.5) (xy 116.84 60.96)) + (stroke (width 0) (type default)) + (uuid cb3ad936-3955-464f-b12c-414c80290792) + ) + + (wire (pts (xy 144.78 162.56) (xy 137.16 162.56)) + (stroke (width 0) (type default)) + (uuid cca37a26-0684-4058-8487-cd564182c8e7) + ) + (wire (pts (xy -57.15 165.1) (xy -46.99 165.1)) + (stroke (width 0) (type default)) + (uuid cd474172-9f2f-4c11-9bd8-5cfeaa1269b6) + ) + (bus (pts (xy 116.84 86.36) (xy 116.84 88.9)) + (stroke (width 0) (type default)) + (uuid cd87cf42-d51a-43f3-a00e-8e616f3ab905) + ) + + (wire (pts (xy 165.1 83.82) (xy 172.72 83.82)) + (stroke (width 0) (type default)) + (uuid cdf4acbf-477e-48f7-bf75-8ba39ec22898) + ) + (bus (pts (xy 175.26 88.9) (xy 175.26 91.44)) + (stroke (width 0) (type default)) + (uuid ceee31d3-5061-4664-a8df-f595490e435e) + ) + (bus (pts (xy 116.84 185.42) (xy 116.84 187.96)) + (stroke (width 0) (type default)) + (uuid cef1e1ff-9dca-4d16-a02d-a5bba2feaf7e) + ) + + (wire (pts (xy 83.82 177.8) (xy 73.66 177.8)) + (stroke (width 0) (type default)) + (uuid cf406f1a-f9f5-4328-90d0-6a6db16206ea) + ) + (wire (pts (xy 144.78 101.6) (xy 137.16 101.6)) + (stroke (width 0) (type default)) + (uuid cf4c2235-6024-404a-9373-f7103d83284b) + ) + (wire (pts (xy 104.14 91.44) (xy 114.3 91.44)) + (stroke (width 0) (type default)) + (uuid cf802843-41e7-4ac6-934b-1f8998c9252a) + ) + (bus (pts (xy 175.26 91.44) (xy 175.26 93.98)) + (stroke (width 0) (type default)) + (uuid d185e0c5-8de1-4806-8fb7-dd124a1162f7) + ) + + (wire (pts (xy 104.14 119.38) (xy 114.3 119.38)) + (stroke (width 0) (type default)) + (uuid d1a8c66d-a3f8-4bae-a68d-5be724ffee04) + ) + (bus (pts (xy 71.12 187.96) (xy 71.12 190.5)) + (stroke (width 0) (type default)) + (uuid d3338c6d-36a9-460b-841d-74a432724add) + ) + (bus (pts (xy 133.35 86.36) (xy 134.62 86.36)) + (stroke (width 0) (type default)) + (uuid d4144db1-1f7c-43fb-8420-8f18321135bf) + ) + (bus (pts (xy 71.12 114.3) (xy 71.12 116.84)) + (stroke (width 0) (type default)) + (uuid d638d8e3-7cae-4a25-b272-633cb4a2d3f9) + ) + + (wire (pts (xy 104.14 172.72) (xy 114.3 172.72)) + (stroke (width 0) (type default)) + (uuid d6f9ba92-15e2-4d04-96a4-2c2420df61a5) + ) + (bus (pts (xy 71.12 132.08) (xy 71.12 144.78)) + (stroke (width 0) (type default)) + (uuid d7bf9811-3840-4d1e-b68b-d21475cb8629) + ) + (bus (pts (xy 175.26 124.46) (xy 175.26 127)) + (stroke (width 0) (type default)) + (uuid d89441ed-5a94-45bc-a21d-49f43a318e49) + ) + (bus (pts (xy 175.26 152.4) (xy 175.26 154.94)) + (stroke (width 0) (type default)) + (uuid d8f8b136-4b88-4458-b46a-4141a96b1b09) + ) + (bus (pts (xy 175.26 132.08) (xy 175.26 144.78)) + (stroke (width 0) (type default)) + (uuid d95104bf-c907-4853-8819-d88b3888443b) + ) + (bus (pts (xy 134.62 180.34) (xy 134.62 182.88)) + (stroke (width 0) (type default)) + (uuid d95e8698-b81d-48dc-90fa-524afb5bdbaf) + ) + (bus (pts (xy 116.84 152.4) (xy 116.84 154.94)) + (stroke (width 0) (type default)) + (uuid d9c5dc0a-49fb-4398-ada1-06fe92636e65) + ) + (bus (pts (xy 175.26 96.52) (xy 175.26 99.06)) + (stroke (width 0) (type default)) + (uuid da3ca98f-d9c5-4f0a-a1f1-a2dfbaff4ef4) + ) + + (wire (pts (xy 144.78 96.52) (xy 137.16 96.52)) + (stroke (width 0) (type default)) + (uuid da623484-08d5-4b05-84ec-6ed1c4f103c1) + ) + (wire (pts (xy 165.1 88.9) (xy 172.72 88.9)) + (stroke (width 0) (type default)) + (uuid dc00fedd-742d-4db2-a1e9-802d757ba377) + ) + (bus (pts (xy 71.12 99.06) (xy 71.12 101.6)) + (stroke (width 0) (type default)) + (uuid dc5253e8-b7fc-44a7-9faf-7e606a82459e) + ) + + (wire (pts (xy 104.14 99.06) (xy 114.3 99.06)) + (stroke (width 0) (type default)) + (uuid dc5e9018-9d62-4434-94fd-59e986c1b460) + ) + (wire (pts (xy 83.82 185.42) (xy 73.66 185.42)) + (stroke (width 0) (type default)) + (uuid dca8a98e-6798-4a61-bccf-efcd3de859b8) + ) + (wire (pts (xy 83.82 93.98) (xy 73.66 93.98)) + (stroke (width 0) (type default)) + (uuid dd183ab2-773f-40e7-8a04-3b71462b6881) + ) + (wire (pts (xy 165.1 172.72) (xy 172.72 172.72)) + (stroke (width 0) (type default)) + (uuid dd18c08d-55bc-4688-92f5-1e6bf538fb47) + ) + (wire (pts (xy 114.3 60.96) (xy 104.14 60.96)) + (stroke (width 0) (type default)) + (uuid dd456247-3b34-4c18-99d1-a7cfbdfc4b4f) + ) + (bus (pts (xy 116.84 66.04) (xy 116.84 68.58)) + (stroke (width 0) (type default)) + (uuid dd708c0a-2a67-453f-899a-b26faa59afc9) + ) + + (wire (pts (xy 165.1 91.44) (xy 172.72 91.44)) + (stroke (width 0) (type default)) + (uuid dd9c7194-f535-4433-a262-beccf3603523) + ) + (bus (pts (xy 116.84 114.3) (xy 116.84 116.84)) + (stroke (width 0) (type default)) + (uuid ddaad625-3dc4-4ef9-a356-bfa001576d89) + ) + + (wire (pts (xy 144.78 127) (xy 137.16 127)) + (stroke (width 0) (type default)) + (uuid dea7a34f-7c64-4a2d-b100-e58015adf6e5) + ) + (bus (pts (xy 116.84 55.88) (xy 116.84 58.42)) + (stroke (width 0) (type default)) + (uuid def244f3-1941-4211-9f43-d7671e2cae41) + ) + (bus (pts (xy 134.62 129.54) (xy 134.62 132.08)) + (stroke (width 0) (type default)) + (uuid def4efbf-ede6-4a08-aece-8271563db736) + ) + (bus (pts (xy 134.62 91.44) (xy 134.62 93.98)) + (stroke (width 0) (type default)) + (uuid df51faf5-4eee-43fe-aa25-0ad5d02f0a46) + ) + + (wire (pts (xy 68.58 88.9) (xy 59.69 88.9)) + (stroke (width 0) (type default)) + (uuid dffeb40a-21fd-4b54-9011-8d2cd67d934e) + ) + (wire (pts (xy 83.82 99.06) (xy 73.66 99.06)) + (stroke (width 0) (type default)) + (uuid e0297c48-0f8a-4ebc-be23-bb43477a6475) + ) + (wire (pts (xy 180.34 27.94) (xy 175.26 27.94)) + (stroke (width 0) (type default)) + (uuid e0c39072-0aae-4a88-bd5a-103bff193372) + ) + (bus (pts (xy 71.12 162.56) (xy 71.12 175.26)) + (stroke (width 0) (type default)) + (uuid e21adab3-7082-4234-aa47-c15ca2b38441) + ) + + (wire (pts (xy 144.78 83.82) (xy 137.16 83.82)) + (stroke (width 0) (type default)) + (uuid e376781b-fa77-4ae8-9708-5b207c66b035) + ) + (wire (pts (xy -46.99 160.02) (xy -36.83 160.02)) + (stroke (width 0) (type default)) + (uuid e4179fac-698f-4a1d-8c88-c0c6a9e6b83c) + ) + (wire (pts (xy 114.3 53.34) (xy 104.14 53.34)) + (stroke (width 0) (type default)) + (uuid e4b37581-577e-46b1-8b06-fadfe3a24946) + ) + (bus (pts (xy 71.12 190.5) (xy 71.12 193.04)) + (stroke (width 0) (type default)) + (uuid e5bfb1ba-2522-4c8b-b3d7-9a9c73f9e33f) + ) + + (wire (pts (xy 165.1 116.84) (xy 172.72 116.84)) + (stroke (width 0) (type default)) + (uuid e62f3079-8bd9-4fb4-b55f-bfbda0b66289) + ) + (bus (pts (xy 134.62 190.5) (xy 134.62 193.04)) + (stroke (width 0) (type default)) + (uuid e63a0ef8-59c4-4926-979f-98d1e2ff5d79) + ) + (bus (pts (xy 134.62 96.52) (xy 134.62 99.06)) + (stroke (width 0) (type default)) + (uuid e6cb78c2-be6b-48f3-b72a-b1bede790f6b) + ) + (bus (pts (xy 71.12 182.88) (xy 71.12 185.42)) + (stroke (width 0) (type default)) + (uuid e79a98dd-d0f1-4720-a95b-7028dece77c3) + ) + + (wire (pts (xy 104.14 180.34) (xy 114.3 180.34)) + (stroke (width 0) (type default)) + (uuid e7e79e05-cceb-466f-9247-19fbbad24f0c) + ) + (wire (pts (xy 165.1 147.32) (xy 172.72 147.32)) + (stroke (width 0) (type default)) + (uuid e8d471ef-e44a-4f77-a6b2-9ec6ae4ef31e) + ) + (wire (pts (xy 165.1 180.34) (xy 172.72 180.34)) + (stroke (width 0) (type default)) + (uuid e91a0be3-cdce-4e5f-bfec-b9255a4b5067) + ) + (bus (pts (xy 116.84 175.26) (xy 116.84 177.8)) + (stroke (width 0) (type default)) + (uuid ea3db733-150e-4587-beb3-3e3a07ad369a) + ) + (bus (pts (xy 175.26 114.3) (xy 175.26 116.84)) + (stroke (width 0) (type default)) + (uuid eac8c1fc-b50c-449a-8cbf-b6c2bffdc930) + ) + + (wire (pts (xy -67.31 160.02) (xy -57.15 160.02)) + (stroke (width 0) (type default)) + (uuid eaf80929-9073-4665-9aa6-1fe91a2c8f53) + ) + (wire (pts (xy 83.82 121.92) (xy 73.66 121.92)) + (stroke (width 0) (type default)) + (uuid ebdf2cd0-f0b8-4e11-95f3-76cd38d84a8a) + ) + (wire (pts (xy 104.14 44.45) (xy 104.14 48.26)) + (stroke (width 0) (type default)) + (uuid edb7864d-82cf-44bd-935b-0c34589fcfa8) + ) + (bus (pts (xy 134.62 154.94) (xy 134.62 157.48)) + (stroke (width 0) (type default)) + (uuid ee951b5a-a03a-4cd6-a23a-269eb7b18184) + ) + (bus (pts (xy 116.84 147.32) (xy 116.84 149.86)) + (stroke (width 0) (type default)) + (uuid ef8cb684-e1f4-4ab7-a40f-e20cc08e9441) + ) + + (wire (pts (xy 144.78 190.5) (xy 137.16 190.5)) + (stroke (width 0) (type default)) + (uuid efc29d9e-8b08-498c-849b-5bbbe79e4ac6) + ) + (bus (pts (xy 175.26 180.34) (xy 175.26 182.88)) + (stroke (width 0) (type default)) + (uuid efc95467-e367-4348-8858-e0fb9ad419f0) + ) + + (wire (pts (xy 144.78 147.32) (xy 137.16 147.32)) + (stroke (width 0) (type default)) + (uuid efee6273-e73b-4294-a643-f0e35021e241) + ) + (bus (pts (xy 71.12 185.42) (xy 71.12 187.96)) + (stroke (width 0) (type default)) + (uuid f0998f36-c5fc-46fc-a099-a7205069e7df) + ) + + (wire (pts (xy 104.14 157.48) (xy 114.3 157.48)) + (stroke (width 0) (type default)) + (uuid f0c56473-54ef-4dc3-baba-e15917b684a2) + ) + (wire (pts (xy -46.99 165.1) (xy -36.83 165.1)) + (stroke (width 0) (type default)) + (uuid f10a367d-e0f2-4ac3-b8e6-a079baf41f5d) + ) + (bus (pts (xy 134.62 177.8) (xy 134.62 180.34)) + (stroke (width 0) (type default)) + (uuid f23799ab-2de0-48c9-aa65-03f2bd9861e9) + ) + + (wire (pts (xy 104.14 182.88) (xy 114.3 182.88)) + (stroke (width 0) (type default)) + (uuid f2705e5c-f6d0-4b8f-890c-f0f6e8af2bc8) + ) + (bus (pts (xy 55.88 83.82) (xy 71.12 83.82)) + (stroke (width 0) (type default)) + (uuid f272b073-cac6-4c8c-acfa-34912ab4c3f8) + ) + + (wire (pts (xy 104.14 129.54) (xy 114.3 129.54)) + (stroke (width 0) (type default)) + (uuid f2a7288a-a85f-4418-b060-7d2ab5a53422) + ) + (bus (pts (xy 116.84 63.5) (xy 116.84 66.04)) + (stroke (width 0) (type default)) + (uuid f31c3aac-e2a2-4fe4-a712-35ddea6cb490) + ) + + (wire (pts (xy 83.82 172.72) (xy 73.66 172.72)) + (stroke (width 0) (type default)) + (uuid f3646a29-d5ab-4104-a4c2-c174071471d8) + ) + (wire (pts (xy 83.82 127) (xy 73.66 127)) + (stroke (width 0) (type default)) + (uuid f3dc5156-fd08-480e-90af-ae922951915b) + ) + (bus (pts (xy 116.84 190.5) (xy 116.84 193.04)) + (stroke (width 0) (type default)) + (uuid f4665a84-94dc-4bdd-bca8-9ebf35313680) + ) + (bus (pts (xy 116.84 83.82) (xy 116.84 86.36)) + (stroke (width 0) (type default)) + (uuid f5eafed8-86bc-4ce0-a511-e315669362a7) + ) + (bus (pts (xy 134.62 193.04) (xy 134.62 195.58)) + (stroke (width 0) (type default)) + (uuid f6395565-573f-4dfd-a03d-964500fc12e9) + ) + + (wire (pts (xy 144.78 99.06) (xy 137.16 99.06)) + (stroke (width 0) (type default)) + (uuid f6851ef2-7699-4209-90c6-98b5230240d4) + ) + (bus (pts (xy 71.12 175.26) (xy 71.12 177.8)) + (stroke (width 0) (type default)) + (uuid f7298e87-59fc-48c2-8feb-fc33482f7a98) + ) + (bus (pts (xy 71.12 96.52) (xy 71.12 99.06)) + (stroke (width 0) (type default)) + (uuid f7e86b30-5b22-4a03-b411-b9284e73d5b5) + ) + + (wire (pts (xy 144.78 160.02) (xy 137.16 160.02)) + (stroke (width 0) (type default)) + (uuid f8534f18-e9e4-4d1a-954d-d4af5756e3bb) + ) + (bus (pts (xy 116.84 157.48) (xy 116.84 160.02)) + (stroke (width 0) (type default)) + (uuid f8e2261c-bf1d-4a97-968c-ef4ee316b6a0) + ) + (bus (pts (xy 116.84 121.92) (xy 116.84 124.46)) + (stroke (width 0) (type default)) + (uuid f9d7ca9f-2719-45ee-ada8-93580fdfc4d0) + ) + + (wire (pts (xy 104.14 121.92) (xy 114.3 121.92)) + (stroke (width 0) (type default)) + (uuid f9f174e1-b8da-4a21-aca1-bf4c82785408) + ) + (wire (pts (xy 114.3 86.36) (xy 104.14 86.36)) + (stroke (width 0) (type default)) + (uuid fa1c559b-0204-4eff-80af-4625e0df4af5) + ) + (bus (pts (xy 134.62 162.56) (xy 134.62 165.1)) + (stroke (width 0) (type default)) + (uuid fa3ec25c-4742-40be-839e-f4a22202481c) + ) + (bus (pts (xy 134.62 127) (xy 134.62 129.54)) + (stroke (width 0) (type default)) + (uuid fb281e8c-577b-4609-92e4-65139a001b3c) + ) + (bus (pts (xy 134.62 149.86) (xy 134.62 152.4)) + (stroke (width 0) (type default)) + (uuid fb8326e6-59e7-4302-9a2c-d9881c22c5c8) + ) + (bus (pts (xy 71.12 129.54) (xy 71.12 132.08)) + (stroke (width 0) (type default)) + (uuid fcabdfdc-da92-4218-8531-4124bdfbfe70) + ) + (bus (pts (xy 134.62 104.14) (xy 134.62 116.84)) + (stroke (width 0) (type default)) + (uuid fce16dcf-c9f0-46c0-bc59-504dbb95a769) + ) + + (wire (pts (xy 165.1 154.94) (xy 172.72 154.94)) + (stroke (width 0) (type default)) + (uuid fd6d1d4c-9b4f-4d5d-b7a7-fb263779f8dd) + ) + (wire (pts (xy 175.26 33.02) (xy 172.72 33.02)) + (stroke (width 0) (type default)) + (uuid ffb1c914-3d94-4d7b-add5-f8aa0a183b9b) + ) + + (label "IOB_A2" (at 83.82 185.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 01515292-4740-4136-8be3-9980e858dcc1) + ) + (label "IOB_A0" (at 83.82 190.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 02615dd6-3aff-432d-b1da-1036a5af4e62) + ) + (label "FSB_A31" (at 104.14 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 038e634d-14bb-4386-8475-d43c3a68333d) + ) + (label "IOB_D0" (at 144.78 83.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 046eaefe-b49e-4b1f-8976-eeaaa8a784b3) + ) + (label "IOB_A13" (at 83.82 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 08406e61-d292-40bf-8503-fd7c577ef8ea) + ) + (label "IOC_D23" (at 165.1 160.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0cbdea81-7a12-4b95-8393-ca579e1689d7) + ) + (label "IOB_D9" (at 144.78 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0d3f77dc-7e7a-4cd2-919b-afb165d224b2) + ) + (label "AD1" (at 104.14 187.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0e820f7f-2ede-41e2-8977-3854519a96c2) + ) + (label "IOC_D7" (at 165.1 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0edf849d-f3ba-4ec3-96e9-e076ec0d1610) + ) + (label "IOB_D1" (at 144.78 86.36 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0f97e830-4b24-4f4b-a31f-eec1e045783c) + ) + (label "IOC_D28" (at 165.1 182.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 160f3cb8-3291-438c-8a7b-26fdd1bdbd1e) + ) + (label "AD4" (at 104.14 180.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 17745a51-2f3d-45ec-980b-8cde8d96ddae) + ) + (label "FSB_A22" (at 104.14 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1d1d3e9b-fa65-4580-9896-80f49c75a91b) + ) + (label "IOC_D15" (at 165.1 129.54 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1d9c8f93-4aff-409e-9417-fb909bff023c) + ) + (label "FSB_A23" (at 104.14 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1e0a97a7-4fb2-4079-99dc-8be768133aa9) + ) + (label "IOB_SIZ1" (at 76.2 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1eb61653-a791-4370-90d0-347752510edf) + ) + (label "IOC_D5" (at 165.1 93.98 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1efc8796-c791-42db-a60d-aff6d84e3efd) + ) + (label "FSB_A8" (at 104.14 160.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1f2e3261-c491-4381-b090-d88c014b653f) + ) + (label "AD6" (at 104.14 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 1f4f4319-2ec0-477a-a840-d61a65aa55b1) + ) + (label "IOB_A23" (at 83.82 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 205b1cd8-4d9d-4d57-bd01-755473c67b19) + ) + (label "IOB_D18" (at 144.78 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2121745d-ad13-412f-a6f5-5ba523bf3ef9) + ) + (label "FSB_A15" (at 104.14 142.24 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 25a1b588-ce5c-4d2c-8984-88882230ba51) + ) + (label "IOB_D28" (at 144.78 185.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 26a5779c-f543-49a2-8e4f-fcd42bf3085b) + ) + (label "IOB_D10" (at 144.78 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2a6ce9d0-a1ad-42d6-a8de-890e21503ccc) + ) + (label "AD30" (at 104.14 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2ac49f22-6b12-49d0-9975-cf2a3a8deedf) + ) + (label "AD2" (at 104.14 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2ead7e74-3b2d-4aea-8757-122e8b3736cb) + ) + (label "IOB_D3" (at 144.78 91.44 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 2f4a2352-1752-41ef-998f-2a8e88e94b32) + ) + (label "IOB_FC0" (at 22.86 27.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 30b6584e-bc2b-4f49-8a2f-74accaf4cc98) + ) + (label "IOC_D25" (at 165.1 175.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 316602ad-063f-46c0-9515-bbecf456492f) + ) + (label "IOC_D11" (at 165.1 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 32722ea0-dcaa-457d-9670-ac72dbdcf810) + ) + (label "FSB_A14" (at 104.14 144.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 330fdb32-5bec-4a23-81d7-90051902fbcf) + ) + (label "IOC_D17" (at 165.1 144.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3566904b-c9f5-4e36-823c-e7ddd6eec5c4) + ) + (label "IOC_AD29" (at 104.14 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3acfaca6-04af-431f-8b1c-22a340b8304a) + ) + (label "IOB_A24" (at 83.82 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3cd7bfcc-3a38-42ee-8f2e-8aae435587d2) + ) + (label "IOB_D27" (at 144.78 182.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 41051ecd-fdef-4142-9133-9a50f3e050cd) + ) + (label "IOC_D9" (at 165.1 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 434958bb-3f5e-404c-a108-5f862055a9b1) + ) + (label "IOB_FC1" (at 22.86 25.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4483e5da-b3eb-443e-bcca-831bd7e281c2) + ) + (label "IOB_D2" (at 144.78 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 44ca4ccf-b210-4711-891d-706601916c1d) + ) + (label "IOB_D20" (at 144.78 154.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 46e5bccc-b7bf-4653-8ba2-abdbfd90ed2a) + ) + (label "IOB_A1" (at 83.82 187.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 48f23a48-fc03-4d51-9ac7-9fe12469291b) + ) + (label "IOC_D19" (at 165.1 149.86 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 496a704e-3e1b-4dee-a224-3fc3300db21d) + ) + (label "AD0" (at 104.14 190.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4a4adf53-80a0-4db0-be08-794daec9a50e) + ) + (label "IOC_D16" (at 165.1 142.24 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4b80785a-782a-4519-acd6-a3ec037ed529) + ) + (label "IOB_SIZ0" (at 76.2 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4d07c58d-b7f4-41bb-832e-bcab242ed4f6) + ) + (label "IOB_A17" (at 83.82 127 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4ec07d7d-c982-4b9b-9a10-0c50b3b60016) + ) + (label "FSB_A20" (at 104.14 119.38 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 4fcd835b-de45-4cf0-9cf8-f3f4c54b3ed5) + ) + (label "AD3" (at 104.14 182.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 554e6c55-10a2-4315-a39b-0a4e13063cb2) + ) + (label "AD5" (at 104.14 177.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 560faf63-ce39-444b-9abc-d0a557bb8e26) + ) + (label "IOB_A27" (at 83.82 91.44 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5855c561-0421-4720-b418-10cc96020056) + ) + (label "AD7" (at 104.14 172.72 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5aec8dfe-a488-4902-af3f-de3152c2d0e4) + ) + (label "IOB_A7" (at 83.82 172.72 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5b956153-84d8-4790-9ea0-693b95040d09) + ) + (label "IOC_D24" (at 165.1 172.72 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5cf9439f-12c9-4c88-bef3-102f0ed31c7d) + ) + (label "LFC1" (at 43.18 25.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 5d2fd695-bc15-4a98-ba84-6aff9046c870) + ) + (label "FSB_A12" (at 104.14 149.86 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 61370006-b13b-4d4e-9681-b4aeaf3ed32e) + ) + (label "IOB_A12" (at 83.82 149.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 62954dd6-1fd6-44d1-98b8-c8ae62ada6d5) + ) + (label "AD6" (at 104.14 175.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6405fcb6-48e8-4a17-8ed2-cb3f1a88e864) + ) + (label "FSB_A17" (at 104.14 127 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6573e684-b33e-4d82-a693-80382639d3ac) + ) + (label "IOB_D4" (at 144.78 93.98 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 65ab9bb2-0185-46fe-a633-6a956b4fcc2b) + ) + (label "IOB_D16" (at 144.78 144.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 68d44036-15a8-4763-b422-9ddebb109f6d) + ) + (label "IOB_D23" (at 144.78 162.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 69e6472a-033d-4fb1-abf4-f2531b1f1e99) + ) + (label "LRMC" (at 43.18 20.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 6ca4bd63-d0fa-428b-a000-f2a406c78fc4) + ) + (label "IOB_D5" (at 144.78 96.52 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6e90dbd7-65d2-443a-a010-9fee8df12bf9) + ) + (label "L~{IRQ}" (at 83.82 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6f31f48c-dea9-4a6b-ac62-851ac75debf8) + ) + (label "FSB_A24" (at 104.14 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7098c8dd-9d6b-453a-85d5-bb5567096c5a) + ) + (label "IOC_D6" (at 165.1 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 711b5d64-3211-4b51-9586-12891ce99d2e) + ) + (label "IOB_A8" (at 83.82 160.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7153c1fa-5269-4ee9-95d9-a033e9c327cb) + ) + (label "IOC_AD28" (at 104.14 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 741e8357-2c12-4633-aeda-d54f880aa8a1) + ) + (label "FSB_A26" (at 104.14 93.98 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 758ff1e0-72ae-47ec-8c9e-443975760796) + ) + (label "IOC_D3" (at 165.1 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 78a9de74-5a5c-4b99-b58e-4ebee5ba9740) + ) + (label "IOC_D30" (at 165.1 187.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 78f7975e-53f9-4857-b257-945c51cd0505) + ) + (label "IOB_A22" (at 83.82 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7da9dd3d-c415-4891-a293-30b36db7c0b3) + ) + (label "AD2" (at 104.14 185.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7dfd3b0b-4b83-4e62-97b0-47ee6706860f) + ) + (label "IOC_D0" (at 165.1 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 7f2fce5f-f6d6-430d-a4d6-632e81e4f0e8) + ) + (label "IOC_D1" (at 165.1 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 818abd03-bd17-4bbd-b65e-b84ca5914460) + ) + (label "IOB_A9" (at 83.82 157.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 835d1d23-80e4-4ad9-ab61-fb90f0090874) + ) + (label "IOC_D14" (at 165.1 127 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 867242c7-c87c-4c39-9630-4ac3fa826af8) + ) + (label "IOB_A14" (at 83.82 144.78 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 871f3849-a8d2-432a-a600-387c8ccbbac1) + ) + (label "IOB_A10" (at 83.82 154.94 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8868e55b-a34f-46e1-9b63-402869753f8b) + ) + (label "IOB_A21" (at 83.82 116.84 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8985c0e4-362d-46f2-bcba-009da7488d26) + ) + (label "FSB_A25" (at 104.14 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8a86d3bc-c9c9-4c8f-85a1-2ab24184aa4f) + ) + (label "IOB_A19" (at 83.82 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 8d2cc708-ac39-42ed-afff-775412be3020) + ) + (label "IOC_D10" (at 165.1 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8e176df3-e2af-40f2-865d-a216b784d4dc) + ) + (label "IOC_D31" (at 165.1 190.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8fcac0c0-c4b3-457d-af10-2a6ddaee6f3c) + ) + (label "IOB_D29" (at 144.78 187.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9006c626-e989-4841-ba2b-88d1f0040371) + ) + (label "FSB_A16" (at 104.14 129.54 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 90238261-b489-471b-9592-6c0dce162b88) + ) + (label "IOB_D6" (at 144.78 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 933184a7-24bb-4f19-836b-cf90285a0331) + ) + (label "IOB_D15" (at 144.78 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 96bb7e02-eb6d-4787-b5f4-63cb842838a4) + ) + (label "IOB_D30" (at 144.78 190.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9a35c0ea-739d-40e5-b0c8-1106f95a4d24) + ) + (label "FSB_A27" (at 104.14 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9a894562-a760-49d2-9458-6372022f52a1) + ) + (label "IOC_D22" (at 165.1 157.48 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9de1c15c-e304-40d5-a12d-4ccd2aabb74c) + ) + (label "IOB_D13" (at 144.78 127 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9e21800f-a1d4-427d-bcad-2667e2656708) + ) + (label "IOB_D12" (at 144.78 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 9f9b5a79-600d-4fc5-855c-ede7b80f70c4) + ) + (label "IOB_SIZ0" (at 68.58 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a064c1cc-1953-41ca-9dfa-e45b1e77bf76) + ) + (label "IOC_D8" (at 165.1 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a0a9d17f-35b3-4bf4-be23-5b0b23d1b02e) + ) + (label "LFC1" (at 83.82 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a229bf41-faa7-4391-afa2-a1cf9fc9d3eb) + ) + (label "IOB_FC2" (at 22.86 22.86 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a24975b6-c0be-4870-91e2-09f3ade84610) + ) + (label "L~{RMC}" (at 83.82 50.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid a35d8513-0302-4ee7-a810-ad83ea255583) + ) + (label "AD5" (at 104.14 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a9698a3c-a768-4d49-a175-2362fa1df0a8) + ) + (label "AD4" (at 104.14 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid aaa9312a-7a91-4c81-b450-554b574950e3) + ) + (label "IOB_D17" (at 144.78 147.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid af4772f1-3caf-4edb-99bb-fb5f1491bc7c) + ) + (label "IOC_D29" (at 165.1 185.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid af772928-81fa-40d1-acf9-43b5ba21995c) + ) + (label "IOC_D18" (at 165.1 147.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b2b54c9d-6614-44df-8dd4-f114e96d2138) + ) + (label "IOB_D14" (at 144.78 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b7057778-d53d-4d4b-ab60-2376c794ca78) + ) + (label "IOB_A16" (at 83.82 129.54 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b8bafac0-0ac1-4343-b48f-e230d882db57) + ) + (label "IOC_D12" (at 165.1 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b91021e6-70e0-4350-a8f0-caed5d547244) + ) + (label "IOB_D24" (at 144.78 175.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b9b2a0d8-8d9f-4f22-8545-ba945fc4c23c) + ) + (label "IOB_A11" (at 83.82 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bb7bde8a-1f34-4d2d-8e1d-296b1d67d845) + ) + (label "LFC0" (at 43.18 27.94 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bbdc43a2-cf38-49b0-8df6-14063096dbdb) + ) + (label "IOB_D31" (at 144.78 193.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bc4909a3-c841-4c63-9eaa-552c0dd4d0e9) + ) + (label "LFC0" (at 83.82 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bee31dec-67fa-45fa-bb7c-ad49170fd4bd) + ) + (label "IOB_D21" (at 144.78 157.48 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c03b2956-6172-4a6b-b596-fe2869fb6909) + ) + (label "IOB_A4" (at 83.82 180.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c16a97ab-9331-4f77-bf74-965d9b9742c3) + ) + (label "IOB_D22" (at 144.78 160.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c19baf60-48e1-433d-bf61-2418dda97406) + ) + (label "IOC_D13" (at 165.1 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c8c0e9fe-9b84-4f83-8c2e-aa4841b9e2da) + ) + (label "AD0" (at 104.14 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cb093b8c-3d6d-4a44-93cf-c331756fd3bf) + ) + (label "FSB_A19" (at 104.14 121.92 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cd7f9e4f-3032-4789-9347-f4084cbb04da) + ) + (label "IOB_D7" (at 144.78 101.6 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ceea1ae7-a300-4b2a-8d3a-066c7c574a69) + ) + (label "IOC_D20" (at 165.1 152.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cf6de540-4dfb-418e-9f49-69c8569cdf0e) + ) + (label "LFC2" (at 83.82 53.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d20c401c-63a2-406b-bf71-6819f25eedc0) + ) + (label "IOB_A25" (at 83.82 96.52 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d2293ef2-df31-4838-bd6b-e64828e0cc6f) + ) + (label "FSB_A13" (at 104.14 147.32 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d3f8551b-6233-4409-8b29-f17528c07267) + ) + (label "IOB_A26" (at 83.82 93.98 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d82b426e-7c6a-402a-b60c-04898f407073) + ) + (label "IOB_A3" (at 83.82 182.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid d8bcd99c-c341-42ae-9214-35b0ac943a61) + ) + (label "IOC_D2" (at 165.1 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid da9f10cf-73e2-43c7-8d5f-6f559e90009b) + ) + (label "IOB_SIZ1" (at 68.58 86.36 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid db913579-bf52-4656-8cf5-13838dfa11ea) + ) + (label "FSB_A9" (at 104.14 157.48 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dbdbbe0a-9c13-45e2-8e62-aad440c26c6f) + ) + (label "IOB_A20" (at 83.82 119.38 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid dcfbc068-9ed0-4286-ab05-17f1a4d8dae9) + ) + (label "FSB_A10" (at 104.14 154.94 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid de7a291f-ed87-46bf-813f-7ef79d4273c4) + ) + (label "IOC_D27" (at 165.1 180.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid dedc5b47-3c2a-482a-b33a-62859330578c) + ) + (label "IOB_D8" (at 144.78 114.3 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e0300e74-e4fc-4852-8585-84654e87f77c) + ) + (label "IOB_A31" (at 83.82 81.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e138f570-6933-4e08-82b0-4feaa696916b) + ) + (label "IOC_D21" (at 165.1 154.94 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid e1c9b91e-e5bd-469d-8794-34f27cdc59fc) + ) + (label "IOB_A6" (at 83.82 175.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e706f450-f928-4dd7-a631-c77be5d34d96) + ) + (label "IOB_A18" (at 83.82 124.46 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e829705e-2d34-4195-9ae8-8ce2d8f56947) + ) + (label "IOB_D25" (at 144.78 177.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e840d54b-7667-4faf-9bf5-7f07444853fe) + ) + (label "IOB_A5" (at 83.82 177.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid eba39942-88c4-481f-92a9-2e0066698322) + ) + (label "FSB_A21" (at 104.14 116.84 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ebf1929e-4047-4627-bb3a-f62511810d4d) + ) + (label "LFC2" (at 43.18 22.86 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid edc548ae-0d4d-4c5e-bdce-217502a3069e) + ) + (label "IOB_D26" (at 144.78 180.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ee90b8d9-3727-464a-bf3e-c55ac405f809) + ) + (label "IOC_D26" (at 165.1 177.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid efc5ab99-93cc-49b6-8edf-d201fead3080) + ) + (label "AD1" (at 104.14 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f080c27b-a00f-4ced-8601-29127ebd3036) + ) + (label "AD7" (at 104.14 50.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f15ef131-ee80-4e6f-9b5b-929b09823b88) + ) + (label "AD3" (at 104.14 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f187ea44-2d96-40d0-8812-1c3ba47a8e0c) + ) + (label "FSB_A11" (at 104.14 152.4 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f7dacffc-27f9-4b7c-b68a-a8df874a1e1b) + ) + (label "IOC_D4" (at 165.1 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f95348f2-b8ed-489a-b20a-208bdb75fada) + ) + (label "IOB_A15" (at 83.82 142.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fa250a0a-b19c-476d-8d39-5b60f8e5b5d5) + ) + (label "FSB_A18" (at 104.14 124.46 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fe5b93b5-5231-4b72-a290-934ed9441d28) + ) + (label "IOB_D11" (at 144.78 121.92 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid fef47c71-f8d6-41a6-9e66-01fd7615b1ad) + ) + (label "IOB_D19" (at 144.78 152.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ff688200-d5a1-45a5-b9b2-3327aebcd259) + ) + + (hierarchical_label "IOC_~{AS}" (shape input) (at 43.18 33.02 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 00b91585-d70d-4c9c-adc3-6ef653d51b38) + ) + (hierarchical_label "D~{OE}" (shape input) (at 144.78 111.76 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 02964839-51bd-4575-a262-afaf1b0b4fae) + ) + (hierarchical_label "A~{OE}" (shape input) (at 104.14 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 10951426-ed63-4dcd-b4fc-f5ddd0c859cc) + ) + (hierarchical_label "FSB_~{RESET}" (shape output) (at 165.1 48.26 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1496b2c2-19ab-42ee-9ce6-328f7b38c7e7) + ) + (hierarchical_label "IOB_~{BR}" (shape output) (at 83.82 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1885bb19-2ca3-4117-bcd4-fcdf69c17799) + ) + (hierarchical_label "IOC_~{DSACK}0" (shape output) (at 165.1 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1d51d2e8-4625-4a63-bc69-0feeaccaca85) + ) + (hierarchical_label "IOC_~{DSACK}1" (shape output) (at 165.1 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 1f4d7c54-b583-480f-9fee-64ac75cdb1c5) + ) + (hierarchical_label "IOB_~{AS}" (shape output) (at 22.86 33.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1fce39e0-81de-406f-a44f-260fc172c272) + ) + (hierarchical_label "IOB_R~{W}" (shape input) (at 165.1 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 2103dd37-624a-456d-959a-9b7f3ef0b92e) + ) + (hierarchical_label "RESET~{OE}" (shape input) (at 180.34 27.94 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 241c3c60-1a2f-4227-9fc7-13c480ab6430) + ) + (hierarchical_label "IOB_~{RESET}" (shape bidirectional) (at 147.32 33.02 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2a59dde3-d719-4064-a477-252399b8b36c) + ) + (hierarchical_label "D~{OE}" (shape input) (at 144.78 81.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2c5876dc-1005-448e-a2c9-f67e6c2d98e2) + ) + (hierarchical_label "IOB_C16M" (shape input) (at 144.78 60.96 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 2ce9ac9d-1379-43c5-82f7-d46613f3b848) + ) + (hierarchical_label "IOB_R~{W}" (shape input) (at 165.1 170.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 30417bfb-39de-4350-ac58-5d84867b2832) + ) + (hierarchical_label "IOB_D[31..0]" (shape bidirectional) (at 133.35 86.36 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 334b3af4-8733-4fba-8b2e-e72eb77f3b7d) + ) + (hierarchical_label "AoutLE" (shape input) (at 83.82 162.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3aa07402-2690-477d-9b45-d981c4b89440) + ) + (hierarchical_label "IOB_~{HALT}" (shape input) (at 144.78 53.34 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 3e859e03-8782-44e7-88a1-dca9ef7129fa) + ) + (hierarchical_label "IOB_~{RESET}" (shape bidirectional) (at 144.78 48.26 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 47f78de5-5516-43d3-a780-863cb6f80416) + ) + (hierarchical_label "IOB_~{DSACK}1" (shape input) (at 144.78 58.42 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 632700c4-fd6a-451a-8a04-c62b6ab71a60) + ) + (hierarchical_label "AoutLE" (shape input) (at 83.82 193.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 64f14c30-3754-4021-b886-8b7f485d8031) + ) + (hierarchical_label "D~{OE}" (shape input) (at 144.78 172.72 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 65116d8e-4d9a-4d82-9847-014a1e62829c) + ) + (hierarchical_label "IOB_~{DS}" (shape output) (at 22.86 35.56 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 6eb489fa-06af-4bd0-a206-2eb35f80c044) + ) + (hierarchical_label "A~{OE}" (shape input) (at 104.14 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 76c27660-e590-4006-b082-1aedbb6a79bb) + ) + (hierarchical_label "A~{OE}" (shape input) (at 104.14 139.7 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 799f15fb-5722-454a-83e1-fbcf2ef920bb) + ) + (hierarchical_label "IOB_R~{W}" (shape input) (at 165.1 139.7 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7abdd619-36cf-4213-b057-2723f38d0c52) + ) + (hierarchical_label "A~{OE}" (shape input) (at 104.14 170.18 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 8165e33e-27b9-4265-9403-5e9465616508) + ) + (hierarchical_label "IOC_~{DS}" (shape input) (at 43.18 35.56 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 83fd18f7-fa00-4358-840c-2c4e6c4caaee) + ) + (hierarchical_label "IOB_SIZ[1..0]" (shape output) (at 55.88 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 8876ebc9-dbce-45e6-87eb-361dae6ac23e) + ) + (hierarchical_label "IOC_AD[31..0]" (shape bidirectional) (at 176.53 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 91308a09-d8dd-4eda-be03-186da2e85db2) + ) + (hierarchical_label "IOC_C16M" (shape output) (at 165.1 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 914511fc-a878-4c24-a1e8-fea79249bdf4) + ) + (hierarchical_label "IOB_~{BERR}" (shape input) (at 144.78 50.8 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 92569bf6-2b04-4f8d-a454-0723cb305937) + ) + (hierarchical_label "IOB_A[31..0]" (shape output) (at 55.88 83.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 92665aae-2c9e-4639-a650-2327e5a567d3) + ) + (hierarchical_label "IOC_~{HALT}" (shape output) (at 165.1 53.34 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid aaca62aa-e516-48f7-ba97-6c77a5bbca8a) + ) + (hierarchical_label "IOC_AD[31..0]" (shape input) (at 118.11 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid add6dc6f-d2a8-4a48-b672-3fb2e1783d09) + ) + (hierarchical_label "AoutLE" (shape input) (at 83.82 101.6 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b4450296-2f8e-4537-8fa8-5ec52dbc60ae) + ) + (hierarchical_label "IOB_R~{W}" (shape input) (at 165.1 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid b4b58268-46f9-4d8c-bf5d-5640f1a99c90) + ) + (hierarchical_label "IOB_FC[2..0]" (shape output) (at 8.89 25.4 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b4bc4deb-8a15-4eca-a35f-053948464d0f) + ) + (hierarchical_label "IOB_~{DSACK}0" (shape input) (at 144.78 55.88 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b7bc88f8-1a23-48f9-90c3-25c180861038) + ) + (hierarchical_label "AoutLE" (shape input) (at 83.82 132.08 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid b9d206fd-6f5e-40fa-a3a2-d9e338eb6fdd) + ) + (hierarchical_label "SoutLE" (shape input) (at 83.82 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid be26a23d-d6d4-446a-a9c9-884633821636) + ) + (hierarchical_label "IOB_~{RMC}" (shape output) (at 22.86 20.32 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid bf54a76c-93db-4c53-9b9c-c11971627fae) + ) + (hierarchical_label "A~{OE}" (shape input) (at 43.18 17.78 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid c5b1bdca-7e5e-4fa1-8801-1d5a59978b81) + ) + (hierarchical_label "~{FAN}" (shape output) (at 83.82 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid cdd896ac-e22e-49de-aedf-0c965e6ecade) + ) + (hierarchical_label "IOC_~{BERR}" (shape output) (at 165.1 50.8 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid e03622a0-00a4-4c6e-87a3-95cb1995e88a) + ) + (hierarchical_label "D~{OE}" (shape input) (at 144.78 142.24 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid f2a29214-0f53-4d8e-a460-1eee3b3c7ab7) + ) + (hierarchical_label "IOB_R~{W}" (shape output) (at 83.82 83.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid fdd2c004-2e05-4c4c-8cbe-6fa4fd32e1cb) + ) + + (symbol (lib_id "GW_Logic:74573") (at 93.98 181.61 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000060941f85) + (property "Reference" "U?" (at 93.98 166.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHCT573PW" (at 93.98 181.61 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 93.98 198.12 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 93.98 179.07 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid e83df7ba-ff53-4784-9ec8-4a723f692a24)) + (pin "10" (uuid 6a113462-234e-4434-a1df-9fb84adc203d)) + (pin "11" (uuid 7ef8b840-c974-4e4d-af86-e6280d00cd23)) + (pin "12" (uuid 132bc118-7ef4-4846-b017-40f12253aca1)) + (pin "13" (uuid f729a9e1-6c1b-4ca0-80c5-a112d234631e)) + (pin "14" (uuid 1f214536-bc75-46bc-8298-f4c45a2787b8)) + (pin "15" (uuid 33de0a9d-6a41-4166-84cd-0a75df648936)) + (pin "16" (uuid 804d8823-bf53-45d4-8879-cf56e87ba6d3)) + (pin "17" (uuid 2ebe2398-1d8c-4904-b527-a181473b796c)) + (pin "18" (uuid c3f2dc7c-0a44-4682-9894-2d0a63d75d5a)) + (pin "19" (uuid 6c5a6e25-5dc3-4bc2-a78e-a7f41ae2bcbe)) + (pin "2" (uuid 7bddaa0b-5504-4bed-b298-37d5277fa22d)) + (pin "20" (uuid 69e1b1fc-d451-4dc1-8ba5-386cd178924e)) + (pin "3" (uuid 02cc9727-061e-4185-b537-64a766258f0b)) + (pin "4" (uuid 00220e58-f258-4d5f-8854-ac2b8f36f177)) + (pin "5" (uuid fc35d380-1bca-44d6-9f69-fed92058437b)) + (pin "6" (uuid f2b13c35-0349-45b7-aec2-7452abc14af3)) + (pin "7" (uuid 01f6b190-600a-4d2b-8464-f5af0c069657)) + (pin "8" (uuid 8e7afc0b-12c8-4e65-aff1-83ad3db03dea)) + (pin "9" (uuid 128ce401-6d2c-42fd-8b95-52d976ad1805)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74573") (at 93.98 151.13 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006094447d) + (property "Reference" "U?" (at 93.98 135.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHCT573PW" (at 93.98 151.13 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 93.98 167.64 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 93.98 148.59 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid b82f3d38-59c4-40a7-8d9c-68b2e372aeb5)) + (pin "10" (uuid b3ecafb4-1120-40dd-ab49-41ae8848f179)) + (pin "11" (uuid ccf5bdea-cde9-497c-abb3-387504502f82)) + (pin "12" (uuid 6fc8585e-47eb-42bb-a6bb-91e57b6447cc)) + (pin "13" (uuid b19c7e64-1a4c-4388-8366-9586845f09ae)) + (pin "14" (uuid 31588de0-575a-4da0-86b4-7a1b4540fe23)) + (pin "15" (uuid 8a415b33-eccd-4638-b278-09a233ad0c87)) + (pin "16" (uuid 37f3027d-db56-4396-9d59-9480ba9082d2)) + (pin "17" (uuid 370de658-1062-4644-9f03-a93fdb0b95ee)) + (pin "18" (uuid 336a76cd-e15b-45e9-aac6-c64c78fc8ebf)) + (pin "19" (uuid 9a1d6d07-a588-458c-ab45-25b9a4764b74)) + (pin "2" (uuid 3fc16e40-3ac5-4199-9f9f-e07858141273)) + (pin "20" (uuid 39ff093e-554d-4517-b78d-8b95c01256e7)) + (pin "3" (uuid d02af326-6586-437a-87b0-18c00fd0c9ba)) + (pin "4" (uuid ef9e0316-f6e9-4775-876d-4caaa4e88a32)) + (pin "5" (uuid 2dee3ff3-b9c7-45a1-bc02-13f2e952b659)) + (pin "6" (uuid d4f200ad-fc72-4614-a7de-4bf014391d12)) + (pin "7" (uuid 6b692ec5-8ee9-46bd-a51f-a0b7b0822c2b)) + (pin "8" (uuid 94151a91-100a-4cea-82a7-3d3a4648eae3)) + (pin "9" (uuid f26fb7ae-7b3b-4314-b7db-c4006c530fd6)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 193.04 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006095226d) + (property "Reference" "#PWR?" (at 104.14 199.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 196.85 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 193.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 193.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 360a145b-e210-4860-93e8-05a3608c02bf)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0130") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 162.56 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000609528bf) + (property "Reference" "#PWR?" (at 104.14 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 166.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e1bd317a-0121-4969-9f5a-89e99941deb6)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0131") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74573") (at 93.98 120.65 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061398c8d) + (property "Reference" "U?" (at 93.98 105.41 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHCT573PW" (at 93.98 120.65 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 93.98 137.16 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 93.98 118.11 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid de630683-fc04-4261-af27-0619dbf7b474)) + (pin "10" (uuid 7fea5c09-2027-4e26-91e1-0fd1a0315554)) + (pin "11" (uuid c7d2e7e9-5291-4730-aa90-9bcfd5a61518)) + (pin "12" (uuid 74a4b4af-5d72-48bf-b94f-42f326e3ab98)) + (pin "13" (uuid fec683bf-acf1-42e4-8951-37a32fdd683d)) + (pin "14" (uuid 24bffaa5-2457-4f8f-8aac-c42d4faf8aea)) + (pin "15" (uuid 3db53e26-f661-4970-bd80-356a71e8b482)) + (pin "16" (uuid 8721a3af-02d7-4d67-afcd-02e71b005a1b)) + (pin "17" (uuid bf5ac453-3ee9-4dd3-93fd-fa9e1b0d614d)) + (pin "18" (uuid 1eae1293-ec49-48cd-8e8f-f866b00dd9e7)) + (pin "19" (uuid 200be7f9-dc44-418c-a061-100a1c4d4232)) + (pin "2" (uuid dfddc85e-a280-4eb2-99e9-745aeeae9f28)) + (pin "20" (uuid 5a5ee523-8955-472d-a37e-019561d0cb23)) + (pin "3" (uuid f97069b4-66e3-45ce-b27d-e31d86b11679)) + (pin "4" (uuid b2902840-907f-4f35-b051-5567f8673225)) + (pin "5" (uuid 2bdf1c55-6a3e-4a3a-95c3-cbe146b2484b)) + (pin "6" (uuid bca8f521-1fe4-458f-86d6-7c999f3e6d69)) + (pin "7" (uuid 4e414122-2746-4f88-ac62-ba8624333045)) + (pin "8" (uuid 6f354821-7aef-4cd3-85bb-2292763fcc4a)) + (pin "9" (uuid db5ab2dc-3785-4e51-aa99-6413dc1c6474)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 132.08 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061398c93) + (property "Reference" "#PWR?" (at 104.14 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 135.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 073ec96c-6d01-4c63-8d74-762023426509)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0132") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at -16.51 165.1 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616280c6) + (property "Reference" "#PWR?" (at -16.51 171.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at -16.51 168.91 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -16.51 165.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at -16.51 165.1 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a1982c3b-8854-4545-8ba3-30d9c3859907)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0134") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 83.82 170.18 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061669c70) + (property "Reference" "#PWR?" (at 83.82 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 83.82 166.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 83.82 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 83.82 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 75dd7867-8835-41cd-a658-7d0a59e55377)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0135") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 83.82 139.7 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006167232f) + (property "Reference" "#PWR?" (at 83.82 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 83.82 135.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 83.82 139.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 83.82 139.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ff61c53a-24e9-43b5-bedd-487c1eb2e5ab)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0136") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 83.82 109.22 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000616832d7) + (property "Reference" "#PWR?" (at 83.82 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 83.82 105.41 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 83.82 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 83.82 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 04c32f2f-f7a0-4a98-8b5d-bfa924a5a184)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0137") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:741G125GW") (at 162.56 30.48 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006178b34b) + (property "Reference" "U29" (at 162.56 30.48 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC1G125GW" (at 162.56 36.83 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOT-353" (at 162.56 38.1 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 162.56 35.56 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 7b5bf5c6-a137-400d-a1e5-7d429996d87a)) + (pin "2" (uuid 8a980da8-9a7a-4a8e-a2f6-3e3582534fcc)) + (pin "3" (uuid 4a32df8a-6600-4a33-bad8-4ff8589abe6b)) + (pin "4" (uuid b0e2e731-af63-46cc-a701-80c5ca6ef19a)) + (pin "5" (uuid 8dd5d3ac-bd9c-49e2-af07-c518568a66f0)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U29") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 149.86 33.02 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061798d1b) + (property "Reference" "R1" (at 149.86 29.21 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "30" (at 149.86 31.75 90) + (effects (font (size 1.27 1.27)) (justify bottom)) + ) + (property "Footprint" "" (at 149.86 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 149.86 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 16692644-7f1b-43a4-b94d-ad2b462f342b)) + (pin "2" (uuid 058e95de-96c1-4a08-acf3-c19b5a56638f)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "R1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 152.4 27.94 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000617a706d) + (property "Reference" "#PWR?" (at 152.4 31.75 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 152.4 24.13 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 152.4 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 152.4 27.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f8c4cdb2-b9cc-49a6-992b-7ce192077b24)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0102") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 172.72 33.02 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000617c0eda) + (property "Reference" "#PWR?" (at 172.72 39.37 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 172.72 36.83 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 172.72 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 172.72 33.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 57f1895d-92c6-4da2-ad45-c3feaa3013e0)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0103") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 175.26 30.48 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000617c2886) + (property "Reference" "R2" (at 176.7586 29.3116 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1k" (at 176.7586 31.623 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 175.26 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 175.26 30.48 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e2b1f6a9-358a-4114-bc91-c70558f4bb37)) + (pin "2" (uuid f2530f2e-7c9e-40dc-bfb7-db08552e14f2)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "R2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -26.67 152.4 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061d73237) + (property "Reference" "C?" (at -25.4 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -25.4 153.67 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -26.67 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -26.67 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid aca8a972-fecd-4ef7-8487-f2ceace353f7)) + (pin "2" (uuid c9bd8dd4-7360-4217-b2d0-14326f74da47)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C24") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at -16.51 154.94 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061db2803) + (property "Reference" "#PWR?" (at -16.51 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at -16.51 158.75 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -16.51 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at -16.51 154.94 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 17abf01b-db01-4728-836a-3eb301ef7665)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0139") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 101.6 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061df3800) + (property "Reference" "#PWR?" (at 104.14 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 105.41 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f8f06bcb-c504-4e62-86a1-c91fc0b05cdd)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0140") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 81.28 78.74 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061df3807) + (property "Reference" "#PWR?" (at 81.28 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 81.28 74.93 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 81.28 78.74 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 81.28 78.74 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2c7ef853-f7c6-4907-9881-e2f13cfd3c85)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0141") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74573") (at 93.98 90.17 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061df380d) + (property "Reference" "U?" (at 93.98 74.93 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHCT573PW" (at 93.98 90.17 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 93.98 106.68 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 93.98 87.63 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 5ef67e7c-1ca4-4007-a117-b6c610ac0cac)) + (pin "10" (uuid 05896d49-364a-4b34-ba9a-725618c0804d)) + (pin "11" (uuid 32afebe5-e1e6-40e7-8ce9-7be6593b555a)) + (pin "12" (uuid aeb7726d-6214-40a9-aab4-7da2dee6cb95)) + (pin "13" (uuid 5207bc08-f831-4dda-890e-c78da0bb4868)) + (pin "14" (uuid 3b5ad9c5-0777-4d1e-a25c-853483d6e26b)) + (pin "15" (uuid 5e9907a7-f1ff-456b-8d1d-346c1c411338)) + (pin "16" (uuid e7de5c10-6bdf-4438-b2a3-afbf62ec1314)) + (pin "17" (uuid 496ea488-3d64-4494-a44a-30e26f8c9fb1)) + (pin "18" (uuid c8a7d698-402e-4f67-a689-f89dca0fa38f)) + (pin "19" (uuid ba7d840a-acc3-4ff5-aef5-1d2614a66b2a)) + (pin "2" (uuid 0eb66506-282b-4a54-93dc-761ed934565f)) + (pin "20" (uuid b8cb767f-10d4-4be0-be3d-756f4ba13521)) + (pin "3" (uuid def91b92-f9c8-4955-9e4e-76c9e4392dda)) + (pin "4" (uuid 4d9efd45-48f7-45f9-a52c-8ef9c53659e8)) + (pin "5" (uuid 14a2cd54-d450-4e9d-bcea-b93df2ef0bb2)) + (pin "6" (uuid afe2fc41-f466-4e10-ad7c-ea993251b5cb)) + (pin "7" (uuid 5351f80b-accf-4eda-b760-30ff7693db90)) + (pin "8" (uuid fab158b0-d671-4c35-b57c-da3f7060d51b)) + (pin "9" (uuid 0785cbc2-192a-4471-90dd-ed8528e10c91)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74573") (at 93.98 59.69 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000061ed1513) + (property "Reference" "U?" (at 93.98 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHCT573PW" (at 93.98 59.69 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 93.98 76.2 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 93.98 57.15 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid d6e49594-3e87-4ae0-932b-cd3956678691)) + (pin "10" (uuid 6999d5df-c162-44de-be3f-b8d4003783ea)) + (pin "11" (uuid 37830d16-7418-47cf-a257-06e5ee102a02)) + (pin "12" (uuid 233c50ae-41d8-423a-b480-9def55c1b2ee)) + (pin "13" (uuid d7e6c845-c609-4520-849c-ac23d18c7444)) + (pin "14" (uuid 0d7de24e-f6ae-4d96-8480-2188d40a3d74)) + (pin "15" (uuid 97b2b6da-4f10-49a7-916c-e640f46f21c9)) + (pin "16" (uuid 001d5449-1cd4-4965-afae-716e23a5eadb)) + (pin "17" (uuid 69612bf3-d293-4bde-b1d9-4c13e286dde2)) + (pin "18" (uuid ae3eb4ca-9a73-408a-9da6-832da24d1a02)) + (pin "19" (uuid 82578eda-5050-4e43-a25a-c077a81ce6ba)) + (pin "2" (uuid 108961de-3ee8-43d1-b738-1417d59d4332)) + (pin "20" (uuid ba37189b-e60c-4023-a6b7-bde65e9075a1)) + (pin "3" (uuid af67d127-d61d-4fc3-9b16-4fbb416a006d)) + (pin "4" (uuid 81d02147-5f6d-4dd3-bf5f-5f833ad4025e)) + (pin "5" (uuid 09d37b4e-d151-4750-9776-e379c4e73d37)) + (pin "6" (uuid d9f9603a-cae2-4139-b7b2-d9ea5f757659)) + (pin "7" (uuid 65b9ea90-c60b-4a2d-a621-1ec047363296)) + (pin "8" (uuid 3ab1d7eb-89ca-4e97-91c9-f45c4f2a68d1)) + (pin "9" (uuid 04cac538-6fec-4790-abd6-e8f817351c73)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 165.1 68.58 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062220e31) + (property "Reference" "#PWR?" (at 165.1 74.93 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 165.1 72.39 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 165.1 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 165.1 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a59b184e-b917-4b59-a903-e062555cda61)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0154") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 165.1 101.6 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006226fa8e) + (property "Reference" "#PWR?" (at 165.1 107.95 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 165.1 105.41 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 165.1 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 165.1 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ac56ba02-c0c2-4bda-a7eb-7007011e9839)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0145") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 144.78 78.74 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000622729c8) + (property "Reference" "#PWR?" (at 144.78 82.55 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 144.78 74.93 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 144.78 78.74 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 144.78 78.74 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 16e98661-a7b6-42c0-a016-1bdd2f7e60d4)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0144") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 144.78 139.7 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000622caba9) + (property "Reference" "#PWR?" (at 144.78 143.51 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 144.78 135.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 144.78 139.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 144.78 139.7 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0f83b270-3a1b-4f49-a364-75c0b5336d1e)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0148") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 165.1 162.56 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000622cabaf) + (property "Reference" "#PWR?" (at 165.1 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 165.1 166.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 165.1 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 165.1 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 96ec1ee7-8e32-4dcd-97f8-3493c571c624)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0149") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 154.94 151.13 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000622cabb5) + (property "Reference" "U?" (at 154.94 135.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245APW" (at 154.94 151.13 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 154.94 167.64 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 154.94 148.59 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 0c7482e8-1736-4aa5-b639-feecad8d63c2)) + (pin "10" (uuid bd23beae-ce76-4a2b-ad70-b039ed745297)) + (pin "11" (uuid 303bee8f-2e16-4325-baf4-0f89fa67fea8)) + (pin "12" (uuid 02476f86-f9a5-4ca8-8263-af5cec1bca10)) + (pin "13" (uuid 037adfb5-fc17-41e1-91aa-da579b60e316)) + (pin "14" (uuid 11448e2b-2528-43d2-974f-49e4dea649e1)) + (pin "15" (uuid 376db053-829c-49b6-b0af-bfc489e5b148)) + (pin "16" (uuid 2b071f51-1b61-4d32-8534-7fd24f8504cb)) + (pin "17" (uuid 3a2705eb-53ef-4b89-b359-d16372ff9f76)) + (pin "18" (uuid 6230c95e-1325-4674-9905-58fe3df17345)) + (pin "19" (uuid 2f678ca1-45d3-408d-9986-189ebe06e50c)) + (pin "2" (uuid ad570986-1a2c-4f4c-b124-4964f6d82cf8)) + (pin "20" (uuid 88ecff7b-5568-4d60-821a-0f511f09fadc)) + (pin "3" (uuid 82041608-8056-4054-b452-9d21f1a666f4)) + (pin "4" (uuid d7252bfe-efde-4e20-ab2e-2c9f74dddaaf)) + (pin "5" (uuid 2ff6695b-8e92-4860-9816-798b7ab14907)) + (pin "6" (uuid ac8e9f66-eb50-4be1-9cdd-76ea37d141bb)) + (pin "7" (uuid 46612998-a0a2-451a-a426-dd9dbb4e798b)) + (pin "8" (uuid 45c5d769-3bba-47fc-bb6c-8c97ff6b83a0)) + (pin "9" (uuid 1b859eb2-b6b7-4dfb-be1c-c9f38c136215)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U16") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 144.78 170.18 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000622cabbb) + (property "Reference" "#PWR?" (at 144.78 173.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 144.78 166.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 144.78 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 144.78 170.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d0663d0c-ff98-40ff-b9e4-473ca4d02b72)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0150") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 165.1 193.04 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000622cabc1) + (property "Reference" "#PWR?" (at 165.1 199.39 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 165.1 196.85 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 165.1 193.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 165.1 193.04 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d3ab15a9-0de3-40d9-8da1-09bcde56a024)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0151") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 154.94 181.61 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000622cabc7) + (property "Reference" "U?" (at 154.94 166.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245APW" (at 154.94 181.61 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 154.94 198.12 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 154.94 179.07 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 4cda1027-a5e1-4567-9169-0787c13c8b96)) + (pin "10" (uuid ab3dc3b0-b216-443b-95b5-71617c5552b3)) + (pin "11" (uuid 782b2162-66ee-447a-b930-2e31f3534ab2)) + (pin "12" (uuid addc8680-0dc1-4e5b-93df-38e98151ba48)) + (pin "13" (uuid 6ab45852-5ad1-4e26-9c43-770d913153c0)) + (pin "14" (uuid a92a37b8-017f-4867-b5eb-35eea87a6989)) + (pin "15" (uuid e52bceb6-1d9b-4f80-b214-7e2fd5036b6f)) + (pin "16" (uuid d811a964-686c-4624-a4cc-bf7cd4fd77fc)) + (pin "17" (uuid a4f69ea4-ffb8-4d32-9916-a5b837c3b9e9)) + (pin "18" (uuid f433b54a-71ae-422c-b5d9-e63a218fca59)) + (pin "19" (uuid 192c558a-2a58-42b4-a905-6a06f40f79e0)) + (pin "2" (uuid 7189de5a-88fb-4e73-b2c4-f71dd3b886a7)) + (pin "20" (uuid 8a45d3aa-7431-48e0-9272-e813da23d926)) + (pin "3" (uuid c8ef19bf-e6f2-4de8-a2a1-da19468eab77)) + (pin "4" (uuid d3a04668-0fb3-4f91-baa1-e4ef7ef45242)) + (pin "5" (uuid 035bb381-5111-4852-85ca-f86b99288420)) + (pin "6" (uuid c19189ba-9de2-4ef7-ad45-75e404ac066c)) + (pin "7" (uuid ea75e7a5-76e0-4047-8ac4-d340db708f59)) + (pin "8" (uuid 536f3e23-c0e3-4b6a-8377-bf6cb4546dd5)) + (pin "9" (uuid 772f7994-ce89-435a-9e5e-b610cb1c6281)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U17") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 165.1 45.72 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000622e4b86) + (property "Reference" "#PWR?" (at 165.1 49.53 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 165.1 41.91 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 165.1 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 165.1 45.72 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4a9a185b-8715-47e3-9b88-9978722c829c)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0152") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74244") (at 154.94 57.15 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062a8e938) + (property "Reference" "U13" (at 154.94 41.91 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74LVC244APW" (at 154.94 72.39 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 154.94 73.66 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 154.94 54.61 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 834473bc-a33b-4fda-9d70-63c66946cad5)) + (pin "10" (uuid 99cb571b-f417-4198-a053-5364e79e3b69)) + (pin "11" (uuid d280f5cf-cb04-4993-b780-6a20dae2233b)) + (pin "12" (uuid e5823e0a-2c79-45f7-bce4-c9c7e6398a2e)) + (pin "13" (uuid 11c559c4-de79-48e4-9b49-0be4139d21e2)) + (pin "14" (uuid 98a36c87-49dc-46bc-a011-8f85a2387da3)) + (pin "15" (uuid d0d9a338-e08c-4719-b2db-84d030d2fd36)) + (pin "16" (uuid 87ca254c-d691-4d41-ae3b-1bd05ff89320)) + (pin "17" (uuid 86c1cf43-a39a-4514-b45b-104e2196bf03)) + (pin "18" (uuid 6067134f-1df9-4e31-b70f-b2a1060d866e)) + (pin "19" (uuid 6f3a8bf0-f39f-44aa-affe-7d8b433de030)) + (pin "2" (uuid b08c99c9-352f-441c-a402-2b8252ef4217)) + (pin "20" (uuid 8f8b9a4b-ac70-4d17-b505-ce299997cfa3)) + (pin "3" (uuid a24f3523-1efa-4785-ba25-ab62230a0015)) + (pin "4" (uuid eb1fe987-1a1d-4b54-85a3-ba86b1176478)) + (pin "5" (uuid f4639d7d-7421-48bd-97bd-f1fd7fefb585)) + (pin "6" (uuid ed0df8d0-dcb9-468f-b0c6-feddd2479e9d)) + (pin "7" (uuid 9b29b785-0b27-4c44-b7ef-8ed6863720d4)) + (pin "8" (uuid 65fc919e-5346-4464-804c-36c0375df437)) + (pin "9" (uuid 17ba498b-b77a-433c-a7de-83d4c29a640a)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U13") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 144.78 68.58 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062acb26e) + (property "Reference" "#PWR?" (at 144.78 74.93 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 144.78 72.39 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 144.78 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 144.78 68.58 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0be10d72-bf44-41af-b421-b50cff8f22e5)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0155") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 142.24 40.64 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000062acb7a2) + (property "Reference" "#PWR?" (at 142.24 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 142.24 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 142.24 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 142.24 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1d0acaf3-e804-46b2-8423-14b6f08a7d5b)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0156") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -57.15 162.56 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262ef4) + (property "Reference" "C?" (at -55.88 161.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -55.88 163.83 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -57.15 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -57.15 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 64f1e673-ec73-4254-8212-4f4fd6df9763)) + (pin "2" (uuid 8075d361-f301-48ad-9af6-5dcc0523e8e3)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C19") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -46.99 162.56 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262ef5) + (property "Reference" "C?" (at -45.72 161.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -45.72 163.83 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -46.99 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -46.99 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 849e3b5a-91f6-4539-820d-737fc342f27b)) + (pin "2" (uuid 66d82247-e22e-4ecb-b2d9-e15eb02c1754)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C21") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -36.83 162.56 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262ef6) + (property "Reference" "C?" (at -35.56 161.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -35.56 163.83 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -36.83 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -36.83 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c3f379bb-58cf-4d37-b1c2-026b301b6f3d)) + (pin "2" (uuid ca09a691-cf9b-4406-a8b0-8e048b2b62c9)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C23") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at -67.31 160.02 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262ef7) + (property "Reference" "#PWR?" (at -67.31 163.83 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at -67.31 156.21 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -67.31 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at -67.31 160.02 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e2569e46-c4a2-435d-871e-95fa355bb902)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0133") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -67.31 162.56 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262ef8) + (property "Reference" "C?" (at -66.04 161.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -66.04 163.83 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -67.31 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -67.31 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6686ab2f-f264-4fab-b88f-92de93885edc)) + (pin "2" (uuid 959da3d8-026f-4fc0-942b-1f3b56bf5af9)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C17") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -16.51 162.56 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262ef9) + (property "Reference" "C?" (at -15.24 161.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -15.24 163.83 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -16.51 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -16.51 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid f0d59f8c-94ad-41dd-8d25-2f82d836793b)) + (pin "2" (uuid cee56b1d-2118-414c-9e90-6bbf22e362e4)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C27") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -26.67 162.56 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262efa) + (property "Reference" "C?" (at -25.4 161.29 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -25.4 163.83 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -26.67 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -26.67 162.56 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 21bd4713-cc0f-4b2f-8d34-b1b8616f9512)) + (pin "2" (uuid e38e089c-e285-4a84-854f-c5cb0e90f23f)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C25") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -57.15 152.4 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262efc) + (property "Reference" "C?" (at -55.88 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -55.88 153.67 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -57.15 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -57.15 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9547fb3f-9d0a-4284-9599-20f5f72a31e4)) + (pin "2" (uuid 26ca6b5b-5594-46f9-b4a4-3c17fc257d43)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C18") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -46.99 152.4 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262efd) + (property "Reference" "C?" (at -45.72 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -45.72 153.67 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -46.99 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -46.99 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid a9fcf3a9-ea12-4dd1-849f-40fd5e80a8e9)) + (pin "2" (uuid 45fa4899-1a27-4626-b3e8-9314cd4d95dc)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C20") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -36.83 152.4 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262efe) + (property "Reference" "C?" (at -35.56 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -35.56 153.67 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -36.83 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -36.83 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4bd10e1a-1cf9-49f9-b8d3-e02730532ef5)) + (pin "2" (uuid c388ee73-6e03-4648-a86d-3941d8b53dab)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C22") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at -67.31 149.86 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262eff) + (property "Reference" "#PWR?" (at -67.31 153.67 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at -67.31 146.05 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at -67.31 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at -67.31 149.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d167a8c3-20f6-4569-a66b-cd50808df072)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0138") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -67.31 152.4 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f00) + (property "Reference" "C?" (at -66.04 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -66.04 153.67 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -67.31 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -67.31 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3046db71-3660-40b0-8173-abba5b0a3633)) + (pin "2" (uuid 833c327b-d377-46b9-a3e4-f07e43e291e8)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C16") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at -16.51 152.4 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f01) + (property "Reference" "C?" (at -15.24 151.13 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "2u2" (at -15.24 153.67 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0603" (at -16.51 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at -16.51 152.4 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 94f47b18-0364-4e8c-86f0-4e878c56546b)) + (pin "2" (uuid 0cea9cad-dae9-447b-a04d-f74d2f065959)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f723173" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "C26") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "C?") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 154.94 90.17 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f04) + (property "Reference" "U?" (at 154.94 74.93 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245APW" (at 154.94 90.17 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 154.94 106.68 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 154.94 87.63 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid f4daaf70-afee-4b1d-a5de-4365616a4d88)) + (pin "10" (uuid 66bce32d-7ccd-4cd1-a55c-1541a7f50959)) + (pin "11" (uuid a9cde31c-97c9-4b58-8511-cf47cc7d20f7)) + (pin "12" (uuid c44d52ca-3f7e-49c9-9874-01dafc580fb3)) + (pin "13" (uuid fd7ed3e6-0f1b-47a5-95db-5addf58d2f5b)) + (pin "14" (uuid 04576f08-4bb1-4d4f-a34e-188e5dc8aa82)) + (pin "15" (uuid 14166c13-6929-4156-8a87-283c2253bcda)) + (pin "16" (uuid 3ed06528-01f5-4641-b58d-80f0efe27c3d)) + (pin "17" (uuid 09c47a0e-b2f8-454c-b8c4-19e79ba90577)) + (pin "18" (uuid a186c1aa-9743-43b8-a1a7-ca16bc7933dd)) + (pin "19" (uuid e275e679-beb5-45f7-9b3b-9caeebf19b86)) + (pin "2" (uuid 3b2d179e-4df0-452e-b437-1ed1bf57d4fc)) + (pin "20" (uuid 4f93124c-7628-49b9-a784-a7fe2e2af10a)) + (pin "3" (uuid 033fe846-0d0f-4f17-ad8a-8bf51c160ebe)) + (pin "4" (uuid 97013737-5145-4f06-ace4-c678b1b86b1c)) + (pin "5" (uuid 7d609a58-67f0-4895-a238-a29486ea4ae8)) + (pin "6" (uuid f86e9717-f1db-43d1-a550-efa3ada941d7)) + (pin "7" (uuid 0542e914-9c34-4fb5-8b1b-d2aa2646309f)) + (pin "8" (uuid 821ed14d-d1ef-49c9-a2ef-cf8e2f39839c)) + (pin "9" (uuid 070973dd-2435-48f7-80b1-f3a236c10360)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U14") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 144.78 109.22 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f07) + (property "Reference" "#PWR?" (at 144.78 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 144.78 105.41 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 144.78 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 144.78 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 7c2c4097-3238-45c3-9e44-efebb2af6946)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0146") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 165.1 132.08 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f08) + (property "Reference" "#PWR?" (at 165.1 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 165.1 135.89 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 165.1 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 165.1 132.08 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 80fbbd01-62b2-44e3-84c5-66b87d1325cd)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR0147") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74245") (at 154.94 120.65 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063262f09) + (property "Reference" "U?" (at 154.94 105.41 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHC245APW" (at 154.94 120.65 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 154.94 137.16 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 154.94 118.11 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid 32c53ea2-c156-4e1f-be0a-0a4546cdb816)) + (pin "10" (uuid c6f6471c-007e-4375-ba26-cff8115cc5a6)) + (pin "11" (uuid e84c8ab0-096d-4481-ad86-d76053eafa62)) + (pin "12" (uuid 73d0d688-a100-4571-a5d2-90fd054bc5d1)) + (pin "13" (uuid 93c5e698-8a7b-40c3-8be3-fa0af2cc65cb)) + (pin "14" (uuid f5020a63-846c-47d1-bb82-ffd724f51477)) + (pin "15" (uuid 7c0a93f9-5b7a-4ec4-91f5-54612d096a28)) + (pin "16" (uuid a4ba3383-632c-4d60-983d-cb75cc19d868)) + (pin "17" (uuid 7fa3fde0-7649-434c-89c3-6f0c69d18291)) + (pin "18" (uuid 691280c9-b03f-40dc-82a9-530cb42785d0)) + (pin "19" (uuid 53aa6896-90cc-41c4-9a46-f801288aea7c)) + (pin "2" (uuid 77229830-6a7e-4e4d-b529-3db7e281abfb)) + (pin "20" (uuid 78d2ab8c-5871-4a2e-b1a8-96056d624ded)) + (pin "3" (uuid c39b00ea-635c-45d0-b003-8d144fa1392c)) + (pin "4" (uuid e39c0ed1-2b51-4885-b2ce-17b12e902711)) + (pin "5" (uuid c6b375ff-f73b-4370-8965-dce28d34d4b8)) + (pin "6" (uuid 24e460c6-48bd-40b8-99f0-98ce4272a804)) + (pin "7" (uuid c614491f-7eb6-4140-9d5d-2ebe0ffeb71f)) + (pin "8" (uuid e6bec592-fb7e-4247-8017-74f7dfd8f902)) + (pin "9" (uuid 5b770d52-93bd-4a26-b733-5c817f83f8c3)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U15") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 104.14 71.12 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 0efa8b4a-d41a-44cc-af19-6840c7ac5b5c) + (property "Reference" "#PWR?" (at 104.14 77.47 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 104.14 74.93 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 104.14 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 104.14 71.12 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2a6117a3-3c5f-4256-9be1-84fb89f85833)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR038") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 22.86 17.78 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 3b034b3e-8733-4170-8090-7097337fb9fe) + (property "Reference" "#PWR?" (at 22.86 21.59 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 22.86 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 22.86 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 22.86 17.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1884fb98-18bf-4fe7-897c-6481867fc4d4)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR039") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 106.68 44.45 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 44a536e4-7fce-4045-8dd7-ff80c4bcb8fb) + (property "Reference" "#PWR?" (at 106.68 50.8 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 106.68 48.26 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 106.68 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 106.68 44.45 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2b7a2119-c791-4cd7-95a8-f169a40b4531)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR041") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 83.82 48.26 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 5e7d5298-511e-40bc-9245-e324b9cc5ded) + (property "Reference" "#PWR?" (at 83.82 52.07 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 83.82 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 83.82 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 83.82 48.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ca93867b-d7b8-4cd3-90a0-03b9ef14adf1)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR037") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Logic:74573") (at 33.02 29.21 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 94892dfe-cd18-4fc7-812d-511b96766459) + (property "Reference" "U?" (at 33.02 13.97 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "74AHCT573PW" (at 33.02 29.21 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" (at 33.02 45.72 0) + (effects (font (size 1.27 1.27)) (justify top) hide) + ) + (property "Datasheet" "" (at 33.02 26.67 0) + (effects (font (size 1.524 1.524)) hide) + ) + (pin "1" (uuid dcb02b6c-8390-4e46-9fd7-bebd4419d87f)) + (pin "10" (uuid 1cf1652c-7491-4d48-874e-ac4f1472b1dd)) + (pin "11" (uuid 7704495e-c719-4fe9-b85e-d75d2e2e2dd5)) + (pin "12" (uuid 07453f1c-8215-48c3-8bd2-4f83a3e62bc4)) + (pin "13" (uuid 658738c8-7da0-459a-8215-f79c9058331d)) + (pin "14" (uuid 78e9e3d6-5e64-48cc-8901-785ee48126a0)) + (pin "15" (uuid 345248f2-5b4c-486c-98e4-3cde0a4b4e78)) + (pin "16" (uuid fed70e0a-b09a-4d11-9ce5-8243a40d93c8)) + (pin "17" (uuid 48a7ddff-13f0-4cf4-9067-2adc9a3befc7)) + (pin "18" (uuid 2b3af437-1693-4061-844a-5674cf16695c)) + (pin "19" (uuid 5d01397c-1a48-4b36-8088-61a179e405ca)) + (pin "2" (uuid 70998fab-3079-4c25-9b3c-233e69bfa0b7)) + (pin "20" (uuid 15d1cf94-3268-42a0-9161-969f8662a617)) + (pin "3" (uuid 5bef19dc-684e-4501-bbfc-6298b3fb66d0)) + (pin "4" (uuid b349ef3e-3692-4eb2-a2ed-45a60ec39b48)) + (pin "5" (uuid 267f543b-cc9f-45ce-8fdd-0d2133ec13d1)) + (pin "6" (uuid b753fbe9-76f7-46dd-bbb1-3f7d2d76b7fe)) + (pin "7" (uuid 214883ac-e9db-43cb-9b62-f8c84a354542)) + (pin "8" (uuid 6f741b18-f3a0-4130-a485-2eaa6140c2b4)) + (pin "9" (uuid dd25f359-146d-4e06-99ac-e41f165a066d)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "U?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "U34") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 43.18 40.64 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid ac445a5f-d119-4b60-9ada-f0fd328ef400) + (property "Reference" "#PWR?" (at 43.18 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 43.18 44.45 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 43.18 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 43.18 40.64 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2dc408d9-6d7b-4524-a98e-b450fd0c32f6)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR042") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+5V") (at 20.32 43.18 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid f11c3f02-3c62-47cf-8b07-7b9e2c1ebf26) + (property "Reference" "#PWR?" (at 20.32 46.99 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+5V" (at 20.32 39.37 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 20.32 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 20.32 43.18 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 71a5e2fc-5fcc-4c54-a205-0d320857a101)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-0000629b918a" + (reference "#PWR?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000060941922" + (reference "#PWR040") (unit 1) + ) + ) + ) + ) +) diff --git a/PDSBuf.sch b/PDSBuf.sch deleted file mode 100644 index 4ba3adb..0000000 --- a/PDSBuf.sch +++ /dev/null @@ -1,1881 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 5 7 -Title "" -Date "" -Rev "" -Comp "" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -$Comp -L GW_Logic:74573 U11 -U 1 1 6094447D -P 2050 5850 -AR Path="/60941922/6094447D" Ref="U11" Part="1" -AR Path="/629B7489/6094447D" Ref="U?" Part="1" -AR Path="/629B918A/6094447D" Ref="U?" Part="1" -AR Path="/62BBE81B/6094447D" Ref="U?" Part="1" -F 0 "U11" H 2050 6450 50 0000 C CNN -F 1 "74AHCT573PW" V 2050 5850 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 2050 5200 50 0001 C TNN -F 3 "" H 2050 5950 60 0001 C CNN - 1 2050 5850 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0130 -U 1 1 6095226D -P 2450 7500 -AR Path="/60941922/6095226D" Ref="#PWR0130" Part="1" -AR Path="/629B7489/6095226D" Ref="#PWR?" Part="1" -AR Path="/629B918A/6095226D" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/6095226D" Ref="#PWR?" Part="1" -F 0 "#PWR0130" H 2450 7250 50 0001 C CNN -F 1 "GND" H 2450 7350 50 0000 C CNN -F 2 "" H 2450 7500 50 0001 C CNN -F 3 "" H 2450 7500 50 0001 C CNN - 1 2450 7500 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0131 -U 1 1 609528BF -P 2450 6300 -AR Path="/60941922/609528BF" Ref="#PWR0131" Part="1" -AR Path="/629B7489/609528BF" Ref="#PWR?" Part="1" -AR Path="/629B918A/609528BF" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/609528BF" Ref="#PWR?" Part="1" -F 0 "#PWR0131" H 2450 6050 50 0001 C CNN -F 1 "GND" H 2450 6150 50 0000 C CNN -F 2 "" H 2450 6300 50 0001 C CNN -F 3 "" H 2450 6300 50 0001 C CNN - 1 2450 6300 - 1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0132 -U 1 1 61398C93 -P 2450 5100 -AR Path="/60941922/61398C93" Ref="#PWR0132" Part="1" -AR Path="/629B7489/61398C93" Ref="#PWR?" Part="1" -AR Path="/629B918A/61398C93" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/61398C93" Ref="#PWR?" Part="1" -F 0 "#PWR0132" H 2450 4850 50 0001 C CNN -F 1 "GND" H 2450 4950 50 0000 C CNN -F 2 "" H 2450 5100 50 0001 C CNN -F 3 "" H 2450 5100 50 0001 C CNN - 1 2450 5100 - 1 0 0 -1 -$EndComp -Text HLabel 2450 4200 2 50 Input ~ 0 -A~OE~ -$Comp -L Device:C_Small C? -U 1 1 63262EF4 -P -2250 6300 -AR Path="/63262EF4" Ref="C?" Part="1" -AR Path="/5F723173/63262EF4" Ref="C?" Part="1" -AR Path="/60941922/63262EF4" Ref="C19" Part="1" -AR Path="/629B7489/63262EF4" Ref="C?" Part="1" -AR Path="/629B918A/63262EF4" Ref="C?" Part="1" -AR Path="/62BBE81B/63262EF4" Ref="C?" Part="1" -F 0 "C19" H -2200 6350 50 0000 L CNN -F 1 "2u2" H -2200 6250 50 0000 L CNN -F 2 "stdpads:C_0603" H -2250 6300 50 0001 C CNN -F 3 "~" H -2250 6300 50 0001 C CNN - 1 -2250 6300 - 1 0 0 -1 -$EndComp -Wire Wire Line - -2650 6200 -2250 6200 -Connection ~ -2250 6200 -Wire Wire Line - -2250 6200 -1850 6200 -Wire Wire Line - -2650 6400 -2250 6400 -Connection ~ -2250 6400 -Wire Wire Line - -2250 6400 -1850 6400 -$Comp -L Device:C_Small C? -U 1 1 63262EF5 -P -1850 6300 -AR Path="/63262EF5" Ref="C?" Part="1" -AR Path="/5F723173/63262EF5" Ref="C?" Part="1" -AR Path="/60941922/63262EF5" Ref="C21" Part="1" -AR Path="/629B7489/63262EF5" Ref="C?" Part="1" -AR Path="/629B918A/63262EF5" Ref="C?" Part="1" -AR Path="/62BBE81B/63262EF5" Ref="C?" Part="1" -F 0 "C21" H -1800 6350 50 0000 L CNN -F 1 "2u2" H -1800 6250 50 0000 L CNN -F 2 "stdpads:C_0603" H -1850 6300 50 0001 C CNN -F 3 "~" H -1850 6300 50 0001 C CNN - 1 -1850 6300 - 1 0 0 -1 -$EndComp -Connection ~ -1850 6200 -Wire Wire Line - -1850 6200 -1450 6200 -Connection ~ -1850 6400 -Wire Wire Line - -1850 6400 -1450 6400 -$Comp -L Device:C_Small C? -U 1 1 63262EF6 -P -1450 6300 -AR Path="/63262EF6" Ref="C?" Part="1" -AR Path="/5F723173/63262EF6" Ref="C?" Part="1" -AR Path="/60941922/63262EF6" Ref="C23" Part="1" -AR Path="/629B7489/63262EF6" Ref="C?" Part="1" -AR Path="/629B918A/63262EF6" Ref="C?" Part="1" -AR Path="/62BBE81B/63262EF6" Ref="C?" Part="1" -F 0 "C23" H -1400 6350 50 0000 L CNN -F 1 "2u2" H -1400 6250 50 0000 L CNN -F 2 "stdpads:C_0603" H -1450 6300 50 0001 C CNN -F 3 "~" H -1450 6300 50 0001 C CNN - 1 -1450 6300 - 1 0 0 -1 -$EndComp -Connection ~ -1450 6200 -Wire Wire Line - -1450 6200 -1050 6200 -Connection ~ -1450 6400 -Wire Wire Line - -1450 6400 -1050 6400 -$Comp -L power:+3V3 #PWR? -U 1 1 63262EF7 -P -2650 6200 -AR Path="/63262EF7" Ref="#PWR?" Part="1" -AR Path="/5F723173/63262EF7" Ref="#PWR?" Part="1" -AR Path="/60941922/63262EF7" Ref="#PWR0133" Part="1" -AR Path="/629B7489/63262EF7" Ref="#PWR?" Part="1" -AR Path="/629B918A/63262EF7" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/63262EF7" Ref="#PWR?" Part="1" -F 0 "#PWR0133" H -2650 6050 50 0001 C CNN -F 1 "+3V3" H -2650 6350 50 0000 C CNN -F 2 "" H -2650 6200 50 0001 C CNN -F 3 "" H -2650 6200 50 0001 C CNN - 1 -2650 6200 - 1 0 0 -1 -$EndComp -Connection ~ -2650 6200 -$Comp -L Device:C_Small C? -U 1 1 63262EF8 -P -2650 6300 -AR Path="/63262EF8" Ref="C?" Part="1" -AR Path="/5F723173/63262EF8" Ref="C?" Part="1" -AR Path="/60941922/63262EF8" Ref="C17" Part="1" -AR Path="/629B7489/63262EF8" Ref="C?" Part="1" -AR Path="/629B918A/63262EF8" Ref="C?" Part="1" -AR Path="/62BBE81B/63262EF8" Ref="C?" Part="1" -F 0 "C17" H -2600 6350 50 0000 L CNN -F 1 "2u2" H -2600 6250 50 0000 L CNN -F 2 "stdpads:C_0603" H -2650 6300 50 0001 C CNN -F 3 "~" H -2650 6300 50 0001 C CNN - 1 -2650 6300 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 63262EF9 -P -650 6300 -AR Path="/63262EF9" Ref="C?" Part="1" -AR Path="/5F723173/63262EF9" Ref="C?" Part="1" -AR Path="/60941922/63262EF9" Ref="C27" Part="1" -AR Path="/629B7489/63262EF9" Ref="C?" Part="1" -AR Path="/629B918A/63262EF9" Ref="C?" Part="1" -AR Path="/62BBE81B/63262EF9" Ref="C?" Part="1" -F 0 "C27" H -600 6350 50 0000 L CNN -F 1 "2u2" H -600 6250 50 0000 L CNN -F 2 "stdpads:C_0603" H -650 6300 50 0001 C CNN -F 3 "~" H -650 6300 50 0001 C CNN - 1 -650 6300 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 63262EFA -P -1050 6300 -AR Path="/63262EFA" Ref="C?" Part="1" -AR Path="/5F723173/63262EFA" Ref="C?" Part="1" -AR Path="/60941922/63262EFA" Ref="C25" Part="1" -AR Path="/629B7489/63262EFA" Ref="C?" Part="1" -AR Path="/629B918A/63262EFA" Ref="C?" Part="1" -AR Path="/62BBE81B/63262EFA" Ref="C?" Part="1" -F 0 "C25" H -1000 6350 50 0000 L CNN -F 1 "2u2" H -1000 6250 50 0000 L CNN -F 2 "stdpads:C_0603" H -1050 6300 50 0001 C CNN -F 3 "~" H -1050 6300 50 0001 C CNN - 1 -1050 6300 - 1 0 0 -1 -$EndComp -Connection ~ -1050 6200 -Wire Wire Line - -1050 6200 -650 6200 -Connection ~ -1050 6400 -Wire Wire Line - -1050 6400 -650 6400 -$Comp -L power:GND #PWR? -U 1 1 616280C6 -P -650 6400 -AR Path="/616280C6" Ref="#PWR?" Part="1" -AR Path="/5F723173/616280C6" Ref="#PWR?" Part="1" -AR Path="/60941922/616280C6" Ref="#PWR0134" Part="1" -AR Path="/629B7489/616280C6" Ref="#PWR?" Part="1" -AR Path="/629B918A/616280C6" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/616280C6" Ref="#PWR?" Part="1" -F 0 "#PWR0134" H -650 6150 50 0001 C CNN -F 1 "GND" H -650 6250 50 0000 C CNN -F 2 "" H -650 6400 50 0001 C CNN -F 3 "" H -650 6400 50 0001 C CNN - 1 -650 6400 - -1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0135 -U 1 1 61669C70 -P 1650 6600 -AR Path="/60941922/61669C70" Ref="#PWR0135" Part="1" -AR Path="/629B7489/61669C70" Ref="#PWR?" Part="1" -AR Path="/629B918A/61669C70" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/61669C70" Ref="#PWR?" Part="1" -F 0 "#PWR0135" H 1650 6450 50 0001 C CNN -F 1 "+5V" H 1650 6750 50 0000 C CNN -F 2 "" H 1650 6600 50 0001 C CNN -F 3 "" H 1650 6600 50 0001 C CNN - 1 1650 6600 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0136 -U 1 1 6167232F -P 1650 5400 -AR Path="/60941922/6167232F" Ref="#PWR0136" Part="1" -AR Path="/629B7489/6167232F" Ref="#PWR?" Part="1" -AR Path="/629B918A/6167232F" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/6167232F" Ref="#PWR?" Part="1" -F 0 "#PWR0136" H 1650 5250 50 0001 C CNN -F 1 "+5V" H 1650 5550 50 0000 C CNN -F 2 "" H 1650 5400 50 0001 C CNN -F 3 "" H 1650 5400 50 0001 C CNN - 1 1650 5400 - 1 0 0 -1 -$EndComp -$Comp -L power:+5V #PWR0137 -U 1 1 616832D7 -P 1650 4200 -AR Path="/60941922/616832D7" Ref="#PWR0137" Part="1" -AR Path="/629B7489/616832D7" Ref="#PWR?" Part="1" -AR Path="/629B918A/616832D7" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/616832D7" Ref="#PWR?" Part="1" -F 0 "#PWR0137" H 1650 4050 50 0001 C CNN -F 1 "+5V" H 1650 4350 50 0000 C CNN -F 2 "" H 1650 4200 50 0001 C CNN -F 3 "" H 1650 4200 50 0001 C CNN - 1 1650 4200 - 1 0 0 -1 -$EndComp -Text HLabel 2450 5400 2 50 Input ~ 0 -A~OE~ -Text HLabel 2450 6600 2 50 Input ~ 0 -A~OE~ -$Comp -L Device:C_Small C? -U 1 1 63262EFC -P -2250 5900 -AR Path="/63262EFC" Ref="C?" Part="1" -AR Path="/5F723173/63262EFC" Ref="C?" Part="1" -AR Path="/60941922/63262EFC" Ref="C18" Part="1" -AR Path="/629B7489/63262EFC" Ref="C?" Part="1" -AR Path="/629B918A/63262EFC" Ref="C?" Part="1" -AR Path="/62BBE81B/63262EFC" Ref="C?" Part="1" -F 0 "C18" H -2200 5950 50 0000 L CNN -F 1 "2u2" H -2200 5850 50 0000 L CNN -F 2 "stdpads:C_0603" H -2250 5900 50 0001 C CNN -F 3 "~" H -2250 5900 50 0001 C CNN - 1 -2250 5900 - 1 0 0 -1 -$EndComp -Wire Wire Line - -2650 5800 -2250 5800 -Connection ~ -2250 5800 -Wire Wire Line - -2250 5800 -1850 5800 -Wire Wire Line - -2650 6000 -2250 6000 -Connection ~ -2250 6000 -Wire Wire Line - -2250 6000 -1850 6000 -$Comp -L Device:C_Small C? -U 1 1 63262EFD -P -1850 5900 -AR Path="/63262EFD" Ref="C?" Part="1" -AR Path="/5F723173/63262EFD" Ref="C?" Part="1" -AR Path="/60941922/63262EFD" Ref="C20" Part="1" -AR Path="/629B7489/63262EFD" Ref="C?" Part="1" -AR Path="/629B918A/63262EFD" Ref="C?" Part="1" -AR Path="/62BBE81B/63262EFD" Ref="C?" Part="1" -F 0 "C20" H -1800 5950 50 0000 L CNN -F 1 "2u2" H -1800 5850 50 0000 L CNN -F 2 "stdpads:C_0603" H -1850 5900 50 0001 C CNN -F 3 "~" H -1850 5900 50 0001 C CNN - 1 -1850 5900 - 1 0 0 -1 -$EndComp -Connection ~ -1850 5800 -Wire Wire Line - -1850 5800 -1450 5800 -Connection ~ -1850 6000 -Wire Wire Line - -1850 6000 -1450 6000 -$Comp -L Device:C_Small C? -U 1 1 63262EFE -P -1450 5900 -AR Path="/63262EFE" Ref="C?" Part="1" -AR Path="/5F723173/63262EFE" Ref="C?" Part="1" -AR Path="/60941922/63262EFE" Ref="C22" Part="1" -AR Path="/629B7489/63262EFE" Ref="C?" Part="1" -AR Path="/629B918A/63262EFE" Ref="C?" Part="1" -AR Path="/62BBE81B/63262EFE" Ref="C?" Part="1" -F 0 "C22" H -1400 5950 50 0000 L CNN -F 1 "2u2" H -1400 5850 50 0000 L CNN -F 2 "stdpads:C_0603" H -1450 5900 50 0001 C CNN -F 3 "~" H -1450 5900 50 0001 C CNN - 1 -1450 5900 - 1 0 0 -1 -$EndComp -Connection ~ -1450 5800 -Wire Wire Line - -1450 5800 -1050 5800 -Connection ~ -1450 6000 -Wire Wire Line - -1450 6000 -1050 6000 -$Comp -L power:+3V3 #PWR? -U 1 1 63262EFF -P -2650 5800 -AR Path="/63262EFF" Ref="#PWR?" Part="1" -AR Path="/5F723173/63262EFF" Ref="#PWR?" Part="1" -AR Path="/60941922/63262EFF" Ref="#PWR0138" Part="1" -AR Path="/629B7489/63262EFF" Ref="#PWR?" Part="1" -AR Path="/629B918A/63262EFF" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/63262EFF" Ref="#PWR?" Part="1" -F 0 "#PWR0138" H -2650 5650 50 0001 C CNN -F 1 "+3V3" H -2650 5950 50 0000 C CNN -F 2 "" H -2650 5800 50 0001 C CNN -F 3 "" H -2650 5800 50 0001 C CNN - 1 -2650 5800 - 1 0 0 -1 -$EndComp -Connection ~ -2650 5800 -$Comp -L Device:C_Small C? -U 1 1 63262F00 -P -2650 5900 -AR Path="/63262F00" Ref="C?" Part="1" -AR Path="/5F723173/63262F00" Ref="C?" Part="1" -AR Path="/60941922/63262F00" Ref="C16" Part="1" -AR Path="/629B7489/63262F00" Ref="C?" Part="1" -AR Path="/629B918A/63262F00" Ref="C?" Part="1" -AR Path="/62BBE81B/63262F00" Ref="C?" Part="1" -F 0 "C16" H -2600 5950 50 0000 L CNN -F 1 "2u2" H -2600 5850 50 0000 L CNN -F 2 "stdpads:C_0603" H -2650 5900 50 0001 C CNN -F 3 "~" H -2650 5900 50 0001 C CNN - 1 -2650 5900 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 63262F01 -P -650 5900 -AR Path="/63262F01" Ref="C?" Part="1" -AR Path="/5F723173/63262F01" Ref="C?" Part="1" -AR Path="/60941922/63262F01" Ref="C26" Part="1" -AR Path="/629B7489/63262F01" Ref="C?" Part="1" -AR Path="/629B918A/63262F01" Ref="C?" Part="1" -AR Path="/62BBE81B/63262F01" Ref="C?" Part="1" -F 0 "C26" H -600 5950 50 0000 L CNN -F 1 "2u2" H -600 5850 50 0000 L CNN -F 2 "stdpads:C_0603" H -650 5900 50 0001 C CNN -F 3 "~" H -650 5900 50 0001 C CNN - 1 -650 5900 - 1 0 0 -1 -$EndComp -$Comp -L Device:C_Small C? -U 1 1 61D73237 -P -1050 5900 -AR Path="/61D73237" Ref="C?" Part="1" -AR Path="/5F723173/61D73237" Ref="C?" Part="1" -AR Path="/60941922/61D73237" Ref="C24" Part="1" -AR Path="/629B7489/61D73237" Ref="C?" Part="1" -AR Path="/629B918A/61D73237" Ref="C?" Part="1" -AR Path="/62BBE81B/61D73237" Ref="C?" Part="1" -F 0 "C24" H -1000 5950 50 0000 L CNN -F 1 "2u2" H -1000 5850 50 0000 L CNN -F 2 "stdpads:C_0603" H -1050 5900 50 0001 C CNN -F 3 "~" H -1050 5900 50 0001 C CNN - 1 -1050 5900 - 1 0 0 -1 -$EndComp -Connection ~ -1050 5800 -Wire Wire Line - -1050 5800 -650 5800 -Connection ~ -1050 6000 -Wire Wire Line - -1050 6000 -650 6000 -Connection ~ -650 6400 -$Comp -L power:GND #PWR? -U 1 1 61DB2803 -P -650 6000 -AR Path="/61DB2803" Ref="#PWR?" Part="1" -AR Path="/5F723173/61DB2803" Ref="#PWR?" Part="1" -AR Path="/60941922/61DB2803" Ref="#PWR0139" Part="1" -AR Path="/629B7489/61DB2803" Ref="#PWR?" Part="1" -AR Path="/629B918A/61DB2803" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/61DB2803" Ref="#PWR?" Part="1" -F 0 "#PWR0139" H -650 5750 50 0001 C CNN -F 1 "GND" H -650 5850 50 0000 C CNN -F 2 "" H -650 6000 50 0001 C CNN -F 3 "" H -650 6000 50 0001 C CNN - 1 -650 6000 - -1 0 0 -1 -$EndComp -Connection ~ -650 6000 -$Comp -L GW_Logic:74573 U12 -U 1 1 60941F85 -P 2050 7050 -AR Path="/60941922/60941F85" Ref="U12" Part="1" -AR Path="/629B7489/60941F85" Ref="U?" Part="1" -AR Path="/629B918A/60941F85" Ref="U?" Part="1" -AR Path="/62BBE81B/60941F85" Ref="U?" Part="1" -F 0 "U12" H 2050 7650 50 0000 C CNN -F 1 "74AHCT573PW" V 2050 7050 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 2050 6400 50 0001 C TNN -F 3 "" H 2050 7150 60 0001 C CNN - 1 2050 7050 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74573 U10 -U 1 1 61398C8D -P 2050 4650 -AR Path="/60941922/61398C8D" Ref="U10" Part="1" -AR Path="/629B7489/61398C8D" Ref="U?" Part="1" -AR Path="/629B918A/61398C8D" Ref="U?" Part="1" -AR Path="/62BBE81B/61398C8D" Ref="U?" Part="1" -F 0 "U10" H 2050 5250 50 0000 C CNN -F 1 "74AHCT573PW" V 2050 4650 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 2050 4000 50 0001 C TNN -F 3 "" H 2050 4750 60 0001 C CNN - 1 2050 4650 - -1 0 0 -1 -$EndComp -Text HLabel 1650 6300 0 50 Input ~ 0 -ADoutLE -Text Label 1650 5000 2 50 ~ 0 -IOB_A16 -Text Label 1650 5500 2 50 ~ 0 -IOB_A15 -Text Label 1650 5600 2 50 ~ 0 -IOB_A14 -Text Label 1650 5700 2 50 ~ 0 -IOB_A13 -Text Label 1650 5800 2 50 ~ 0 -IOB_A12 -Text Label 1650 5900 2 50 ~ 0 -IOB_A11 -Text Label 1650 6000 2 50 ~ 0 -IOB_A10 -Text Label 1650 4300 2 50 ~ 0 -IOB_A23 -Text Label 1650 4400 2 50 ~ 0 -IOB_A22 -Text Label 1650 4500 2 50 ~ 0 -IOB_A21 -Text Label 1650 4600 2 50 ~ 0 -IOB_A20 -Text Label 1650 4700 2 50 ~ 0 -IOB_A19 -Text Label 1650 4800 2 50 ~ 0 -IOB_A18 -Text Label 1650 4900 2 50 ~ 0 -IOB_A17 -Text HLabel 1650 3200 0 50 Output ~ 0 -IOB_R~W~ -Text HLabel 1650 7500 0 50 Input ~ 0 -ADoutLE -Text Label 1650 6100 2 50 ~ 0 -IOB_A9 -Text Label 1650 2300 2 50 ~ 0 -IOB_A1 -Text Label 1650 2200 2 50 ~ 0 -IOB_A2 -Text Label 1650 2100 2 50 ~ 0 -IOB_A3 -Text Label 1650 7000 2 50 ~ 0 -IOB_A4 -Text Label 1650 6900 2 50 ~ 0 -IOB_A5 -Text Label 1650 6800 2 50 ~ 0 -IOB_A6 -Text Label 1650 6700 2 50 ~ 0 -IOB_A7 -Text Label 1650 6200 2 50 ~ 0 -IOB_A8 -Text HLabel 4050 2300 0 50 Input ~ 0 -IOB_C16M -Text Label 4050 4400 2 50 ~ 0 -IOB_D8 -Text Label 4050 4500 2 50 ~ 0 -IOB_D9 -Text Label 4050 4600 2 50 ~ 0 -IOB_D10 -Text Label 4050 4700 2 50 ~ 0 -IOB_D11 -Text Label 4050 4800 2 50 ~ 0 -IOB_D12 -Text Label 4050 4900 2 50 ~ 0 -IOB_D13 -Text Label 4050 5000 2 50 ~ 0 -IOB_D14 -Text Label 4050 5100 2 50 ~ 0 -IOB_D15 -Text HLabel 1100 2000 0 50 Output ~ 0 -IOB_SIZ[1..0] -Text HLabel 1100 7300 0 50 Output ~ 0 -IOB_FC[2..0] -Text Label 4050 5600 2 50 ~ 0 -IOB_D16 -Text Label 4050 5700 2 50 ~ 0 -IOB_D17 -Text Label 4050 5800 2 50 ~ 0 -IOB_D18 -Text Label 4050 5900 2 50 ~ 0 -IOB_D19 -Text Label 4050 6000 2 50 ~ 0 -IOB_D20 -Text Label 4050 6100 2 50 ~ 0 -IOB_D21 -Text Label 4050 6200 2 50 ~ 0 -IOB_D22 -Text Label 4050 6300 2 50 ~ 0 -IOB_D23 -Text Label 4050 6800 2 50 ~ 0 -IOB_D24 -Text Label 4050 6900 2 50 ~ 0 -IOB_D25 -Text Label 4050 7000 2 50 ~ 0 -IOB_D26 -Text Label 4050 7100 2 50 ~ 0 -IOB_D27 -Text Label 4050 7200 2 50 ~ 0 -IOB_D28 -Text Label 4050 7300 2 50 ~ 0 -IOB_D29 -Text Label 4050 7400 2 50 ~ 0 -IOB_D30 -Text Label 4050 7500 2 50 ~ 0 -IOB_D31 -Text HLabel 1650 5100 0 50 Input ~ 0 -ADoutLE -Text Label 1650 2400 2 50 ~ 0 -IOB_A0 -$Comp -L power:GND #PWR0140 -U 1 1 61DF3800 -P 2450 3900 -AR Path="/60941922/61DF3800" Ref="#PWR0140" Part="1" -AR Path="/629B7489/61DF3800" Ref="#PWR?" Part="1" -AR Path="/629B918A/61DF3800" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/61DF3800" Ref="#PWR?" Part="1" -F 0 "#PWR0140" H 2450 3650 50 0001 C CNN -F 1 "GND" H 2450 3750 50 0000 C CNN -F 2 "" H 2450 3900 50 0001 C CNN -F 3 "" H 2450 3900 50 0001 C CNN - 1 2450 3900 - 1 0 0 -1 -$EndComp -Text HLabel 2450 3000 2 50 Input ~ 0 -A~OE~ -$Comp -L power:+5V #PWR0141 -U 1 1 61DF3807 -P 1550 3000 -AR Path="/60941922/61DF3807" Ref="#PWR0141" Part="1" -AR Path="/629B7489/61DF3807" Ref="#PWR?" Part="1" -AR Path="/629B918A/61DF3807" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/61DF3807" Ref="#PWR?" Part="1" -F 0 "#PWR0141" H 1550 2850 50 0001 C CNN -F 1 "+5V" H 1550 3150 50 0000 C CNN -F 2 "" H 1550 3000 50 0001 C CNN -F 3 "" H 1550 3000 50 0001 C CNN - 1 1550 3000 - 1 0 0 -1 -$EndComp -Text Label 1650 3800 2 50 ~ 0 -IOB_A24 -Text Label 1650 3600 2 50 ~ 0 -IOB_A26 -Text Label 1650 3700 2 50 ~ 0 -IOB_A25 -Text HLabel 1650 3900 0 50 Input ~ 0 -ADoutLE -$Comp -L power:GND #PWR0142 -U 1 1 61ED1506 -P 2450 2700 -AR Path="/60941922/61ED1506" Ref="#PWR0142" Part="1" -AR Path="/629B7489/61ED1506" Ref="#PWR?" Part="1" -AR Path="/629B918A/61ED1506" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/61ED1506" Ref="#PWR?" Part="1" -F 0 "#PWR0142" H 2450 2450 50 0001 C CNN -F 1 "GND" H 2450 2550 50 0000 C CNN -F 2 "" H 2450 2700 50 0001 C CNN -F 3 "" H 2450 2700 50 0001 C CNN - 1 2450 2700 - 1 0 0 -1 -$EndComp -Text HLabel 2450 1800 2 50 Input ~ 0 -A~OE~ -$Comp -L power:+5V #PWR0143 -U 1 1 61ED150D -P 1650 1800 -AR Path="/60941922/61ED150D" Ref="#PWR0143" Part="1" -AR Path="/629B7489/61ED150D" Ref="#PWR?" Part="1" -AR Path="/629B918A/61ED150D" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/61ED150D" Ref="#PWR?" Part="1" -F 0 "#PWR0143" H 1650 1650 50 0001 C CNN -F 1 "+5V" H 1650 1950 50 0000 C CNN -F 2 "" H 1650 1800 50 0001 C CNN -F 3 "" H 1650 1800 50 0001 C CNN - 1 1650 1800 - 1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74573 U8 -U 1 1 61ED1513 -P 2050 2250 -AR Path="/60941922/61ED1513" Ref="U8" Part="1" -AR Path="/629B7489/61ED1513" Ref="U?" Part="1" -AR Path="/629B918A/61ED1513" Ref="U?" Part="1" -AR Path="/62BBE81B/61ED1513" Ref="U?" Part="1" -F 0 "U8" H 2050 2850 50 0000 C CNN -F 1 "74AHCT573PW" V 2050 2250 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 2050 1600 50 0001 C TNN -F 3 "" H 2050 2350 60 0001 C CNN - 1 2050 2250 - -1 0 0 -1 -$EndComp -Text HLabel 4050 1800 0 50 BiDi ~ 0 -IOB_~RESET~ -Text HLabel 4050 2000 0 50 Input ~ 0 -IOB_~HALT~ -Text HLabel 4050 2200 0 50 Input ~ 0 -IOB_~DSACK~1 -Text HLabel 4050 2100 0 50 Input ~ 0 -IOB_~DSACK~0 -Text HLabel 1650 2500 0 50 Output ~ 0 -IOB_~AS~ -Text Label 1650 1900 2 50 ~ 0 -IOB_SIZ1 -Text Label 1650 2000 2 50 ~ 0 -IOB_SIZ0 -Text Label 1650 7200 2 50 ~ 0 -IOB_FC2 -Text Label 1650 7300 2 50 ~ 0 -IOB_FC1 -Text Label 1650 7400 2 50 ~ 0 -IOB_FC0 -Wire Wire Line - 1650 3700 1250 3700 -Wire Wire Line - 1650 3600 1250 3600 -Wire Wire Line - 1650 3500 1250 3500 -Wire Wire Line - 1650 3800 1250 3800 -Wire Wire Line - 1650 2400 1250 2400 -Wire Wire Line - 1650 4900 1250 4900 -Wire Wire Line - 1650 4800 1250 4800 -Wire Wire Line - 1650 4700 1250 4700 -Wire Wire Line - 1650 4600 1250 4600 -Wire Wire Line - 1650 4500 1250 4500 -Wire Wire Line - 1650 4400 1250 4400 -Wire Wire Line - 1650 4300 1250 4300 -Wire Wire Line - 1650 6100 1250 6100 -Wire Wire Line - 1650 6000 1250 6000 -Wire Wire Line - 1650 5900 1250 5900 -Wire Wire Line - 1650 5800 1250 5800 -Wire Wire Line - 1650 5700 1250 5700 -Wire Wire Line - 1650 5600 1250 5600 -Wire Wire Line - 1650 5500 1250 5500 -Wire Wire Line - 1650 5000 1250 5000 -Wire Wire Line - 1650 2300 1250 2300 -Wire Wire Line - 1650 2200 1250 2200 -Wire Wire Line - 1650 2100 1250 2100 -Wire Wire Line - 1650 7000 1250 7000 -Wire Wire Line - 1650 6900 1250 6900 -Wire Wire Line - 1650 6800 1250 6800 -Wire Wire Line - 1650 6700 1250 6700 -Wire Wire Line - 1650 6200 1250 6200 -Entry Wire Line - 1250 3700 1150 3800 -Entry Wire Line - 1250 3600 1150 3700 -Entry Wire Line - 1250 3500 1150 3600 -Entry Wire Line - 1250 3800 1150 3900 -Entry Wire Line - 1250 2400 1150 2500 -Entry Wire Line - 1250 4900 1150 5000 -Entry Wire Line - 1250 4800 1150 4900 -Entry Wire Line - 1250 4700 1150 4800 -Entry Wire Line - 1250 4600 1150 4700 -Entry Wire Line - 1250 4500 1150 4600 -Entry Wire Line - 1250 4400 1150 4500 -Entry Wire Line - 1250 4300 1150 4400 -Entry Wire Line - 1250 6100 1150 6200 -Entry Wire Line - 1250 6000 1150 6100 -Entry Wire Line - 1250 5900 1150 6000 -Entry Wire Line - 1250 5800 1150 5900 -Entry Wire Line - 1250 5700 1150 5800 -Entry Wire Line - 1250 5600 1150 5700 -Entry Wire Line - 1250 5500 1150 5600 -Entry Wire Line - 1250 5000 1150 5100 -Entry Wire Line - 1250 2300 1150 2400 -Entry Wire Line - 1250 2200 1150 2300 -Entry Wire Line - 1250 2100 1150 2200 -Entry Wire Line - 1250 7000 1150 7100 -Entry Wire Line - 1250 6900 1150 7000 -Entry Wire Line - 1250 6800 1150 6900 -Entry Wire Line - 1250 6700 1150 6800 -Entry Wire Line - 1250 6200 1150 6300 -Entry Wire Line - 1250 1900 1150 2000 -Entry Wire Line - 1250 2000 1150 2100 -Entry Wire Line - 1250 7200 1150 7300 -Entry Wire Line - 1250 7300 1150 7400 -Entry Wire Line - 1250 7400 1150 7500 -Wire Wire Line - 1250 1900 1650 1900 -Wire Wire Line - 1650 2000 1250 2000 -Wire Wire Line - 1250 7200 1650 7200 -Wire Wire Line - 1650 7300 1250 7300 -Wire Wire Line - 1250 7400 1650 7400 -Wire Bus Line - 1100 2000 1150 2000 -Wire Bus Line - 1150 2000 1150 2100 -Wire Bus Line - 1100 7300 1150 7300 -$Comp -L GW_Logic:74573 U9 -U 1 1 61DF380D -P 2050 3450 -AR Path="/60941922/61DF380D" Ref="U9" Part="1" -AR Path="/629B7489/61DF380D" Ref="U?" Part="1" -AR Path="/629B918A/61DF380D" Ref="U?" Part="1" -AR Path="/62BBE81B/61DF380D" Ref="U?" Part="1" -F 0 "U9" H 2050 4050 50 0000 C CNN -F 1 "74AHCT573PW" V 2050 3450 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 2050 2800 50 0001 C TNN -F 3 "" H 2050 3550 60 0001 C CNN - 1 2050 3450 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0144 -U 1 1 622729C8 -P 4050 3000 -AR Path="/60941922/622729C8" Ref="#PWR0144" Part="1" -AR Path="/629B7489/622729C8" Ref="#PWR?" Part="1" -AR Path="/629B918A/622729C8" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/622729C8" Ref="#PWR?" Part="1" -F 0 "#PWR0144" H 4050 2850 50 0001 C CNN -F 1 "+3V3" H 4050 3150 50 0000 C CNN -F 2 "" H 4050 3000 50 0001 C CNN -F 3 "" H 4050 3000 50 0001 C CNN - 1 4050 3000 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0145 -U 1 1 6226FA8E -P 4850 3900 -AR Path="/60941922/6226FA8E" Ref="#PWR0145" Part="1" -AR Path="/629B7489/6226FA8E" Ref="#PWR?" Part="1" -AR Path="/629B918A/6226FA8E" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/6226FA8E" Ref="#PWR?" Part="1" -F 0 "#PWR0145" H 4850 3650 50 0001 C CNN -F 1 "GND" H 4850 3750 50 0000 C CNN -F 2 "" H 4850 3900 50 0001 C CNN -F 3 "" H 4850 3900 50 0001 C CNN - 1 4850 3900 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74245 U14 -U 1 1 63262F04 -P 4450 3450 -AR Path="/60941922/63262F04" Ref="U14" Part="1" -AR Path="/629B7489/63262F04" Ref="U?" Part="1" -AR Path="/629B918A/63262F04" Ref="U?" Part="1" -AR Path="/62BBE81B/63262F04" Ref="U?" Part="1" -F 0 "U14" H 4450 4050 50 0000 C CNN -F 1 "74AHC245APW" V 4450 3450 50 0000 C CNN -F 2 "" H 4450 2800 50 0001 C TNN -F 3 "" H 4450 3550 60 0001 C CNN - 1 4450 3450 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0146 -U 1 1 63262F07 -P 4050 4200 -AR Path="/60941922/63262F07" Ref="#PWR0146" Part="1" -AR Path="/629B7489/63262F07" Ref="#PWR?" Part="1" -AR Path="/629B918A/63262F07" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/63262F07" Ref="#PWR?" Part="1" -F 0 "#PWR0146" H 4050 4050 50 0001 C CNN -F 1 "+3V3" H 4050 4350 50 0000 C CNN -F 2 "" H 4050 4200 50 0001 C CNN -F 3 "" H 4050 4200 50 0001 C CNN - 1 4050 4200 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0147 -U 1 1 63262F08 -P 4850 5100 -AR Path="/60941922/63262F08" Ref="#PWR0147" Part="1" -AR Path="/629B7489/63262F08" Ref="#PWR?" Part="1" -AR Path="/629B918A/63262F08" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/63262F08" Ref="#PWR?" Part="1" -F 0 "#PWR0147" H 4850 4850 50 0001 C CNN -F 1 "GND" H 4850 4950 50 0000 C CNN -F 2 "" H 4850 5100 50 0001 C CNN -F 3 "" H 4850 5100 50 0001 C CNN - 1 4850 5100 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74245 U15 -U 1 1 63262F09 -P 4450 4650 -AR Path="/60941922/63262F09" Ref="U15" Part="1" -AR Path="/629B7489/63262F09" Ref="U?" Part="1" -AR Path="/629B918A/63262F09" Ref="U?" Part="1" -AR Path="/62BBE81B/63262F09" Ref="U?" Part="1" -F 0 "U15" H 4450 5250 50 0000 C CNN -F 1 "74AHC245APW" V 4450 4650 50 0000 C CNN -F 2 "" H 4450 4000 50 0001 C TNN -F 3 "" H 4450 4750 60 0001 C CNN - 1 4450 4650 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0148 -U 1 1 622CABA9 -P 4050 5400 -AR Path="/60941922/622CABA9" Ref="#PWR0148" Part="1" -AR Path="/629B7489/622CABA9" Ref="#PWR?" Part="1" -AR Path="/629B918A/622CABA9" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/622CABA9" Ref="#PWR?" Part="1" -F 0 "#PWR0148" H 4050 5250 50 0001 C CNN -F 1 "+3V3" H 4050 5550 50 0000 C CNN -F 2 "" H 4050 5400 50 0001 C CNN -F 3 "" H 4050 5400 50 0001 C CNN - 1 4050 5400 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0149 -U 1 1 622CABAF -P 4850 6300 -AR Path="/60941922/622CABAF" Ref="#PWR0149" Part="1" -AR Path="/629B7489/622CABAF" Ref="#PWR?" Part="1" -AR Path="/629B918A/622CABAF" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/622CABAF" Ref="#PWR?" Part="1" -F 0 "#PWR0149" H 4850 6050 50 0001 C CNN -F 1 "GND" H 4850 6150 50 0000 C CNN -F 2 "" H 4850 6300 50 0001 C CNN -F 3 "" H 4850 6300 50 0001 C CNN - 1 4850 6300 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74245 U16 -U 1 1 622CABB5 -P 4450 5850 -AR Path="/60941922/622CABB5" Ref="U16" Part="1" -AR Path="/629B7489/622CABB5" Ref="U?" Part="1" -AR Path="/629B918A/622CABB5" Ref="U?" Part="1" -AR Path="/62BBE81B/622CABB5" Ref="U?" Part="1" -F 0 "U16" H 4450 6450 50 0000 C CNN -F 1 "74AHC245APW" V 4450 5850 50 0000 C CNN -F 2 "" H 4450 5200 50 0001 C TNN -F 3 "" H 4450 5950 60 0001 C CNN - 1 4450 5850 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0150 -U 1 1 622CABBB -P 4050 6600 -AR Path="/60941922/622CABBB" Ref="#PWR0150" Part="1" -AR Path="/629B7489/622CABBB" Ref="#PWR?" Part="1" -AR Path="/629B918A/622CABBB" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/622CABBB" Ref="#PWR?" Part="1" -F 0 "#PWR0150" H 4050 6450 50 0001 C CNN -F 1 "+3V3" H 4050 6750 50 0000 C CNN -F 2 "" H 4050 6600 50 0001 C CNN -F 3 "" H 4050 6600 50 0001 C CNN - 1 4050 6600 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0151 -U 1 1 622CABC1 -P 4850 7500 -AR Path="/60941922/622CABC1" Ref="#PWR0151" Part="1" -AR Path="/629B7489/622CABC1" Ref="#PWR?" Part="1" -AR Path="/629B918A/622CABC1" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/622CABC1" Ref="#PWR?" Part="1" -F 0 "#PWR0151" H 4850 7250 50 0001 C CNN -F 1 "GND" H 4850 7350 50 0000 C CNN -F 2 "" H 4850 7500 50 0001 C CNN -F 3 "" H 4850 7500 50 0001 C CNN - 1 4850 7500 - -1 0 0 -1 -$EndComp -$Comp -L GW_Logic:74245 U17 -U 1 1 622CABC7 -P 4450 7050 -AR Path="/60941922/622CABC7" Ref="U17" Part="1" -AR Path="/629B7489/622CABC7" Ref="U?" Part="1" -AR Path="/629B918A/622CABC7" Ref="U?" Part="1" -AR Path="/62BBE81B/622CABC7" Ref="U?" Part="1" -F 0 "U17" H 4450 7650 50 0000 C CNN -F 1 "74AHC245APW" V 4450 7050 50 0000 C CNN -F 2 "" H 4450 6400 50 0001 C TNN -F 3 "" H 4450 7150 60 0001 C CNN - 1 4450 7050 - -1 0 0 -1 -$EndComp -$Comp -L power:+3V3 #PWR0152 -U 1 1 622E4B86 -P 4850 1700 -AR Path="/60941922/622E4B86" Ref="#PWR0152" Part="1" -AR Path="/629B7489/622E4B86" Ref="#PWR?" Part="1" -AR Path="/629B918A/622E4B86" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/622E4B86" Ref="#PWR?" Part="1" -F 0 "#PWR0152" H 4850 1550 50 0001 C CNN -F 1 "+3V3" H 4850 1850 50 0000 C CNN -F 2 "" H 4850 1700 50 0001 C CNN -F 3 "" H 4850 1700 50 0001 C CNN - 1 4850 1700 - -1 0 0 -1 -$EndComp -Text HLabel 4050 3100 0 50 Input ~ 0 -D~OE~ -Text HLabel 4050 4300 0 50 Input ~ 0 -D~OE~ -Text Label 4050 3200 2 50 ~ 0 -IOB_D0 -Text Label 4050 3300 2 50 ~ 0 -IOB_D1 -Text Label 4050 3400 2 50 ~ 0 -IOB_D2 -Text Label 4050 3500 2 50 ~ 0 -IOB_D3 -Text Label 4050 3600 2 50 ~ 0 -IOB_D4 -Text Label 4050 3700 2 50 ~ 0 -IOB_D5 -Text Label 4050 3800 2 50 ~ 0 -IOB_D6 -Text Label 4050 3900 2 50 ~ 0 -IOB_D7 -Wire Wire Line - 4050 3900 3750 3900 -Wire Wire Line - 4050 3800 3750 3800 -Wire Wire Line - 4050 3700 3750 3700 -Wire Wire Line - 4050 3600 3750 3600 -Wire Wire Line - 4050 3500 3750 3500 -Wire Wire Line - 4050 3400 3750 3400 -Wire Wire Line - 4050 3300 3750 3300 -Wire Wire Line - 4050 3200 3750 3200 -Entry Wire Line - 3750 3200 3650 3300 -Entry Wire Line - 3750 3300 3650 3400 -Entry Wire Line - 3750 3400 3650 3500 -Entry Wire Line - 3750 3500 3650 3600 -Entry Wire Line - 3750 3600 3650 3700 -Entry Wire Line - 3750 3700 3650 3800 -Entry Wire Line - 3750 3800 3650 3900 -Entry Wire Line - 3750 3900 3650 4000 -Text HLabel 3600 3300 0 50 BiDi ~ 0 -IOB_D[31..0] -Wire Bus Line - 3600 3300 3650 3300 -Wire Wire Line - 4050 5600 3750 5600 -Wire Wire Line - 4050 5700 3750 5700 -Wire Wire Line - 4050 5800 3750 5800 -Wire Wire Line - 4050 5900 3750 5900 -Wire Wire Line - 4050 6000 3750 6000 -Wire Wire Line - 4050 6100 3750 6100 -Wire Wire Line - 4050 6200 3750 6200 -Wire Wire Line - 4050 6300 3750 6300 -Wire Wire Line - 4050 6800 3750 6800 -Wire Wire Line - 4050 6900 3750 6900 -Wire Wire Line - 4050 7000 3750 7000 -Wire Wire Line - 4050 7100 3750 7100 -Wire Wire Line - 4050 7200 3750 7200 -Wire Wire Line - 4050 7300 3750 7300 -Wire Wire Line - 4050 7400 3750 7400 -Wire Wire Line - 4050 7500 3750 7500 -Wire Wire Line - 4050 4400 3750 4400 -Wire Wire Line - 4050 4500 3750 4500 -Wire Wire Line - 4050 4600 3750 4600 -Wire Wire Line - 4050 4700 3750 4700 -Wire Wire Line - 4050 4800 3750 4800 -Wire Wire Line - 4050 4900 3750 4900 -Wire Wire Line - 4050 5000 3750 5000 -Wire Wire Line - 4050 5100 3750 5100 -Entry Wire Line - 3750 4400 3650 4500 -Entry Wire Line - 3750 4500 3650 4600 -Entry Wire Line - 3750 4600 3650 4700 -Entry Wire Line - 3750 4700 3650 4800 -Entry Wire Line - 3750 4800 3650 4900 -Entry Wire Line - 3750 4900 3650 5000 -Entry Wire Line - 3750 5000 3650 5100 -Entry Wire Line - 3750 5100 3650 5200 -Entry Wire Line - 3750 5600 3650 5700 -Entry Wire Line - 3750 5700 3650 5800 -Entry Wire Line - 3750 5800 3650 5900 -Entry Wire Line - 3750 5900 3650 6000 -Entry Wire Line - 3750 6000 3650 6100 -Entry Wire Line - 3750 6100 3650 6200 -Entry Wire Line - 3750 6200 3650 6300 -Entry Wire Line - 3750 6300 3650 6400 -Entry Wire Line - 3750 6800 3650 6900 -Entry Wire Line - 3750 6900 3650 7000 -Entry Wire Line - 3750 7000 3650 7100 -Entry Wire Line - 3750 7100 3650 7200 -Entry Wire Line - 3750 7200 3650 7300 -Entry Wire Line - 3750 7300 3650 7400 -Entry Wire Line - 3750 7400 3650 7500 -Entry Wire Line - 3750 7500 3650 7600 -Text HLabel 4050 5500 0 50 Input ~ 0 -D~OE~ -Text HLabel 4050 6700 0 50 Input ~ 0 -D~OE~ -Text HLabel 4850 6600 2 50 Input ~ 0 -DDIR -Text HLabel 4850 5400 2 50 Input ~ 0 -DDIR -Text HLabel 4850 4200 2 50 Input ~ 0 -DDIR -Text HLabel 4850 3000 2 50 Input ~ 0 -DDIR -Text HLabel 1650 2600 0 50 Output ~ 0 -IOB_~DS~ -Text HLabel 3000 2000 2 50 Input ~ 0 -IOC_SIZ[1..0] -Text HLabel 2450 2500 2 50 Input ~ 0 -IOC_~AS~ -Text Label 2450 1900 0 50 ~ 0 -IOC_SIZ1 -Text Label 2450 2000 0 50 ~ 0 -IOC_SIZ0 -Entry Wire Line - 2850 1900 2950 2000 -Wire Wire Line - 2850 1900 2450 1900 -Wire Wire Line - 2450 2000 2850 2000 -Wire Bus Line - 3000 2000 2950 2000 -Wire Bus Line - 2950 2000 2950 2100 -Text HLabel 2450 2600 2 50 Input ~ 0 -IOC_~DS~ -Text HLabel 2450 3200 2 50 Input ~ 0 -FSB_R~W~ -Text Label 2450 5500 0 50 ~ 0 -FSB_A15 -Text Label 2450 5600 0 50 ~ 0 -FSB_A14 -Text Label 2450 5700 0 50 ~ 0 -FSB_A13 -Text Label 2450 5800 0 50 ~ 0 -FSB_A12 -Text Label 2450 5900 0 50 ~ 0 -FSB_A11 -Text Label 2450 6000 0 50 ~ 0 -FSB_A10 -Text Label 2450 4300 0 50 ~ 0 -FSB_A23 -Text Label 2450 4400 0 50 ~ 0 -FSB_A22 -Text Label 2450 4500 0 50 ~ 0 -FSB_A21 -Text Label 2450 4600 0 50 ~ 0 -FSB_A20 -Text Label 2450 4700 0 50 ~ 0 -FSB_A19 -Text Label 2450 4800 0 50 ~ 0 -FSB_A18 -Text Label 2450 4900 0 50 ~ 0 -FSB_A17 -Text Label 2450 6100 0 50 ~ 0 -FSB_A9 -Text Label 2450 7000 0 50 ~ 0 -FSB_A4 -Text Label 2450 6900 0 50 ~ 0 -FSB_A5 -Text Label 2450 6800 0 50 ~ 0 -FSB_A6 -Text Label 2450 6700 0 50 ~ 0 -FSB_A7 -Text Label 2450 6200 0 50 ~ 0 -FSB_A8 -Text Label 2450 3800 0 50 ~ 0 -FSB_A24 -Text Label 2450 3500 0 50 ~ 0 -FSB_A27 -Text Label 2450 3600 0 50 ~ 0 -FSB_A26 -Text Label 2450 3700 0 50 ~ 0 -FSB_A25 -Wire Wire Line - 2450 3700 2850 3700 -Wire Wire Line - 2450 3600 2850 3600 -Wire Wire Line - 2450 3500 2850 3500 -Wire Wire Line - 2450 3800 2850 3800 -Wire Wire Line - 2450 4900 2850 4900 -Wire Wire Line - 2450 4800 2850 4800 -Wire Wire Line - 2450 4700 2850 4700 -Wire Wire Line - 2450 4600 2850 4600 -Wire Wire Line - 2450 4500 2850 4500 -Wire Wire Line - 2450 4400 2850 4400 -Wire Wire Line - 2450 4300 2850 4300 -Wire Wire Line - 2450 6100 2850 6100 -Wire Wire Line - 2450 6000 2850 6000 -Wire Wire Line - 2450 5900 2850 5900 -Wire Wire Line - 2450 5800 2850 5800 -Wire Wire Line - 2450 5700 2850 5700 -Wire Wire Line - 2450 5600 2850 5600 -Wire Wire Line - 2450 5500 2850 5500 -Wire Wire Line - 2450 7000 2850 7000 -Wire Wire Line - 2450 6900 2850 6900 -Wire Wire Line - 2450 6800 2850 6800 -Wire Wire Line - 2450 6700 2850 6700 -Wire Wire Line - 2450 6200 2850 6200 -Entry Wire Line - 2850 3700 2950 3800 -Entry Wire Line - 2850 3600 2950 3700 -Entry Wire Line - 2850 3500 2950 3600 -Entry Wire Line - 2850 3800 2950 3900 -Entry Wire Line - 2850 4900 2950 5000 -Entry Wire Line - 2850 4800 2950 4900 -Entry Wire Line - 2850 4700 2950 4800 -Entry Wire Line - 2850 4600 2950 4700 -Entry Wire Line - 2850 4500 2950 4600 -Entry Wire Line - 2850 4400 2950 4500 -Entry Wire Line - 2850 4300 2950 4400 -Entry Wire Line - 2850 6100 2950 6200 -Entry Wire Line - 2850 6000 2950 6100 -Entry Wire Line - 2850 5900 2950 6000 -Entry Wire Line - 2850 5800 2950 5900 -Entry Wire Line - 2850 5700 2950 5800 -Entry Wire Line - 2850 5600 2950 5700 -Entry Wire Line - 2850 5500 2950 5600 -Entry Wire Line - 2850 5000 2950 5100 -Entry Wire Line - 2850 7000 2950 7100 -Entry Wire Line - 2850 6900 2950 7000 -Entry Wire Line - 2850 6800 2950 6900 -Entry Wire Line - 2850 6700 2950 6800 -Entry Wire Line - 2850 6200 2950 6300 -Wire Wire Line - 2450 5000 2850 5000 -Text Label 2450 5000 0 50 ~ 0 -FSB_A16 -Text Label 4850 4300 0 50 ~ 0 -IOC_D8 -Text Label 4850 4400 0 50 ~ 0 -IOC_D9 -Text Label 4850 4500 0 50 ~ 0 -IOC_D10 -Text Label 4850 4600 0 50 ~ 0 -IOC_D11 -Text Label 4850 4700 0 50 ~ 0 -IOC_D12 -Text Label 4850 4800 0 50 ~ 0 -IOC_D13 -Text Label 4850 4900 0 50 ~ 0 -IOC_D14 -Text Label 4850 5000 0 50 ~ 0 -IOC_D15 -Text Label 4850 5500 0 50 ~ 0 -IOC_D16 -Text Label 4850 5600 0 50 ~ 0 -IOC_D17 -Text Label 4850 5700 0 50 ~ 0 -IOC_D18 -Text Label 4850 5800 0 50 ~ 0 -IOC_D19 -Text Label 4850 5900 0 50 ~ 0 -IOC_D20 -Text Label 4850 6000 0 50 ~ 0 -IOC_D21 -Text Label 4850 6100 0 50 ~ 0 -IOC_D22 -Text Label 4850 6200 0 50 ~ 0 -IOC_D23 -Text Label 4850 6700 0 50 ~ 0 -IOC_D24 -Text Label 4850 6800 0 50 ~ 0 -IOC_D25 -Text Label 4850 6900 0 50 ~ 0 -IOC_D26 -Text Label 4850 7000 0 50 ~ 0 -IOC_D27 -Text Label 4850 7100 0 50 ~ 0 -IOC_D28 -Text Label 4850 7200 0 50 ~ 0 -IOC_D29 -Text Label 4850 7300 0 50 ~ 0 -IOC_D30 -Text Label 4850 7400 0 50 ~ 0 -IOC_D31 -Text Label 4850 3100 0 50 ~ 0 -IOC_D0 -Text Label 4850 3200 0 50 ~ 0 -IOC_D1 -Text Label 4850 3300 0 50 ~ 0 -IOC_D2 -Text Label 4850 3400 0 50 ~ 0 -IOC_D3 -Text Label 4850 3500 0 50 ~ 0 -IOC_D4 -Text Label 4850 3600 0 50 ~ 0 -IOC_D5 -Text Label 4850 3700 0 50 ~ 0 -IOC_D6 -Text Label 4850 3800 0 50 ~ 0 -IOC_D7 -Wire Wire Line - 4850 3800 5150 3800 -Wire Wire Line - 4850 3700 5150 3700 -Wire Wire Line - 4850 3600 5150 3600 -Wire Wire Line - 4850 3500 5150 3500 -Wire Wire Line - 4850 3400 5150 3400 -Wire Wire Line - 4850 3300 5150 3300 -Wire Wire Line - 4850 3200 5150 3200 -Wire Wire Line - 4850 3100 5150 3100 -Entry Wire Line - 5150 3100 5250 3200 -Entry Wire Line - 5150 3200 5250 3300 -Entry Wire Line - 5150 3300 5250 3400 -Entry Wire Line - 5150 3400 5250 3500 -Entry Wire Line - 5150 3500 5250 3600 -Entry Wire Line - 5150 3600 5250 3700 -Entry Wire Line - 5150 3700 5250 3800 -Entry Wire Line - 5150 3800 5250 3900 -Text HLabel 5300 3200 2 50 BiDi ~ 0 -IOC_D[31..0] -Wire Bus Line - 5300 3200 5250 3200 -Wire Wire Line - 4850 5500 5150 5500 -Wire Wire Line - 4850 5600 5150 5600 -Wire Wire Line - 4850 5700 5150 5700 -Wire Wire Line - 4850 5800 5150 5800 -Wire Wire Line - 4850 5900 5150 5900 -Wire Wire Line - 4850 6000 5150 6000 -Wire Wire Line - 4850 6100 5150 6100 -Wire Wire Line - 4850 6200 5150 6200 -Wire Wire Line - 4850 6700 5150 6700 -Wire Wire Line - 4850 6800 5150 6800 -Wire Wire Line - 4850 6900 5150 6900 -Wire Wire Line - 4850 7000 5150 7000 -Wire Wire Line - 4850 7100 5150 7100 -Wire Wire Line - 4850 7200 5150 7200 -Wire Wire Line - 4850 7300 5150 7300 -Wire Wire Line - 4850 7400 5150 7400 -Wire Wire Line - 4850 4300 5150 4300 -Wire Wire Line - 4850 4400 5150 4400 -Wire Wire Line - 4850 4500 5150 4500 -Wire Wire Line - 4850 4600 5150 4600 -Wire Wire Line - 4850 4700 5150 4700 -Wire Wire Line - 4850 4800 5150 4800 -Wire Wire Line - 4850 4900 5150 4900 -Wire Wire Line - 4850 5000 5150 5000 -Entry Wire Line - 5150 4300 5250 4400 -Entry Wire Line - 5150 4400 5250 4500 -Entry Wire Line - 5150 4500 5250 4600 -Entry Wire Line - 5150 4600 5250 4700 -Entry Wire Line - 5150 4700 5250 4800 -Entry Wire Line - 5150 4800 5250 4900 -Entry Wire Line - 5150 4900 5250 5000 -Entry Wire Line - 5150 5000 5250 5100 -Entry Wire Line - 5150 5500 5250 5600 -Entry Wire Line - 5150 5600 5250 5700 -Entry Wire Line - 5150 5700 5250 5800 -Entry Wire Line - 5150 5800 5250 5900 -Entry Wire Line - 5150 5900 5250 6000 -Entry Wire Line - 5150 6000 5250 6100 -Entry Wire Line - 5150 6100 5250 6200 -Entry Wire Line - 5150 6200 5250 6300 -Entry Wire Line - 5150 6700 5250 6800 -Entry Wire Line - 5150 6800 5250 6900 -Entry Wire Line - 5150 6900 5250 7000 -Entry Wire Line - 5150 7000 5250 7100 -Entry Wire Line - 5150 7100 5250 7200 -Entry Wire Line - 5150 7200 5250 7300 -Entry Wire Line - 5150 7300 5250 7400 -Entry Wire Line - 5150 7400 5250 7500 -Text HLabel 1100 2200 0 50 Output ~ 0 -IOB_A[31..0] -Wire Bus Line - 1100 2200 1150 2200 -Text HLabel 3000 3400 2 50 Input ~ 0 -FSB_A[31..4] -Wire Bus Line - 3000 3400 2950 3400 -Text HLabel 4850 2300 2 50 Output ~ 0 -IOC_C16M -Text HLabel 4850 1800 2 50 Output ~ 0 -FSB_~RESET~ -Text HLabel 4850 2000 2 50 Output ~ 0 -IOC_~HALT~ -Text HLabel 4850 2200 2 50 Output ~ 0 -IOC_~DSACK~1 -Text HLabel 4850 2100 2 50 Output ~ 0 -IOC_~DSACK~0 -$Comp -L power:GND #PWR0153 -U 1 1 628186B3 -P 2450 3100 -AR Path="/60941922/628186B3" Ref="#PWR0153" Part="1" -AR Path="/629B7489/628186B3" Ref="#PWR?" Part="1" -AR Path="/629B918A/628186B3" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/628186B3" Ref="#PWR?" Part="1" -F 0 "#PWR0153" H 2450 2850 50 0001 C CNN -F 1 "GND" V 2450 2900 50 0000 C CNN -F 2 "" H 2450 3100 50 0001 C CNN -F 3 "" H 2450 3100 50 0001 C CNN - 1 2450 3100 - 0 -1 -1 0 -$EndComp -NoConn ~ 1650 3100 -Entry Wire Line - 2850 2100 2950 2200 -Entry Wire Line - 2850 2200 2950 2300 -Entry Wire Line - 2850 2300 2950 2400 -Wire Wire Line - 2450 2100 2850 2100 -Wire Wire Line - 2450 2200 2850 2200 -Wire Wire Line - 2450 2300 2850 2300 -Text Label 2450 2400 0 50 ~ 0 -IOC_A0 -Text Label 2450 2100 0 50 ~ 0 -IOC_A3 -Text Label 2450 2200 0 50 ~ 0 -IOC_A2 -Text Label 2450 2300 0 50 ~ 0 -IOC_A1 -Text HLabel 1650 7100 0 50 Output ~ 0 -IOB_~RMC~ -Text HLabel 4850 1900 2 50 Output ~ 0 -IOC_~BERR~ -Text HLabel 3000 2200 2 50 Input ~ 0 -IOC_A[3..0] -Wire Bus Line - 2950 2200 3000 2200 -Wire Wire Line - 2450 2400 2850 2400 -Entry Wire Line - 2850 2400 2950 2500 -Entry Wire Line - 2850 2000 2950 2100 -Wire Wire Line - 1550 3000 1650 3000 -Wire Wire Line - 1650 3000 1650 2700 -Connection ~ 1650 3000 -Text Label 2450 7200 0 50 ~ 0 -FSB_FC2 -Text Label 2450 7300 0 50 ~ 0 -FSB_FC1 -Text Label 2450 7400 0 50 ~ 0 -FSB_FC0 -Entry Wire Line - 2850 7200 2950 7300 -Entry Wire Line - 2850 7300 2950 7400 -Entry Wire Line - 2850 7400 2950 7500 -Wire Wire Line - 2850 7200 2450 7200 -Wire Wire Line - 2450 7300 2850 7300 -Wire Wire Line - 2850 7400 2450 7400 -Text HLabel 3000 7300 2 50 Input ~ 0 -FSB_FC[2..0] -Wire Bus Line - 3000 7300 2950 7300 -$Comp -L GW_Logic:74244 U13 -U 1 1 62A8E938 -P 4450 2150 -AR Path="/60941922/62A8E938" Ref="U13" Part="1" -AR Path="/62BBE81B/62A8E938" Ref="U?" Part="1" -F 0 "U13" H 4450 2750 50 0000 C CNN -F 1 "74LVC244APW" H 4450 1550 50 0000 C CNN -F 2 "stdpads:TSSOP-20_4.4x6.5mm_P0.65mm" H 4450 1500 50 0001 C TNN -F 3 "" H 4450 2250 60 0001 C CNN - 1 4450 2150 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0154 -U 1 1 62220E31 -P 4850 2600 -AR Path="/60941922/62220E31" Ref="#PWR0154" Part="1" -AR Path="/629B7489/62220E31" Ref="#PWR?" Part="1" -AR Path="/629B918A/62220E31" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/62220E31" Ref="#PWR?" Part="1" -F 0 "#PWR0154" H 4850 2350 50 0001 C CNN -F 1 "GND" H 4850 2450 50 0000 C CNN -F 2 "" H 4850 2600 50 0001 C CNN -F 3 "" H 4850 2600 50 0001 C CNN - 1 4850 2600 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0155 -U 1 1 62ACB26E -P 4050 2600 -AR Path="/60941922/62ACB26E" Ref="#PWR0155" Part="1" -AR Path="/629B7489/62ACB26E" Ref="#PWR?" Part="1" -AR Path="/629B918A/62ACB26E" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/62ACB26E" Ref="#PWR?" Part="1" -F 0 "#PWR0155" H 4050 2350 50 0001 C CNN -F 1 "GND" H 4050 2450 50 0000 C CNN -F 2 "" H 4050 2600 50 0001 C CNN -F 3 "" H 4050 2600 50 0001 C CNN - 1 4050 2600 - -1 0 0 -1 -$EndComp -$Comp -L power:GND #PWR0156 -U 1 1 62ACB7A2 -P 3950 1500 -AR Path="/60941922/62ACB7A2" Ref="#PWR0156" Part="1" -AR Path="/629B7489/62ACB7A2" Ref="#PWR?" Part="1" -AR Path="/629B918A/62ACB7A2" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/62ACB7A2" Ref="#PWR?" Part="1" -F 0 "#PWR0156" H 3950 1250 50 0001 C CNN -F 1 "GND" H 3950 1350 50 0000 C CNN -F 2 "" H 3950 1500 50 0001 C CNN -F 3 "" H 3950 1500 50 0001 C CNN - 1 3950 1500 - -1 0 0 -1 -$EndComp -Wire Wire Line - 3950 1500 4050 1500 -Wire Wire Line - 4050 1500 4050 1700 -Wire Wire Line - 4050 2400 4050 2500 -Connection ~ 4050 2600 -Connection ~ 4050 2500 -Wire Wire Line - 4050 2500 4050 2600 -NoConn ~ 4850 2400 -NoConn ~ 4850 2500 -Text HLabel 4050 1900 0 50 Input ~ 0 -IOB_~BERR~ -Text Label 2450 3300 0 50 ~ 0 -FSB_A31 -Text Label 2450 3400 0 50 ~ 0 -FSB_A30 -Wire Wire Line - 2450 3400 2850 3400 -Wire Wire Line - 2450 3300 2850 3300 -Entry Wire Line - 2850 3400 2950 3500 -Entry Wire Line - 2850 3300 2950 3400 -Text HLabel 5450 1000 2 50 Input ~ 0 -RESET~OE~ -Text Label 1650 3500 2 50 ~ 0 -IOB_A27 -Text Label 1650 3400 2 50 ~ 0 -IOB_A30 -Wire Wire Line - 1650 3400 1250 3400 -Wire Wire Line - 1650 3300 1250 3300 -Text Label 1650 3300 2 50 ~ 0 -IOB_A31 -Entry Wire Line - 1250 3400 1150 3500 -Entry Wire Line - 1250 3300 1150 3400 -$Comp -L GW_Logic:741G125GW U29 -U 1 1 6178B34B -P 4750 1100 -F 0 "U29" H 4750 1100 50 0000 C CNN -F 1 "74LVC1G125GW" H 4750 850 50 0000 C CNN -F 2 "stdpads:SOT-353" H 4750 800 50 0001 C TNN -F 3 "" H 4750 900 60 0001 C CNN - 1 4750 1100 - -1 0 0 -1 -$EndComp -$Comp -L Device:R_Small R1 -U 1 1 61798D1B -P 4250 1200 -F 0 "R1" V 4100 1200 50 0000 C CNN -F 1 "30" V 4200 1200 50 0000 C BNN -F 2 "" H 4250 1200 50 0001 C CNN -F 3 "~" H 4250 1200 50 0001 C CNN - 1 4250 1200 - 0 1 1 0 -$EndComp -Text HLabel 4150 1200 0 50 BiDi ~ 0 -IOB_~RESET~ -$Comp -L power:+3V3 #PWR0102 -U 1 1 617A706D -P 4350 1000 -AR Path="/60941922/617A706D" Ref="#PWR0102" Part="1" -AR Path="/629B7489/617A706D" Ref="#PWR?" Part="1" -AR Path="/629B918A/617A706D" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/617A706D" Ref="#PWR?" Part="1" -F 0 "#PWR0102" H 4350 850 50 0001 C CNN -F 1 "+3V3" H 4350 1150 50 0000 C CNN -F 2 "" H 4350 1000 50 0001 C CNN -F 3 "" H 4350 1000 50 0001 C CNN - 1 4350 1000 - -1 0 0 -1 -$EndComp -Wire Wire Line - 5150 1100 5150 1200 -$Comp -L power:GND #PWR0103 -U 1 1 617C0EDA -P 5150 1200 -AR Path="/60941922/617C0EDA" Ref="#PWR0103" Part="1" -AR Path="/629B7489/617C0EDA" Ref="#PWR?" Part="1" -AR Path="/629B918A/617C0EDA" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/617C0EDA" Ref="#PWR?" Part="1" -F 0 "#PWR0103" H 5150 950 50 0001 C CNN -F 1 "GND" H 5150 1050 50 0000 C CNN -F 2 "" H 5150 1200 50 0001 C CNN -F 3 "" H 5150 1200 50 0001 C CNN - 1 5150 1200 - -1 0 0 -1 -$EndComp -Connection ~ 5150 1200 -$Comp -L Device:R_Small R2 -U 1 1 617C2886 -P 5250 1100 -F 0 "R2" H 5309 1146 50 0000 L CNN -F 1 "1k" H 5309 1055 50 0000 L CNN -F 2 "" H 5250 1100 50 0001 C CNN -F 3 "~" H 5250 1100 50 0001 C CNN - 1 5250 1100 - 1 0 0 -1 -$EndComp -Wire Wire Line - 5450 1000 5250 1000 -Connection ~ 5250 1000 -Wire Wire Line - 5250 1000 5150 1000 -Wire Wire Line - 5250 1200 5150 1200 -$Comp -L power:+5V #PWR? -U 1 1 61AAD399 -P 2450 7100 -AR Path="/60941922/61AAD399" Ref="#PWR?" Part="1" -AR Path="/629B7489/61AAD399" Ref="#PWR?" Part="1" -AR Path="/629B918A/61AAD399" Ref="#PWR?" Part="1" -AR Path="/62BBE81B/61AAD399" Ref="#PWR?" Part="1" -F 0 "#PWR?" H 2450 6950 50 0001 C CNN -F 1 "+5V" V 2400 7250 50 0000 C CNN -F 2 "" H 2450 7100 50 0001 C CNN -F 3 "" H 2450 7100 50 0001 C CNN - 1 2450 7100 - 0 1 1 0 -$EndComp -Wire Bus Line - 2950 7300 2950 7500 -Wire Bus Line - 1150 7300 1150 7500 -Wire Bus Line - 2950 2200 2950 2500 -Wire Bus Line - 1150 2200 1150 7100 -Wire Bus Line - 3650 3300 3650 7600 -Wire Bus Line - 2950 3400 2950 7100 -Wire Bus Line - 5250 3200 5250 7500 -$EndSCHEMATC diff --git a/Power.kicad_sch b/Power.kicad_sch new file mode 100644 index 0000000..e5af4f3 --- /dev/null +++ b/Power.kicad_sch @@ -0,0 +1,2134 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid 5849fd9c-844a-477b-afe2-78e245cb8c78) + + (paper "A4") + + (lib_symbols + (symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "C" (at 0.254 1.778 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "C_Small" (at 0.254 -2.032 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "capacitor cap" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Unpolarized capacitor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "C_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "C_Small_0_1" + (polyline + (pts + (xy -1.524 -0.508) + (xy 1.524 -0.508) + ) + (stroke (width 0.3302) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy -1.524 0.508) + (xy 1.524 0.508) + ) + (stroke (width 0.3048) (type default)) + (fill (type none)) + ) + ) + (symbol "C_Small_1_1" + (pin passive line (at 0 2.54 270) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 2.032) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:D_Schottky_Small_Filled" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "D" (at -1.27 2.032 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "D_Schottky_Small_Filled" (at -7.112 -2.032 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "diode Schottky" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Schottky diode, small symbol, filled shape" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "TO-???* *_Diode_* *SingleDiode* D_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "D_Schottky_Small_Filled_0_1" + (polyline + (pts + (xy -0.762 0) + (xy 0.762 0) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0.762 -1.016) + (xy -0.762 0) + (xy 0.762 1.016) + (xy 0.762 -1.016) + ) + (stroke (width 0.254) (type default)) + (fill (type outline)) + ) + (polyline + (pts + (xy -1.27 0.762) + (xy -1.27 1.016) + (xy -0.762 1.016) + (xy -0.762 -1.016) + (xy -0.254 -1.016) + (xy -0.254 -0.762) + ) + (stroke (width 0.254) (type default)) + (fill (type none)) + ) + ) + (symbol "D_Schottky_Small_Filled_1_1" + (pin passive line (at -2.54 0 0) (length 1.778) + (name "K" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 2.54 0 180) (length 1.778) + (name "A" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:L_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "L" (at 0.762 1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "L_Small" (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "inductor choke coil reactor magnetic" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Inductor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "Choke_* *Coil* Inductor_* L_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "L_Small_0_1" + (arc (start 0 -2.032) (mid 0.5058 -1.524) (end 0 -1.016) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 0 -1.016) (mid 0.5058 -0.508) (end 0 0) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 0 0) (mid 0.5058 0.508) (end 0 1.016) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (arc (start 0 1.016) (mid 0.5058 1.524) (end 0 2.032) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "L_Small_1_1" + (pin passive line (at 0 2.54 270) (length 0.508) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.508) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Device:R_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes) + (property "Reference" "R" (at 0.762 0.508 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "R_Small" (at 0.762 -1.016 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "R resistor" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Resistor, small symbol" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "R_*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "R_Small_0_1" + (rectangle (start -0.762 1.778) (end 0.762 -1.778) + (stroke (width 0.2032) (type default)) + (fill (type none)) + ) + ) + (symbol "R_Small_1_1" + (pin passive line (at 0 2.54 270) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 0 -2.54 90) (length 0.762) + (name "~" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "GW_Power:TPS54331D" (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 2.54 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TPS54331D" (at 0 0 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOIC-8" (at 1.27 -11.43 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/tps54336a.pdf" (at 1.27 -13.97 0) + (effects (font (size 1.27 1.27)) (justify left) hide) + ) + (property "ki_keywords" "Step-Down DC-DC Switching Regulator" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" " 4.5V to 28V Input, 3A, Synchronous Step-Down Converter with Eco-mode(tm)" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "TI*SO*PowerPAD*ThermalVias*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "TPS54331D_1_1" + (rectangle (start -10.16 10.16) (end 10.16 -10.16) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + (pin output line (at 12.7 7.62 180) (length 2.54) + (name "BOOT" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 7.62 0) (length 2.54) + (name "VIN" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 2.54 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -12.7 -7.62 0) (length 2.54) + (name "SS" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -6.35 180) (length 2.54) + (name "VSENSE" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at -12.7 -5.08 0) (length 2.54) + (name "COMP" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at 0 -12.7 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 12.7 2.54 180) (length 2.54) + (name "PH" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "Regulator_Switching:AP62300WU" (in_bom yes) (on_board yes) + (property "Reference" "U" (at -7.62 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP62300WU" (at 2.54 6.35 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_TO_SOT_SMD:TSOT-23-6" (at 0 -22.86 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP62300_AP62301_AP62300T.pdf" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "3A Buck DC/DC" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "3A, 750kHz Buck DC/DC Converter, PFM for light load efficiency, 4.2V-18V input voltage, 0.8V-7V adjustable output voltage, TSOT-23-6" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_fp_filters" "TSOT?23*" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "AP62300WU_0_1" + (rectangle (start -7.62 5.08) (end 7.62 -5.08) + (stroke (width 0.254) (type default)) + (fill (type background)) + ) + ) + (symbol "AP62300WU_1_1" + (pin power_in line (at 0 -7.62 90) (length 2.54) + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin output line (at 10.16 2.54 180) (length 2.54) + (name "SW" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -10.16 2.54 0) (length 2.54) + (name "IN" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 10.16 -2.54 180) (length 2.54) + (name "FB" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -10.16 -2.54 0) (length 2.54) + (name "EN" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin passive line (at 10.16 0 180) (length 2.54) + (name "BST" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+1V1" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V1" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+1V1\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+1V1_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+1V1_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+1V1" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 66.04 113.03) (diameter 0) (color 0 0 0 0) + (uuid 07f2e639-9aae-4c69-8f5a-1289cca9cad1) + ) + (junction (at 121.92 99.06) (diameter 0) (color 0 0 0 0) + (uuid 0b8d04d1-a81f-4b0c-b430-9a73b0e43601) + ) + (junction (at 99.06 138.43) (diameter 0) (color 0 0 0 0) + (uuid 10d5ad7d-dd83-43d1-a7c6-ce1928187d41) + ) + (junction (at 66.04 118.11) (diameter 0) (color 0 0 0 0) + (uuid 10ed31af-2ff5-4860-9c6f-6977733b8928) + ) + (junction (at 73.66 138.43) (diameter 0) (color 0 0 0 0) + (uuid 183f005f-0040-448d-bb0b-3d5262afe38f) + ) + (junction (at 35.56 97.79) (diameter 0) (color 0 0 0 0) + (uuid 1bbd8f64-077d-4656-b017-bd34496867a2) + ) + (junction (at 109.22 97.79) (diameter 0) (color 0 0 0 0) + (uuid 2750adcd-c703-4535-8c10-3abfc13a21cc) + ) + (junction (at 60.96 113.03) (diameter 0) (color 0 0 0 0) + (uuid 33091dad-abeb-47b1-aba9-cd6591ccd6b1) + ) + (junction (at 55.88 118.11) (diameter 0) (color 0 0 0 0) + (uuid 33f6a462-de3b-46c0-9a13-d9a4c2aa49bd) + ) + (junction (at 66.04 92.71) (diameter 0) (color 0 0 0 0) + (uuid 3e650d78-7f3d-48b8-a3da-11b22b7363e9) + ) + (junction (at 66.04 123.19) (diameter 0) (color 0 0 0 0) + (uuid 421b1024-cfe4-4090-af8b-45b9e27c0c17) + ) + (junction (at 101.6 123.19) (diameter 0) (color 0 0 0 0) + (uuid 43e3f10b-c4dc-4b11-8e79-f70e5988cead) + ) + (junction (at 99.06 106.68) (diameter 0) (color 0 0 0 0) + (uuid 46d5b587-cd78-4be1-875c-a59fa7e00721) + ) + (junction (at 73.66 113.03) (diameter 0) (color 0 0 0 0) + (uuid 4a26c296-1b0e-4587-81ae-68681d3a5b0b) + ) + (junction (at 132.08 97.79) (diameter 0) (color 0 0 0 0) + (uuid 4a6c0a94-6f6d-4ebb-8abf-421fda3d5f84) + ) + (junction (at 121.92 97.79) (diameter 0) (color 0 0 0 0) + (uuid 4acd6646-9211-4eaf-a531-87f8f25f1bab) + ) + (junction (at 121.92 124.46) (diameter 0) (color 0 0 0 0) + (uuid 58b66867-fcb2-4f4e-9ee6-c941229969f1) + ) + (junction (at 66.04 138.43) (diameter 0) (color 0 0 0 0) + (uuid 5ac0d057-d481-49d2-b185-b1f0f0d53302) + ) + (junction (at 121.92 113.03) (diameter 0) (color 0 0 0 0) + (uuid 6aaefc7f-3671-49ba-9784-06f72d9a491d) + ) + (junction (at 35.56 118.11) (diameter 0) (color 0 0 0 0) + (uuid 6afea16b-f33b-4c93-94a9-becb4e74f4d0) + ) + (junction (at 45.72 123.19) (diameter 0) (color 0 0 0 0) + (uuid 847b5133-754b-4bb1-a135-ecbea063f391) + ) + (junction (at 86.36 113.03) (diameter 0) (color 0 0 0 0) + (uuid 869bc62c-07e6-49a6-872c-287a51f32a02) + ) + (junction (at 109.22 138.43) (diameter 0) (color 0 0 0 0) + (uuid 876633fb-de88-40b8-b138-f15291a48c9c) + ) + (junction (at 109.22 113.03) (diameter 0) (color 0 0 0 0) + (uuid 881201ee-7408-46dd-9adb-bd5dce2ee032) + ) + (junction (at 55.88 92.71) (diameter 0) (color 0 0 0 0) + (uuid 8d4c9904-e949-4f6b-a5ed-0016d70bf9e2) + ) + (junction (at 99.06 132.08) (diameter 0) (color 0 0 0 0) + (uuid 91dbe604-da35-4043-ae89-a63e945f53aa) + ) + (junction (at 101.6 97.79) (diameter 0) (color 0 0 0 0) + (uuid 9d019b47-7e94-46ce-9c5a-e4ee4b51d4c5) + ) + (junction (at 86.36 138.43) (diameter 0) (color 0 0 0 0) + (uuid 9f34c307-968f-4961-970e-902ac401b1f4) + ) + (junction (at 121.92 138.43) (diameter 0) (color 0 0 0 0) + (uuid a2a4d978-6e80-4359-9dc1-a42c0aa8fd19) + ) + (junction (at 99.06 113.03) (diameter 0) (color 0 0 0 0) + (uuid a3e8a598-6a6b-43ab-9e68-cd6ea16921cb) + ) + (junction (at 132.08 123.19) (diameter 0) (color 0 0 0 0) + (uuid ad5df786-0124-48e4-b5a4-b78592ab40f0) + ) + (junction (at 109.22 123.19) (diameter 0) (color 0 0 0 0) + (uuid ae314401-cb7b-450e-b678-74aabcb122fa) + ) + (junction (at 35.56 123.19) (diameter 0) (color 0 0 0 0) + (uuid ae8f2eaa-8846-4108-b224-57a17c964dae) + ) + (junction (at 66.04 97.79) (diameter 0) (color 0 0 0 0) + (uuid aedd394b-dea9-4ddb-a961-0d59d6b96169) + ) + (junction (at 60.96 102.87) (diameter 0) (color 0 0 0 0) + (uuid b0d68f04-7e0f-44f5-bc7a-d0f981fc623b) + ) + (junction (at 121.92 123.19) (diameter 0) (color 0 0 0 0) + (uuid b57f7795-5d4d-4ef1-82e2-67fef2b25515) + ) + (junction (at 45.72 92.71) (diameter 0) (color 0 0 0 0) + (uuid b5b02bd4-6e7e-4b66-a6c6-91e8a67d33f4) + ) + (junction (at 60.96 128.27) (diameter 0) (color 0 0 0 0) + (uuid d4a22cb2-85a4-41c8-836b-26029148eed7) + ) + (junction (at 45.72 97.79) (diameter 0) (color 0 0 0 0) + (uuid db9fb4c3-923d-4f5c-8c4c-080798c91c02) + ) + (junction (at 132.08 138.43) (diameter 0) (color 0 0 0 0) + (uuid df7e27d6-8ea8-4b21-9d07-be40b5a58c31) + ) + (junction (at 45.72 118.11) (diameter 0) (color 0 0 0 0) + (uuid ed6d3734-f5ea-4026-ba67-e757fe6bb5f6) + ) + (junction (at 60.96 138.43) (diameter 0) (color 0 0 0 0) + (uuid f48e36a8-4f06-44d0-a32a-3ed122cb47ab) + ) + (junction (at 35.56 92.71) (diameter 0) (color 0 0 0 0) + (uuid f78fcb57-4813-4f04-b144-f9b2340bb4c8) + ) + (junction (at 132.08 113.03) (diameter 0) (color 0 0 0 0) + (uuid f9dc133e-e97e-433d-9a9c-942cb3cc278d) + ) + + (wire (pts (xy 99.06 99.06) (xy 99.06 100.33)) + (stroke (width 0) (type default)) + (uuid 05542e0a-ee3b-4187-bdf4-e2a3ab7d98bf) + ) + (wire (pts (xy 63.5 128.27) (xy 63.5 130.81)) + (stroke (width 0) (type default)) + (uuid 05f99871-3c8b-4c74-8af0-527e7bb78285) + ) + (wire (pts (xy 99.06 99.06) (xy 121.92 99.06)) + (stroke (width 0) (type default)) + (uuid 082e8a5c-187d-44ae-a202-2a7683a5811d) + ) + (wire (pts (xy 45.72 123.19) (xy 55.88 123.19)) + (stroke (width 0) (type default)) + (uuid 08cad085-d214-4ec9-8d44-c6dd072b44da) + ) + (wire (pts (xy 86.36 138.43) (xy 99.06 138.43)) + (stroke (width 0) (type default)) + (uuid 0a6a5fd1-b8ea-455c-a1ca-ebf353b1435c) + ) + (wire (pts (xy 35.56 92.71) (xy 45.72 92.71)) + (stroke (width 0) (type default)) + (uuid 0dfb7c44-281c-4949-9f96-ab37a5f20b81) + ) + (wire (pts (xy 121.92 97.79) (xy 121.92 99.06)) + (stroke (width 0) (type default)) + (uuid 17246473-fb67-433e-a00f-bc7d32aec5bb) + ) + (wire (pts (xy 121.92 97.79) (xy 132.08 97.79)) + (stroke (width 0) (type default)) + (uuid 181b4026-5488-4172-990e-681f04ef3704) + ) + (wire (pts (xy 60.96 128.27) (xy 63.5 128.27)) + (stroke (width 0) (type default)) + (uuid 190be7e8-3b6a-4910-b5ef-4eb42b65a1ad) + ) + (wire (pts (xy 121.92 113.03) (xy 132.08 113.03)) + (stroke (width 0) (type default)) + (uuid 1aa081bd-fb38-46be-a334-5e78397e58b3) + ) + (wire (pts (xy 99.06 124.46) (xy 121.92 124.46)) + (stroke (width 0) (type default)) + (uuid 1b60eaf0-db55-443c-a29e-f3c180676e63) + ) + (wire (pts (xy 55.88 92.71) (xy 66.04 92.71)) + (stroke (width 0) (type default)) + (uuid 1d6a076f-6416-4b84-8a1c-e7b55075434b) + ) + (wire (pts (xy 121.92 138.43) (xy 132.08 138.43)) + (stroke (width 0) (type default)) + (uuid 1fe78007-c1e3-4593-83e4-94d8a8ebf2f2) + ) + (wire (pts (xy 99.06 113.03) (xy 109.22 113.03)) + (stroke (width 0) (type default)) + (uuid 206831d6-00e3-4957-9a5c-61a06d6ad0b7) + ) + (wire (pts (xy 86.36 113.03) (xy 99.06 113.03)) + (stroke (width 0) (type default)) + (uuid 24a41688-8531-4301-b5ba-8111d1df790f) + ) + (wire (pts (xy 109.22 128.27) (xy 109.22 123.19)) + (stroke (width 0) (type default)) + (uuid 2be5d874-ec9d-4ff5-a412-0861aecb9d8f) + ) + (wire (pts (xy 60.96 138.43) (xy 66.04 138.43)) + (stroke (width 0) (type default)) + (uuid 3352a855-05e6-4e85-aef6-bc0ea5087444) + ) + (wire (pts (xy 45.72 92.71) (xy 55.88 92.71)) + (stroke (width 0) (type default)) + (uuid 3617cc83-002b-46a9-bf85-653a666fd0a8) + ) + (wire (pts (xy 73.66 113.03) (xy 86.36 113.03)) + (stroke (width 0) (type default)) + (uuid 37d11ad4-ea87-44c3-b1f6-2a62d2fe0507) + ) + (wire (pts (xy 99.06 105.41) (xy 99.06 106.68)) + (stroke (width 0) (type default)) + (uuid 3c2513d5-e839-4489-941d-1b0e0862cf41) + ) + (wire (pts (xy 30.48 118.11) (xy 35.56 118.11)) + (stroke (width 0) (type default)) + (uuid 3c88519e-1a59-4b4e-b209-1a96af05cc5f) + ) + (wire (pts (xy 66.04 113.03) (xy 73.66 113.03)) + (stroke (width 0) (type default)) + (uuid 3d2017cb-5fef-46e0-84a6-66886edded3c) + ) + (wire (pts (xy 63.5 102.87) (xy 63.5 105.41)) + (stroke (width 0) (type default)) + (uuid 3f985f36-37d2-411a-968f-8760c61ca614) + ) + (wire (pts (xy 99.06 130.81) (xy 99.06 132.08)) + (stroke (width 0) (type default)) + (uuid 43df6c11-441b-4d8e-82be-1eca3b423642) + ) + (wire (pts (xy 99.06 138.43) (xy 109.22 138.43)) + (stroke (width 0) (type default)) + (uuid 44b90d8c-08ee-4f45-b471-7edcadad30fc) + ) + (wire (pts (xy 101.6 92.71) (xy 99.06 92.71)) + (stroke (width 0) (type default)) + (uuid 484dad0e-15dc-441b-8ef4-3b0bcd9cc97c) + ) + (wire (pts (xy 99.06 132.08) (xy 99.06 133.35)) + (stroke (width 0) (type default)) + (uuid 4b43533a-a774-4e35-897f-f6b36665c84b) + ) + (wire (pts (xy 50.8 138.43) (xy 60.96 138.43)) + (stroke (width 0) (type default)) + (uuid 507809f7-6473-4988-9930-d5852c0ddace) + ) + (wire (pts (xy 99.06 97.79) (xy 101.6 97.79)) + (stroke (width 0) (type default)) + (uuid 53b55065-9563-4d54-baad-114de98d568a) + ) + (wire (pts (xy 121.92 138.43) (xy 109.22 138.43)) + (stroke (width 0) (type default)) + (uuid 550437dd-22ad-4957-8c7e-0042823c68f9) + ) + (wire (pts (xy 132.08 113.03) (xy 132.08 107.95)) + (stroke (width 0) (type default)) + (uuid 5649bf90-1358-452d-93af-5b05b879a4d1) + ) + (wire (pts (xy 109.22 113.03) (xy 109.22 107.95)) + (stroke (width 0) (type default)) + (uuid 56ca0bad-3c37-4487-a2c4-5cdbd9d4dd7b) + ) + (wire (pts (xy 132.08 123.19) (xy 132.08 128.27)) + (stroke (width 0) (type default)) + (uuid 590db040-34d5-480b-8617-a952e12690dc) + ) + (wire (pts (xy 121.92 99.06) (xy 121.92 102.87)) + (stroke (width 0) (type default)) + (uuid 5a9c76bf-953e-416e-88d2-2bf5c9fc7642) + ) + (wire (pts (xy 66.04 138.43) (xy 73.66 138.43)) + (stroke (width 0) (type default)) + (uuid 5c8fc2b4-15d5-47de-af58-4751e78a1998) + ) + (wire (pts (xy 50.8 102.87) (xy 50.8 107.95)) + (stroke (width 0) (type default)) + (uuid 5dc11327-44c4-427d-a2ef-0d30abfb4191) + ) + (wire (pts (xy 121.92 124.46) (xy 121.92 128.27)) + (stroke (width 0) (type default)) + (uuid 5e0603be-8aba-4885-a414-9818cb4293a5) + ) + (wire (pts (xy 121.92 123.19) (xy 121.92 124.46)) + (stroke (width 0) (type default)) + (uuid 6038a612-8eaa-4184-8985-477ccb63ac45) + ) + (wire (pts (xy 50.8 128.27) (xy 50.8 133.35)) + (stroke (width 0) (type default)) + (uuid 63963f59-815c-4f08-b9fe-d8e7096e7b35) + ) + (wire (pts (xy 60.96 128.27) (xy 50.8 128.27)) + (stroke (width 0) (type default)) + (uuid 65eb63e7-2a99-4d64-a188-6f950a72356f) + ) + (wire (pts (xy 121.92 123.19) (xy 132.08 123.19)) + (stroke (width 0) (type default)) + (uuid 688de913-d88a-4144-8ae3-4a8d2789dfc8) + ) + (wire (pts (xy 99.06 106.68) (xy 99.06 107.95)) + (stroke (width 0) (type default)) + (uuid 6920b682-2e35-4fdb-b5bb-854d8e57d0f9) + ) + (wire (pts (xy 101.6 123.19) (xy 99.06 123.19)) + (stroke (width 0) (type default)) + (uuid 6b283f99-0999-4691-83d1-389cb1d8bd24) + ) + (wire (pts (xy 55.88 118.11) (xy 45.72 118.11)) + (stroke (width 0) (type default)) + (uuid 6bb5888f-c06c-4840-8695-e719c6393265) + ) + (wire (pts (xy 66.04 97.79) (xy 73.66 97.79)) + (stroke (width 0) (type default)) + (uuid 6d2f1b99-3a20-4686-a658-ab0f994c02ad) + ) + (wire (pts (xy 55.88 118.11) (xy 66.04 118.11)) + (stroke (width 0) (type default)) + (uuid 71187503-ceaa-4593-91bc-2d2a0385ee64) + ) + (wire (pts (xy 132.08 138.43) (xy 132.08 133.35)) + (stroke (width 0) (type default)) + (uuid 7779dd2d-698c-4ef2-8110-4528604912dc) + ) + (wire (pts (xy 99.06 124.46) (xy 99.06 125.73)) + (stroke (width 0) (type default)) + (uuid 7f1705e3-f689-4899-b1eb-a7cd75fe3c48) + ) + (wire (pts (xy 109.22 102.87) (xy 109.22 97.79)) + (stroke (width 0) (type default)) + (uuid 8172f784-5391-4345-9474-3cc0bf00e24e) + ) + (wire (pts (xy 66.04 128.27) (xy 66.04 138.43)) + (stroke (width 0) (type default)) + (uuid 89c73614-1f70-48e2-8b1d-9d7fa2c175a9) + ) + (wire (pts (xy 118.11 123.19) (xy 121.92 123.19)) + (stroke (width 0) (type default)) + (uuid 8b18c4c8-c756-4411-aa3b-8a516b64714c) + ) + (wire (pts (xy 30.48 92.71) (xy 35.56 92.71)) + (stroke (width 0) (type default)) + (uuid 8dc0c159-17ae-4879-8357-447440f74e44) + ) + (wire (pts (xy 66.04 123.19) (xy 73.66 123.19)) + (stroke (width 0) (type default)) + (uuid 993a8cb5-e494-4856-a896-faebae621da9) + ) + (wire (pts (xy 35.56 123.19) (xy 45.72 123.19)) + (stroke (width 0) (type default)) + (uuid 9af58d4e-225e-495f-86c1-acf4c17a7fa7) + ) + (wire (pts (xy 113.03 97.79) (xy 109.22 97.79)) + (stroke (width 0) (type default)) + (uuid 9dabbea3-951f-454d-a6e2-5005f40452d4) + ) + (wire (pts (xy 109.22 138.43) (xy 109.22 133.35)) + (stroke (width 0) (type default)) + (uuid a88e842b-9c99-4f5e-b158-82ba798a29b2) + ) + (wire (pts (xy 50.8 113.03) (xy 60.96 113.03)) + (stroke (width 0) (type default)) + (uuid a9dd9ccf-9848-4dda-bf7e-26ae98c9c87e) + ) + (wire (pts (xy 60.96 102.87) (xy 63.5 102.87)) + (stroke (width 0) (type default)) + (uuid ab768056-673e-4d21-9767-a24dc9abe6a4) + ) + (wire (pts (xy 63.5 105.41) (xy 73.66 105.41)) + (stroke (width 0) (type default)) + (uuid b72c9d2c-1842-4339-8cac-a05247b8a1d6) + ) + (wire (pts (xy 30.48 118.11) (xy 30.48 92.71)) + (stroke (width 0) (type default)) + (uuid b7a2301f-3b60-40a8-bc92-407531c08a5d) + ) + (wire (pts (xy 60.96 102.87) (xy 50.8 102.87)) + (stroke (width 0) (type default)) + (uuid b7e0e826-c209-4d55-9eca-635afed0eec6) + ) + (wire (pts (xy 121.92 138.43) (xy 121.92 133.35)) + (stroke (width 0) (type default)) + (uuid b8c2d8ce-dd10-407f-8c60-c2e0a341fb1c) + ) + (wire (pts (xy 121.92 113.03) (xy 121.92 107.95)) + (stroke (width 0) (type default)) + (uuid be6f107e-3602-4067-89be-f583c5dce230) + ) + (wire (pts (xy 113.03 123.19) (xy 109.22 123.19)) + (stroke (width 0) (type default)) + (uuid bfd32393-4e43-46da-988e-f1e10d603e37) + ) + (wire (pts (xy 118.11 97.79) (xy 121.92 97.79)) + (stroke (width 0) (type default)) + (uuid c264d303-2070-4a4e-949c-91c6ee0b5438) + ) + (wire (pts (xy 66.04 102.87) (xy 66.04 113.03)) + (stroke (width 0) (type default)) + (uuid c9521713-5066-4454-beb0-116d86f6de12) + ) + (wire (pts (xy 66.04 118.11) (xy 73.66 118.11)) + (stroke (width 0) (type default)) + (uuid ca78c961-a2e9-45d4-b61a-5154a2cc3fed) + ) + (wire (pts (xy 60.96 113.03) (xy 66.04 113.03)) + (stroke (width 0) (type default)) + (uuid cd03c758-095e-4e91-a77f-a5812b0b7685) + ) + (wire (pts (xy 132.08 97.79) (xy 132.08 102.87)) + (stroke (width 0) (type default)) + (uuid d5fabd94-8cb5-4edd-92dc-f4d5d16f84ae) + ) + (wire (pts (xy 63.5 130.81) (xy 73.66 130.81)) + (stroke (width 0) (type default)) + (uuid d6035d0f-b4bd-46d4-8ca9-316bb1d4fc2e) + ) + (wire (pts (xy 45.72 118.11) (xy 35.56 118.11)) + (stroke (width 0) (type default)) + (uuid e1478a7b-90a8-4aa3-8cd2-7a1d4862bc3c) + ) + (wire (pts (xy 35.56 97.79) (xy 45.72 97.79)) + (stroke (width 0) (type default)) + (uuid e1e962bf-b378-4925-a6f1-3b0e7add0068) + ) + (wire (pts (xy 121.92 113.03) (xy 109.22 113.03)) + (stroke (width 0) (type default)) + (uuid e3b88373-206f-471a-8eaf-b1cc0e49c79a) + ) + (wire (pts (xy 101.6 118.11) (xy 99.06 118.11)) + (stroke (width 0) (type default)) + (uuid e5183147-c266-4677-9a97-324c8eeee323) + ) + (wire (pts (xy 101.6 123.19) (xy 109.22 123.19)) + (stroke (width 0) (type default)) + (uuid e65ffb80-a034-4880-94a2-ad2a4c369948) + ) + (wire (pts (xy 66.04 92.71) (xy 73.66 92.71)) + (stroke (width 0) (type default)) + (uuid e8add468-f900-40a6-8026-bb56b82e1040) + ) + (wire (pts (xy 45.72 97.79) (xy 55.88 97.79)) + (stroke (width 0) (type default)) + (uuid e9344c94-e922-4370-9ed6-8624f2b785d4) + ) + (wire (pts (xy 73.66 138.43) (xy 86.36 138.43)) + (stroke (width 0) (type default)) + (uuid ea5e3206-083f-4ba6-bf78-f7303a270417) + ) + (wire (pts (xy 101.6 97.79) (xy 109.22 97.79)) + (stroke (width 0) (type default)) + (uuid f6497864-69aa-43c4-a5ec-c302e938d456) + ) + + (symbol (lib_id "Device:C_Small") (at 60.96 135.89 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 0cb199b1-4db8-4199-b96f-250a12108356) + (property "Reference" "C11" (at 59.69 134.62 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1n" (at 59.69 137.16 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0402" (at 60.96 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 60.96 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 13321733-d041-433d-b4f5-b472bebe89ee)) + (pin "2" (uuid 159c6d52-f651-4b12-aed9-254193a439cd)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C52") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 35.56 95.25 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 1195dde0-356b-4299-8652-c1bbc54c9ec2) + (property "Reference" "C11" (at 36.83 93.98 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 36.83 96.52 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 35.56 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 35.56 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 94d8ca6e-5728-47b9-86c1-c1cecb091247)) + (pin "2" (uuid ec5c9870-ab61-47d5-82ea-377af5a9c120)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C59") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 73.66 135.89 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 1c53019b-0c1b-4766-b9a0-349ba046b20f) + (property "Reference" "C11" (at 72.39 134.62 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10n" (at 72.39 137.16 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0402" (at 73.66 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 73.66 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 43c45002-438b-40fa-ad08-c3f0b94d7f12)) + (pin "2" (uuid 3553b5a7-89e9-464a-bb90-c55f1e0f83ea)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C53") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:L_Small") (at 115.57 123.19 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 1da3f301-4c9d-483e-8d8e-ff29b7b10b7e) + (property "Reference" "L2" (at 115.57 119.38 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "4u7" (at 115.57 121.92 90) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "stdpads:TaiyoYuden_NRS6028" (at 115.57 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 115.57 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 124be849-03d8-4285-964e-a7d474b6cf3e)) + (pin "2" (uuid 4b9f4434-6cdf-4b38-baab-68fdd0045b63)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "L2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 99.06 135.89 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 239b02f8-0fd7-489e-bf40-6df9ea1d1756) + (property "Reference" "R14" (at 100.33 134.62 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "8k2" (at 100.33 137.16 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 99.06 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 99.06 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2c504289-6924-4bb2-af33-4f7a400d8d0f)) + (pin "2" (uuid d5163cb6-a855-408d-b925-e9a5200e219e)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "R14") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:D_Schottky_Small_Filled") (at 109.22 130.81 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 299872ba-97f7-47bb-ae4e-f649195b708f) + (property "Reference" "D2" (at 111.76 129.921 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "B340LB" (at 111.76 132.461 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:D_SMA" (at 109.22 130.81 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 109.22 130.81 90) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5d272433-fb2b-43fe-aba3-8598552155f2)) + (pin "2" (uuid 437de032-9c38-44bd-96ee-d8e72a2606c1)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "D2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 66.04 125.73 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 29c9fa24-c0e4-4176-97b4-248697f9d41e) + (property "Reference" "R12" (at 67.31 124.46 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Rl" (at 67.31 127 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 66.04 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 66.04 125.73 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5abad505-a533-45fa-aafa-1448bae24d84)) + (pin "2" (uuid 81f287fd-fd01-4a87-9fb6-4d8fbf95c99c)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "R12") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 132.08 113.03 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 33deb7c6-d46c-42a3-8d16-f429b9f50e7a) + (property "Reference" "#PWR053" (at 132.08 119.38 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 132.08 116.84 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 132.08 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 132.08 113.03 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b06af367-ede1-434f-a57b-6c8448952465)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "#PWR053") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 132.08 105.41 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 346bdf00-ca45-4ac8-90c5-7155d3e67b39) + (property "Reference" "C11" (at 133.35 104.14 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "47u" (at 133.35 106.68 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_1210" (at 132.08 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 132.08 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 8d46dbc6-5ea3-43df-947f-e5c5aca2e1ca)) + (pin "2" (uuid 2d8fc0a2-8875-4989-9a43-600ec5c242cd)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C47") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 99.06 102.87 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 398ff146-55fd-445d-a3fd-f9ccdfff67dc) + (property "Reference" "R6" (at 100.33 101.6 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10k" (at 100.33 104.14 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 99.06 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 99.06 102.87 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 6b947828-174a-4e8c-9be4-4601b513907c)) + (pin "2" (uuid 1b59ea06-9e08-406a-beb0-923075d2f77e)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "R6") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 132.08 97.79 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 41f20047-fe4d-468a-aded-848dae466eea) + (property "Reference" "#PWR056" (at 132.08 101.6 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 132.08 93.98 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 132.08 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 132.08 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5c51dd6d-475d-4249-b44a-c105a49eb7e6)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "#PWR056") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 60.96 130.81 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4b5ef975-0dd4-456e-8481-104ee77ddec4) + (property "Reference" "R10" (at 59.69 129.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "29k4" (at 59.69 132.08 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 60.96 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 60.96 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9d507442-4730-41b1-89d8-dfea67d58643)) + (pin "2" (uuid 0d25ffc1-070c-42e4-9e00-0bf186efc3c2)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "R10") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 101.6 95.25 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 4c485801-bc7e-4d02-a096-56589cb0922e) + (property "Reference" "C11" (at 102.87 93.98 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100n" (at 102.87 96.52 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0402" (at 101.6 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 101.6 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5ebff36f-b68b-40a2-adc7-dd31a43abbcc)) + (pin "2" (uuid a84141a7-061f-4285-9a7a-bef6dbed63d1)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C2") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 55.88 95.25 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 55754a48-edbc-4f39-8af1-968de86335a1) + (property "Reference" "C11" (at 57.15 93.98 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100n" (at 57.15 96.52 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0402" (at 55.88 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 55.88 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0a24ce35-6820-41c7-9157-a659d34f0726)) + (pin "2" (uuid a68cd554-107e-4db3-9c49-96b926a00235)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C61") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+1V1") (at 132.08 123.19 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid 5e8149f2-7ee3-489a-97b5-2616e2b7bb83) + (property "Reference" "#PWR055" (at 132.08 127 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+1V1" (at 132.08 119.38 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 132.08 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 132.08 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5accf735-86d3-4d0f-b634-a0a1031e3de3)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "#PWR055") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 35.56 123.19 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 62c42a28-cdd2-4213-8b42-ab39c599c079) + (property "Reference" "#PWR059" (at 35.56 129.54 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 35.56 127 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 35.56 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 35.56 123.19 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3c054c28-0070-4911-852e-9a471920636a)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "#PWR059") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 73.66 110.49 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 77752f16-0cb1-45e8-8cf9-aa751d5afd25) + (property "Reference" "C11" (at 72.39 109.22 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10n" (at 72.39 111.76 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0402" (at 73.66 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 73.66 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 28ae67a7-854f-42d4-bd28-30c8d9cdb7f4)) + (pin "2" (uuid 9873a067-9601-43f8-86b6-42245d0d0b56)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C48") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 121.92 105.41 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 7c83078a-08d2-4f7c-be66-fc3dd18097bd) + (property "Reference" "C11" (at 123.19 104.14 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "47u" (at 123.19 106.68 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_1210" (at 121.92 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 121.92 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3116005b-4a9f-49e9-8191-3097de60fc05)) + (pin "2" (uuid d97c9616-965b-4105-9981-0f39aed4721c)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C3") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 66.04 95.25 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 87c90c38-e615-4230-b8ea-f20ee90ad78c) + (property "Reference" "R8" (at 67.31 93.98 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Rl" (at 67.31 96.52 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 66.04 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 66.04 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 3baedcb6-2705-417f-9579-234f62807648)) + (pin "2" (uuid de1003ed-3bbe-4ae4-82a8-25a492416b0b)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "R8") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 121.92 130.81 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 8a9a8862-0a81-4397-b3a7-f62f5610c827) + (property "Reference" "C11" (at 123.19 129.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "47u" (at 123.19 132.08 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_1210" (at 121.92 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 121.92 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 1924a2ff-8219-45a8-b6cd-c498baaa8403)) + (pin "2" (uuid 766da645-15e6-447b-9719-bdc3052de76a)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C55") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 35.56 97.79 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 8ed8ff69-76d3-4e24-b99e-828960c75c76) + (property "Reference" "#PWR060" (at 35.56 104.14 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 35.56 101.6 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 35.56 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 35.56 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 40a6ed6c-d6cf-45b8-a679-38b1011fd623)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "#PWR060") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 45.72 95.25 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 915c7f8f-a2bd-4fae-9f73-b52c4b406dfc) + (property "Reference" "C11" (at 46.99 93.98 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 46.99 96.52 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 45.72 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 45.72 95.25 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2453ab3a-80d8-483d-a2aa-976b30f57ae5)) + (pin "2" (uuid 5af74b64-2f21-4f91-ac50-bfba9fd8dd1c)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C60") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 60.96 110.49 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 9d12e710-0d82-4186-81b0-9c73cd9c070a) + (property "Reference" "C11" (at 59.69 109.22 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "1n" (at 59.69 111.76 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0402" (at 60.96 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 60.96 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4c236298-3813-4855-9d65-a8126d2cef8e)) + (pin "2" (uuid 0c75a70a-5275-475b-9692-3141badfceb6)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C49") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 55.88 120.65 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid a52963dc-7109-463b-b0aa-e245020604c1) + (property "Reference" "C11" (at 57.15 119.38 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100n" (at 57.15 121.92 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0402" (at 55.88 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 55.88 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 058d2477-c475-4d9a-a2fc-6cf9b39b854f)) + (pin "2" (uuid 357bf054-02d8-4e59-8a74-85c01cd6d920)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 101.6 120.65 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid ac5d39f0-951e-4e8c-ae4a-07dbfe7ba67f) + (property "Reference" "C11" (at 102.87 119.38 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "100n" (at 102.87 121.92 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0402" (at 101.6 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 101.6 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 02c8d911-ad90-44d1-beb1-ee42e8515ac8)) + (pin "2" (uuid e582d50a-dfb0-4e4a-ae9e-bda142ea0a6b)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C54") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 97.79 168.91 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid b0a76323-fdc0-475c-b205-9f30d8abbd28) + (property "Reference" "#PWR061" (at 97.79 175.26 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 97.79 172.72 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 97.79 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 97.79 168.91 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2ca4b5ce-1847-4647-bbe3-eb2cd0eab026)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "#PWR061") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 132.08 130.81 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid bbb50e2c-450b-44a7-b491-e901287ad7b6) + (property "Reference" "C11" (at 133.35 129.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "47u" (at 133.35 132.08 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_1210" (at 132.08 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 132.08 130.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b9d34e40-a26d-40fc-92f1-17af6fafd99c)) + (pin "2" (uuid 1a7dd8f3-8f26-4183-a693-ec46d37a26d6)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C56") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 99.06 110.49 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid bef09796-a676-41da-b2e2-7290b7672cff) + (property "Reference" "R5" (at 100.33 109.22 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "3k3" (at 100.33 111.76 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 99.06 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 99.06 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c80848d7-37e4-42c1-8f8b-400effb45a8a)) + (pin "2" (uuid a1dc0fbb-7b95-43e1-88e6-3373eaea9ddb)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "R5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 35.56 120.65 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c033c8b3-262a-40c5-8c9e-db615c3739a7) + (property "Reference" "C11" (at 36.83 119.38 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 36.83 121.92 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 35.56 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 35.56 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid b595f214-5cbb-4463-9673-d5a752b743f9)) + (pin "2" (uuid a549a73b-e2c0-4c32-a28c-573c264a82f0)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C58") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 50.8 135.89 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c29f85a4-9c8e-4a2f-83f7-96c93869fbc2) + (property "Reference" "C11" (at 49.53 134.62 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "47p" (at 49.53 137.16 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0402" (at 50.8 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 50.8 135.89 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid eaf843b6-f909-4ab0-855f-16010dcac38e)) + (pin "2" (uuid db5b53ac-1210-4f35-893d-1ec780fb8aaf)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C51") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 50.8 110.49 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c35e2722-4202-4a85-a03d-021e1f94bb0d) + (property "Reference" "C11" (at 49.53 109.22 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "47p" (at 49.53 111.76 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0402" (at 50.8 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 50.8 110.49 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 36cdf62b-45d6-4d64-8fba-03fdc75b3ba1)) + (pin "2" (uuid 0a626e7b-9d18-496b-851f-e447e36b4ef5)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C50") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Power:TPS54331D") (at 86.36 125.73 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c53afc13-6788-4ec9-a0ac-96da6b1e6d7d) + (property "Reference" "U36" (at 86.36 123.19 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TPS54331D" (at 86.36 125.73 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOIC-8_3.9mm" (at 109.22 134.62 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/tps54336a.pdf" (at 111.76 137.16 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4d86b033-2241-4cbb-bd6e-48a9e7fcf370)) + (pin "2" (uuid a37654bb-abda-4094-9fad-d07d41c7e913)) + (pin "3" (uuid 0f71c3fb-e0d4-48e5-8664-03e861402947)) + (pin "4" (uuid 5cedaa5f-c798-4eb4-8fce-00ef232a9b7b)) + (pin "5" (uuid 93cfd97a-cdc8-4ec1-9a19-441b74e63759)) + (pin "6" (uuid 936d9439-c560-4c85-8f53-2c0f2d636452)) + (pin "7" (uuid 2c5e8ed6-8b25-4032-aaf2-c17ca18b08d2)) + (pin "8" (uuid 7cc3805d-9d3a-455a-a187-c2a6e8ecc9b3)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "U36") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 132.08 138.43 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid c5db7a6c-5229-4220-bf11-749626aa66d6) + (property "Reference" "#PWR054" (at 132.08 144.78 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 132.08 142.24 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 132.08 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 132.08 138.43 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid df842478-87fb-4469-a281-c7c120ad5e4e)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "#PWR054") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 66.04 100.33 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d64d1743-570c-4c67-9b4f-e2eac9d360ff) + (property "Reference" "R9" (at 67.31 99.06 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Rl" (at 67.31 101.6 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 66.04 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 66.04 100.33 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e7700f84-2d41-4f4f-b19b-8d95a875e8a2)) + (pin "2" (uuid 8f97e8b5-a0b6-44c9-a490-3a9e217117c1)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "R9") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 66.04 120.65 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d8422423-e823-4e1c-8b92-4ed4cd283126) + (property "Reference" "R11" (at 67.31 119.38 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "Rl" (at 67.31 121.92 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 66.04 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 66.04 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 566728aa-fd81-47e8-addc-d33b0935877b)) + (pin "2" (uuid e5bcb5fe-4861-4897-901c-47bde89a94e7)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "R11") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:C_Small") (at 45.72 120.65 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid d8aafa15-47d9-4602-99a2-fdbfaa93d655) + (property "Reference" "C11" (at 46.99 119.38 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "10u" (at 46.99 121.92 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:C_0805" (at 45.72 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 45.72 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid bfcdadfb-50f0-4f0c-9ae7-9b0833609dc9)) + (pin "2" (uuid 959c3c90-2bde-4b86-b2fd-7b2444b08af0)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-00005f6da71d" + (reference "C11") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8" + (reference "C?") (unit 1) + ) + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "C57") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Regulator_Switching:AP62300WU") (at 97.79 161.29 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid dd240405-e044-47c0-9cb6-8af425dda670) + (property "Reference" "U37" (at 97.79 152.4 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "AP62300WU" (at 97.79 154.94 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "Package_TO_SOT_SMD:TSOT-23-6" (at 97.79 184.15 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "https://www.diodes.com/assets/Datasheets/AP62300_AP62301_AP62300T.pdf" (at 97.79 161.29 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 48c00afe-d67f-4299-bb33-1672969eda22)) + (pin "2" (uuid e5afe8e1-e6d9-49ab-accc-f3675e5edc3e)) + (pin "3" (uuid 3a5d50b7-4a3d-4125-bc39-16bf692ba1e6)) + (pin "4" (uuid cb3c7c13-feb3-49f6-9185-d9e1eaf685d2)) + (pin "5" (uuid 62bbe127-b323-47c6-9267-f85beb6a4223)) + (pin "6" (uuid 11a07b16-bde7-4b5d-a06e-7a9ec32933d2)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "U37") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 60.96 105.41 0) (mirror y) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid e3ec44d8-6d0d-404d-8802-520db7e5260f) + (property "Reference" "R7" (at 59.69 104.14 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "29k4" (at 59.69 106.68 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 60.96 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 60.96 105.41 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 5cac3120-2407-425f-a040-a7d8e9f87cc3)) + (pin "2" (uuid 4f1c8c79-d51f-4c95-bcd3-702d021f917d)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "R7") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:L_Small") (at 115.57 97.79 90) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid e91fd65e-8066-4275-9e8c-4a71b883d4cb) + (property "Reference" "L1" (at 115.57 93.98 90) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "4u7" (at 115.57 96.52 90) + (effects (font (size 1.27 1.27)) (justify top)) + ) + (property "Footprint" "stdpads:TaiyoYuden_NRS6028" (at 115.57 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 115.57 97.79 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid e7d10744-d3c3-4120-880f-b005d2982b17)) + (pin "2" (uuid d0ab5f7e-4cd1-49b9-8b14-21fd26d4781a)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "L1") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:R_Small") (at 99.06 128.27 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid ea2bb033-888c-45ed-8248-5181c6705db6) + (property "Reference" "R13" (at 100.33 127 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "3k3" (at 100.33 129.54 0) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:R_0603" (at 99.06 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 99.06 128.27 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid ec05efb5-75b4-4f60-8e86-4ca38e11195b)) + (pin "2" (uuid 3c87a5f7-82f0-415b-a71d-74a16e9b4f1e)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "R13") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_Power:TPS54331D") (at 86.36 100.33 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid f4a72728-35db-4990-8f85-57f56316aedd) + (property "Reference" "U35" (at 86.36 97.79 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "TPS54331D" (at 86.36 100.33 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:SOIC-8_3.9mm" (at 109.22 109.22 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "http://www.ti.com/lit/ds/symlink/tps54336a.pdf" (at 111.76 111.76 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 4dd12ed7-4d1e-4a42-8537-394a7c9090ef)) + (pin "2" (uuid 39519cd4-7926-4a14-a61f-bd88d7a581a1)) + (pin "3" (uuid 085dec1b-34fa-4e64-82f4-016bc8422073)) + (pin "4" (uuid f53efd90-5288-496a-bcd5-a98d684fa957)) + (pin "5" (uuid 879821b0-096d-47a5-af7e-555f8f089492)) + (pin "6" (uuid 2d85b9b8-b3d8-4224-9811-2093fb4d2b2c)) + (pin "7" (uuid e0f1bc14-4924-498c-a83b-f526accbce30)) + (pin "8" (uuid a73c7f2a-f80a-493e-a879-75120041c59c)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "U35") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "Device:D_Schottky_Small_Filled") (at 109.22 105.41 270) (unit 1) + (in_bom yes) (on_board yes) (dnp no) (fields_autoplaced) + (uuid fc2ce00f-3d45-4d56-bb96-5cbb944a1790) + (property "Reference" "D1" (at 111.76 104.521 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Value" "B340LB" (at 111.76 107.061 90) + (effects (font (size 1.27 1.27)) (justify left)) + ) + (property "Footprint" "stdpads:D_SMA" (at 109.22 105.41 90) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "~" (at 109.22 105.41 90) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 2a2c1f44-b570-4e2e-a9ef-3b3f1e78f2f9)) + (pin "2" (uuid e9dc9a98-448f-4f2c-b9fe-194bee48621b)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/7d13a377-2e52-4883-bd76-bc2f887e745e" + (reference "D1") (unit 1) + ) + ) + ) + ) +) diff --git a/RAM.kicad_sch b/RAM.kicad_sch new file mode 100644 index 0000000..a5d0a34 --- /dev/null +++ b/RAM.kicad_sch @@ -0,0 +1,1793 @@ +(kicad_sch (version 20230121) (generator eeschema) + + (uuid 14b91c56-4ff0-41a0-bd77-b958723862be) + + (paper "A4") + + (lib_symbols + (symbol "GW_RAM:SDRAM-16Mx16-TSOP2-54" (pin_names (offset 1.016)) (in_bom yes) (on_board yes) + (property "Reference" "U" (at 0 29.21 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "GW_RAM_SDRAM-16Mx16-TSOP2-54" (at 0 0 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Winbond_TSOPII-54" (at 0 -41.91 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "SDRAM-16Mx16-TSOP2-54_0_1" + (rectangle (start -7.62 27.94) (end 7.62 -35.56) + (stroke (width 0.254) (type solid)) + (fill (type background)) + ) + ) + (symbol "SDRAM-16Mx16-TSOP2-54_1_1" + (pin power_in line (at -12.7 25.4 0) (length 5.08) + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 12.7 180) (length 5.08) + (name "DQ5" (effects (font (size 1.27 1.27)))) + (number "10" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 10.16 180) (length 5.08) + (name "DQ6" (effects (font (size 1.27 1.27)))) + (number "11" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "12" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 7.62 180) (length 5.08) + (name "DQ7" (effects (font (size 1.27 1.27)))) + (number "13" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 25.4 0) (length 5.08) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "14" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -15.24 180) (length 5.08) + (name "DQML" (effects (font (size 1.27 1.27)))) + (number "15" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -27.94 180) (length 5.08) + (name "~{WE}" (effects (font (size 1.27 1.27)))) + (number "16" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -30.48 180) (length 5.08) + (name "~{CAS}" (effects (font (size 1.27 1.27)))) + (number "17" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -33.02 180) (length 5.08) + (name "~{RAS}" (effects (font (size 1.27 1.27)))) + (number "18" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -25.4 180) (length 5.08) + (name "~{CS}" (effects (font (size 1.27 1.27)))) + (number "19" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 25.4 180) (length 5.08) + (name "DQ0" (effects (font (size 1.27 1.27)))) + (number "2" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -15.24 0) (length 5.08) + (name "BA0" (effects (font (size 1.27 1.27)))) + (number "20" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -17.78 0) (length 5.08) + (name "BA1" (effects (font (size 1.27 1.27)))) + (number "21" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -7.62 0) (length 5.08) + (name "A10" (effects (font (size 1.27 1.27)))) + (number "22" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 17.78 0) (length 5.08) + (name "A0" (effects (font (size 1.27 1.27)))) + (number "23" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 15.24 0) (length 5.08) + (name "A1" (effects (font (size 1.27 1.27)))) + (number "24" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 12.7 0) (length 5.08) + (name "A2" (effects (font (size 1.27 1.27)))) + (number "25" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 10.16 0) (length 5.08) + (name "A3" (effects (font (size 1.27 1.27)))) + (number "26" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 25.4 0) (length 5.08) hide + (name "VDD" (effects (font (size 1.27 1.27)))) + (number "27" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "28" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 7.62 0) (length 5.08) + (name "A4" (effects (font (size 1.27 1.27)))) + (number "29" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "3" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 5.08 0) (length 5.08) + (name "A5" (effects (font (size 1.27 1.27)))) + (number "30" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 2.54 0) (length 5.08) + (name "A6" (effects (font (size 1.27 1.27)))) + (number "31" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 0 0) (length 5.08) + (name "A7" (effects (font (size 1.27 1.27)))) + (number "32" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -2.54 0) (length 5.08) + (name "A8" (effects (font (size 1.27 1.27)))) + (number "33" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -5.08 0) (length 5.08) + (name "A9" (effects (font (size 1.27 1.27)))) + (number "34" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -10.16 0) (length 5.08) + (name "A11" (effects (font (size 1.27 1.27)))) + (number "35" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -12.7 0) (length 5.08) + (name "A12" (effects (font (size 1.27 1.27)))) + (number "36" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -22.86 0) (length 5.08) + (name "CKE" (effects (font (size 1.27 1.27)))) + (number "37" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at -12.7 -25.4 0) (length 5.08) + (name "CLK" (effects (font (size 1.27 1.27)))) + (number "38" (effects (font (size 1.27 1.27)))) + ) + (pin input line (at 12.7 -17.78 180) (length 5.08) + (name "DQMH" (effects (font (size 1.27 1.27)))) + (number "39" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 22.86 180) (length 5.08) + (name "DQ1" (effects (font (size 1.27 1.27)))) + (number "4" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "41" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 5.08 180) (length 5.08) + (name "DQ8" (effects (font (size 1.27 1.27)))) + (number "42" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "43" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 2.54 180) (length 5.08) + (name "DQ9" (effects (font (size 1.27 1.27)))) + (number "44" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 0 180) (length 5.08) + (name "DQ10" (effects (font (size 1.27 1.27)))) + (number "45" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "46" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -2.54 180) (length 5.08) + (name "DQ11" (effects (font (size 1.27 1.27)))) + (number "47" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -5.08 180) (length 5.08) + (name "DQ12" (effects (font (size 1.27 1.27)))) + (number "48" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "49" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 20.32 180) (length 5.08) + (name "DQ2" (effects (font (size 1.27 1.27)))) + (number "5" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -7.62 180) (length 5.08) + (name "DQ13" (effects (font (size 1.27 1.27)))) + (number "50" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -10.16 180) (length 5.08) + (name "DQ14" (effects (font (size 1.27 1.27)))) + (number "51" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) hide + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "52" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 -12.7 180) (length 5.08) + (name "DQ15" (effects (font (size 1.27 1.27)))) + (number "53" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -30.48 0) (length 5.08) hide + (name "VSS" (effects (font (size 1.27 1.27)))) + (number "54" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 -33.02 0) (length 5.08) + (name "VSSQ" (effects (font (size 1.27 1.27)))) + (number "6" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 17.78 180) (length 5.08) + (name "DQ3" (effects (font (size 1.27 1.27)))) + (number "7" (effects (font (size 1.27 1.27)))) + ) + (pin bidirectional line (at 12.7 15.24 180) (length 5.08) + (name "DQ4" (effects (font (size 1.27 1.27)))) + (number "8" (effects (font (size 1.27 1.27)))) + ) + (pin power_in line (at -12.7 22.86 0) (length 5.08) hide + (name "VDDQ" (effects (font (size 1.27 1.27)))) + (number "9" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:+3V3" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -3.81 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 0 3.556 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"+3V3\"" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "+3V3_0_1" + (polyline + (pts + (xy -0.762 1.27) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 0) + (xy 0 2.54) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + (polyline + (pts + (xy 0 2.54) + (xy 0.762 1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "+3V3_1_1" + (pin power_in line (at 0 0 90) (length 0) hide + (name "+3V3" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + (symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes) + (property "Reference" "#PWR" (at 0 -6.35 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 0 -3.81 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_keywords" "global power" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "ki_description" "Power symbol creates a global label with name \"GND\" , ground" (at 0 0 0) + (effects (font (size 1.27 1.27)) hide) + ) + (symbol "GND_0_1" + (polyline + (pts + (xy 0 0) + (xy 0 -1.27) + (xy 1.27 -1.27) + (xy 0 -2.54) + (xy -1.27 -1.27) + (xy 0 -1.27) + ) + (stroke (width 0) (type default)) + (fill (type none)) + ) + ) + (symbol "GND_1_1" + (pin power_in line (at 0 0 270) (length 0) hide + (name "GND" (effects (font (size 1.27 1.27)))) + (number "1" (effects (font (size 1.27 1.27)))) + ) + ) + ) + ) + + (junction (at 60.96 55.88) (diameter 0) (color 0 0 0 0) + (uuid 023b1f64-6383-401b-9e0e-428605bc2b56) + ) + (junction (at 60.96 114.3) (diameter 0) (color 0 0 0 0) + (uuid 13a66c6a-6c1a-4561-8b29-3a0128a1a125) + ) + (junction (at 116.84 55.88) (diameter 0) (color 0 0 0 0) + (uuid 3ef12f93-d1bb-4606-938b-44276afae7c8) + ) + (junction (at 116.84 114.3) (diameter 0) (color 0 0 0 0) + (uuid 8aa8021b-b53b-40ca-bd65-9e1e6bf1fa5a) + ) + + (bus_entry (at 111.76 86.36) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 00b23fcc-6364-46df-b949-6f29effc74b0) + ) + (bus_entry (at 55.88 83.82) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 04f3d75b-5347-4f5e-813a-8b73fd81d079) + ) + (bus_entry (at 111.76 91.44) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 0950f04a-c64a-4ce9-ae73-30626218c4a8) + ) + (bus_entry (at 111.76 81.28) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 14272fb3-b2e9-4fd7-94e7-5ae06d512674) + ) + (bus_entry (at 55.88 81.28) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1787b4c1-6ed9-4ee4-8aab-31944a76de88) + ) + (bus_entry (at 55.88 63.5) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 18caeb35-6fa7-423f-8038-db6fe53c66dd) + ) + (bus_entry (at 111.76 73.66) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1ce60caf-8aa5-4422-8b99-2eb4f7a64858) + ) + (bus_entry (at 147.32 99.06) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 1f37c6bc-ba55-4f1f-b94e-9581fe7ab120) + ) + (bus_entry (at 91.44 86.36) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2af78853-feb5-444a-8c34-9875089909eb) + ) + (bus_entry (at 147.32 86.36) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 2d2bbb38-aa12-4a05-9ad5-0b6d8886f604) + ) + (bus_entry (at 55.88 96.52) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3a256b8c-0ef1-4467-b9f6-bfb600b1bc98) + ) + (bus_entry (at 91.44 60.96) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3b419dc0-bf5c-4555-ae92-c0b9889d8917) + ) + (bus_entry (at 111.76 78.74) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3ebf222e-096e-4ce8-91a3-595dae55171f) + ) + (bus_entry (at 91.44 96.52) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 3fba510e-b4d5-405d-8445-e3fa6cd51c3c) + ) + (bus_entry (at 111.76 66.04) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 440e189a-85e3-426f-ac00-ee6f921f19f1) + ) + (bus_entry (at 111.76 99.06) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 47c8ab84-ca74-421c-a117-3bb0b7b1b526) + ) + (bus_entry (at 111.76 96.52) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4ac0474f-1f9a-4916-809a-16b53e3f59df) + ) + (bus_entry (at 111.76 76.2) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4df6312b-3d26-4b65-9257-dc1a1d5a973e) + ) + (bus_entry (at 147.32 91.44) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 4e137a45-73b2-4163-a791-fa72d10fa2aa) + ) + (bus_entry (at 147.32 63.5) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 529dd659-9c63-4b79-96f9-f9a0581b466f) + ) + (bus_entry (at 91.44 81.28) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 53ef7f7a-95ae-4d66-b855-db3beec0338e) + ) + (bus_entry (at 91.44 68.58) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 54a8c32a-c4ca-46ec-b8e1-4363987bf540) + ) + (bus_entry (at 147.32 76.2) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 54c9b0a1-42cc-49a7-812a-a3f77dfe6cf1) + ) + (bus_entry (at 55.88 91.44) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5c9638c3-545c-4455-bfad-afbc9e41bbf2) + ) + (bus_entry (at 111.76 93.98) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 5d376a5d-6b04-4497-859d-5479b33dc818) + ) + (bus_entry (at 111.76 71.12) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 62b338a7-3915-4ee6-89ae-fddd48513460) + ) + (bus_entry (at 55.88 76.2) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 657335ef-133b-4316-965d-a7f15a4fb5eb) + ) + (bus_entry (at 147.32 60.96) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 68c09d99-4b1c-44d7-a4f9-822142bf6189) + ) + (bus_entry (at 91.44 91.44) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6ad83bc5-cef0-4c58-ae1b-c88fdcde2aa7) + ) + (bus_entry (at 91.44 76.2) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6ca2107e-ff09-4ceb-8b3e-200415c53e80) + ) + (bus_entry (at 55.88 93.98) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6e6450f1-02ef-48e6-b024-8ff0cd7a18d3) + ) + (bus_entry (at 55.88 78.74) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 6f58a612-d931-4ef5-90a3-3449b3b5372a) + ) + (bus_entry (at 55.88 68.58) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 71b74892-5922-4e85-a3ff-999448b59cdd) + ) + (bus_entry (at 91.44 58.42) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 71f1fe1d-0766-4848-b674-0fbaa5d1d749) + ) + (bus_entry (at 147.32 68.58) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 78090a62-684c-48b7-a445-26819a8d38cf) + ) + (bus_entry (at 147.32 66.04) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 788a9ad2-3d94-49b5-a2d9-b09db737623d) + ) + (bus_entry (at 147.32 58.42) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 78fbeabe-cc64-4969-b718-fc97fbf03299) + ) + (bus_entry (at 111.76 88.9) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid 790c1fd5-e413-4035-982e-e8d0a7fccdcf) + ) + (bus_entry (at 91.44 78.74) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 7f31b59c-0e8e-42cd-80a9-033ddfaa74f2) + ) + (bus_entry (at 147.32 81.28) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 804e25f2-b26d-499b-b6e8-db7e2b4e8adf) + ) + (bus_entry (at 147.32 93.98) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 80f1f23a-cf5a-4fb8-97dc-d6da9909312e) + ) + (bus_entry (at 91.44 88.9) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 81040dcd-6a96-4825-936b-ed95d7f6285d) + ) + (bus_entry (at 91.44 66.04) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 894c46d8-56a4-41c2-b09f-9653ed05d331) + ) + (bus_entry (at 91.44 63.5) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 8eb38e9a-5f3d-4095-bcd2-ad56d6044fb7) + ) + (bus_entry (at 147.32 78.74) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 91cf117f-b00b-42b5-8296-57f2a6589923) + ) + (bus_entry (at 91.44 99.06) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 91ffe531-324d-409c-b9ed-e29d49310a92) + ) + (bus_entry (at 91.44 71.12) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 985eac09-fa65-4b60-8cae-327edfd3dc47) + ) + (bus_entry (at 147.32 71.12) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid 9f6dd87c-42ed-4e4e-9cfc-ce2b55d9859d) + ) + (bus_entry (at 55.88 99.06) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid a08ece77-1bff-4b2f-9065-c2d22937978e) + ) + (bus_entry (at 147.32 88.9) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a1280693-a2bd-4f8d-bd0a-fb030839aa49) + ) + (bus_entry (at 91.44 55.88) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a17c3c2d-c872-48b7-9b37-1ac96f9a5ce6) + ) + (bus_entry (at 55.88 88.9) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid a5de95e5-0c89-472d-827b-3822721169e0) + ) + (bus_entry (at 147.32 55.88) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid a67e7b30-2919-47ce-8d88-0e246575cb3f) + ) + (bus_entry (at 91.44 83.82) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c3e43e3e-f29b-4afc-be8d-c67873689ff8) + ) + (bus_entry (at 91.44 73.66) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid c4627487-04ae-44d9-a167-5b99bb603ae4) + ) + (bus_entry (at 55.88 71.12) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid ce068e30-4128-48d7-a0eb-98d29c9f37c2) + ) + (bus_entry (at 55.88 86.36) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid d1bee233-3e32-40d8-8791-7f985fc7a791) + ) + (bus_entry (at 111.76 68.58) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid dc61d992-e0f9-4b6f-a550-2aac032c3b7a) + ) + (bus_entry (at 55.88 66.04) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid dda64c9d-e8f5-47a9-84b0-cd615224dc13) + ) + (bus_entry (at 147.32 96.52) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid dfd39c44-2ff0-43fc-8958-8d9074d92c7a) + ) + (bus_entry (at 147.32 83.82) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid e0f61037-2e3a-4ae8-94e7-99eef2a59841) + ) + (bus_entry (at 147.32 73.66) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid f615d2a0-cb5c-45e4-8b40-d745ef78385d) + ) + (bus_entry (at 111.76 83.82) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid f847dde6-5f51-4d31-8fab-acfd46428d38) + ) + (bus_entry (at 111.76 63.5) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid fb17b26a-1d65-4f2f-960d-2b60db58d3dd) + ) + (bus_entry (at 55.88 73.66) (size -2.54 2.54) + (stroke (width 0) (type default)) + (uuid fcc705d3-a767-4245-94c1-4646f1db9461) + ) + (bus_entry (at 91.44 93.98) (size 2.54 2.54) + (stroke (width 0) (type default)) + (uuid fcfed74e-8ad6-4420-a2ba-91ff291c6f4c) + ) + + (bus (pts (xy 93.98 88.9) (xy 93.98 91.44)) + (stroke (width 0) (type default)) + (uuid 030dea56-782a-4622-8f84-3ca5cc8236d7) + ) + + (wire (pts (xy 142.24 73.66) (xy 147.32 73.66)) + (stroke (width 0) (type default)) + (uuid 03bcc228-c2e5-4a47-ad27-9a707646f727) + ) + (wire (pts (xy 142.24 93.98) (xy 147.32 93.98)) + (stroke (width 0) (type default)) + (uuid 05b835be-258f-4b3a-b483-b02858a841b8) + ) + (bus (pts (xy 53.34 81.28) (xy 53.34 83.82)) + (stroke (width 0) (type default)) + (uuid 067b6d08-85bc-42ff-9955-7daa85587155) + ) + (bus (pts (xy 149.86 73.66) (xy 149.86 76.2)) + (stroke (width 0) (type default)) + (uuid 0ae5c88f-f38a-4fac-9a34-12c8b0e0d713) + ) + (bus (pts (xy 93.98 73.66) (xy 93.98 76.2)) + (stroke (width 0) (type default)) + (uuid 0dbc0333-2294-4e2a-911e-5507a3236f57) + ) + + (wire (pts (xy 86.36 60.96) (xy 91.44 60.96)) + (stroke (width 0) (type default)) + (uuid 10553c5b-c81d-48dd-a940-4907588992eb) + ) + (wire (pts (xy 60.96 114.3) (xy 60.96 111.76)) + (stroke (width 0) (type default)) + (uuid 10c48de3-2df5-46bd-ba39-4bc62664d04d) + ) + (wire (pts (xy 86.36 91.44) (xy 91.44 91.44)) + (stroke (width 0) (type default)) + (uuid 137b134b-e8eb-4e67-a862-15a85be3fe5b) + ) + (wire (pts (xy 116.84 66.04) (xy 111.76 66.04)) + (stroke (width 0) (type default)) + (uuid 144434e5-6c8f-49ce-b0fb-5e6a81543de8) + ) + (wire (pts (xy 86.36 71.12) (xy 91.44 71.12)) + (stroke (width 0) (type default)) + (uuid 164d69c0-e376-4ffe-8d69-2ccdab7fe113) + ) + (bus (pts (xy 109.22 71.12) (xy 109.22 73.66)) + (stroke (width 0) (type default)) + (uuid 1651ef51-92bb-410f-b9cb-065a2db91ff5) + ) + + (wire (pts (xy 142.24 71.12) (xy 147.32 71.12)) + (stroke (width 0) (type default)) + (uuid 1a8976dc-7cdc-4d3f-a23c-eabadb6613cb) + ) + (bus (pts (xy 109.22 93.98) (xy 109.22 96.52)) + (stroke (width 0) (type default)) + (uuid 1eaed9c8-9086-4a50-afb0-3331f1657411) + ) + + (wire (pts (xy 86.36 66.04) (xy 91.44 66.04)) + (stroke (width 0) (type default)) + (uuid 21032aa7-8804-4e0b-8dd9-634817771b34) + ) + (bus (pts (xy 149.86 99.06) (xy 149.86 101.6)) + (stroke (width 0) (type default)) + (uuid 24f1387d-6f28-42ce-8fec-04b8d6336c15) + ) + + (wire (pts (xy 142.24 78.74) (xy 147.32 78.74)) + (stroke (width 0) (type default)) + (uuid 250cf830-22c3-4750-b5d3-7807491a3cd5) + ) + (bus (pts (xy 149.86 66.04) (xy 149.86 68.58)) + (stroke (width 0) (type default)) + (uuid 257964ae-05b4-4258-8fdb-be6e57b718f4) + ) + (bus (pts (xy 109.22 91.44) (xy 109.22 93.98)) + (stroke (width 0) (type default)) + (uuid 2707a4f9-69c4-4981-b659-08cf3b8a5cae) + ) + (bus (pts (xy 53.34 73.66) (xy 53.34 76.2)) + (stroke (width 0) (type default)) + (uuid 28ea09ad-c0d0-4c37-921e-73faaa33592e) + ) + + (wire (pts (xy 60.96 71.12) (xy 55.88 71.12)) + (stroke (width 0) (type default)) + (uuid 2c1f4d8e-f3e7-4366-93e0-6fcddd2e2040) + ) + (wire (pts (xy 116.84 93.98) (xy 111.76 93.98)) + (stroke (width 0) (type default)) + (uuid 2f3baa82-0bfc-410f-b5f0-470a447e1caf) + ) + (bus (pts (xy 149.86 58.42) (xy 149.86 60.96)) + (stroke (width 0) (type default)) + (uuid 2f59af4d-789c-46c6-948b-11c0d60b76d0) + ) + (bus (pts (xy 149.86 58.42) (xy 151.13 58.42)) + (stroke (width 0) (type default)) + (uuid 2f5b13fe-2c31-4c57-9788-d46ea44b00e2) + ) + + (wire (pts (xy 116.84 81.28) (xy 111.76 81.28)) + (stroke (width 0) (type default)) + (uuid 34b58a6a-075f-49ce-9c4a-ee7f44b13ce0) + ) + (bus (pts (xy 53.34 68.58) (xy 53.34 71.12)) + (stroke (width 0) (type default)) + (uuid 3507f0f3-e806-4f17-ab4d-2b77b4000376) + ) + (bus (pts (xy 149.86 78.74) (xy 149.86 81.28)) + (stroke (width 0) (type default)) + (uuid 36ed5dcf-1a30-4506-bd01-53807526b294) + ) + + (wire (pts (xy 60.96 83.82) (xy 55.88 83.82)) + (stroke (width 0) (type default)) + (uuid 384cb1e9-d121-4297-a78b-cf39c98e9bf9) + ) + (wire (pts (xy 86.36 88.9) (xy 91.44 88.9)) + (stroke (width 0) (type default)) + (uuid 3b7633c0-8e34-4848-9f07-d672514d6b53) + ) + (wire (pts (xy 142.24 58.42) (xy 147.32 58.42)) + (stroke (width 0) (type default)) + (uuid 3c1b57cc-c9f3-4737-9df3-7fe0783b58f7) + ) + (wire (pts (xy 86.36 58.42) (xy 91.44 58.42)) + (stroke (width 0) (type default)) + (uuid 3c99552f-483e-4237-b617-feb134c25bb9) + ) + (bus (pts (xy 53.34 71.12) (xy 53.34 73.66)) + (stroke (width 0) (type default)) + (uuid 3de331ed-c762-4b1e-af98-0f6ed6c4ff00) + ) + (bus (pts (xy 93.98 71.12) (xy 93.98 73.66)) + (stroke (width 0) (type default)) + (uuid 3e3d4465-8fdb-4133-930d-36b923a1bca4) + ) + + (wire (pts (xy 116.84 99.06) (xy 111.76 99.06)) + (stroke (width 0) (type default)) + (uuid 3ecbd5a4-b24d-43be-ba34-711b0dd27d00) + ) + (wire (pts (xy 60.96 88.9) (xy 55.88 88.9)) + (stroke (width 0) (type default)) + (uuid 41d69b3d-88d0-4c24-a4c3-bc7f64d89bc5) + ) + (wire (pts (xy 142.24 76.2) (xy 147.32 76.2)) + (stroke (width 0) (type default)) + (uuid 41e34176-55e3-43d4-b552-4a36c8a7d85a) + ) + (bus (pts (xy 93.98 58.42) (xy 93.98 60.96)) + (stroke (width 0) (type default)) + (uuid 43e32291-08a4-4d3f-8a7f-97355a260698) + ) + + (wire (pts (xy 86.36 68.58) (xy 91.44 68.58)) + (stroke (width 0) (type default)) + (uuid 4425def9-6fce-4262-a373-fa1d6d447526) + ) + (wire (pts (xy 142.24 81.28) (xy 147.32 81.28)) + (stroke (width 0) (type default)) + (uuid 46acc8ce-5e59-4422-9b44-dbd64859e9f9) + ) + (wire (pts (xy 86.36 99.06) (xy 91.44 99.06)) + (stroke (width 0) (type default)) + (uuid 4d6c4491-12cd-4d5f-8f79-052ec4812583) + ) + (bus (pts (xy 109.22 68.58) (xy 109.22 71.12)) + (stroke (width 0) (type default)) + (uuid 51011847-97e6-4073-8344-65d4e9b1cc00) + ) + (bus (pts (xy 149.86 101.6) (xy 151.13 101.6)) + (stroke (width 0) (type default)) + (uuid 5127a79f-5a3b-418f-8c83-f41810ff937c) + ) + (bus (pts (xy 149.86 76.2) (xy 149.86 78.74)) + (stroke (width 0) (type default)) + (uuid 52a3d321-0a85-4636-a507-531f128c2ea3) + ) + + (wire (pts (xy 116.84 55.88) (xy 116.84 58.42)) + (stroke (width 0) (type default)) + (uuid 548ed27c-7541-4b84-943f-f2c1fc370a8c) + ) + (wire (pts (xy 142.24 83.82) (xy 147.32 83.82)) + (stroke (width 0) (type default)) + (uuid 54e6a653-b616-4ab9-93ef-1909c5d6140e) + ) + (bus (pts (xy 93.98 86.36) (xy 93.98 88.9)) + (stroke (width 0) (type default)) + (uuid 5a2c7262-1461-4637-909d-4ff445c76e00) + ) + + (wire (pts (xy 60.96 91.44) (xy 55.88 91.44)) + (stroke (width 0) (type default)) + (uuid 5a48a37d-6390-4268-8676-a7a00fc308ff) + ) + (bus (pts (xy 149.86 88.9) (xy 149.86 91.44)) + (stroke (width 0) (type default)) + (uuid 5b926cfb-ae37-4088-a5b1-c720bdea9912) + ) + (bus (pts (xy 109.22 86.36) (xy 109.22 88.9)) + (stroke (width 0) (type default)) + (uuid 60267868-b81e-4042-a6da-3959b1aee7f9) + ) + + (wire (pts (xy 60.96 96.52) (xy 55.88 96.52)) + (stroke (width 0) (type default)) + (uuid 6336a42c-2358-4c20-b880-1059cc62d980) + ) + (wire (pts (xy 60.96 76.2) (xy 55.88 76.2)) + (stroke (width 0) (type default)) + (uuid 67e9a75d-68dc-4023-82d1-89bf0e6f6cfc) + ) + (wire (pts (xy 142.24 60.96) (xy 147.32 60.96)) + (stroke (width 0) (type default)) + (uuid 6942ab05-c3ff-4dde-8541-484ff07259fd) + ) + (wire (pts (xy 116.84 78.74) (xy 111.76 78.74)) + (stroke (width 0) (type default)) + (uuid 6c6a6d33-4116-4ed4-a273-fed4e92579fb) + ) + (wire (pts (xy 116.84 96.52) (xy 111.76 96.52)) + (stroke (width 0) (type default)) + (uuid 6ca37953-b2ba-48db-9f98-7f0b88be986b) + ) + (bus (pts (xy 93.98 63.5) (xy 93.98 66.04)) + (stroke (width 0) (type default)) + (uuid 6f789e98-d561-45af-8e7b-1b400fa3cad2) + ) + + (wire (pts (xy 142.24 88.9) (xy 147.32 88.9)) + (stroke (width 0) (type default)) + (uuid 6fc845fb-31ef-4018-bd8e-c2532d2d9eca) + ) + (wire (pts (xy 86.36 93.98) (xy 91.44 93.98)) + (stroke (width 0) (type default)) + (uuid 71083246-080e-4f6a-af25-f781c9b55971) + ) + (bus (pts (xy 53.34 93.98) (xy 53.34 96.52)) + (stroke (width 0) (type default)) + (uuid 71c49f7e-3eb6-4fa1-99eb-9e927d64260e) + ) + + (wire (pts (xy 86.36 86.36) (xy 91.44 86.36)) + (stroke (width 0) (type default)) + (uuid 75db9f2b-95eb-4843-995c-6a636deb4621) + ) + (bus (pts (xy 53.34 76.2) (xy 53.34 78.74)) + (stroke (width 0) (type default)) + (uuid 7c21c166-7ff1-4470-b34f-a8451130f01d) + ) + (bus (pts (xy 53.34 83.82) (xy 53.34 86.36)) + (stroke (width 0) (type default)) + (uuid 7ca22166-ea7c-474b-8f6d-bec9851ed132) + ) + (bus (pts (xy 149.86 71.12) (xy 149.86 73.66)) + (stroke (width 0) (type default)) + (uuid 7d597700-6b84-4369-9c09-92337830877d) + ) + (bus (pts (xy 53.34 66.04) (xy 53.34 68.58)) + (stroke (width 0) (type default)) + (uuid 7e1738da-e2ce-4ecd-b7db-42763ed61f31) + ) + (bus (pts (xy 53.34 66.04) (xy 52.07 66.04)) + (stroke (width 0) (type default)) + (uuid 8194b7bc-108c-4426-bd3a-b7b878001355) + ) + + (wire (pts (xy 142.24 63.5) (xy 147.32 63.5)) + (stroke (width 0) (type default)) + (uuid 837265ff-d80a-4452-86b0-71db8449b87a) + ) + (bus (pts (xy 149.86 86.36) (xy 149.86 88.9)) + (stroke (width 0) (type default)) + (uuid 8383c09b-c4ea-49ce-8eb3-3cdcd0a1cbaa) + ) + + (wire (pts (xy 86.36 81.28) (xy 91.44 81.28)) + (stroke (width 0) (type default)) + (uuid 86b51610-f918-4e7e-83e1-a2d36f1641af) + ) + (bus (pts (xy 53.34 88.9) (xy 53.34 91.44)) + (stroke (width 0) (type default)) + (uuid 8b7d734c-d013-4653-b422-5d05a3ac067f) + ) + (bus (pts (xy 53.34 86.36) (xy 53.34 88.9)) + (stroke (width 0) (type default)) + (uuid 8cfe71ab-a597-419f-af50-735bd94284a2) + ) + + (wire (pts (xy 116.84 76.2) (xy 111.76 76.2)) + (stroke (width 0) (type default)) + (uuid 8db9d87d-1c1f-4bd2-bed6-0c9c2b4dba71) + ) + (bus (pts (xy 149.86 60.96) (xy 149.86 63.5)) + (stroke (width 0) (type default)) + (uuid 8e80c6dd-264f-4dbf-b327-75bd93973c32) + ) + + (wire (pts (xy 60.96 63.5) (xy 55.88 63.5)) + (stroke (width 0) (type default)) + (uuid 928eb35d-7de8-4f0b-9968-0d7572aa6964) + ) + (bus (pts (xy 93.98 93.98) (xy 93.98 96.52)) + (stroke (width 0) (type default)) + (uuid 93b5befe-7d9d-4196-b794-8758aadb170c) + ) + + (wire (pts (xy 116.84 91.44) (xy 111.76 91.44)) + (stroke (width 0) (type default)) + (uuid 98473ffc-00c4-4de4-9d1d-02240cfe5cbd) + ) + (bus (pts (xy 53.34 99.06) (xy 52.07 99.06)) + (stroke (width 0) (type default)) + (uuid 9ceb234a-9e0d-486a-839a-5a3a5efd30ae) + ) + + (wire (pts (xy 142.24 68.58) (xy 147.32 68.58)) + (stroke (width 0) (type default)) + (uuid 9d67c19c-17d3-47ac-9ff3-e717bda37d9c) + ) + (bus (pts (xy 109.22 88.9) (xy 109.22 91.44)) + (stroke (width 0) (type default)) + (uuid 9f01c15f-6756-47f6-a3b4-9faa54a1eb09) + ) + + (wire (pts (xy 142.24 99.06) (xy 147.32 99.06)) + (stroke (width 0) (type default)) + (uuid a0bec51e-7b35-41fe-b7c6-41a8d4d827c2) + ) + (bus (pts (xy 109.22 78.74) (xy 109.22 81.28)) + (stroke (width 0) (type default)) + (uuid a363324e-ec6c-403c-b4d9-adf7dd976416) + ) + (bus (pts (xy 93.98 60.96) (xy 93.98 63.5)) + (stroke (width 0) (type default)) + (uuid a4865c30-1809-41ce-b824-4f1edaf17b7a) + ) + (bus (pts (xy 149.86 63.5) (xy 149.86 66.04)) + (stroke (width 0) (type default)) + (uuid a4be7454-117c-49fc-8bf1-732e8e78e90b) + ) + + (wire (pts (xy 86.36 55.88) (xy 91.44 55.88)) + (stroke (width 0) (type default)) + (uuid a73310af-51b5-4f08-ab4f-99b795f8938a) + ) + (wire (pts (xy 116.84 68.58) (xy 111.76 68.58)) + (stroke (width 0) (type default)) + (uuid a7909bc1-3c57-4e3f-b6be-cc9079658e4a) + ) + (wire (pts (xy 142.24 86.36) (xy 147.32 86.36)) + (stroke (width 0) (type default)) + (uuid a8c8ff3b-e9d9-4788-81f6-9c699226998a) + ) + (wire (pts (xy 142.24 96.52) (xy 147.32 96.52)) + (stroke (width 0) (type default)) + (uuid a966ee0a-0018-4cb3-99ab-a05064b0ca2c) + ) + (wire (pts (xy 60.96 93.98) (xy 55.88 93.98)) + (stroke (width 0) (type default)) + (uuid aa0bfc3a-ac3d-4e66-bcaf-e54518ff9435) + ) + (bus (pts (xy 109.22 99.06) (xy 107.95 99.06)) + (stroke (width 0) (type default)) + (uuid ab4f3c80-4a2f-417b-9584-d9bb961d8627) + ) + (bus (pts (xy 93.98 68.58) (xy 93.98 71.12)) + (stroke (width 0) (type default)) + (uuid ac93527c-a8df-4c08-b6f7-966c2b7308e8) + ) + (bus (pts (xy 149.86 83.82) (xy 149.86 86.36)) + (stroke (width 0) (type default)) + (uuid af43e5ee-5091-4d3d-85c0-19bd7fb99ae9) + ) + (bus (pts (xy 53.34 91.44) (xy 53.34 93.98)) + (stroke (width 0) (type default)) + (uuid b0afbd12-8720-4c9e-affe-f8384c4ad17a) + ) + (bus (pts (xy 149.86 93.98) (xy 149.86 96.52)) + (stroke (width 0) (type default)) + (uuid b19a9327-eaba-4543-82ed-36efd899c5b7) + ) + + (wire (pts (xy 116.84 63.5) (xy 111.76 63.5)) + (stroke (width 0) (type default)) + (uuid b5078ec7-7f76-48c3-87ae-207255218cd2) + ) + (wire (pts (xy 60.96 81.28) (xy 55.88 81.28)) + (stroke (width 0) (type default)) + (uuid b677f69a-a9fb-4dc9-9391-ae5a0471b88b) + ) + (bus (pts (xy 93.98 76.2) (xy 93.98 78.74)) + (stroke (width 0) (type default)) + (uuid b8d941fe-3c0c-485d-8794-fd18a36ff484) + ) + + (wire (pts (xy 86.36 73.66) (xy 91.44 73.66)) + (stroke (width 0) (type default)) + (uuid b9144275-5ec2-4a70-af8f-42abca18f070) + ) + (bus (pts (xy 149.86 81.28) (xy 149.86 83.82)) + (stroke (width 0) (type default)) + (uuid b9ea0beb-7caf-47ca-b7e6-26ddac4cf63f) + ) + + (wire (pts (xy 86.36 83.82) (xy 91.44 83.82)) + (stroke (width 0) (type default)) + (uuid bd950801-6a33-4ab5-b372-994ccb546735) + ) + (wire (pts (xy 116.84 73.66) (xy 111.76 73.66)) + (stroke (width 0) (type default)) + (uuid bdfb030e-ff1c-4b25-badc-a52925969f11) + ) + (wire (pts (xy 142.24 55.88) (xy 147.32 55.88)) + (stroke (width 0) (type default)) + (uuid c01dc4bb-36ca-4b52-b9e2-67ca57dd1816) + ) + (wire (pts (xy 60.96 78.74) (xy 55.88 78.74)) + (stroke (width 0) (type default)) + (uuid c067bc6f-5bdf-4734-b279-4ef25072df2e) + ) + (wire (pts (xy 60.96 73.66) (xy 55.88 73.66)) + (stroke (width 0) (type default)) + (uuid c0d7bf07-9504-476f-b332-99e606268670) + ) + (wire (pts (xy 86.36 78.74) (xy 91.44 78.74)) + (stroke (width 0) (type default)) + (uuid c2ae0990-e9c5-4170-afda-e8cd5c455996) + ) + (bus (pts (xy 109.22 73.66) (xy 109.22 76.2)) + (stroke (width 0) (type default)) + (uuid c3af7bbc-9fd9-47b5-8a3d-a038de7ec4a3) + ) + (bus (pts (xy 93.98 83.82) (xy 93.98 86.36)) + (stroke (width 0) (type default)) + (uuid c4060ebd-18e5-4de2-9e21-94585db82ac1) + ) + (bus (pts (xy 109.22 66.04) (xy 107.95 66.04)) + (stroke (width 0) (type default)) + (uuid c5b2ed0b-2693-4a14-b658-2ed69bd13515) + ) + (bus (pts (xy 109.22 66.04) (xy 109.22 68.58)) + (stroke (width 0) (type default)) + (uuid c73b7218-4dda-4054-bb19-929b4f450564) + ) + + (wire (pts (xy 60.96 99.06) (xy 55.88 99.06)) + (stroke (width 0) (type default)) + (uuid c7a85f72-8dde-4d42-9b5a-479817305beb) + ) + (bus (pts (xy 93.98 78.74) (xy 93.98 81.28)) + (stroke (width 0) (type default)) + (uuid cb687d7b-6f84-4689-9b68-2503122c7d69) + ) + + (wire (pts (xy 60.96 86.36) (xy 55.88 86.36)) + (stroke (width 0) (type default)) + (uuid cc37f15d-c329-44ed-ad6a-71fff6d009f8) + ) + (wire (pts (xy 86.36 96.52) (xy 91.44 96.52)) + (stroke (width 0) (type default)) + (uuid ccd926b3-014f-4eaa-b2c3-a571a8444e93) + ) + (bus (pts (xy 93.98 58.42) (xy 95.25 58.42)) + (stroke (width 0) (type default)) + (uuid ccf25220-51b9-46a4-89ba-3da1b4f41d06) + ) + + (wire (pts (xy 60.96 55.88) (xy 60.96 58.42)) + (stroke (width 0) (type default)) + (uuid cd45e0d0-e2d0-4576-a8f5-e23680088b1f) + ) + (bus (pts (xy 93.98 81.28) (xy 93.98 83.82)) + (stroke (width 0) (type default)) + (uuid d197ed81-ee5c-479a-bf9f-45e745d14018) + ) + (bus (pts (xy 149.86 91.44) (xy 149.86 93.98)) + (stroke (width 0) (type default)) + (uuid d5f9be0d-bc7f-40b4-a84a-c76964e919ef) + ) + + (wire (pts (xy 60.96 68.58) (xy 55.88 68.58)) + (stroke (width 0) (type default)) + (uuid d65cf634-eb12-408b-af12-43c0e5d0b02f) + ) + (wire (pts (xy 116.84 71.12) (xy 111.76 71.12)) + (stroke (width 0) (type default)) + (uuid de8e88a2-af26-41b4-920e-f371dfff4ab8) + ) + (bus (pts (xy 53.34 99.06) (xy 53.34 101.6)) + (stroke (width 0) (type default)) + (uuid df3aec13-6ccc-428d-8303-e561fc0983e5) + ) + (bus (pts (xy 109.22 81.28) (xy 109.22 83.82)) + (stroke (width 0) (type default)) + (uuid e1c0e2cb-5892-4027-bd40-50109671356e) + ) + (bus (pts (xy 149.86 68.58) (xy 149.86 71.12)) + (stroke (width 0) (type default)) + (uuid e1f2c415-53ca-41bd-bd07-6b574aa057ec) + ) + (bus (pts (xy 93.98 101.6) (xy 95.25 101.6)) + (stroke (width 0) (type default)) + (uuid e2babbad-2eed-4dc7-94a5-0619ea18064a) + ) + + (wire (pts (xy 142.24 66.04) (xy 147.32 66.04)) + (stroke (width 0) (type default)) + (uuid e3e49c99-635e-4c87-9a49-7209ae895ec2) + ) + (wire (pts (xy 60.96 66.04) (xy 55.88 66.04)) + (stroke (width 0) (type default)) + (uuid e402e034-b42b-4ae8-8387-33dd3704d806) + ) + (wire (pts (xy 116.84 114.3) (xy 116.84 111.76)) + (stroke (width 0) (type default)) + (uuid e47874ae-f6b4-46d6-a976-2b2b8c5da488) + ) + (wire (pts (xy 116.84 83.82) (xy 111.76 83.82)) + (stroke (width 0) (type default)) + (uuid e5171b42-4078-4608-a579-d543bdfbd2ea) + ) + (bus (pts (xy 93.98 91.44) (xy 93.98 93.98)) + (stroke (width 0) (type default)) + (uuid e904f089-cbe0-4177-bba7-aeecef78a709) + ) + (bus (pts (xy 109.22 101.6) (xy 109.22 99.06)) + (stroke (width 0) (type default)) + (uuid eb00838b-0fbe-48ab-ab97-57b9867cd1bb) + ) + + (wire (pts (xy 116.84 88.9) (xy 111.76 88.9)) + (stroke (width 0) (type default)) + (uuid ee8bf51c-5e50-4e8f-8d4b-f81b99aa1911) + ) + (bus (pts (xy 53.34 78.74) (xy 53.34 81.28)) + (stroke (width 0) (type default)) + (uuid eedad611-822c-4374-8e00-f8791bbfca69) + ) + + (wire (pts (xy 116.84 86.36) (xy 111.76 86.36)) + (stroke (width 0) (type default)) + (uuid f1bd2bc8-854e-4806-8a8c-c90d8d862018) + ) + (wire (pts (xy 86.36 63.5) (xy 91.44 63.5)) + (stroke (width 0) (type default)) + (uuid f6e87553-3db8-45a3-91ea-df4be625050d) + ) + (bus (pts (xy 93.98 99.06) (xy 93.98 101.6)) + (stroke (width 0) (type default)) + (uuid f7a68c35-d00a-4ed7-9992-c1c381e13206) + ) + (bus (pts (xy 93.98 66.04) (xy 93.98 68.58)) + (stroke (width 0) (type default)) + (uuid f9dea37a-805a-4aef-9952-590398c3a0c3) + ) + + (wire (pts (xy 86.36 76.2) (xy 91.44 76.2)) + (stroke (width 0) (type default)) + (uuid fa2a2486-8cb1-496c-bdc3-b1525cdbb9aa) + ) + (bus (pts (xy 109.22 83.82) (xy 109.22 86.36)) + (stroke (width 0) (type default)) + (uuid fc5a5fd2-9b84-4dda-aede-9dc063254e11) + ) + (bus (pts (xy 109.22 76.2) (xy 109.22 78.74)) + (stroke (width 0) (type default)) + (uuid fea42f0b-49af-4dc5-be29-ea503aca7f7b) + ) + + (wire (pts (xy 142.24 91.44) (xy 147.32 91.44)) + (stroke (width 0) (type default)) + (uuid ff14f130-38c5-4bfc-8c3a-d5567655a608) + ) + + (label "RA0" (at 116.84 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 07c15afe-69d1-4733-ac94-f6b48783cc90) + ) + (label "D21" (at 142.24 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 0961f8e3-faf7-4a23-a6f5-cbc2fd0566b8) + ) + (label "BA1" (at 60.96 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0c1fd704-9f15-44e2-9c3c-98b017573e59) + ) + (label "RA2" (at 60.96 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 0e03c62a-6508-482a-aeab-54d0107d5b46) + ) + (label "D30" (at 142.24 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 12e46a05-0f37-40df-a32e-babd5668228d) + ) + (label "RA7" (at 60.96 81.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 182391fb-cf29-49da-9794-8ea41adc798b) + ) + (label "BA0" (at 116.84 96.52 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 1e4e6562-3f48-48ab-92ad-f1516a406342) + ) + (label "RA12" (at 116.84 93.98 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 22854cfb-4f7d-4e1f-a8ba-56b542aaef24) + ) + (label "DQM0" (at 86.36 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 268dbb63-5589-4d1d-877b-1667e7194f17) + ) + (label "D26" (at 142.24 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 2bd27794-6ca7-4a07-858a-a0cd64eda2aa) + ) + (label "RA10" (at 116.84 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 3586865b-cbfb-4ef5-957d-f9aa211f7934) + ) + (label "D24" (at 142.24 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 3b7a0f08-1d75-4616-b294-dd6a32b2af9e) + ) + (label "RA8" (at 116.84 83.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 41489b2d-2f5a-4f08-a786-d7299ce2fa10) + ) + (label "D4" (at 86.36 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 434c8aa3-1298-49bb-a446-4f5a5739e9d1) + ) + (label "RA4" (at 116.84 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 4f0be3d0-3330-4b56-bbd3-b0652beeb03a) + ) + (label "D29" (at 142.24 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 51823a0c-8356-4c8f-bf7d-c54f0e86f05d) + ) + (label "BA1" (at 116.84 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 58a9370f-4b07-42d0-a311-9bd5cf18c411) + ) + (label "RA5" (at 116.84 76.2 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5a24a43a-be22-46ae-93e8-56c33fb0c519) + ) + (label "RA0" (at 60.96 63.5 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 5fc2c675-df26-4ff9-8ad8-8069bec427ec) + ) + (label "RA10" (at 60.96 88.9 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 62950c49-9af2-4148-a85f-0c1437820145) + ) + (label "RA5" (at 60.96 76.2 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 62fb26c5-46e1-43f7-a07a-9e36202c9100) + ) + (label "DQM2" (at 142.24 96.52 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 64046c03-587b-42b7-88d0-55ba54c829df) + ) + (label "RA6" (at 60.96 78.74 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 65755152-cae2-4f84-8d1a-8259098b4a59) + ) + (label "RA2" (at 116.84 68.58 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 6df41492-4db2-4786-87e5-daa933b8f775) + ) + (label "D5" (at 86.36 68.58 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 715058a8-da7b-47dc-8fc6-646ab77e63e1) + ) + (label "DQM3" (at 142.24 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 75453bac-569c-4881-a90e-01163aadaebe) + ) + (label "D14" (at 86.36 91.44 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 791881f9-5c4a-437f-b09e-e1ac809e05ed) + ) + (label "RA6" (at 116.84 78.74 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 7c92a6cb-812d-4cb2-acfa-0dc80f4db755) + ) + (label "D10" (at 86.36 81.28 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 80321677-9f02-4688-bd77-6d8c4e745f2f) + ) + (label "DQM1" (at 86.36 99.06 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 80fbf2e8-26f5-4869-bf5f-12c48251a5c9) + ) + (label "D27" (at 142.24 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 846379cb-f354-4b31-a5c3-7cfc08ec81b1) + ) + (label "D8" (at 86.36 76.2 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 8a4fbe75-a1ee-4518-bb78-e4c2ea574d31) + ) + (label "RA1" (at 60.96 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 96928db9-37a1-41e2-84bd-08ad0436d4b5) + ) + (label "D31" (at 142.24 93.98 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9708c764-602f-41b2-ab26-6f81cfef1657) + ) + (label "RA11" (at 116.84 91.44 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid 99f29bb5-4f37-4538-b96c-a6ae02db13fa) + ) + (label "D13" (at 86.36 88.9 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9a6878b0-04af-4467-ac3c-20df600947f3) + ) + (label "D20" (at 142.24 66.04 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid 9d055d9f-2bb5-44b9-9b62-62286e3be0a0) + ) + (label "D12" (at 86.36 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid a4d5a333-4711-4514-8714-60e368781a49) + ) + (label "D19" (at 142.24 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid aa37e97c-248b-4d59-b07e-d9dfd01146ee) + ) + (label "D15" (at 86.36 93.98 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid abc365db-df49-4e40-8d3e-a0617cf42299) + ) + (label "RA1" (at 116.84 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ad91cd02-c69f-4b69-8705-26b45837fc18) + ) + (label "D2" (at 86.36 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ae14ac49-d1b3-4762-b1ab-db0e912128a5) + ) + (label "RA7" (at 116.84 81.28 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid b0ae2d1f-3d86-4c8f-9f24-33b8a57eff32) + ) + (label "D22" (at 142.24 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b41f97c4-0bf6-4da1-a102-1dc7fda412c4) + ) + (label "D11" (at 86.36 83.82 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid b9b378a9-263d-448e-94c3-85eb6ec60571) + ) + (label "D17" (at 142.24 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ba0909c7-8f0b-4000-9579-b1faa7fcb0e5) + ) + (label "RA9" (at 116.84 86.36 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid bb1a3fe4-4897-4b29-958d-2cc5b06018d6) + ) + (label "D25" (at 142.24 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid bcbe233a-d2b3-4ef8-8ad7-878df59a42e2) + ) + (label "D18" (at 142.24 60.96 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid c50ef127-9259-4712-af7e-9fcbeee5a133) + ) + (label "RA3" (at 60.96 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid c9f1f998-c798-4beb-885f-b104b9b6d451) + ) + (label "D1" (at 86.36 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid ca177c96-e5aa-4c47-b33c-53795a9ecfe6) + ) + (label "D0" (at 86.36 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid cb35848c-7c64-4ab1-b985-fd5920033da0) + ) + (label "BA0" (at 60.96 96.52 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid cd151d34-0d6e-481e-8123-6d24ec9783d0) + ) + (label "D7" (at 86.36 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d23f6c34-5839-4398-99e4-988b8ee865c1) + ) + (label "D3" (at 86.36 63.5 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d3f84004-86e2-44cc-9558-dcedf20f96f4) + ) + (label "D6" (at 86.36 71.12 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid d55165ee-9c04-496a-8d34-0004e505f4da) + ) + (label "RA3" (at 116.84 71.12 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid db420101-5461-4717-8c4f-2bc40cfbeab4) + ) + (label "RA11" (at 60.96 91.44 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid e55d0fe7-2344-4995-b925-779052a83109) + ) + (label "RA9" (at 60.96 86.36 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid ee3af33e-3923-44f0-a9af-813c9627b7d9) + ) + (label "D28" (at 142.24 86.36 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f1a01ab2-49bf-4b87-9217-86b07398d07f) + ) + (label "D16" (at 142.24 55.88 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid f33ff4d5-17e5-4f64-bbaa-72d364ea6f42) + ) + (label "RA12" (at 60.96 93.98 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f84bf702-065b-4cce-ad27-7afee5bc8234) + ) + (label "RA8" (at 60.96 83.82 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f9434240-78cc-460a-ab3f-55b0b6addb06) + ) + (label "RA4" (at 60.96 73.66 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right bottom)) + (uuid f95bf876-f33d-4dcc-afcd-a0552d6efbcf) + ) + (label "D23" (at 142.24 73.66 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fc66e2d1-019c-407a-bac6-a48aa8f1d738) + ) + (label "D9" (at 86.36 78.74 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left bottom)) + (uuid fd075896-ff02-48c3-959a-5569706582fc) + ) + + (hierarchical_label "BA[1..0]" (shape input) (at 107.95 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0016cef3-8a78-4e90-b91f-bba80a48878d) + ) + (hierarchical_label "CLK01" (shape input) (at 60.96 106.68 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 0f1cb5ba-db4e-4ac6-b6cd-fbc9e7367065) + ) + (hierarchical_label "~{WE}" (shape input) (at 142.24 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 115720ea-b8f7-40f3-8254-1aa323bbb86e) + ) + (hierarchical_label "RA[12..0]" (shape input) (at 107.95 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 1f68c83d-521c-43f8-a445-e715af3646f7) + ) + (hierarchical_label "~{CS}" (shape input) (at 142.24 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 249a3a51-0b93-4167-baa7-527b243d9896) + ) + (hierarchical_label "~{RAS}" (shape input) (at 142.24 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3ac435dd-e801-40b6-9f29-419f0a413e0e) + ) + (hierarchical_label "DQM[3..0]" (shape input) (at 151.13 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 3f925893-9996-4cee-8d95-3c27a54ce2c7) + ) + (hierarchical_label "~{WE}" (shape input) (at 86.36 109.22 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 41ac6896-f239-4055-883c-d513a7fc4374) + ) + (hierarchical_label "BA[1..0]" (shape input) (at 52.07 99.06 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 46788078-282e-4470-91e0-d1e7000750f1) + ) + (hierarchical_label "CKE" (shape input) (at 60.96 104.14 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 49b43a7c-1805-42af-b3f7-0c1efe685168) + ) + (hierarchical_label "CKE" (shape input) (at 116.84 104.14 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 4ae86c8c-e25b-4b28-9a05-13e02d120b30) + ) + (hierarchical_label "DQM[3..0]" (shape input) (at 95.25 101.6 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 54b67503-508b-41af-ac96-24f9b10334a3) + ) + (hierarchical_label "~{CAS}" (shape input) (at 86.36 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 61f3816c-f147-43f4-935f-a19ffb4bf4fc) + ) + (hierarchical_label "D[31..0]" (shape bidirectional) (at 151.13 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 7cd959e7-7a87-4b51-8d41-3f758759178c) + ) + (hierarchical_label "~{RAS}" (shape input) (at 86.36 114.3 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid 86eded85-826f-4765-85ae-9b656447841c) + ) + (hierarchical_label "CLK23" (shape input) (at 116.84 106.68 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid 88d1b869-387e-4373-b33c-acc1980e74b6) + ) + (hierarchical_label "RA[12..0]" (shape input) (at 52.07 66.04 180) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify right)) + (uuid d8a98594-ec54-4792-9019-759dcaee8ffb) + ) + (hierarchical_label "~{CAS}" (shape input) (at 142.24 111.76 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid d8c09bd9-49cc-42b1-b1a7-956df1091c3b) + ) + (hierarchical_label "D[31..0]" (shape bidirectional) (at 95.25 58.42 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f3164df4-fc87-4880-abbc-d6a14778f875) + ) + (hierarchical_label "~{CS}" (shape input) (at 86.36 106.68 0) (fields_autoplaced) + (effects (font (size 1.27 1.27)) (justify left)) + (uuid f9a5007e-c97d-4074-8c05-99a2f0ab0516) + ) + + (symbol (lib_id "GW_RAM:SDRAM-16Mx16-TSOP2-54") (at 73.66 81.28 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006326a531) + (property "Reference" "U4" (at 73.66 52.07 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W9825G6KH-6" (at 73.66 81.28 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Winbond_TSOPII-54" (at 73.66 123.19 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (at 73.66 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 86ce7587-9f2a-4ec4-9f83-5192a1715d64)) + (pin "10" (uuid 88a4e608-20e9-46e7-ba46-f6a39c7709c7)) + (pin "11" (uuid ffd6f37a-fcbe-4686-838b-8bfdd7896738)) + (pin "12" (uuid 2422d79f-fae3-4c63-8364-4c8ee8ebcd1f)) + (pin "13" (uuid d5ab554b-52c6-4c93-b39c-fcc3ee2ebaa2)) + (pin "14" (uuid dba2762d-028b-4fb3-9d74-21eb29a0bc65)) + (pin "15" (uuid aad9fccd-439d-4ce8-a9e8-a4061a20641e)) + (pin "16" (uuid 707717df-2a37-4736-a7cc-d83f77c4723f)) + (pin "17" (uuid ec9ae610-f94a-4b3e-8f9b-96937587e6a8)) + (pin "18" (uuid 9a9bef50-0c9f-4417-870b-b984ba762ba7)) + (pin "19" (uuid 0633c098-605d-4580-835c-866292357e11)) + (pin "2" (uuid 4bdf57c5-4894-4f62-823a-e30adae02194)) + (pin "20" (uuid 5c7172bd-e74f-4624-9610-225924fc86f5)) + (pin "21" (uuid f366ee4e-ea1f-40d1-b290-73341bd24222)) + (pin "22" (uuid 6f70626c-7dc9-40e0-9400-602caecb76d9)) + (pin "23" (uuid cad4e898-8dcd-413c-871c-c1e7c83ec3c1)) + (pin "24" (uuid 2a166549-cf8a-4358-8d95-5f3c4bacb502)) + (pin "25" (uuid 7083d841-7e93-44c2-93f5-202e58b6d4be)) + (pin "26" (uuid 15bd591f-6bf8-46bf-a1cf-cd8e3b58efd9)) + (pin "27" (uuid 391de96d-457c-43ae-92e9-47df045ff4da)) + (pin "28" (uuid 3133f63e-b542-4a12-82f3-482a633c1428)) + (pin "29" (uuid 71f41f41-82bc-4f55-b247-318bd8fc1296)) + (pin "3" (uuid 67bb7b39-1943-4c89-b430-befaf6e94438)) + (pin "30" (uuid 5efb7d7a-3923-441c-bee9-c71712cd0c90)) + (pin "31" (uuid f63f0732-c8c7-4644-9c5e-30bef792c97c)) + (pin "32" (uuid 86662269-4efb-4632-9a89-04568df3fe76)) + (pin "33" (uuid 7a947737-7299-4a84-af6a-af4a2959dfd1)) + (pin "34" (uuid 857657d6-e167-4aca-97a3-d2b12d522b3c)) + (pin "35" (uuid 6f70cfae-649a-4e3b-ad85-0e36484e9a28)) + (pin "36" (uuid 0363b819-6682-412f-80fa-fe782b395873)) + (pin "37" (uuid 65ae1dbd-3538-4af1-9162-9d42019e107f)) + (pin "38" (uuid ef9e6c17-07e1-4c06-88a3-44854f4f6b01)) + (pin "39" (uuid cd98b3f2-3ce5-4f7a-9477-51a231d39a3d)) + (pin "4" (uuid 04558b15-72ca-4944-bf63-0e1751a4dce2)) + (pin "41" (uuid 3dfb114a-08ae-4ae8-a32d-de3ebfa1c8b5)) + (pin "42" (uuid 3dca6a42-a0e2-4827-a995-051f997136b1)) + (pin "43" (uuid ef3b56f9-7556-468d-86d2-7d51468c3031)) + (pin "44" (uuid ebf7c511-93ab-4090-a2a1-ce889877fbb7)) + (pin "45" (uuid 3c44feab-34d8-4d5a-8c23-773f15f154e7)) + (pin "46" (uuid 1e298d34-8a7c-4bce-b250-049b2ee4f1c7)) + (pin "47" (uuid 26199de7-79ef-44ab-af30-9ec86ba31989)) + (pin "48" (uuid 12b8dd93-c09c-42e1-b060-ce4517dde1b5)) + (pin "49" (uuid 3792cfa9-9c35-4af6-aac6-8f7068456912)) + (pin "5" (uuid d40d19c3-f53b-4539-b580-601962293db8)) + (pin "50" (uuid 491b6502-0bdf-4e42-a019-60def7670b26)) + (pin "51" (uuid 9c6f2afa-9191-4bf2-98f9-63ee6f0996a5)) + (pin "52" (uuid ed8a334e-ba44-40d8-a5e3-46416b7c988d)) + (pin "53" (uuid c10ad79a-2c9e-4e66-a748-22adc4e64e32)) + (pin "54" (uuid f6f13983-0301-4f68-ad62-0e790c12bcf3)) + (pin "6" (uuid 8a66a956-13c6-4d28-b652-5269c51f5544)) + (pin "7" (uuid d28cdf4a-8fda-4117-93f8-720af793d2ee)) + (pin "8" (uuid f106c99b-bbb2-40c7-8bcb-e15aa6a8c4fc)) + (pin "9" (uuid b8a8e034-fbab-4631-8cc6-bdf466d7f3d2)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000063261d60" + (reference "U4") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "GW_RAM:SDRAM-16Mx16-TSOP2-54") (at 129.54 81.28 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-00006327223f) + (property "Reference" "U5" (at 129.54 52.07 0) + (effects (font (size 1.27 1.27))) + ) + (property "Value" "W9825G6KH-6" (at 129.54 81.28 90) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "stdpads:Winbond_TSOPII-54" (at 129.54 123.19 0) + (effects (font (size 1.27 1.27) italic) hide) + ) + (property "Datasheet" "" (at 129.54 87.63 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 9c1d9ad2-e0ec-487a-82db-18d2760ffcec)) + (pin "10" (uuid 23121b45-7f1a-43fc-afb8-0059ae0606da)) + (pin "11" (uuid 4cf063a3-0136-4736-ad4a-54126a7e7e67)) + (pin "12" (uuid 5bfd7ffe-8f57-4a0c-ad02-8f871d84bb20)) + (pin "13" (uuid c5f77c6a-5a14-4165-820b-4f8083d40b47)) + (pin "14" (uuid 2270e24a-8cd4-4676-83ed-6cc94c6227e7)) + (pin "15" (uuid 05197865-be5a-4817-9ceb-16dfd1efbdd2)) + (pin "16" (uuid 7643e1a7-cbfd-4479-986b-0dd14d4557f1)) + (pin "17" (uuid e13e7dfd-36d3-4f3a-bdb6-b9ea56fb3bc0)) + (pin "18" (uuid c0c755da-499a-41af-9adf-ceb5fed5c4f3)) + (pin "19" (uuid 02799337-4d35-4ae6-a0d2-855a98aad27b)) + (pin "2" (uuid 98632b7b-33f6-4f36-ae91-6406b3792113)) + (pin "20" (uuid bbdf1477-3c78-4cb6-91f3-d0353d973d36)) + (pin "21" (uuid 9df229d3-b0a7-4380-b216-012d88577580)) + (pin "22" (uuid e889d6a9-45bb-4192-a084-002b494c6a19)) + (pin "23" (uuid 8c82d726-425a-4073-a2db-8f711ba013da)) + (pin "24" (uuid b199e5d0-e5f8-4ccd-a40c-399fa17f0ecc)) + (pin "25" (uuid a4e73075-d011-47eb-943f-d8444957c893)) + (pin "26" (uuid c782bec6-9c34-4a09-8e41-948f5f45663f)) + (pin "27" (uuid c4b7e004-110b-4bc2-836a-fc0d2121553f)) + (pin "28" (uuid c686323d-2df6-434f-b462-91e9c109a149)) + (pin "29" (uuid 1918fbbe-fcf0-4593-898d-4479de88347e)) + (pin "3" (uuid 68f111cb-b390-48da-92cc-aaf8c692289e)) + (pin "30" (uuid c6d67b6b-219c-47c4-9d06-070030859705)) + (pin "31" (uuid 23dcd80a-1d40-41d3-9b80-081d6fa07b31)) + (pin "32" (uuid 7eead7da-a3b7-48c1-a60d-9e6945ce0d44)) + (pin "33" (uuid 073f995a-2465-4d7c-97ba-898c61602c0a)) + (pin "34" (uuid 9c4df4c3-67b9-4063-b714-7cc9eaacd2bd)) + (pin "35" (uuid 40a63328-649b-463a-89c8-ddc9646d9fba)) + (pin "36" (uuid 450633e9-b1ae-492d-83bc-92623833175f)) + (pin "37" (uuid 9014a44c-d1e0-462a-b32b-0ace07680ed6)) + (pin "38" (uuid 2bc7e4ad-fc2f-44f9-b318-38d091e2b892)) + (pin "39" (uuid 301b101b-e6da-49b8-ad9b-8572d8ce2865)) + (pin "4" (uuid eb57c86f-b832-4032-900c-d745ee01d315)) + (pin "41" (uuid 29d7ddb9-c8f9-422b-be93-0b43e5053379)) + (pin "42" (uuid 0c21a669-543c-451a-9096-f9b21cf32696)) + (pin "43" (uuid 136c12d5-62d2-40ca-b75e-87be3ebdf6f0)) + (pin "44" (uuid 50a6d8ff-9462-4ed0-b6e9-b9af1b52a0f0)) + (pin "45" (uuid cd8cecdb-ce90-4a07-8e73-865806f7875a)) + (pin "46" (uuid 4cd25b46-b70d-4f35-bd6c-ec7cc3f036b6)) + (pin "47" (uuid 797ec6c6-e53d-4439-aec9-8d3f0e5809a4)) + (pin "48" (uuid a31a304a-d97b-41d5-ab27-7d58176ad0a7)) + (pin "49" (uuid 8d8baedc-a365-49ed-96ce-bcfc4a8cb608)) + (pin "5" (uuid 0f46a2a0-0f94-4b60-812e-95f77d6fd401)) + (pin "50" (uuid e35f8697-2e5e-438b-b5cb-e273e6c70968)) + (pin "51" (uuid 45c9f08b-964a-4af2-8165-bc0bb2b48428)) + (pin "52" (uuid 3ecfa737-8cef-45e2-a8df-43bcb52de6fb)) + (pin "53" (uuid 9a6afc88-196a-4f3c-a9eb-f6e41c685e2d)) + (pin "54" (uuid b93ff471-5c2f-4154-8fcf-82114d1d01ce)) + (pin "6" (uuid 38663f49-2e5a-4d78-aea7-5997a69dbcf4)) + (pin "7" (uuid 67e45bd1-3894-4bf8-9a83-71128d047795)) + (pin "8" (uuid 4307472b-59a8-4a77-8462-bf8b07f81fdf)) + (pin "9" (uuid c3339502-20c4-487a-99ad-09c272d1e58e)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000063261d60" + (reference "U5") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 116.84 114.3 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063274829) + (property "Reference" "#PWR0129" (at 116.84 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 116.84 118.11 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 116.84 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 116.84 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid 0cb7981f-38e5-43b0-8dbe-0fea33ca2c8e)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000063261d60" + (reference "#PWR0129") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:GND") (at 60.96 114.3 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000632755e1) + (property "Reference" "#PWR0126" (at 60.96 120.65 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "GND" (at 60.96 118.11 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 60.96 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 60.96 114.3 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid d2610fbd-703e-40f7-9c5a-a5a2dc1c2dae)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000063261d60" + (reference "#PWR0126") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 60.96 55.88 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-0000632761aa) + (property "Reference" "#PWR0127" (at 60.96 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 60.96 52.07 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 60.96 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 60.96 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid c24b9bfd-7e47-4616-b3b0-834ac62f34a9)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000063261d60" + (reference "#PWR0127") (unit 1) + ) + ) + ) + ) + + (symbol (lib_id "power:+3V3") (at 116.84 55.88 0) (unit 1) + (in_bom yes) (on_board yes) (dnp no) + (uuid 00000000-0000-0000-0000-000063276882) + (property "Reference" "#PWR0128" (at 116.84 59.69 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Value" "+3V3" (at 116.84 52.07 0) + (effects (font (size 1.27 1.27))) + ) + (property "Footprint" "" (at 116.84 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (property "Datasheet" "" (at 116.84 55.88 0) + (effects (font (size 1.27 1.27)) hide) + ) + (pin "1" (uuid acc4fca4-8c4e-472a-b142-8589bec2722c)) + (instances + (project "SE-030" + (path "/70f3c4d7-2986-471b-b5fa-d888368dbdd8/00000000-0000-0000-0000-000063261d60" + (reference "#PWR0128") (unit 1) + ) + ) + ) + ) +) diff --git a/RAM.sch b/RAM.sch deleted file mode 100644 index f68be7d..0000000 --- a/RAM.sch +++ /dev/null @@ -1,560 +0,0 @@ -EESchema Schematic File Version 4 -EELAYER 30 0 -EELAYER END -$Descr A4 11693 8268 -encoding utf-8 -Sheet 4 7 -Title "" -Date "" -Rev "" -Comp "" -Comment1 "" -Comment2 "" -Comment3 "" -Comment4 "" -$EndDescr -Text Label 2400 2500 2 50 ~ 0 -RA0 -Text Label 2400 2600 2 50 ~ 0 -RA1 -Text Label 2400 2700 2 50 ~ 0 -RA2 -Text Label 2400 2900 2 50 ~ 0 -RA4 -Text Label 2400 2800 2 50 ~ 0 -RA3 -Text Label 2400 3000 2 50 ~ 0 -RA5 -$Comp -L GW_RAM:SDRAM-16Mx16-TSOP2-54 U4 -U 1 1 6326A531 -P 2900 3200 -F 0 "U4" H 2900 4350 50 0000 C CNN -F 1 "W9825G6KH-6" V 2900 3200 50 0000 C CNN -F 2 "stdpads:Winbond_TSOPII-54" H 2900 1550 50 0001 C CIN -F 3 "" H 2900 2950 50 0001 C CNN - 1 2900 3200 - 1 0 0 -1 -$EndComp -Text Label 2400 3100 2 50 ~ 0 -RA6 -Text Label 2400 3200 2 50 ~ 0 -RA7 -Text Label 2400 3300 2 50 ~ 0 -RA8 -Text Label 2400 3400 2 50 ~ 0 -RA9 -Text Label 2400 3500 2 50 ~ 0 -RA10 -Text Label 2400 3600 2 50 ~ 0 -RA11 -Text Label 2400 3700 2 50 ~ 0 -RA12 -Text Label 2400 3800 2 50 ~ 0 -BA0 -Text Label 2400 3900 2 50 ~ 0 -BA1 -Text Label 3400 2200 0 50 ~ 0 -D0 -Text Label 3400 2300 0 50 ~ 0 -D1 -Text Label 3400 2400 0 50 ~ 0 -D2 -Text Label 3400 2500 0 50 ~ 0 -D3 -Text Label 3400 2600 0 50 ~ 0 -D4 -Text Label 3400 2700 0 50 ~ 0 -D5 -Text Label 3400 2800 0 50 ~ 0 -D6 -Text Label 3400 2900 0 50 ~ 0 -D7 -Text Label 3400 3000 0 50 ~ 0 -D8 -Text Label 3400 3100 0 50 ~ 0 -D9 -Text Label 3400 3200 0 50 ~ 0 -D10 -Text Label 3400 3300 0 50 ~ 0 -D11 -Text Label 3400 3400 0 50 ~ 0 -D12 -Text Label 3400 3500 0 50 ~ 0 -D13 -Text Label 3400 3600 0 50 ~ 0 -D14 -Text Label 3400 3700 0 50 ~ 0 -D15 -Text Label 3400 3800 0 50 ~ 0 -DQM0 -Text Label 3400 3900 0 50 ~ 0 -DQM1 -Text HLabel 3400 4200 2 50 Input ~ 0 -~CS~ -Text HLabel 3400 4300 2 50 Input ~ 0 -~WE~ -Text HLabel 3400 4400 2 50 Input ~ 0 -~CAS~ -Text HLabel 3400 4500 2 50 Input ~ 0 -~RAS~ -Text HLabel 2400 4100 0 50 Input ~ 0 -CKE -Text HLabel 2400 4200 0 50 Input ~ 0 -CLK01 -$Comp -L power:GND #PWR0126 -U 1 1 632755E1 -P 2400 4500 -F 0 "#PWR0126" H 2400 4250 50 0001 C CNN -F 1 "GND" H 2400 4350 50 0000 C CNN -F 2 "" H 2400 4500 50 0001 C CNN -F 3 "" H 2400 4500 50 0001 C CNN - 1 2400 4500 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2400 4500 2400 4400 -$Comp -L power:+3V3 #PWR0127 -U 1 1 632761AA -P 2400 2200 -F 0 "#PWR0127" H 2400 2050 50 0001 C CNN -F 1 "+3V3" H 2400 2350 50 0000 C CNN -F 2 "" H 2400 2200 50 0001 C CNN -F 3 "" H 2400 2200 50 0001 C CNN - 1 2400 2200 - 1 0 0 -1 -$EndComp -Wire Wire Line - 2400 2200 2400 2300 -Wire Wire Line - 3400 3700 3600 3700 -Entry Wire Line - 3600 3700 3700 3800 -Wire Wire Line - 3400 3600 3600 3600 -Entry Wire Line - 3600 3600 3700 3700 -Wire Wire Line - 3400 3500 3600 3500 -Entry Wire Line - 3600 3500 3700 3600 -Wire Wire Line - 3400 3400 3600 3400 -Entry Wire Line - 3600 3400 3700 3500 -Wire Wire Line - 3400 3300 3600 3300 -Entry Wire Line - 3600 3300 3700 3400 -Wire Wire Line - 3400 3200 3600 3200 -Entry Wire Line - 3600 3200 3700 3300 -Wire Wire Line - 3400 3100 3600 3100 -Entry Wire Line - 3600 3100 3700 3200 -Wire Wire Line - 3400 3000 3600 3000 -Entry Wire Line - 3600 3000 3700 3100 -Wire Wire Line - 3400 2900 3600 2900 -Entry Wire Line - 3600 2900 3700 3000 -Wire Wire Line - 3400 2800 3600 2800 -Entry Wire Line - 3600 2800 3700 2900 -Wire Wire Line - 3400 2700 3600 2700 -Entry Wire Line - 3600 2700 3700 2800 -Wire Wire Line - 3400 2600 3600 2600 -Entry Wire Line - 3600 2600 3700 2700 -Wire Wire Line - 3400 2500 3600 2500 -Entry Wire Line - 3600 2500 3700 2600 -Wire Wire Line - 3400 2400 3600 2400 -Entry Wire Line - 3600 2400 3700 2500 -Wire Wire Line - 3400 2300 3600 2300 -Entry Wire Line - 3600 2300 3700 2400 -Wire Wire Line - 3400 2200 3600 2200 -Entry Wire Line - 3600 2200 3700 2300 -Wire Wire Line - 3400 3900 3600 3900 -Entry Wire Line - 3600 3900 3700 4000 -Wire Wire Line - 3400 3800 3600 3800 -Entry Wire Line - 3600 3800 3700 3900 -Wire Wire Line - 2400 3900 2200 3900 -Entry Wire Line - 2200 3900 2100 4000 -Wire Wire Line - 2400 3800 2200 3800 -Entry Wire Line - 2200 3800 2100 3900 -Wire Wire Line - 2400 3700 2200 3700 -Entry Wire Line - 2200 3700 2100 3800 -Wire Wire Line - 2400 3600 2200 3600 -Entry Wire Line - 2200 3600 2100 3700 -Wire Wire Line - 2400 3500 2200 3500 -Entry Wire Line - 2200 3500 2100 3600 -Wire Wire Line - 2400 3400 2200 3400 -Entry Wire Line - 2200 3400 2100 3500 -Wire Wire Line - 2400 3300 2200 3300 -Entry Wire Line - 2200 3300 2100 3400 -Wire Wire Line - 2400 3200 2200 3200 -Entry Wire Line - 2200 3200 2100 3300 -Wire Wire Line - 2400 3100 2200 3100 -Entry Wire Line - 2200 3100 2100 3200 -Wire Wire Line - 2400 3000 2200 3000 -Entry Wire Line - 2200 3000 2100 3100 -Wire Wire Line - 2400 2900 2200 2900 -Entry Wire Line - 2200 2900 2100 3000 -Wire Wire Line - 2400 2800 2200 2800 -Entry Wire Line - 2200 2800 2100 2900 -Wire Wire Line - 2400 2700 2200 2700 -Entry Wire Line - 2200 2700 2100 2800 -Wire Wire Line - 2400 2600 2200 2600 -Entry Wire Line - 2200 2600 2100 2700 -Wire Wire Line - 2400 2500 2200 2500 -Entry Wire Line - 2200 2500 2100 2600 -Wire Bus Line - 3700 3900 3700 4000 -Wire Bus Line - 2100 3900 2100 4000 -Wire Bus Line - 3700 2300 3750 2300 -Wire Bus Line - 2100 2600 2050 2600 -Wire Bus Line - 2100 3900 2050 3900 -Text HLabel 4250 2600 0 50 Input ~ 0 -RA[12..0] -Text HLabel 3750 2300 2 50 BiDi ~ 0 -D[31..0] -Text HLabel 5950 2300 2 50 BiDi ~ 0 -D[31..0] -Wire Bus Line - 4300 3900 4250 3900 -Wire Bus Line - 4300 2600 4250 2600 -Connection ~ 4600 2200 -Wire Bus Line - 5900 2300 5950 2300 -Wire Bus Line - 5900 3900 5900 4000 -Wire Bus Line - 4300 4000 4300 3900 -Entry Wire Line - 4400 2500 4300 2600 -Wire Wire Line - 4600 2500 4400 2500 -Entry Wire Line - 4400 2600 4300 2700 -Wire Wire Line - 4600 2600 4400 2600 -Entry Wire Line - 4400 2700 4300 2800 -Wire Wire Line - 4600 2700 4400 2700 -Entry Wire Line - 4400 2800 4300 2900 -Wire Wire Line - 4600 2800 4400 2800 -Entry Wire Line - 4400 2900 4300 3000 -Wire Wire Line - 4600 2900 4400 2900 -Entry Wire Line - 4400 3000 4300 3100 -Wire Wire Line - 4600 3000 4400 3000 -Entry Wire Line - 4400 3100 4300 3200 -Wire Wire Line - 4600 3100 4400 3100 -Entry Wire Line - 4400 3200 4300 3300 -Wire Wire Line - 4600 3200 4400 3200 -Entry Wire Line - 4400 3300 4300 3400 -Wire Wire Line - 4600 3300 4400 3300 -Entry Wire Line - 4400 3400 4300 3500 -Wire Wire Line - 4600 3400 4400 3400 -Entry Wire Line - 4400 3500 4300 3600 -Wire Wire Line - 4600 3500 4400 3500 -Entry Wire Line - 4400 3600 4300 3700 -Wire Wire Line - 4600 3600 4400 3600 -Entry Wire Line - 4400 3700 4300 3800 -Wire Wire Line - 4600 3700 4400 3700 -Entry Wire Line - 4400 3800 4300 3900 -Wire Wire Line - 4600 3800 4400 3800 -Entry Wire Line - 4400 3900 4300 4000 -Wire Wire Line - 4600 3900 4400 3900 -Entry Wire Line - 5800 3800 5900 3900 -Wire Wire Line - 5600 3800 5800 3800 -Entry Wire Line - 5800 3900 5900 4000 -Wire Wire Line - 5600 3900 5800 3900 -Entry Wire Line - 5800 3000 5900 3100 -Wire Wire Line - 5600 3000 5800 3000 -Entry Wire Line - 5800 3100 5900 3200 -Wire Wire Line - 5600 3100 5800 3100 -Entry Wire Line - 5800 3200 5900 3300 -Wire Wire Line - 5600 3200 5800 3200 -Entry Wire Line - 5800 3300 5900 3400 -Wire Wire Line - 5600 3300 5800 3300 -Entry Wire Line - 5800 3400 5900 3500 -Wire Wire Line - 5600 3400 5800 3400 -Entry Wire Line - 5800 3500 5900 3600 -Wire Wire Line - 5600 3500 5800 3500 -Entry Wire Line - 5800 3600 5900 3700 -Wire Wire Line - 5600 3600 5800 3600 -Entry Wire Line - 5800 3700 5900 3800 -Wire Wire Line - 5600 3700 5800 3700 -Entry Wire Line - 5800 2200 5900 2300 -Wire Wire Line - 5600 2200 5800 2200 -Entry Wire Line - 5800 2300 5900 2400 -Wire Wire Line - 5600 2300 5800 2300 -Entry Wire Line - 5800 2400 5900 2500 -Wire Wire Line - 5600 2400 5800 2400 -Entry Wire Line - 5800 2500 5900 2600 -Wire Wire Line - 5600 2500 5800 2500 -Entry Wire Line - 5800 2600 5900 2700 -Wire Wire Line - 5600 2600 5800 2600 -Entry Wire Line - 5800 2700 5900 2800 -Wire Wire Line - 5600 2700 5800 2700 -Entry Wire Line - 5800 2800 5900 2900 -Wire Wire Line - 5600 2800 5800 2800 -Entry Wire Line - 5800 2900 5900 3000 -Wire Wire Line - 5600 2900 5800 2900 -Wire Wire Line - 4600 2200 4600 2300 -$Comp -L power:+3V3 #PWR0128 -U 1 1 63276882 -P 4600 2200 -F 0 "#PWR0128" H 4600 2050 50 0001 C CNN -F 1 "+3V3" H 4600 2350 50 0000 C CNN -F 2 "" H 4600 2200 50 0001 C CNN -F 3 "" H 4600 2200 50 0001 C CNN - 1 4600 2200 - 1 0 0 -1 -$EndComp -Connection ~ 4600 4500 -Wire Wire Line - 4600 4500 4600 4400 -$Comp -L power:GND #PWR0129 -U 1 1 63274829 -P 4600 4500 -F 0 "#PWR0129" H 4600 4250 50 0001 C CNN -F 1 "GND" H 4600 4350 50 0000 C CNN -F 2 "" H 4600 4500 50 0001 C CNN -F 3 "" H 4600 4500 50 0001 C CNN - 1 4600 4500 - 1 0 0 -1 -$EndComp -Text HLabel 4600 4200 0 50 Input ~ 0 -CLK23 -Text HLabel 4600 4100 0 50 Input ~ 0 -CKE -Text HLabel 5600 4500 2 50 Input ~ 0 -~RAS~ -Text HLabel 5600 4400 2 50 Input ~ 0 -~CAS~ -Text HLabel 5600 4300 2 50 Input ~ 0 -~WE~ -Text HLabel 5600 4200 2 50 Input ~ 0 -~CS~ -Text Label 5600 3700 0 50 ~ 0 -D31 -Text Label 5600 3600 0 50 ~ 0 -D30 -Text Label 5600 3500 0 50 ~ 0 -D29 -Text Label 5600 3400 0 50 ~ 0 -D28 -Text Label 5600 3300 0 50 ~ 0 -D27 -Text Label 5600 3200 0 50 ~ 0 -D26 -Text Label 5600 3100 0 50 ~ 0 -D25 -Text Label 5600 3000 0 50 ~ 0 -D24 -Text Label 5600 2900 0 50 ~ 0 -D23 -Text Label 5600 2800 0 50 ~ 0 -D22 -Text Label 5600 2700 0 50 ~ 0 -D21 -Text Label 5600 2600 0 50 ~ 0 -D20 -Text Label 5600 2500 0 50 ~ 0 -D19 -Text Label 5600 2400 0 50 ~ 0 -D18 -Text Label 5600 2300 0 50 ~ 0 -D17 -Text Label 5600 2200 0 50 ~ 0 -D16 -Text Label 5600 3900 0 50 ~ 0 -DQM3 -Text Label 5600 3800 0 50 ~ 0 -DQM2 -Text Label 4600 3900 2 50 ~ 0 -BA1 -Text Label 4600 3800 2 50 ~ 0 -BA0 -Text Label 4600 3700 2 50 ~ 0 -RA12 -Text Label 4600 3600 2 50 ~ 0 -RA11 -Text Label 4600 3500 2 50 ~ 0 -RA10 -Text Label 4600 3400 2 50 ~ 0 -RA9 -Text Label 4600 3300 2 50 ~ 0 -RA8 -Text Label 4600 3200 2 50 ~ 0 -RA7 -Text Label 4600 3100 2 50 ~ 0 -RA6 -Text Label 4600 3000 2 50 ~ 0 -RA5 -Text Label 4600 2800 2 50 ~ 0 -RA3 -Text Label 4600 2900 2 50 ~ 0 -RA4 -Text Label 4600 2700 2 50 ~ 0 -RA2 -Text Label 4600 2600 2 50 ~ 0 -RA1 -Text Label 4600 2500 2 50 ~ 0 -RA0 -Text HLabel 2050 2600 0 50 Input ~ 0 -RA[12..0] -Text HLabel 2050 3900 0 50 Input ~ 0 -BA[1..0] -Text HLabel 4250 3900 0 50 Input ~ 0 -BA[1..0] -Wire Bus Line - 3700 4000 3750 4000 -Wire Bus Line - 5900 4000 5950 4000 -Text HLabel 3750 4000 2 50 Input ~ 0 -DQM[3..0] -Text HLabel 5950 4000 2 50 Input ~ 0 -DQM[3..0] -$Comp -L GW_RAM:SDRAM-16Mx16-TSOP2-54 U5 -U 1 1 6327223F -P 5100 3200 -F 0 "U5" H 5100 4350 50 0000 C CNN -F 1 "W9825G6KH-6" V 5100 3200 50 0000 C CNN -F 2 "stdpads:Winbond_TSOPII-54" H 5100 1550 50 0001 C CIN -F 3 "" H 5100 2950 50 0001 C CNN - 1 5100 3200 - 1 0 0 -1 -$EndComp -Wire Bus Line - 3700 2300 3700 3800 -Wire Bus Line - 2100 2600 2100 3800 -Wire Bus Line - 4300 2600 4300 3800 -Wire Bus Line - 5900 2300 5900 3800 -$EndSCHEMATC diff --git a/SE-030-backups/SE-030-2022-02-02_021941.zip b/SE-030-backups/SE-030-2022-02-02_021941.zip deleted file mode 100644 index 453a790f53973ede9470c9636b5f7c246080f3e4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 71796 zcmZs>1C%9A@Gsc5t!dlc)0nnx+qP}nwryL}wrx#o8n->oz2E=6w|n;No>LY1%ZRLu zs2fpLH!@OD1{?wd1Ox;IghDY=2<1p*5cM1KSU1O>=d5o2Kf$;@bDWnyG%;Amp} zx6jIjpd*?3&Cg#f;;0XQt*J+I!^YUrnW7_a;dHi(t{_BYQZT>vrsbcl?Ea!B1 zIPllrRqOTT*U|jy?0AGwB75yE6Qh6tLg&?~z`ug+^PHfZ&!^MpO91yC-(a0y6N3>_(+{cM*!n(i#Q&; z^3KGBq3*xj#Y!jFCz|4OK+y+H46~Y!*W-3dOvDpfYxH@?syfr#_2%W<1Fq#$$-cTy z$a#kZHgbWG3C<@5r?Ut1=fiQxgUt%W)}-ORS)FA?$mS_SReMWkp8EDbL-!{qTqi3; z@ww51^P{ihODMK6k=&hz?@zeaF_GGKV8`GCbn6Ip%I@MsfPOu}N{rLZOwnouE$=m>bCmm^;R#qF4p=3>FLQY_>YLpHd8|e z_6s&=hD_Ecpj@19)Iy2~J7zoQY5d+VtE*d+xAx0|hK16;yW2p|K;|c2 zhnPXNKOX0C0aZvew@LpYtb7hQZ;;c^q2&~|v5!b@--bX&)Y+5vTm({fetO3G1~L*? zHP$24xbP!)1Pffd$xA3tP5+PPUM-m)qY$FPeogxRdK&4Ti%Es?;aJ}d7&Gwf<((35 z)tVY&KBqA~lGmms4<+AW&ORL6Rc3xYuV}E`jk!C?`^X*Z8jGgn0#TbPh9Sf^#;Ei| zIO2kuiQnf(fUBdUt4kv%pMmJpOdZ9$`PJdegsW3yufEuS|Clq!zt7$uk}W*eJFa?Q z{${8mV`|g9ojCunQG2P8*+I^TLEilCn+w2ZC`p)p7f63W%}M8Mg7U}l?ddL7I1bXg@b~l@=+(3s_`KZ~;IFOExPzW<$XHL%nD$IYe>1v$ zqGg3}Uh4*YW9k831w@Av!U3R3L`;C~98Y^iiPm4~|D>fPQ00Wid|#&(Jct@@(>Xa4 z3`l>MDKtMv^h6#_*biN6)I=7#eS|scirx;--XD*8Kh0AJyS+FLIS{RTB-rer#xdjR zvJHA(fb@^(efM_ytFkrNH;u z28?THBK@^Dw+^?94k_1;hH5k#WM=VkjTieP zv+o5a4lDpV0PG*VT=`f5O+)j4In_TVp?xIKnO=K2onttZT9b-r>9#aC&okmq*M$}( zhl^S0@k5ejJ8Yk()s@@5&FC0(#5Sj<)b-obLyAu&rMT#&r`MbfTM2MzB*dqalAJ9| z3Gisf#iyDUoo!1A@EQJpVOn|Z|4S4%#=-T^?c;UGtMdcn`^=aYT?MI`fQxQi6Dc=M zgnoQ>3N?M${1ik+w3fht%TgVwf#7F~dA;o;bVGc`)uF;b^5NvDT4~Ib9%|N=57lyu zWu%Y8eggKsyoE)}gM*m|%ZP)60@6Sla~C|fw7fGIx3szVpB~w;d2(7(bizlct^^G# zpn@dFo61FfZ-$%(ys=@$gPepejb=0gMV9m5!EXqVoLURiKpB!8%3z)IRlODofbKv`+ z%D#8Fh2#@Gnmg>t8EG&^1-zU2@b9LXgh76+X@p^Z_Y-_j@4j6L!~KFc=#5ON@4Oq> zuuxUY5_$LC4=5IqGkC~N;S;?8RENQp_ed^7B)^HgCfNk!uAiWOi99L`&|N>lfxu+} zI_m-IQE2aP2>(R3hqJ*!F<^3;2xW!Fg>o=A$qSEpg+@VbP^qF93~VB|skatLSqd>( zEf6HbW^lociCxC-n_)>@DDOQ;PG%u|p|yS{S-~zACyk>BkI9}~V+r@mn)Zh#GNF6* zge5Ym2vVI)V=Tb_V@L#5j)0uBPNtR(>GcZ@|PG%#UIDU zL?ws841{|tq65=Jx%WGni88;y6d}sKki0~RTVl;EoRbC6QY`0lkl-)^?obFJ zq#wadTqg@8#E~LMKZfKdipT^RA_%yHf00DQgR~JipX0a-BjpVO1tyW`&gT%y@LaBN z+>j>=EQY~>1l&P+i6Rw^Kmh@Q7%>1*HC%9e20BlYG>CzEff1aCC~L{(l>$uygo?F% zAEa?2+aG+8)Ww^~Kgu2V0}W`!{{bleuw1S%<3M&Mrl~R!XyZgFKNti_${WmqDCH9@ z1W+s35YUj{Oe7I=MpP#YTo5t;YYH5H+~Pj4XYl4ag+P)}+bi@zFH@ZYkS~($Hw<@D zETP;;u{)U&Qb`okMc_4>5Cfs~*+SFwBicmF%s?W^Vrn)IDmO8cPu8k=Au^aK=b+dS z;Y~7`s8n&TGf@R}gjO3ev5Kk7fJh(2lk!4LC>rxNHEYj)k^V%D2+&P#q27zx!hM$e zVTI_;moV<6pUCDJq%H3-PiUg((0!UiL;B=-icBXfESICX!F`gUCHkf`MSCE#M1MzX z;2ud^e26mVFtT=`E3va=DzSkvK*|;7om42?NBA4w9jv(rS;-+#9Kr{v%N=z%oZ|0j zI`F)j0`JSzXvA)y+lX1m1oq*ckK7=A0NufTo_axhzY_hpxwpWBK7U2#aZE`;Wphe% zg->kE;gw;C5H!oRQAp2b07rQpFXm{IRf8J|agFqq6({l`Ir)b#-z#n3gTZ zQ93y!YdsV03YSb0j8(@9lHMV03Y*UJy#$7E-=xSTl7TEPt-3@^6qmYOD#Suy6&qyWsPSc`_?PbP zxbd}V1@BKua&jHpjD*;!s}VmfO_Yor zmOd_^1rjX0L9wWyyFr1NfwDp26rs2PxhaKnlL|=d#r5-Hf&~+o9*ZsSNVpo#S~)vy zAvujW_VwGMHcOUD1iH-v?N6Mudv~T=lzX;9Pir$yncXSsUr|2qcCROF{sk6Exw`2nTEBR8%w^;zGgBLTK&xwJGD3o zp*fhxNlw`R`#d{NvPB)c2cUFG6B8wjaONXR7&FgHkOkxXRWcJcLlpjQUr&~uLh$(i>SZQA5Pi37iI;;7RVy%L9TGf^OAzjRfjJd@AX=#F(b_c5q@ znRO`lQE5R!kcgT-?(b-ks@xpe`<;dGDHK1>Zz!wYcZ-1pdGm4Q3bri=hlKqpwQ}Km z>w&!7{s6KUsj>YeT@1Rg)biT|8HJ>2tO5+_ljgh(ybeR|Xj1@9)g5VkT^VHeg{)M} z)N!MXc8pV|+)c8XHJV+@yQ#3>3RN8#lb~PnU+H37=Ym$OV;ubRlr={JD{#N1tpqar zLWQlL{72FBJ-#DYT7UjUGBwveq8gauUXk@rG;d1yCYg07yprB7f&j$!wn2|#=+VJO zu(i@5fCCZWPz+2rF^T&pSeXQY1I+?oNdv+&VphVsl-yzIg^Lhgu>~8AQ;HtJ2X!8p|+rhoQJ|=f#*4nBsTqWV-+m{ z6Q39&;r8W@S(ngD{|K<}rQ;=FGz$4~8zbIKGZR&q!AN&N;x4Y=4dze%Gd;O8*b|7G ziTwkf-C6v6=%1$SUrz1}oj8)L@0D&|2u|X}C|=;<9_YFZHgs5N<6R~IqC&hYRHghx zPhQFffp5N5Ord^D^;j!neoLiFU~hw$*r}wUvX>^63fdH@CH%M~=CQKW#q4=82=-Y3}`e2O=88NWc89NgQzN{S;rI#h7bmhBdAeY1h+(0@C|5H z@C;~CDqwY}QnPSDog`ISB+~AsXjrj=g7K>4`GK~eQz9@yJY_{N6Zn<9p$QA5rzFHN zh1zI|Qi|Ac$oDiUcUmt;_ismsM$CHtou0k=$ohE!+I$Gb-veK*1iEH%EU70Jb2R}{ z3XC=OI14-Pi3F_*b9d`{ICq*~wa<}XqpPc=lvFRGg3AsVoMu$|r<6eh`07H4bOKX2 zk@07%pM{1XZ(?007=CVr6yWmPTHjWm9hqK@*bk`B_gnfus3^-?imsn*d_uPN8tXgU zJ6qF}{yPYr8OW^uKZgzUh&Aq{HLliljJEoUb8$Lqn{TCwNcnJEpBvfiV`n)h=Lg$v z7ub@=deK~3VttRQ$d&=do6-yXWL(v^6hqOnx3EiE#nt>So|phL7{+JWZqd~WDkE;u z*4~?g8@vdsSX*EBCs|g>mi{hMa;&FagFUiTe8_)>X&2FZv2N{oPqf9Zz?n^otvs)> zD$}J>_^5B|OiyfqT7@;Agj{;tcqvUsYs;^&7N;Y-*)G7FO|~sPuQ6od718@ZzTI%I zunXhFj~MwyNu`5PpxjCq?*FxUXSr z9ayO1kYkT}<`f%>dB#4S#j7qjfkjc7Vq~`f00a z*d4S4#JVrl=OS+J(FT&^sI7gp>FIsdYH2Uky~b5Kr%9LOM>($A}M44d5K9+#)-6zx-hWqT8Giuk zIcmoBch{GMZmnCq|60FQ`)F`O07oK=^I<(Hu8=7qi}J+>s2+#kGhj!%KZTk>-#fim zdAHb{?51^Q*5QH;;PF=TXMr%H9r;2Ro_*(kd6cTl2N{fu+p_IVkLJsjH!*f z`jf8je9BHwpyBq}y60hfihcJV!%??ApZ&kWx_X`!w~*tUY(h99`R+SS+V zDiT-!kdQMk-|!bW4IKpc0(mNL*A#SyYwx|My!fnou{?vjJ4JN28+K*12HaOoKeyCU zo@^=@uGZuEuN?&U1N%U|!0oTIrFz=XQ`~LVWw-PM9FE_ta5-hKI*qo*5SLl)Gt-;= ze^z`Vo?lhi?F9CMdhi`nJ>}-HIl3=38c`zV7O>f7N)6A*;+dN-G5<_~y2q=u0b0`I zKQ4<$Sr4RFXJ%W>4$I+QIUF<7twFcT-edAtxIA_s`tw~@d}roQxmoOgBelRSED&?^ z{s)~|Ao3WmG5>7&pUH^pK|Ma>Ggs%Ydh-Df;ZZr9vNOF##(xmee>!AldJT`v;7`~T z)(3S$ZQ)7Q6m)ofhWn@pI5^;OtmK4y`7ARtIpDp1mFG7(TynjX6TbR?Zam|U_3t-Q zzWkrYv&CTk?Gx$}FS4fMT940WkG=6mZ@AfOeQ?VIvDR;Wu-gOi0Kae@T%eNZT?pI( z3D47If%!Qk+{|rZFee1+@u`-_QcyqPy)mCX0#W;?USq}N}6?uyGte}HnnEjGhpeCg3Ti*bLV>|(VUVuva> zfAibf=gG5=fVZ~chOwUT%isA|7DAt)lRFze03(l|~cfVYgH@l&~v`nP-QyEE%gtGoY71neJ< zK0W&r z-)WS&?j_&YV=hVaEB`IuYrUyG?%oeaoSwdsfI0f0%bg8h1|0cd1;6HXKNKl}!IyQaRUd{Eg#3Ziq!^{)z#Zp|totFHsWq_=}=gMQgUqdlOzcs&$FkiKV( z%rY18rGm=etbhAc1jV*XGRWeZ%0zMp4yU824et?}^I`*BWH$%OaHDl%#^k7A{PJV_ zF(>dMhuw)+$@Z}<$;|=I9vxT&jA*zh&*d)3zL!c~rHcm2bQt$&lG;D#QAGPGm(~0E z3=xE(cESxINVe0j3)evGhIZgWA#CX)>yMXTu}C76QBP2o2TuOXf@&BEF0dS3|Dhwn z4W4a6&{nJs1Ql|SX^K8TI#)~C&fY3=mO_Ay!U(4W+p<=x90K9U5U^s80znXNWU$$R zp!EP}G8Oz#jzAeqq?&Smb>i>?7;F!#f+QZcL&6s!Z3nA?fH)p^!TD{0HA0Yt<$iVX zg{YyRP1L1>eQ|T5m7cbDjjba@@lzi<&U=?43 zGJW=gVzq^4Q3Y}B2s%Y7g_SZwYR@xz<$~zkbuGMou=@dMft0-KLvY^yC%At`JnW7l z0y+4svSS}khrZ+NU$eaJ>|T?y?QCD8vTbiphrVsDAF*23-sVZDe)`Z@7dQq2N5*Uk zi2;`A?zpvT437;0vXJgBRHYC%_`y z+2`NW-IV}AAE5_JY~+;$SPb@oIz^`e5<>wc0l6bWXXpF>)s0H#z?P1_zKr|2an1UHoPhS3E@o$s(>No^Z)zmO>fF4w-^rffVXLR&s$@Jc&(~1y@@@ z`6XnTNVA$DZyFpKTxj_dZJt1kysKG@^0yi)stHkfX{x9w#7L;?5LTdI71#Foq?~9|!M`!V?!^7HL{A4xYa7szjh^wCbg?rE7-OerwXCGEH2O zl2xgnlmw*=MQen3nY-AKN?I-gQZ6 zQZ?Fq)vU?Pc_msA+9VC?Bm!=skYtAYRBGj@ldM#;H=-iiK%2@=I!#J(>M$(Lq&bIB zbYgu~s+1DkL1?PzP8M;P%!}?+DRr2=uyiqsEIsDxu_ddNbWl!Ws-+yddCw&aXB2sH z>cB-kqjhOFMO86)r1B9ZTPIHvttf+mO2iZjcD3mF>D9u@CD4Y91`(5|o#o2dSktvp{%HDeasdbFwIGqXs85w=p9nAssjTg)nsf z;QL42CQuY1@%LqC#O5`+AuPBs(v)nIkg+2`M1Ce?yXN%i;QMVK+Cf2n|3_cJR{`I6 z)OUcp=9ZBLaslH_IGh;0m zHWweSmNOE)opRj#0)*U2{{~67$!%og4Gr{n^mOajI$pGn-7RVhd`I3~Ili~|?|=8N zpBiS@yumyz2lR)t`!SOBSMnL;{bUrobWE|NqasYcCMhVGz+18S@tBr&-!a;hdu>=H zXon1o>^Kc58QfX_OS}G7 zBO`~d0#D3P5HWVLRTZ54(WE3T;`EcG?dZ?J0$=SvD3 zN#+YNr<#F}7mS%C2NYchWc+k)BF+zncwEv)s{2v)uR|pgYX*&9!Wmz}^K)RZ-Y;0O ziFY$QVJR`w^VmcgF@T)K{gQ9QsynO^kDUg9K)ktJNkKY{e*NoV!Wqk`~7Ke_4?H0@z{-5fYZlg6NKm{Jp-c!g;p%-s&GacVB(E&Uc;z5s z$7W#kQT)hh;kJ<0mi=mMRV3Zw|m5(l-Oa-2A>M z*#vXMT)4(EKOzH)ztBm@7zJC`9ei_gb~S|0Z#%1{aaQJL#756u@qKTK+M`=Lm>Mt} z@EV9!Fl9`|7(f(EAhqCWK-Fr-O>zTm>S4QKI7O~e^ojY(c1_ff2IYIYw+jhBojZ~2%M(Klo+;YqU> zAv>oP?p`wOp<}l|35bLA&yyfET)G-VOg%$H67}%LdpPwyQ1$sRO(lj!N!7+?#zwSA z%pauqUYND5@U6$82Ob9NoyO21>Yh5?rs>m1aeOg_At=zG$bPH@va4sa2N2#MXb2HDh9|@cb5Sy5aC$Q`LpKY5I8(GWXGlf=L2WN6G zGPg0PS5{F*R-q%R=op_0r@mzWE%@Z;&iVn~n!UT^-l!-bzhIwbNiL#OJK-sjY*d`& z1Jq&0D%6w`B|ZjJV)g+s`5L7i(k2Ecb_+2oU!MKBwZu~m7hYu^(=Li3j4L3f<66C0 z%+RF%_(!#cYC9h3GMQ#8MP)YMHU{(mz`y>JmhDC|q9b8brqR!bs(r{hCjUW>BiR;I z9VmoI_|Z26tGO(5opy2f(>H|c=`3>tAcTC6J%&l$;&iW-}= z;S($sb5!)M9@_R^CI;w_);yQ#9E$~G^DLty?ydPEp%&12t_nrBd%`w1IN|sgM@_bl z#n9Ulq-I&)wdWZKFMg=sRsY**+htx1-Ozr(93N>qEgahJLs}1I)KMTt8Za3b3h7`s zX)2ZQw8#!yR&PS34z%zLnPd0V5&wb>0`rUq^e-OR;l4L8mU7}rEuf*2C@u{QB^%Zc zZD%);7}Jl#OT~3u3l}qLOJep~PcGmw33)>PX~A>Q;a7=%rN0AHKep?tr%nEf6DMxd z8%QmBx-#mo2DJa7lklyB5oX_8yMnP01bDLht6l+$38-0T{@@8zvul$FG;OTED%C9t zSN)O@K8k~~dr>wRUR&#dlHTXktg*sUS{F7l2&pjR&SIuMd08~xU3Y9_*QP#xLDhI+ zuPFz@BOuqWLVO#K4x{&$S)Dxr=nmtTAI1Qlr(o--wr(cm6*CmO4vLW9pCDfE(3gvC zV{l^cpj!;kmsz%Pn9|SSY$?!}YTI3*e5%J*HG(**#1WPr1l3+!z!|S1A}b;;pO(>V z#Hxv^IVHA-oTyij7ytZHHnFpDaH3vL0BV#0Y9#%Hv701&y0?nMjD93*^^ITADPvcs zUP@R{=TbMZ!xubMTp=W@rLwNI8>S+N-a-$3QjKeSpbb-bdb5g(m_|R)y) z7T-!P!@O{*6<_x56LfQneI9GlMsg)o;8i-d>SHYG<`%mD1HAUsn}ndcMkVw~lVNdt zt~Aj51V`!-oqesH0823;m$*#rZv2-Zn0Ps4@uJ+OUb5{dMFTUQMbiRyI3vs zj;&>LEkK+~cK@KW-<(6IB+UCSV)iU&_NHx=y4&{@{w#t!Vd;vj;kF_cH%CtbrK7>m zpuF+sXLgk}G^MnJ?0?o#5^ZdIRTZ^YsC_C5N>^b)q&1c?eJb-AupHGEwKg1tl@>K^ zrNLv2KCqF8w8f>!M3iG+Zm0uP4yzJUIxqba3DQ|%|kM36F$+eB} zN9zbulAUv9e&3p=k}RIqg9I=vY}887ZzL=!6IOn1V$pFQ_=mP1CVA`Yn1~G-vo%mz zBXuA$6q=k-MGpUm$KcvlV2|#qyld^i@y<8?(coPHu^tgRuLg2`T}Fa@M?uL@UJdj* zFreDbJZmb$l&0&H5x1+gwm3E-kQRx^(g|y{fZ>+fIv!azZhf)r*QlwNwoL7l1< zRY+U&sZ)$O!Z7S7aXY`qSne>?HHd!NmDV02mI0AlXhKJIxx0u*7mY=x=2xsQSl2`< z7QC`vg=eFa6pwUMU|egBBoMXWXkvV`2KIIViGzH?O*al@5DcJ?*ct3&ba(iF$^DtUr#rIu;OmQOv_Fzm{{gY+^V^FrU+E zidHPfwU0+JpD}VMqTEuHgPivd*x_0ACHiwz%|FU1p0fd0Bt1 z*A}mVkGOqWa_`erEDPQ}#hC}%fv28hg>!laIlFu^a=;$WhdB0gxoZFMr^*y&yKADKZ7wZL4PDIZF;EO zxi0Ma?QL=Al!*^hBQ$M>+g>6sfdphW-;zS2^< zf2?KePI0*3LVmQ60q@5KLNC`jbdj)T(LMk2mxclMf_q2FyVe}Q4z$9$)$X_hBE#-@ znFa_GlvRasU#a8q>~s#pZ&ajP+*X?>$j@carwW2DnX@xs72@Vc_ zR4=;w{eF~;E3Xq3^e7`ZT>Me9h&QoK2WZ8-#fb6H%~=z?QIvh`7*j{mEzmpr^Y#Z!|$q5?N9up9DMa}8YOmgX?K{kIM+Nt zGdUQCIr<{(Y@Svv>Hx+$`oC+uQFOe6yM2**c6C;Iym;P?{4fE4!`qAHUEC0~uim-+ zf#*ohtT>D1^`-aqk|Z3jfA9;~QRpWSNf0DRxQYS+AUs|SZ>#}yyf(g_ef`>dO#Py~ z313d%PHsOX3ArHqJODE%yCPKs0KSXm%@2nF8555j{S}WjCPB>2iuu5RUvF1;!l0DJ7aFYc;M37J=CIQAjpl)w zBi73c0Q`kUB^&HSRVYLVE%38KnJh(DozWOlkvdtmlRok)y5#iD-|gS-6%?wfD>(9T zm>IBygCU}GXee#zMkU>Yeyc~5TaRJLdFko1TqrSGh&@$gm9(iKyn0_Cs!FgetbZhmf$%!z4V)+^x{^oo=e!grlfWrNuwEuR$d{Ciq^|U*@|ML9x z@t|Pg)}pV^lhI-D%vi$S-K%x0r{^2x^L#`2-Knp4!^i)Z!Qr{yo{4Bcv=76(?GncXDpH@VtN@7x2 zugN(I7tWXPzeyCmszs@1OLi1PPfiMpq)@HlFpf$F2|{@&XCMx~HA~c4(uCYVBP4X@ zBqO8^-*MJoA?_&qsm+@-qwu8_2qBkK^Y7%L2%(u`#E2F3G_vFywN6Q_6&~t0$X{>P z$f8#Mc2HC$BqM~5qLQgY66@{YaqU6|!>N#6?<3ln{-^8)`&kC}@+oR|sz-DaW<{-# zP%(d9SuOzDGI@xCaZ3r;!Usl8B7#5G0B8-{3OX8hFq}xJ>WsU(WgXW#LX@JdujcH(b^tbDwASa6jY0Gu;UX~g>Cux!$C z#>wC$nzwW4amub8WkWm)C$p%8;$2vHAc28`d}&fAO@Al_A828P_*=7NbWSq6y;K77 zc@^sKnW)-p(n7-N=Qvw7%&Wo^=Xq3C}%MU=RRtV3(f^)In=`PPJMFx$Lh)xbx^s{ zC(_N*c_|r8p}^<^?&M)$~N zUPKgh2&>xtZm!L!vbiXB8)oML)g}-LPAxpuiYM9!v>3MXLeWePw}ZV4o3uDk3e)c^}iU89`1OlJZH{^M9wP1;8Jk<{uGg9cqg9MmR|OCOtqqCwXrH%8i(z(ya-h^j%? z5*KdUmC%YG3yG#d*Cr?4+LiE#ADa&Bbj(71(ODU4&~?d~i*_ckF~r)VYtZ$?{fYAo zOl5eCi>yi8qinI^74$~>V+-u;i>D;;3T7kyX@^;ab4;Pxsz#ymCb3^3flXWrtCAFc z6y7Pl#UieQM{f^359?H7;uOR~{<99d2=9~v2n4a>)eIGk)Q`Mn(-i)%X|0s3bb+9O zjY`s4I;7-q5S4-U>6a}ET%}K?3AVMKNgAHQfZMnSu2f5|HwalzLBJ)>3uh5eaC8Yd z13L@G!dcXk6h%PEBkaPXa2DmnN*GYm3fUMD$|{#=trW5iSEF1sgJjAPE>xeoiEbzg zZ{|+SK7yc3R<>?t&1)7<+@kLBODbO(s-oSu!*oJ2Et%uN6k$%xDGDOWLG5m#j4ogK zpj^LztC&`MQKiCIrC@{Dg%tVG)Ef(*>Hpp)?s7NNTOmJ3NfN4eFF|A50y53$5idQ} z-9sTnVHD>QjQPCW(LoilU#O|Voe`b^;{hr(c=?=WUv@D&0$T{y&}Pf?5EL%`cUUdj$k;3o;eje~ zp%g``hyA}d+AiCj9|xfE$za*4$(yaiBO(|ikXT-Y$+Kg6(uBpY)OUGcX|5dCt`8`q z;zn_C(yI8#GIuDDnIT&qTexP#0u zf&mi_Et9yUvJ~jaqxR{Hm1=W)U(g7twDYfJ&O`Kipylx4X=%W3C8~8QJt#s*B%CEK z%IW5S>NZZI@@wW#WL`;+F~`glsH# ziYY8M?WqHqZ2DBA45DF+tI+6&9V>s{CwNjh8X#)G(3RA$cV)a}60}&B;sm%zPj0pP zH~v1=@W=qqOes*aRe(G6kgS-OA+!6)+=HapmY0W5ES1Kk-)ZIdUvG)fyu5k&1QfH& z?MmKZQq@ijnR?G55%xNSfNRx8y5WgGT`GKC6^OCfdF18UVDQQ)AlNvU(MKjPAM-|T+G;0o@>uhRWd3TOtZuZlnfpc8*i(o*(%0@MR0O>8r>Zr848ZjxmYRz^8_f9fSTx zOx*o`{%n|VSB0~GAi)3)xqp2NFdMY82EFsMDw*MgFFSE^mviGoa_1d;vK20b6 zS-ZPVVbeXJuiU+L|H52&6z9<>@aViEAKAcGKTddieo_GA;S1{k$=)e2=knosg%W|O zp+hO>CDZgP-<#~mYd4)|;Gp{*23a^mjtB-)Ov#=R|G~*EOg6;dN$fKcO*a=EXy#qoDh@2g^R=#XBMNowFs}R;`*6tl)tx343(2EH5I{80O zVNtVE39KCt$Eb>xoeg)Y#c$9;;5*TXtCKqGC(Eu*ZG&ROnLKxYFzWS9uuq{298U_w zA80R5>!!$zrrf)rYQ;DV%p3ldU<#45r)Cl~m2=G2Wr~xV`Y|uznrGbo=)6hX!8^ks znfkVMlS&;TvpZdSgtMDohrLAE);;cGeQ>?vjcd2G0${E4j|OIj277slCQC?rj1Amt9=!cL2e@PL2zuDCP>NHxF-`J`)KQiuAZ;B?dV~DWiuJ z^ph0uh5&UbgX1gpS1y)2d)u@-_THkfj1;h85ZXx_-6hy0bnp*{(;vHIZGi_|EQ6ow zd-Ha>Leat$LpABEX361p&`Q+go}7jE+4jSK(x@@fdb@Qg+~RTyNmV3MLU4)2>Ad2& z`?Dr;2nY-5{G}TZYuSjc~$lE`OThv9eU%o_m#iH+Fl=ZrA zd$Nh5zN|)Tln50wC$ts26{j_blUW)Oo`hnn(%kNF5UAHO>eLys<5?lbNYeGn8qjIQ zi5Cr%j=&n=Ex@U#6#cuTRMu~?<2ORZN>caqNmSp!lRXXf@q-uHPNsSq!OB5<#I#=kF!};Zx8(QG4&Q>dk6&I8ZIJYkl~{=jzzpcI zv^jg|zx=1gub$3Q3X8nnDW0#E0+~Q2&07o`#Mpv`(!|`zluaX0aqHPAY2X;n{Kbwwh zv=DGNXGd;8miuuy3z-v%b6ECd47t4iVxvOJ_i0BJ$~Im)95AE11L+_zASj|aNQ78% z=jQxoTinwb7BXxP2ZL$@#Yk%plzEwiGfBa$%~&KIFAxG|b+}x50PAg`zF;fYhw&D$04+1pK!8xXBJxLNVOI(s&>nGth!HS|92*8Mg=XE5%8h(KyR z)}axA`1OO+Bx}Gvg4UKQ0ko8dqaD7|`A(2Y#2x6lbYN{haOe+j$Fhm`xt{C>N zF1}SvKBw!fLN6bonx>S=2b>ILGTP%c5N0W2bx8C+%qjs&ryEz8jCk^eZg@|>sGbg^ zCNwxEnEpI`tRYCJ*!=W$wG00P6=3d6^A77j=4e?_WAt8L5tg$hItkc@cqvGoJjdI9kRq_nR>)^&1&~YKE=3y9JA%>uu|wOW zOe)Yr^TIaPr}OAZ>S_ap>x;f1{P%PAheJIWHLetG;Hx}wEm9-*;3Y^6crB7A4R>G$ zI}12kxKmx?Dk#T}$uJ+sPK#6Lcq74$3h3tqcVK9WGPL4vJL-vQkQ%UBxJKH8(Mk}H zlPOx_SP>y!{@)t;*yno48_Q-K)TSZA^VhCg-mYci$7bR%o;1K>mQialj_5ga4UT&) zPHm3iZYbk!=ntB3eMp!6>o}XPm2RXx#H|j^e-g5w>Th3x7QY)n@H>ER z*%a-soI@nLp#W~E2djavWo+y&Jewl>Uxo2Eay7%%!RMz6Q8=7Y3zSYb_`h0gIU=58 zT%mfE!Tfdid%MX*>O>>*4+^Vqmf#NXtAIq(VsnH|? zTD$a_A_GgWKRC+zQC!6NIEfpKf`?A;M(h}lUP3g0n|8uI965OW zczEzdS#Beo5JK{so_CU;mk{fJp zjxdU9(jE|Th|{)%Vl!Nrs9%dvq*A8kwMo6NyB>YQq}(y&Z;q1WlFq|_3dtq6!SQH` z?T{;3yQxBy&#zzol!}&ipL#fm*(Scio*CS5)z0ls$r=H)vg%2tyi%r+65!SiJX}nB z9MAc$P_;(h!h*=&89HW~Bab*_5}knrALJeO{WFUqAbh2z5>~IK+`VjGmv-^Hc!CzU z`|{xGUBY_|xp+(<&LQ2Y4q8SLwQJfe<;POz2kE z$dhDLRVf9ySx&^m=;;Na=@w?+S zJ^Lk0YB}hcP?aN&CUXk}xrr8@p^xJnF9J_qc$vxQ;GcB9^=v5*$O-2HC|@G)nYVL! zR_-#9$~c>oQ_O5&kE}muU|RZNkeF=|Hp;*<6}deTCzLxH0bK(9{iB636}~N9JW5z^ zNRYVICEm|j;`SYL-Bddz)r#*Wi#h^V6h93mys@X;CkR&JC!vT_-3W}-*7)UhNpA4-zKq5;~KIAFr9nQv_Q%wiz1W z>k*09;C3@zE|~+|+{`>|Y7a!N%*xu=;EGR%_K-4E6yeX*JZx}8 z3NVj*0R8OP1uUKH2`w}#i{4OT^ zTCh)}wpK*=9kV}QMMZ))$!$_5w1T&TpDa>#CiD<}6Ea8T3HlB8y ztdWO5yh(CrDc>;muyIJZUz>=ksYUw_+=+w74|t-6;hp8(E23s#l8W5I=YDjZhf1Xg zZLBIxH`e_QlyEqr&EBMXZK6JS-n5(Fddw8aTfIrk7fyj9J8OBrHum{%?0+kKDc#uD z={eOwETbjmh5-z~NN>8qAE5vYiGiX*Iwe*1X( z=@m+Ghg+)37Chfy=<=cS;8CEDM2My)9xLuIuEa}zL)MQTZ<>y;@YNf;RE{ib`M)-H zLU{eGks1kqiiLT;u2yYqb^UQQ^j|vZddjJEH8_uo$;w@Nt%3<&!+Fs>1QfpO(8dmd zE=+lN9XN-0B`HN`LkrlJz7XKYG84trPR&X=y6ghJyT(d{6(CBQR5)|86A|+em}C|F z$UEwr#XBX6!IJzRh_-(q`u`1q@(+a4|AEl^2V&=65R6aDf&bJR^-rxM4lXl z*8iyV{9P-OIBx7vi(8^QsCA%G05ze-E&A^pcaOg%!T(6y|GLo+ci#qlKYetA1>M+L zG`n$1@JjZdkBs9TcOW$r;+hmXv#rOzMgN%UcHnbjmf$_?J0GdXJLX4hrffDYbY@t$ z^NIQ~RRR*yN$`e)gqa{s_-0BCkdPXr8S!H(&Y|6rLV}kTBn$>=!ZlMq8WlQ|uE+X> z|Cn+IX%b2B4)>l9S%WlTnkiF3LVS>B=#MD_kPt_L*P{1)LTX!=CP^=HZ5=5xEW z$8h6^3i>os{ZwWf^@#t;jv0$9q)jn%&rYZ>SU?$uY>5S=3U90t8~jO0Dqzu z!RBFk%qk_X1aqt{AElL;QjwQ7NlR+`tLOG-&6Br@!}YLUF9hUxUL)8+RG@*b8RhP4 z^xGKa;7uTm0qUo&jQ&)3N;62^9}2KXwBh#k_(e=Rfwjf{sJ_QnSLXf6UGm}M&9Jb7 z%z4*0Q?)UH*Fnys6%xkO>kuh#wzLd|KW9vRpA17j*Ozu0vbHKruwa1zE?d&$}^ z?ZGIFU6n{0`M4Z z&xQrO2=B2ZZ3Yq!HEE-5wX1h68*XuOhOP>_TIaL@jdI%LSgu(vzW#n!>ZK7KMQS^| zHQsq%eNEh1YZmRr<*eiGqCuZ{Qa4|Q=VW;*L|u=SsAIoM=O&3WGmBq;+mjrt_9FbT z-G6GvNzQihM3CRR)_h_C^N+ck&c|fNWPws;TJGJ13eU1gYenVrcs2hPIid6ej_|Lo z{(va%&EEGKkIkAF=E%|(Oa<8!%69H$&too)$J!vI?cP1+%%C3(QvX3$Y- z{a}99WDK6TEJ8*`GNRh*EFS3s<>4=zwh9C!*(VOxBAQ>Si+H9{V}cVStiu=y^&ybj zs!C!%#L*pLT12e?^2m_rY-;OVMME6TR;$|<4}^uH*uSNZ(P&bc##=?eKbQ5W%OG>N z*nF8TB@ZA_(_|?yx6p|wH%_NVpV+Iz{vxaUHAerpa&RA4Mr2Y38d?Ev>%jVNwKMY0 zn#vSbw-GEM6B)3G*(hDzQ6q;*D{?8{Wd1Pu?daA<$S++txDdP6RZe4=_=#=>CG{sP$X z7>Ju2C;~kl39cMtDPAGHCKvG&Pf@j}fnsSp;o3==|7(=`Tn6WDXbvW1;)*u9%^W0# zusjm$W2rjC6sImBM|06;i7;)rhHge}cgnvD3IBkM zMq3u~jDOkRcV6sv^m>b`QkRE*f({MTgz9ZJFCTB8XewM5WvvothLV;nQ#YU8}m~);zhIdd;AdZ_bgELt%Bp;?w<_*{AxPaBgsmyS`;p#jcFc zvx!D?P>>s^yr1+K8xsSQa-pJ7z*AOy}XS5 z($laU98h%cC4OIY7Ly61<;2!DmIq4n#5wQGB|6rxs`{}r6R4&UNjU^nd}f%=zqn#$ zp5|?h#q0dbDyqGKG$puEZ6}C2)upRzfVwGEH6QY}`h2>F{SXm*eZFWA{P7JASPeKE z-zW3*y}X$}v5T*BcfECVaBJ?+_0`lH!gS?uNc{M-^TgOlT$@}Sj>+Z}EAV0u-&Ncrc*3Yl~H84C6<-R2{pG!vm zqPQT+vnUbWfXz7V=ekhwjIjC_LcUy@$GBNXz2xTF;La(Pg8;oe58{|uv+ za)y0ZirjU9&ZLqiOXwL>iu~geok3BF`hFwoBJD!gmBxi8Z#eCQdZuOPowAm_fAPWS?#t{BTheX@@ps<2 zEWangX>a>HT#>N*APf7a8tq;y=yL1|b&pzYax_hbr@re-{gqn%75z^xEO~7Ssd}TA z7o0Ng+Eua}YfCgoN-TGuQeV}-2{q_n0cEfviyk$#8x0qO)DYE~Y)WwY{2GJc?^q=1 zJ&Xpm;3z!-SNG5nTGFZ647$gu zXucBZ4E;iWn_h^$PG(#x&}8^;WRXS@o}9@cO@`ucLZ7m9nEHbgIm-i~y`6ebpv!S9^lQ9!piiM4CcfO`p0QaFO4J!q5lUELO0fG) zv|Oqz2}LR6DU>9#sUn!NC)+E!3eb{?A;CElAIx2fv)mP8RTLN!L(gk3hZM|Ir_Pjw z8+HaWlNuCo$WZT-iFp}iU+rfU9$tfG5;|chw;d|+;>XbXRbrf8fphKRnrokQ2M7QuxIlgZwDEZ)%_WtzqDH zIlsaEep>vD*}%$OA`YgVk*&)if;eiqder%uvT){SQVfX>hX}&B^y*O&h;apCWNUGV zM2$$Tp2dI|9$)A)`D@Gr5|9lvIQkH~;~VX_6u7*#C*cIZ^DTni7r)P7ignz6zPc^P}mzfmL&@KjG`&Wb2m*9S%{}z;+JC`6baBV)P|u z&e&m$)~6RO3{_t@bU%VvQH<}qA8BzN(lzC9LexO9CshB;nUS;;hK{XI6_%gYf9s*O zwD-7#&)&rLKNTk;F(s016a(7i$y^h5PUt(jy;)?hZbo0wQOYkj5vhM@_;6kaO?y)w z`Cn{`;^zCD`1o<@e>YS#dt^frk=+F2uz9>DCWqUV>jq~Pky#4pnVJ#}4ho6qU{!6s zouPM>oeIEPp9&re$_L(U46b^Q@OliMk=dOI;!;L@^(KG;bI-U@|PSihCtuE*Wa^Vzt^TN*h1v8sb={uqjN;7=RD)f*Y7XoE z{8qX1(JAv{XT{T5`j()n-ak58U%gYfkhNS^#%#^AfveSTSq-Ss;9OxKIKxJsK8*_d zogbu+z(QB{o4iJ~IJ^x@8RxT-=E8#H28y^VAJo#=Syx$P60{>0&cMCmt+>)EN}w5E zJ4-|~!=2N`OxHLx{U;adngi>{#-v#c`W8qtqmQB@0o65SRc9E@x>w%4I(>A6oed3u zN*E7h)7*vi^0>12+-)OrkkbGGS+KkRUSP`Nsp8Xv15wEC4IsD6-Cs2<#eyXM29QgkBk3OJM4abt>^8tOtR&hGVHEpSq&|a zX^oU#7xG{;mSXO=QlC5>Pc5rije^D`YFxPG4TS2y7TGMH|27W51J7Q$=!Zv=L@>f> z{eY821*g>}8Ie3YA}1Q2IX>yKPcPb+Lvg^pM;|piYJ*6FS@BKFOv#g>^YI>qV8!|r zqTCY42+st$p=ke@AZ|=&2xoTfo@#k21paK?FBtfC;Z5=YpX0q^A($B_$%ps~#ln7O z!r*5!7+3jJi!Ic&TS6^75^Uj{Sy#b%?|GRbIQ)2bcLrNKCynL%8bPG-dUy7C{h-T8PW{92*GBjOPm~K}>>0oLsLV3)$mRyD^B1SJUgqDPNtx2g zF#^LMU!oqtX4_vUBCt-6zgP??rJ>QCkz||F<3aA9KPH;__yuM&pU^XQLF;%r^r$PwNnjw@YfT!iDKS2|Z$nFS!;%BfqrrtWGaD~V05-98s zJta4SHCgLia1V=+ZR)y}EWcc5JtKwnJ18W0aJOCA3IqO&d<>(!zTW;|1kTq8nN16v zv2=V{)uI+M<2tkNfBzThR{E72r`U}s3M{Daq69*y+xum9_BF!M1YNY z50!Q%c8wNzCN{vR@!}~^n*B-Z?ct3bHj@FGH(Oc@VvHDcexc9<9b=-l6)7KJRIgVC z-Jfpu2P3(a;_Gi2!CeU>6Z9`nr ztGNhr#nsPf@7dQdTJLd$OgM!qN3BRS%nGqMq`EsLzdI(;+%mKlK#TO%LWQFx8+d_P z;;!imyhpCEzk=|E^cNZ8q;rLcbP1e}a7C`LHq?VWCD2^n4sC(S7INJmm)Mwr*A*lq ziBu1;xDRketk5ys2$EY5($|AHMX{-brQsky1{WdjZK;Xs;e}cvuR#fPh0~7}WyOy( z3lHGSJJk|56o5EI*Np4&f?^q^gexj!gD(}8K0}9_cKmbZ=t-}1`MW2Zt7a*Q%|twP%E+cixEh!XN-QXt<1)nNUDFJ#+?3bh=bfZV%CE*W2Blkq6Z$DaHa>n>qr>ghALX8L*e_g>kmOC z-PM@MHA@wW0M#oBXfcTJcwI)2b(~;kiWy22K?7d#oj)w~?VOKl9c7*MJLw|@f4KTv zW8Pi#D4zK%2d(uHpO1ANT89xXSo!Ttm?N7o`)Of!^Unwy4|-3wM&?x?nnIR&{0$5g znBepeQ&xcHTA%PO?Pa;`ik#F<0*ADsITwb_oEDE5tO{a+#8?INz}xKtm^G~9FX-0Q zmK@bD%Xyk|B$8A5;EV>;L{G7Xt)XZ!A}N0UqI)9%sjzye=6@f;9COu0{T&=^mP`>H637rk zr=>odqw!dNdV17dbPZ$@-yktVJErjur&B5KBCT-fJcB!)I!tV@mvxIx+8}OOW08hAZAz3bZL@7c99QR7$X=uNPqTB`fi=6Y}xU#5v9$ z>Bt>OeviW&`}moY)hUFp?2gX%U~TH{^IXRh3Aty`MdvL1&*mH3NQ((=;sD%(Ia$)q zP*?A4FV0w(iIn*gw)z(zzpliYP-`qt%m3Mdi*4?J{E`SX`1$;a?I(rBhMzz|BE9}J zA@3TT5Bp9I4Q(CWoo@|oggD?K=8Zf)&hv&ekW%%n1I+HL04NH^D1Z zgRPM2^s!76<5U5Zn&)3mN=k848`8D}(M~IRqb8so`G^US0AI_~oJ)YVJ@H!#$W;k* zZ18CBks@YaV%JdxBZRQ<1C*;Ia`%M_#~F}MdK=+*^B7Nqe8M9*TTHuWZ(_(~NH1)Q zS_*~LzmAdcW;x1GM_n#v!xNg1XYl-Z;7T;QEc&wxtm(tE2rdTN}X-oc&HVvoS{`^~+* zdMczK z1$;4{F14IFwRxkyHg${2r!bgNxctw5TDGyKoUWQ668L+68ymq$_V+FFJE2JlNCuW46D|E66J10 z%8;Q>vX}}@jDo|UDZ&5AyVfHKkIb!oItQL*QnVCv8Y5#2!3foFA@mkR5f%x@?C+lW z;`7W6Tk=-ol7!h#B}DwaAp)NzKYxxR?d`9P)(D$}eNLWdvYBZ^*m3DI-t0w<$ z2(xzkg?3Il+faU0Y!0eQ>U+RpCT*?@Cn8kkqPpGzJbxx_jVHvvh)0+w1nOXhuv9?~ zXJEL<4OzvkLQ0S5jy=S#BG43`AM1mLOnF%r#OgJNfGF?$i~YmxA0qCk(*FF_CB%8BUfc7Mk8B)elI57+il z+GMRjd2x=JU+2x_%WSJE;)r_PcT9Yj^#S(SzMh8A5KV9MX?ehdk9!fLkdMCMUIJUP9X_qm-V_qVj>iK$g118t2~iC!?2CWUt~7l_ z?2pchBrx=XUqy-#su5D~Xc)ZU$@Trylq}m}KSPp=Ka>P}E%;f!B6R+s{^gd6%Y!Q@ z>KP~}UE>L!iCg0tc*)3;JGav=kBsNg`sq^Yb1^g$TJNs*O5*YACZN6mC?Q3TPtV%2 zo&?P*f-oty$_Q;3f|c?EA{Yw!+MhD%DkOo&>iPlbaeaOVfT)*5wgjW(g#SA4Q>Vn- z11Cz<;hyfu%cY`j@inbMx%_@veZ(+;X>8ndR#U+J|M+WHc2mnWbVi3K$tskRW zw15U#3Mjm|M&Q$OL2qLqshK_HfM{3TM8*fBWF!xuRutw8`G}bjB^UVW&Nc8JGt*Bd z&{F|`dn3#X7xl^=R3p9TrU;ew6-;0d_Iq;hCpu2wH_MhT9F7}_V26l_9y{`w( zh#bkj#KqQaJ{Z0&BpRkMf_Mf#Ci$Puq*vP6BWAbp_$HxEe;L=a2Z;79zj)wqW9d z@W{u4b#KU1-AEoSAZX^x`aB*Z!D!-T2H8CyTR|7>l~Q{fhmdLE*rg)auuhEFVDH2=*L6s+!b4gJq&VvE6`!BL^!{lWx!L`R-U` zqDtl99yDf@jr)LIR^AynQqM5ofAAj=fpNm-Ggs9@8k+fmD4 zNT^^JaxVmrh@Rq!G=qNo<8{UL!wC}vtX&<@P$q@oQ(u9pqK0esovGrrK~#pX>!k6^T31EqGgge~W7$@7@oXKlQk8{UY3|!Z@Mbuxtoe`+_2nL2>wz8e``Hm=f8{e}(K@$&K9%iEzVFP;#ldzUeCsO9x>dEbSV% z$L0o{0NrZW=-P$Ek?`n)9ElsZ2=r#9gVIq9mz_{Ie*e3C*7C zhdIONbn6^ByABFHiHF`fwk_dvqX7QR4JP2GEb`+@x%b(kz{3eBee3jXTU*Hz+VQZ| zxGb9VW%IqW@&eflaP2OXh2ZY|B)$(9`PB_f`XXAn*}ge#uZ!;d)%bnnZd^Lh&JmRy zE2BLhYpm@ZQl>qwRtXEUNMM+ZUNB(6`Fl!!8r}JqFgc}fNe{$(B}YgY$6t{9=y^lM zyrwW6qi)m{9WMoc4AINzl9wN}k8%ih$4}$z|19+4_TL`DWnZzHB35($UG8zF#%~z# z@QK75J1%k#NVbiwrGV$0hW*gwvXyeNHs`C;_R)#(%IwsdBtNw1y$sm)m~M3wdO@86 zT2>aHu&2v<$sYWsUAARkrg7#DH%)A1c^Y+1De{&0rP~_I5`<9}U zdg}ytR`zZ#8QNO|O1-`7}Ew`2k#bLP5*Sd;Ewu^ne!Labx8!T`mjlJ6~a1)H3 z+bvM}nD?OW0>x2>bls1iIEE(o*{(i*N;ynXyju4c zz9OCzN;->{7?n&r&D3vAAzLX|mkl9XIpJ!SED_lEO#xdtS8EV{Iz1o#5nh6b!)i*? zrJ@x^_Q>AWD?0s~1P@!44VT3p!xqk3kW_&`3Af>q+h&NwZ644d!Ton(i8dn?eh^2`1*{c%Q86TJmpNk%q-c+KpC}1fh>2QFHELwRylMYCQ=0I z?-{3U*dXlNg}h%$1qf}vD}Ga&=3=6}8Vo@{T|Tma>Z;?*@8lJq2(3nold2Y^bl%Z> zq-@qm%^18)lBXbd_4m%2xwUnHd3c)&Mq%_Dz*gi8#qx#ykw(&|vgqK0I?JmOFyd}z z?iFDcM4LXGyuT({xID=+d?N66evoQ%pM&KPjVauDD6>u>H0%B;GK^fab0zJFa$lk! zY#vHo;j(q)5Em+gah(h!>m(pf7V=_hFJPL7XfkRTU;-}#5gwX-I-~|%cPwSlrGV9O zXtf})LU*?3y%}|xrrdNnn7*;lYhr*Yq83KZOMz$MFKpO6J$6L-G!AK`QsAbYl*%>k|sh>Klgs ziwk8i#)?U?2@xxr?RczZi$&$;@sQQV^)gFRnT)?=0SbpWTx#Zl^6Ag9r}jk?#ZYAo z&ST#+&CHY2)y&n?wagwq>L2X{+E0hlw+*ze7F)cVC=w{BjjFm#ECPn8j!eCnV+5uo zen()!^0_e|P;~o>ov6ZM56)|^T_+%Wye0w#T@7o?q;R4YSZ{kL`V5O*xse+g>X%W8 zs=D-9ofTNUgH|yovJD?BNGD**yWg%t>Z=K-)(J%Z@9d?<7WvoiOjJ)bH1INP zS@{ftpPq)+AH!QH@ObyFVoqf{;GkL@jQgv-v`1K6=FnxwWK~r`dQzgFo(|SOM_MTG zd+)AdPG@^O4Ak4UJGv~N*7uzcDF}4=)hnZ2U2I{_WCuJzHPGe?fSX=L^#_kzJz2?$Xx&~mR>=4WEla#fdp7`Rq2N2oWK9{ zIXC7-n8FtivS8#K$zRSc5zGFH;)u<6l+GUb-;>(N5Oo zImQWimqXRk*|%M6%WbC?TX0c4@JW(rT~P4!H@XE>^R+jeom$s!xkt6cX2TEr5AD1| z2I#SrybhzNS?23f*&)BY?FZSgR0FP-cWPMf(BRCI1K<4vUY!P~*C(G>P{^oP&>H4? z_Vxo*Gi{4N@A;<|Il7is`N`z91kz+6F-Oqan*da^h0e`ticb@Dj_s(04!cl`mgPPd zl|ff=Z)Ft;yFknFJG`BOgZICY%D0P+98^@6rx!s5?oA{dRJKGNy#J;C4^n+_Cdtg0 z?ZtYRQ+*cwdP;@2I1^>d@!xIuH&|6rW!O~`IGo)9sJqimd-Y7uHnM_i z3DZrc?@fE*4NeTL2)Ub*1#)`+Bj2~Vd{BGOwOR!jnl1JpD%P`;b>0Pxn^o<-W zosUZWU9SHN^6@F4#VM0Fm2~}W%=X0`n)GcEqMy4EZ2Cd(WYvxz}1xtfcQNH=PNyQK24=)pn7Uc_+Lf-u^-!w6r7wZAdFB$5$TG&c@+}&+PcH z|I2ZSKd?Usr}pDj;iK!<)&6pKP@YpH;NuSSxcBXW>pP2=46;KG=)ICk?8mF(KWtq2$Fi(YlGG04-4RtD{oYsoK>V3U@_40>^L$r(>Tck%!FvmuR*6pp17m}M z2eMG`JLNY50PyKH&W|pod-(HF1IMIMSo-op`Ua;Q zHxQ%v`TZr^B;$o`vRiYWlO@RVT}0n`=|rHPbJ8Tkzqa^Tq65F&-?RET-6*5qB;y1B z8wb^*KQMgjER}`8~ECdC@tX$8>N0)}zFR#%%1D2#5|+K(41e6;=J?%q zvEhRXQv$J-Vhn^@X5HOCQd zNKJqo+)JYfLoeeVOQ3AnS*J0L6+I7ZOG5T0zONq8LssV98z0eLOL6a&)!a*UL9N4@ z9}_Y6KsnYWgT8vd4u)6uOfM5Ah5*m+_Y8!mTfoV!55o!vRp6J5=UbCpn3o%PBvrYr z>}CrzwdH!=3WIa3_lxuJ+K=9Mgw@p3L*Ys7k7SiUcUEB@wQQCjDt@Lw|I-5R4O{`g z0+Un6r^}C9IUsk@x&N#GLjko3B9Ef8eY3wJN!YC3xyZJO%xBxC1`-xt``e36j{Ko*x#yS#vQ5Ps&aRU2jC&r58^egx_4JoQ+ZF z9?gK|xxb7)j@1Pezu)ykFQ9(b6zd_Xh&FLpocuO_{me@*VEaLKtM({i@G~fLT;*yM zrl7%K0jg=cC-7ZE5PmDRs~Z6_SjFJ|#8&C|wEq*(02mk7{rdF!@st_&(NbOdK;(<) zi?o~!Zr~^dQ_}r*^6_#UC-i3jAqu=|7J3iXcKX~CkB$E#^R}+R@OB>84J;x3xGvdx z18%*UM?SZh8p%TV)l-AZA>wpY9AatL|MY)*<`#N?TkGeZ98bzQVDY+uXe$uTX_vOJ)+*O0BxUAT7)K226F`|snVCyjWlgs(HRK;G} zMN^s1%&jwOYIAzU^5J2+JXy_R@?XuO6{g_D*Jgg$s^Uyw@&UJ}F>oZ$TYfLY{_pey zP1vjQ;~x*=$i;*x-fP{*gT&z9!ATlI&B7iT1QLXiNpDX~#bmsa36+!?p5*C&%#fiG zu)xKnQcz>mJ%@}@IWgqpHrA6j!y zNfcT>DcEDCQ5 zq{>v1sEj!U0t4k8LF!0Vt`r43dnqRHJQhPo{mj@r>W+EEiINa)SE9W1247`8+0I58EuRQmKz8!5yR`&tbmx9MZT$Y<-z0O}r}*Ui*jv#^_# zaS&0BaFX$5IJ)-F(A0I5eVfvNsW);*`}9JcWsVFBCAS&v*k(c91ryc<0P zb5^n~LVW2^HiXQ6NyV|$$6wl*O30ftl5@-sav!uxXprb#sdW0sg~0{xjbR04``SI( zhTv_ngeuk_*C1IfZA^$sr7veAXpI@xXrqS=)GyCCJvib$^H3q|0A~6D$;v#vi3XGW zreK~+dq<8K*#^lXnkU_ATdn5XE?f*-AyxQo@qM2bEp5c4ioX%oxtP_C&X}|7lO>gdv zh2*skgYvshj4Q&nly*)+ak|Wg!ZzaQX(AMic39^Ow{o5nYIg@o)Tu*|Tl8_@%ZF3o z7v6rhD;{ZJkDO8F_Lu(LQTF*=Sd<6a{5hC6cRHG zBn)I}&34-irv*LK^!DUzv1~~vYUP83%na+>=wU!Zj z)i7^r8*xbMz$FzlyPqyM%o9OD4u7yF{gjT%h>hDEHi+IWEpNuxkN2m!W#;p{iXj;bB zjhRrd6$E3V4F%=Ab_?DWh;4F?+uT#EzuibJ&ZFyZWyYw>`VGEJKrRL08rZs>>cH)n zZZ-ete#(dKw4M;PY#o>-1hptR-3cimy<~ka%n4=?)&f2eFQhWQ_3b&c9BtT{&{Ul0 z8bL)wjPg9}nzvdsz8xh+NDn+TeQhpG)vO5dW;`_cK`y@9WS1*NAfxQ|om_)u*#aHz zrlzY)>Q-6JxF*M0$brzNLnlkhgn(J1xr=v`at(2>6#m9Fw*AvLoI3YhwLH(I4mFQS810F& z2}}_=_6qn&mW1)o0xZqJl|a>UQgus$_;L=oM_!r5n9Kuvs|mEyw4wRZx9yH)YfgO)XZXRGS?LTFW#e zVjZqZx_;PoRWUFr{mJ`c)gqgrD==-JBHye3n0*5zkaotVD&kJXgG0vlP;69q@!gT>~PCQXHxNM$&b$ z5F=_!N^~Q2xCD2qE{*vxS|>qF6=Ldc!bDn@I0HXIVFb_wDvMIQd&gnVMOm5%ZJKa( z0E$B0P6ou#xTd|+qUM3V2Rc)P?Jkg#wXv_<$5WG5&nr$gN-&KxXmt&)KG#T1;_2HW zqAi!;w2W!s(5JxAu=vVzw=-?=!kfOO@sU0 zJI#Hn{^X*uGt(NMc0^6$&v*g3jrC7|R?(CSkfkVZ(Kf;mPeLcfiwdac_yQD1_hv%Z z#_rD*4mW+e^k7f3;CAt6Cs~8P9(p0p27i)}ppGLhx*2mVii(K|>Q=hCkM+n5^yrQy z=0h(e2mLyTUoKzw2giP&(BwtCJTt`$S}Z%^oz%EJa)zK1c~Yi>ux^3uwGz*@fbfkV zu>B^z&WOONSO6pSSw(2q?T6VpSzoHiCy^vQo*YYy{CkyEKz+6$UZtDZN)x)OG*e3r z#d(ZBHCj0UsQQbdjd>8zs9b}OE@MPc8nJnCO1q_2h~DDByA(%$Df(g{gng2OBWu{8 zO0$2|Z&}fq5`at*cB(&r8+c zRHC$B<-{o=L?reqfh<^*A$L7>qQYG1O2V5L5!a-pA76u6-6itOqr_IzOrSIYy&b*p zrKDBea}q+{B!nHiTmWGTf&Sa_0eM6Kv)cayu0T=0QW&zj#4_b-Un-?oMImG^+V`i@ zk;*9s-p6jpS&LFStfQc9wmMzVX;&5%HiH_OlW?D7rGYdAspcx^qMo8+gMpwMwwr02 z#krxk^t4KwR;!c+oJIz%w$8FmSf>Zgx>3HjKuge_>zbTM@qk`fuyz=tj63tINKEu;DuH|!KIr_ zf_@UMf>M!AwRwl(?Niw}Sa#lq&?%F__Yok|VrgdGzgRD`-Li6>&4H@DNoEZDxh&hmp&6Sh`NH_bp}m9mgvRFC=KB(4KuzE zj7^^ql#Wz-fj|MBq`6FqNY9Fe^SP0Xf9!^1l!|=+YbNn+C7c@HC>{A07){JD>0CO( zIv6t#^O5!-QNFW?DD*{$f;KB(G_fsYEV);w_82Yj9C2Qk+2*Sh&QiBnG@hA`RJw*ghq0 zLr^+WtU=5}I*A(-l#Uc@5cBufTB9_iSOZJ1KrvS0Mi`|cMVcY(+raIS==Iqs4JpvL zb*l_1t54qBpj4uTen?-CZY~u$%$%Gbfr?B#yvHp}8M$MkI$N=J?|BiVSl z=^zlJ@+x2Hr8|3Az+5^~m_aInT1(vWp>(2m4S+amp0|ezOLsvwgeAJ`6Eu1C5<%Q} z0$lDi%0Q3&@VH|U5R11e2n0Z#%J*vaD<%_YTzPltB$d+%i+_?S)F&uj+%eY*w{pyB z+vehFEjQiS7e}q%BVs9Gr1i4f-=D9`f{pQdV zqEhkx@eVNpbHBOTmW-+K9jB8_>6ov3Bj=dk+@DOw-o%*jlu^lv0i_~0xCEhy*mf=rspM#_3;=E~ z-Y7LnLwXn-U0{HB8q(YrB_m(ZP;64_WRFX!NEb99CZv)Wd?*?DeuhP{`1bNal!{bP z1@@_d=TBXSP%3iEOKY!sMW^Kel!_E!1{xf(o6aR8N0xrW#V`JqGY5915+?arpTD3 zW@Y}w<;!UBSx;u0)Jse^;2pP zhZ3aP&G}%k+%xtp!~pyfNWY~&LAR6f;Es$3Sws{{AuV*@8Lu(Pc$7t3I@4iu!_5l6G2 zlV&anX=`MnZaI9(?~EIWNE;(F8}NC3`yj=!QXd*fNUeE$I^y9=>dKf> zk^0U;%w1naZCe8sDT2&s(2LqI2Ye}ZP-it4`VpzC#p3-8R#WNANP&v9z3%9$3DM1^ zB1MmxQ7I2mR%+Yc!`!a4^?#rsb-C#2Zo)}3mxL5OMzRG`_?}mwAq9_d&7vnnqbzt> zIe1tWJZxbUl&&fVWlrsyZ%#5n4oZ6$Wx6*&Pl|SN8aa ziIh@1)It(?IS^$-O}m^ys9Nr6<4dIWN^sWq(%)Q~l>MT#>!!Y-1Dtdv;=r|?ozIg$ zPA;B;u}+%W7Y`}3#Q$L^U>1feH4g}$$6Iu|lCi4~ zsMMcujD#pTgI*S+9As+ndP|$Dijmvc$aH%0qi)B5Eq`1L*!ndynUJ220%DkR)z?6q z^6+6f7&(L2nThgAn@xiGn@+j0*!cpRu{2I6ykOzqa6ws@+mwIGeIiB->VwHm_HGr^1dzBmJp?JxO;^XkY`Lg4CYwB!pDPCCpQq@M8N_;MbC&U&O-XGfZNkChUL*YMPz%T`-YH&aw5| zL8thfl8_L3ImRT?*C&^WBC&^>_p?xcv^x$BL=syRAC&w#5X2du9y(a^C7RRFau^rk>Bq`Ur+m9_3i7&;##^`8jmtwOTl(=Dew*`AJa3c~~ z@vF`%S8-sQbv#Ci<*|C~4HTPCd@BMO%N4mCc0m^0l-(1TOjk}WkKHk}R~@?AP%wXf z7^$i*G{G3od;f@N{Qd+dB4zN5NN7e}NQ7RrLSVIOk~fm(aOGPQJ!Lv9PvT+;KiK^a zb}j$X?()g4Jj#ju%P(j+)IkEtl{?7N^BGeYI;f6VknKyJAcHiUJq;vCXeKlj=xSgG z)Bvh-s0UVB3{_DJF2DKW?)9HI$Wp_Tl?ZI&Xt%ekOaT$PXkG7kw$W0ul>(Z17Id}m za_XXze|r%i-4|zCn5Wd+q~H25ovsMb%p6bPo;HiMj$alkSmeGF?#|~mFC2cA%P}puou-Rwg`pRQp-5qXWK$R zlMpt_FNnE&`%j!?Vp$tY8P~M5C}kX_fWv0Ml{ZbKAwN-R+X*6{DU#d^+CeT6dRl-u zt~DxlFVO_Y=Bnq~OYg*YV?tYQcaAe6nla9vhSY&UyNPrlv1(({RG3`K!GPNUBTKA!uW|;}G&0Ae+FNwSX z3Ms5z0+uTDLfFFLPvi|yC=hmQesltEEuiv^M}PF!?Y0^i|AH+Qw1uaNAp51RVWBZm z{@2$>+XygI@Mf-I0hjL1FzrBz^0cF*8!XI#%11y+MSkX-(SSxl1Y2mZ+nmk9`~ogD zTJr{tD=$%6}>qI|^|S~ls@txHct*f$77;A%sc?zDR%!X~VC=js>`$zL{k8bZn*XfzW< zDi#St0+vn44l;?bHd4CP;f)8EdQOM&9+;Z)kIy_2dL*G+9iMq{VMmio5XPWT0=n)% zV6_rRo&9ad(!nm*rnmgrU|4^umH#$2B>9Y!TiJvX`IkHfb4yUJ+(C}H3^bdsHbK&8 z3{rU%b4%d?gzN)APlB~^15lMi%?=lJt9?Na9u07J`cE8W>6DR2(bZ)aMbUv4V}~JV zq@`pl1vE1)=%UI}waSs$>0;1)g9AX19gAitu>dqP$JVkb5cJ$eH`22S?mX`UW1D?2 z)&sKLR92WPRk)#;)Kmtrwf50ofZJyLT@Hq2L}s)3EAs z!ClMreSJ3SDMslP?N1)?@D{)o)vS%#W%60w=90UN1HeT{|JzCignbb8TuCz@-+7tEtZ5MF>bWPd_hlTf$rE z?)Z{Pgl$2uBU*hH5WwY&@>BvyHB*A&+Kk#rz&zgUH_Kh6pMH5WRyZi}w;1(80iLU^ zU-I&eKtc*+s}NBCr&w7e20G0kvvmp@W_DY0gNa>Y|GIVjWf!q3U?9ruyAU+J6f{KPge4TT(SIfxkpYq69 zwk3vmuGE#g9_Ci)@)dDnsTXc?d+i;t<=+aaasRVMGXA|Dv+BBV6P=2YDxg)s{(w718Q*G%L0A$*R6}s}UG_>Cw|` z>nzZ}`IIW9H6a1T%F{kAKSFb|7wbDz^^OSW!o$n0I3347=RMe2Q#rAsrU0#ZJz*iQj98gomqD2t_AiL*^&nPK0)Ek$@J16Z2c3=mU4zFMI=6|& zdXC#fjh_8v)kb0H<6|l^aF65L`PeaZj~K7Q$p-=-0)gVWNC`;qm&CM{IyWgTTFtt* zCu{6k04(ex&4}e)uh@Uy*5Yq?t@12f;+v*$NmGBxCdSZKu=qVQDQ($c@%wU&+EKoc z#cc6waz)Ihz8%N*zs-0woG$9VN&t_>>BXI%uEht9(?{)2%i{Ek?DnoN`$07?H<&x^ zpUP~k4A5sC>Vi`%N)F;p+_MyMaY|uEZo}LNQ;axuhESSW6GRW)tj3B`imbv3N~}c3 z$0ImZ&jqvd&v_gxnqp=ZzffXDo!ZJDue;`zzZrshwIx3#5>0))iQZ;D8M!zpQ(73( zy`|tA!8+)p0*X{d9VClZ5qGco>~GcF7&5GB^N%@6+iXWGL_|)0nkMbxg%|12;*MOR zbS#>$-HN9r7igGx40`eW`Y4<}9IbYpn=~BZ=)38n!#;5c{@z@=44!CX$KIsrENT~= z%Q;3N*rm9JTsSpHN{#iP)aC(&$6Ee5L-!>ElzeqKg%}S~(uPkG$+glmn@z zD<=?<>T4t-c@`8%TomCM`(?@U3Jw{hqoWnlbjJ-pLM47Hg4N@$za6^i8*sQ$$>wlA z;l3h=fJzdF7p{mgVN%GrhfKR?y^d0rk@w-SqnjgGE04tu1j(%P_|qu?3x(Vdaa_Q?ghtl88dn= z!!M^D_!?b59l8YJ%s!AuY;59yKLBF0MPHH)`m`nJUqw8=}YaHjEf$ zB9GvU^c=tv@YTi3qx&U@_uoxKPFQ_qs7f0O#` zb2aVi!%XBUPiPBwmQs+x+CP^LmelOV_aPm1V_ixQAzetZlfz8p$rkAgA2s~MCwIB~ zy=Xri)Kz$f%>|NYa@9=-)m!(c)a`U*+mqp{+llANA~YHV50g=1n1w8A z{?vx8NX#+}?aXeD1 zwDTO?BsCGbUeGZP@~H6_bxddGesiaNK@lj|o%Aeaz&4=IigkbFwqo3!`wbWhbsFh;$2pf4u$cnlBV;fqpOGRT^Xz*Qn{>kp|hc1*X zSd?-O@??6K7FPE99aGLn-k7P2gXe|smO6ZqF3j)6O=Mwz!9m_cR(AwRK`7@VkKU)$ zc%a{EWEY@*P7p86M5Oky2RU4_Oqs|d)?Yjm(+^GOSTCv1?8Vj#r2*Vl=Nx4Esat|r zgPe~%9lo$jC%XwOOZaha;_2m=Og59pdk7aC2;AqoShIBXaAZhj#R#{rfwP=h$HM^p5h#Y zkT}QJJaIIuGa5SU*MrT(k@`{y;!yH=uHc#yRBUd0C z@QJQ~lFt+8gY|ga@!G^4^Kt76aNMEfGaWUnBi`}a#GOG)mwAP_L&@ij&S!*LxO3uh z-PvdF_&jvZ=5Diizs;&1(xKqhIXK`@E-+g7eqEu5)vL=G-{?S$}Uul;N0 zbY*GcW?-$v5jzMA;!yH=;?O3%p_J5aSLBxeM+1A4%G*y$zI^7~IphpvCL`?m-~;jK z15xXPqF1R4aJP=(s^>c$! z5;~XOi*zuMX?W}uRd=>;I!bTSw*Ns1igd+5X82?7;XrIPN@5Anok)eFM4$URXJ@lf z5_*pVN?0V$KxRhF4DUc}HA-S(*qumaqh#J$E%fqBUjox=fh;{Ob>3QpYZgvEPaM`G z@VF}4N*rmo>{=W~K2IFlb@EV8t0c%sSNe8wP!hz+=ZQ1Wdh%U8&BPJTTm*3#`Al)7 zyS~1?!OSasm(Rnve(v)Rc>0Mw2*Us?D{d#b$l|(YnF*RH(Z$<>L84Yw`A#Zj_lzr6 zl~1YXNi#?_Bf^CS%0nJCd+}1)sQPv#H@%Z?vMh|xtoZ7Jex5*1x({+)a>JO&R3A0H zqi{pdooh0iq}yai$%dz-=3_QpaF8d{EUlJqN=HsUGU-XNaIdEz0v>f8r2<;9R;AgX z*}~G1QXSHssG#nku(MP`*}x^w|Gnw3BjQUzKN zt1BtNJRMA(UcypouRvsSzH_3Jmh02eZgM^*EvKGw9e*Kar8?B>n~5qbDIR zgzcB{?C-pi80UlsO*swv9udThT0g9 zRNlL42!=>7Dw!IR@6xg;e8%NW8W*nT47Rma#3pYDD~-UV+qgb3ZY1RNAu%vIVPA|B zfs*ACVQueJc-(s^@)Flu0NN*q!#QseiL6vynP6;Rl{rWvkGWN>soKB3^zK8t`V-il zm@cPWC+KO=8M2AqMgXZqp9uhoW(pv+(egAVbEX%olD02dG)8tqbLDr#s#DTPds<>f zYr&=FUraktqD<|uW5X58R%eeMTsi>_0$9-V5E9K4fSv|yBhW+u@nu>j03@0xfRdWq zdKzvxgT$(&?Mo(&iyJT}R;`lQ+s4X=x3LIYx{xvNfQP#G4|yKG_vzGEWerfs4nBU_ zQ)0FB#L0zJnn?=E_GoP`=Zf|Ee$FZTe`Mm&Zx5AJGY5phLX6b zme*}k)&*Rylvgli#YFQ2(EYW0D_c`iaQS5r1y`AvXr=(yldH`LX!$M<5g=okOlh#| zUNx(F3NGJ=AtqG1>RD*8DQwO(u9knPa})D!dfh|M_ima!DGs1&*_Yxw^FTmlne5YI z7Ts!N@BRIa4y~`3Qq91nCO<=G(1xBa-O%$yq|!Y`1WJ}mL@Ic6=i$pPi7hzTSx6XG zwF#gq2SPd{5A4GehCs96jNH?>==W_~oW6K$N&Pl#27=xB!;&)3$UPBZ3lc%f=9)-^ zRb#d~{9V8YsoA%m3h?+^mmXhxB9bjJB4NH25@DUIAy}=NgrlByGCQOuTX4wiNzkLn z1$%CB$n3EthsW3lG&9+!dsI*pwd_mNjJZ;`u0ir$sb%Z~s7m(P`Fl`JgJVvQEu0|) z)xc9)NHbG4^xdSOnl@gVSDFXx`ZMwcTc}06h+sgTJk>bqmWDgelmUtC17J@=s+4CW zU|`Fn!Ca?jx6nWn%@I(@+bIp3_NMXaCOQQG6z*aWi#XxMJ^!?2tBT?PYTSy-ANSiy zmDGZs@5wS~L@=+Sc-y6HH9i;Ho`6CgYx@~kiF3K;ExhH1n1eKutmj@{NF>i7k1K+s z?VpU2YbkW}=7y5{MftT!LUmB@!s! z`v79qNzer`JlOod;0#^j?_f^ zAFO0%3@3+ehW6q)<++L8t>`%=y_eWMYge=>rvd*$d(NE#d;|8RnZ>=8T!ToWL`Agm zXK0SF1o*kDynDTX8?l4=?5FPlx8nlULFIbUs-K3%6!{wodj)Im##Og`rpPF zsDJyCr+u;EGMj2had|{W=1-BmRO(4=;mq`ed{mwY(^aRSW+Kc|jp-_aV^eMalqbX- zw>lsly;cZfzF zcdqrwE{J4g5sf_VjQ^!=$({EZgYD2uE+>sVyKBx}KTz)aa_)jnj4ip-p1Top2WjMS zH~L??mfTIAJD3I|8hP9e{+Dx0?tFY-5CM0PMuF~{ayK#V#!~K7-Ydd62N6p;BNxvbHc*z!c-%SS1wVhOu7QC1Y*V zq6a0ycBibzDvZSxT-qh{Gu@*22dQRCz}5-gYuG}+Nx`Kj$b1yCC`Ll6c@k)8wd~Ub zJJK{EJk6C)gx%tXSe0th(k*VEhT-iU9}aC=IHW|_Rwu-&l|Vl4B@%=*w@8AC?Gqxb zItiwc^_ui~kuA)<2>2vD-5%z_K(jHMmW@#&QV)AY=RsHq70rWbqFt&HR;`+(gKXiz*t8&$*fgXWTO|E4Ed3dZ zle3|P?n3@rYBq9?jLm#ZH}lJKB-5m>O-UC9*Lox{G=y$mP1WbMUM|V3wMr#q6u+E{ zz-lX>J}CNsr=n>#(!)+L$oqi1DXdtshxWr$a`euzj>`-QQaNeLvZS}cTQWtEUh zUIW<4Pqn$LeQau+^~|n?Eo*Z_U7&GEd7pYs)xI zP4_-_US~IOMI6+=f+7x*Mc7NEoGwOi`8q;P)m~0|Km1`9VN+)6{~1GzR`W%(fsvcODJ`LpSIa1g>gU1A%1)JGUUfx&`b6 z+%nx;5V$Hh3a%v5>(XmXRDg&pHXk~ut5b`VE{VFvD@gohRhO7RPCK~PvLHa4nlZ@j?Y;FsZUm_wQH!AKp#?1ooj(O z{k#z}tS)a^>rHbzfs}Au;%~|-F3<)2yb&5N+R@EpLz7dlnF2BTnF6t=sCNwwx*O(t zr=UKim#BAv4nlZ@j?Z}ly|G2puAx=}3Db5=eLlMihwA&lBjA^}pOT5YnAKrp*QYyg_-FUIn=$w+~sS4Y0BV23V;-e$joW;1-~ljR-c`Jrds?@uY?%*PeoG}-})em3cU0jcAh7DIc3k0C_iuQg1 zJa`xPf@H-Ecy#8x)92W=FHJGv9ziL?x5M9j>VIAelo%C6B`;qX}7TGyay;#cX(i zIY=N=c|$j=v3bY31+`bKtsJG*vSl5mm^aT=XXY(h_NLu9owzuBadJDmDuv(UHE~}U ztectr@shZQRfp+cP%Ao@-(N4kK*vP$TKcWkVTTfg)B`{#>vYk6GjHt5Rj_^f>ILFAi~l0vD^fqyP? z)L;R+lbe>gl)f&#k>y}|N5?daz^AU)jxoL%m~uIKk~k;w@93CjA@(Z$zGNmEPh6Vc zXxuCl&2f!af$!3P4aSdgBaBm;Mfc106^;+}XR6Hhuj;VTd}So-u+`!(6=%H03~w3V zY35$P>*tE?AQmn{Xg79AM2bp?U_JEEzgpo=hi6-F<~Jx{Bggk$Nrm?tkx~fsK{8L% zYi}J@-2?B%zFZdkv;t?vKE9mFUq7k7;^M(s4M^bJ-}z_&F74Z_{iaqLurJz_+grpF zVcREbzo|A7NZpN?1c+*;1k%gF&_Z`2)A6NfV*&!e-cRX}xW?W$n61_G>+oVOZoJG* zYudW1XR!!eBl-F;>5AdOtz4ZUAt z=(%%!vr_VatJQ|9W~fI>uV|BIhc|xXTtjq1wM-j6{qLLIFhK0i)4;WH()$|PIH~4o z!!9e^9>O+P2IQ7eE6HNaKz5whzlZy7r3U5()3VZM<&Fp|PZbp0^>lk}XT0DUCk`%T zjfe(R&#h^25<)S?1_&PNLPX=6{)~#$R-`wqH@r#f4Ub5K9^Z6*29PR-AvA86l6OPf z|8HLs8gMfrv|Me+YQX<2ALU>3pRavdn}#(}$^R=|9fzg>W&QJnKC_`^!N6J;B=yf@ zC0TuO4>&CP`ye*hSo>dD*8eJ{4+$qCt$+<{DrnM`LWx}1cljVvaA}5GrY2jiCRR3Y z8xHh^`)a11S!y&hFsm;g;8|W>(FTUDcnoG%D(2daf#fIGCWn@GvniB-?o=SGS_x=x zu-+DTeB|I#5O=HxB&3?Bo)_lpRdMe?4la3r&3YX|s+khVm29-pj!A8_!-=pf)uy;H z5C>;H@*0l8tKf^)4z{SHWBC}o#yS(BF<8TV(HO8AOoUadCiyIyO5n;RV6_LXp#-dB zbVCyrZYpdMZDJ9vNIh@N7un)QUL0KVMUFKdgH$smkly4PSmP>_+PI1nVO9jOYSqN7 zXe{p6$-$+Y2ovqsiKu3(N4TxJ_KMWh;gu1gFLYt7N;SR58fW9itmRhpei_jkS<(A- z*Vi^P`90e8wWo`ZvY9O1Vp)j{j7U*#`pzDagIJYn`e0|=rnng>2bbQDF{~jgq?)Im z4^~RoH&jow`|&_m`eg(B!TOxd4aY#32WvY>N0Y9?F_3O^jjS=ND_0Y{VddHl^e4+I zhT;~N99)WMmbK)BRP)qBJ0@-oS(~V5?xnp7%?4PN4)83!Clj25+e#AT9bI!xM26gL z{q#UPkrGI8*Suuk`GsZ<2&;1ER%j5q<)4n_pG7X=^Kzll$fCCOhO82SSj>-GLBc&-%+PUzt5fBaglH{?hW(f&%;jTF~_RvHa_r zp!mkY26L_EDu_!j5GWkr*~Hss>g10$Mu-=v+0Ims6d}p zs4`d<@X7&nJ@xQ&8Tw+t^TxYF1+9c=8Twv=to=<6;QTk5hFlyfRQs-9e9g0ZP>FuY zpzdQ`hVHsy487!(n!G-_f%gef9EFr>U3UKHZ^G zFHcW+Fj`)$;^^#fYOy}!2iEUeI9EbK+F^4}EwKJ7%Xx!~Q!7*Y(W(!_Q=+9F#;IjY zhhk8FRo_ihXoZKF=Q0(+UyR?rV?oJQDhF1a zou8MOir)s|qmtg}AF~`);DD-9Fu@7T+v?)32;_ z48vs_1c#T77;QJ13rBT)PMnJJ(@_LxpNiJ1tD6Ct@C=7c#VT}fkZVIH0PWA-72R+X zY)2|w-wY5M51~~Ej7$a@yKI#yxa-atth`xC?VoP+Yp6A{p5quU)7Uw@R9`hNam%ch zp(n@9W&L<7jb!S&zl=JBW-UCOsDx@6{APc427Ale?=qg>Zfr-|bzKb*84n>=2#iby z8hgYlQ*c*fhs*JeRMK+X49ps7@e78_GW9ryGoGxLRDo)K|bWoP7;#ZQlT1`(284x693^t2sXJ+k=Jhj7=>8UNB)LMcK7o!}fhjpNt=eqsyat?_KyE)CsB$3M=@+~t$> zHLW7{eSGdaHzlMj(hbdhf#?Ira9-m*J}3Hh37)Y@;CHHJ@QZznp>f@!n|@^Gv45@Q zUC_J{H)B%J7uy@ctQ=;TY+-o{v6novA1p6X>p4HIAg9-mpOJAt5dp>%EWs{Q-3XQIc67NReHwKp7|5-mnw-$uas z1}9nzkE&oe)k65ikvXoKHt4=1%Df)r5`0QE4IZ|$p}3h-jMe=wh=W@vyy;7}s-(Ku z0~q(_<@9cJAmLj-N$4`S_nF3a5+QB@WO0vsTr#S)@P`(Nc37XwH~~1G;c@fQuA+l* zn0w;V;Uwg4id7|ey9A+mMIU?1hx7Oz*T+5k%Q`?CFr0*JJv?mp%c!QoqkE=f&Fmbc zOB4q%9!^g0W;|tl?R%>)@OGN6hI9RVqmNte{d*%C&Q46V7M{#bPBx9e>AwfRtuyPc zpnz^bX9BaaR%}zTtJxQj_s62hH%HBRc(#{PL36E!3ZrUbtvJo6Yf{CaxQ9UMW5!TZm7UzM^xgMezRS z$~ay;taW^Li|0*Pe+{hPOW%cJ-E;Udtwp$I=`WKh47{5+p_j(6tdK+UJ@uq?iwJnb z==*6kyyJlS{5o`cNEuHV-3=yeLz^S*;xr4v538f-m}VjRyo5SK2lj$!5&VULtniF# zA-wJSP$_ABe|Nat8qN0frb{@99i*6Xz~gz!sHVZg8=-{1%xNmEu>2L2A@Jo42yy3U zBh}~Sp_`-j&)eY=_17VGIwk(g@I$}4fF8C|Wil1Qb3O_G+DGVeeAB5=Rm=G&Vin^1 zsWMymO3{kX=@t?2Q&o>Mu*ntP`iP3i7V^)5RsvkELjKvL+Cvl;s}OxpbFJS41UGYa zH-!g}lsC_NS0FFkH+br4DAhFl)74P^GChs-h_kOCRbf{4XZ7J3lw$0rz%NGaMh?%Y z7Q+wIV3{jpR14vKI#D?kLuujSJB<|^S+IsSthN8nNCI&+T2~-(*_8_T69-ir4Mkh755sjA|M@ z>?lC^%e)15&95J{+4ZaUGj4)|xqa7u8F{O25#Mict?9p;97jK>7Q*|}^?|`tqQ&4- z&sV6FWHtDtX8?{#7J@Ho9k+yK=zDtszY;Bkw|=Hyz;m)i1i+f~F!$YqQNo1;*mNRm z;g}mp(a?Tg;+B+1gXns0&KQ$$9r=2r@PP4&S8oWoTe7{B3+B@OqvZ$F1?OC%I^GWz zAjKRK6*8}u1ifF2DD({KhL7({2Afb^dXK;3tnf@{#kwD@TiNdid%^x8RwV)QJS(SL zIJj5f$jrtLfeFho@eID~h#pjX-cEW}gcMVGmQ3-RFO0Ok}mNCl}o{M?<%Ag5Uf&Y0XU zWVqf>w`%5>^@88y*2@nE&A8u0D#YGvp9wf6S%|I{M-$K&BUZfNx)MH!t~flSS_B`q zR)g0$XQVIO**@H0O6L_z&h%v%I*=nnD#f7wNkRiAc_3rNTI*y^%~`>Xc`pQAohIh= zAddoltzq<-zWnlSbfre-RIR0=^?KM6r~z4TM(W>#qgKC1;V!9hsyRBVy4jVmqBEHa;VGAZcc;^uv&ge=46aR$OB8{l z+J_YD_Di)gh=@!fsA3%!5t$-XEbXx|^kqqB>*PNn zPEe4;!V@e^h4B25&NTdjIdslUa0cc$KbV>QJ zla|y0bX?Z902UG|g5AU)x|zWqr0RfCyI4r7Pz`S74ZY20{g(u9>!fAD7-l9$J;)Sd zPJU83X#bE(5ooAmUGhWNM|dN&g!hDb6=!zG0I)7)YKhz`*7F$iU&t&PacBfdMd%`| z4bL_YByS9`2|MCWexy-*)PaBwNwZc0oq{PrR6wQ(bBh8RTh>XX5R|>KfXEa|A2M&h z0S$EOzf1}7+Fds$*j6*XT7-ZO30o~9XqZG06H+O{+*GQ%>DOqcwt%F*dL>dQ`mh<# zxj(ypnUXxUw6e9`=06B3ewQ>8bbT-xukB7UMW7*z=<#Igt0CFTH0R%iq7U0^%w#=@SM>W;7t6 z*tW@F8EDdi+{M=T!@xj? z6wooYz#R+&OM+`q?^a&UkEAd|KY;+SBIBh*Ivr!o?jN+KwP0H7+t zjf$9tDKvaZP^bJ#$o6{(my*=pAfD@4uU_Ldk&uIlpb!Fp>XeWyf(}fZ!^(S#%}3ut zoH6L&za(rwMnF%96EsnL3Dj-_bzp|wfduQ6vPH}E2}qP;?mX=*CKTL>V2&~9R&SCr z;8M;2Apq!{ur`Wg&K*>wf4Zj}@c2D|OF5Vm0Y|3FFTGjZ7&Z z;g!Owya#+jpw(PCXt?eYO7_l8;P7Qx$tPGJC0|wp56oO72!Gu%_G3T&xhpMm| zL6RfzjYu)(cs$UKFjH()-m7*DbY$xI83ND8BWzP5zYYWOeF?C;~9{Nvd|{3*`q0$ELll!rVq* z(|KPdz2|ETWdv~a$1wUSQ&id#9>ZY6vUNzO5OiPX9GH+wA?6&;O)adV0AwmbkwpPI zMX-D2+;9b(VgZqsBM6lcDn!++>IKrN0d*vk_LXnt&1xP2-!*K) zJvX)O?A1UX2q&c9W=5!<-#ty+)%G8YYz%J|m(l8a`E`uiG(U z^O!R?%IoPcM~{3B(_>NsCW2~~E9z}slW-3|f@&;-RgrSWG*AT#FZY>Qg$W_{RAddr z_q|AVP%`MIXwai)=$Z|B(h^Yw)oj_l4w#IZ!YaZ5gD&pgr-2>_XoXw>2@rNjZL2X) zh;x_OZ;qrZl-Q9jvLk7W(XdizNaV(j?jYSaX%aPYqz{dc$O_H&{DhQ=V~4+fxI}xJ`P- zErA-Aal_TzjnpzIUl5w;HPjSTgn`od1S5@ZcpA2=QiTa2K+Y}ipbF;sq6a?Qr7U=avPkMI5Hfr>||ET>bwwC^*pq|PKKbuw!2 z?<9?|`eF(>&L|$kCNZl*`OegxElwe!Qq*u|Xu?zeq*IPcc~uQi4J(#`+?S)$BplKy zMIG2t$B=p$sd{P8%CZCxk|{+6jP0Of+EGUgbHryILnu1UV)UH*LvdEGdTHOrvb26k zPU~gVf#vnv2uZ~PSG*FzZJFTFD<1QRGh zN2riaIVvvV#B>r-$=Hu&V;|jV8rj$D564)bJHnup(u%0eov|2Za-DQ8V0MA zuWBh3E9e;p5=B$5YRRw&;P!9-%d0)7%Bic_X#s$NiZoo z5>ZNCC{u$n83rX63{itJ;C|(u@yE)L26BEAE`Hu`<>r9uj4_JUxeTNrCH11Fj5@HJ zuS4?9SEXVF8iT%2YZ5A3lMEG}Uv3>hJ^zs5`6blN_6^o%QVB^6G?@N`L6bI}+{FVVrOl2Fkj)q%xx)dK+h&Aj8I`&`o9;$fhVLqzvXtA7j6;{-q|lSbO34$WOj;3rZiK$a9ipd~7^s zT=7c$aGBs*NHrRF{DoJ8re=E|m=`&wM9er(zJCDJ) zFKI3>f=XvTq?3qB)L9mnjFdJ`EG~`daY;lS*GAR|b%=FJPvUiRPMV;moms|FElr#w zZMYLrX=EPLBeP-EPIJZ3OS%2bhJMZ%dKr}n?%&N#7F<9@7yvb;r2hsK+-_F=YEB7z z8WGF`0$?Vj4~7+b%@y8LT6xXP*yjxIDWg(9w|z+&of}X?M?6KB(u<@30$?V(r6}mg zY%?)q5LUAY1E9_cef4fY=MKC%otiI5hzey3{?D{rAfjg&Z^cX=Tg#;gbFbLYFhQJp?d=>lZC!XSjAuZ_;lYSqY~c~dflexU@|Jn z7uPR|o`mi=C{+>Og;l&_PZJ_4cj}Z;X?WKe;ax&y9gvhx5ARV>7(jG-c$YCrc-L!Q zo53d;^} z7yvcpd4L;G@IFA$al-omF+})4!1||7c|=QwWUs&MgOsFCVXciY0BTBax*Jf?Lv4{i zyhWRkF^QM};>28ZnvmDU`E*$L}COw&agF1t~f#r)%e6l8n zdSaZY{y-%I^>p~U+~E(>g7YeLCOiBHDs}ieJ!^`f(yU3ZSyO_09_adb<*_Vj8l<(H zW=WGyPnsgAG-)#FNt1}mI;pK+(sIy&-dR$nLY>lT&M9|AQ6H$o|DGYGZQv1ryr3W|(b)XA{A;Wjd ztUBsMQdcy0m~>{QIguOb>0C<87p289yWhS$=A?WviaIe~Q{Jt(1sxG)(n2YQ2o_4i z`Vd&kLP18H@#gBVE)=S?$;z45FNtF$EsT}=PPM(Gna~|vS!uZp zG2rx1+PIIPI`XR8usreU%YRvzPa3Qk>W;Ca>eQ2q2&yJ0 z7vW1nNuvnKit*HR(<2SRM%#y=22UZ(q&0Z5tce8tab_}QqkS$=wL#6tpi;s8 zG{}V|k{5NnRW|wvtzv_d=$|B1hynDO)I>Ih%G*4f)ZVl*ECN|^Rd^LcCzUygxCms& zRSjSjLOr@Dr!=>+mv`XGngc2uy8~x6Gpe);0wOBJ07jK|Q!|1}`vYz(>V#`SanJ!= z3o_f=LRG9HkR8`FfHe#?u%EIlkD#6y0M%CM6+rA0%GuQFUVB~2Uv}@vMoanG<(Rb2 ztuiXa0JZ0dBOkk7Nc&q2)u<2y&8Vb7iuM*BSl6tSR zpz7_FrG%qX`AnbmNbpQsdv=yH7Dw5p`l37&A1$3S7sE zL5kMkB6Us~>P)YgUY|Wrha@GQWjTq9mi3~XWKPdXBB(ScnbUKUIIjltsuK6K9Xk;0 zD{LrQ+zB~ERPMAXp6u*|)S^=YlbqQ_Pz}lK_JhGn*qNX%nR(&^TQVxdfT(Hils0KlyplaFL?;oI)B==ws8QO$WqTQj%AGo8)G+HcROkArdCFC= z2rPAckWeBj(PvrCI7)?CY{OAy>|;exNzS+mp7gF<40R%?)~^>$Qaf!C6=ED?-vE`` zX~rJOC?$GqkK{PMsDfo1IvcE<<0Dw9w8$~rav8QI6|OjDTRXE=un1(uopW@1T@h30 z{h}2y2IoBKRwdVjklifh(qH(AF*`Hwm%Q~BLFLKh98c?Z2cPwM0;PJe^Wk_Yn8g`#TX-x@8g!DeZaD7%TueGRg2M zCFv7!5yxr?e)7ffRRuHo0)rl&Q zGk&UqaeETD3KoIvd8vy$nU{j9)%qsOsoC{Q+NG&UT1QOV;|xb}t?vEC>Gq`@)r7~w z&fW#oqsE?NOv0ReL5zZ>mD74|l5)RylGf1U zcJ_jFdVDEGb$)%O-%TsrET6H#dt2^$}B6iGsb7`vH{xu~R{dD%OM zliYHhzHG& zAb?Cc>cmk2p;Fv|zfgxrmE+PE)exmbSn`rZ*`DMq6&O0Ye(W>Wk0L5tll3;9N+j{h z*1F!u!}Ka0)%A${)0Zhavy zHKco~C?@o$W_z!$N`3?ay;L=>p}2Z`U#K`EBOr% zI;~vBw2Hk9g3W(>*CfSMqo5j?YT#WbO{u8(8g*X}FT+$|Dwa>F9RDY+A({VEn?Y2e zGGDErncbnX<;hrnv^&RM9l)tMq;kii{6YeK1%5-Mg{#@)vmL-kA z;>=i_ldUs`O5Mnu>_%>QCC#%{+LS*)iE$S7NLm6!Y{1#$2x(9L$*2%xM@@b5FNR9r zE!*ChOIV8Dpc?#u$*=~~D%2ALq9(mddBBpHR5AivSq@Weacw_(&=UCZ`t0^5?*2hgbsutl{*$AhgTNwxhsDr9@}(J;&z~0 z`Iu5EEEM@X#>qq_)3b1#VwVT;IO&XWl2BbY64Y^}Bb|XK@ycxq(kVsNY>*(GL{u_J zMJG*5%#IBb>qMP)(j=qWFmoI%|C>Ux=u+zcWZb=Y7A@q*dR%i+t30KiVa1e74%`r- zQdIWJv$|P|pdpJjS;MI5Z$~eZ#hNNB7&3?LCT#s&wXbd%r&a zDI6f*I^vHDTmSs8U!0moZD*-h-*mB&ojzpI6CtFDF=YEDp{Yd-^~8XvNq1?kloETU zd0_3q5_2>id+{2jXzQ1>bV@?q?UuT*L|&yuMNfHMza;V+Ya}wT-aM(i#$Hdtyy^^I zqe~T@ttBlgbgWecSyZGZ=@Cqlqz@U=8#E{E%g0-+Oa4IaZpW)d5Fz=t4XGCKpVAjv z5nQ&=+D_N1U>(!K7+)D6RF-t=;xm4&qv_$uCRx%Me+-r0hc?M){4y%UfUE3ESOfx% zHK|fk#E?Gy`OBeWp&QSkpH;ou*`WAAy~y zyU(~EAmj4e3@YP(Kn#~ns^m&(8I@K_tK>@Q8_WUatfT)R+=frYJRksO;#7?uu4tS{ zL?aZk*XY_pvvEp9l@ycMC6+(*r!-PveEe}PPAEj+ZRW^d{n67GYB0nWgjcnxi_EhhUx<0bE}^ur6cBnel|j-u)f#UXyAJ^ zEe6x0x?%mX`C&o{Spi2n2;>N

X z)*QiI@9mS)G1sF~EWq|~3Qr%y2Yt*O z!)1Di3wU|cWP(!oFmDT`__XGgov1^&Iw?H7-1L3MQYc>$w0ucuU8BXv5fKQ$bz*I0 z5-d|W;JyqK8_RCtCIb4Jz-aG$F(KmAR~=M>h9>_D#`*LTBvX#M`pF};UcTsqPC2S3 zyh82^QK1r(rd$%MKEfeXe--;sNja)xYV9jgxv^sI6H%d~^rO^=Gq+q9qnM+NW}5wR zu!qUsOxe=ao2(Y9lvRSstGEjQ;ymP z4EJjsPU=uYNXDQinPN~hQjkU&A~z~PBN37&v3+$plxf8*a$ z(1S`j=#sy<88<7iwWz`85Y&@SIcgs;h;OSz4J}Xz>Pe>*)eu|7bjncq48U{}QAz%} zuw)9n11@e^oR9v9__&P-$UnC)ciw@M`Mk_On@F)ZpBL!>!238L1tyy(g(oAQ2(%)z zu zf(~C)C95xB3{J1ck3n7?9su^1VvTZBAJu&v;bI+a)rjKjA@YzEJijC6A_Y;9$bNzk`1z&8kV|By1tB+PA+ zoseHr`khH|p_S)iqWFBZjo32~d)$eXi`|D(PP!XcE_+BV80JZ(Sb8)%rv;R2bHpPA zhOAU_IPZ@%t5WhSRNLjwA^4h7xdW6Me)tCj9DbxD-2n=qdLXl0>kJQtdx-c-kQbr| z1edP}3jZcZp|%7-rHYZFaJ6pLKvYPl997{&1JNl(HJiK;^`ujZs_Ehr(J4gbh8*^U z(iVwwH=G$Wsx#KJ@|$qjCm_~afriwp(pE9Xj+&mn%BVDb4aG*4s1RdEO<%yssCZf6 z9k^~{s=x#E;YIkg#it`zW8C{YdxrzUWxB@;c;PsEb@I@UnQcohUy8*GztN&a1Xm}8 zhmSnYNu1GIp_R00<0ReaZPR8*RZa>j8rNfgtrAq34V3!$Bqt}LLM868bE;MA+ARPc z$sq1a)#_V>Ay7!B6x5NO4@jle_nxVoN(8<@KLJa8SYYYHm04HB( zRgN4kY#}=7;Kh;qHj5X2yIu?w9lTt?3-w&PlRu=;I{o;FknvuacGyL5by9eE={0jr z4_)uXAar6r4x=U?5JylI@o|t&A*$cr#MT%N5yq5^OT+{ayE9%{uK-nRStk}>C3|BT z_2g{lb*x22Q}Ea`ue2%#>RoB_Mzny6F^-+sN~^*c(8<@KI#SHAJLsCh!Wi%u)a7jg!5-N~2X#f*BU5h)<&TNqPLR4RdicNalX_O+4 zl*yCrQb;(>j_TP;eKOEc9#A<-7z6J7l-npofL^@@@kD?gy~dI-#$NR|XM)mvx~O{I zk?To|rO zyA1SnKBr6DoKFp>i}WK9e|MYZ3WW&R@zyD{ABjc*=k10G(Mg1*8G6x$P)9CxEuJ=V z9UI?wgJkbKEhi&vQ?Cvkkl7Nv5;ET7(nhohu1;AVKAxq5OV)Q{5IRwp4p1STQdF~z zBXz+^*UTflDu$YTAzVU5Hn)2?RjXFBw$b=CPX4RJhivV9PAiRa#0fLGGhGI_JIEP1 z_Nh+p!wZ8QX4gQQ#z!0s>Z)zY_YXot1gNyLPe7%7tGL=C5i1k~0lpeNG?&?rPigPmk%NqoWyxUpIXxp`eM_TIVM%2C?f zE)XBnDHVV3=|bFl<>2o#i1&`EL%r;ClQ&u1H3{)aG|iy4nRG&a@z{IcEe@!;YW( ztf>LT7;uIsHBybBo*26~GIgNa+6T5gy)%duRJAuarJ=ZPto(5)0acY+#f*XFU?r>8 z_c-p!QEwfm7jp_wDc7l^y=jx%dOLixZSiWK?BEl^<$5&+|8dT(>(;I8$rl5O}$N{Bkgef|3z*o5Z+AF%mGxT;_+S4(d%)7At zwb;OHv*-|UA`=^cA~N^PlW7cpoUibhSH^O$;V5NWTSh%GHbbk040bS}YJ9tYxY6V& zUmLI(bKYM1nGzhYh>Ab}u1jdMKeA)JH9HzgHkl_WTMA}5ur1{zWs0B>W2?@LK&J4i zR5%I(PEhqhw?Z0%*pzMyod^Ii?KvG0Gqg>IY(mD%8C?V22w3ftS&ckVjORO|Q;HfC zfI(fVpOZj)gC4*Of6obA4uiDG8?s{jsNVW16&5xL)Ng7KigA^n`UP%$LGKy{?*ugbGpna7Kf;t+hVSsiBaNN;?HW zLgiCsM9k*SlxwwWpMO%=v3|6W{Fq9eub4qiu5SZZ$tj;%AyCw!B9Il=p9t2fRr@4v z_Sys%>s7lLSPHJRy}9C=^X*PTst{L)K!$L)PszB@?HX$*sUpw!#Da-^THK||!6imFN|liacK5YDFxMCqyik@Ch0~)E8 zp_gja=1}$tWmvzI$soM3BaC@=1Tj54B9GRni;ev}tX zGRixZOb&w$4^#V@vS5;#vQsG;<;EO_QAQjhDkU?e^(c>QlwI~HU&mHEgM*^U8@ErM z0I10W4UYV)fI9g2C?rz|3KI#CTGWBUVF50!#{+eS;l$BDt?|?;wa-k@nQ=PTbIL%` z>@T!a=yLbzc?OO`(Alr*u>8}?{M1&bfJ`AO;0rN|F$+L#kMe3ReVOtzo`Kp*yO*K`j7Pcs`wE>g1=XYb~dWDD@Jw0jsr2MSd;92 zsu`*k;vTd_0UA###q#bp@_!}J21>OzoE8LW9Hpjk4 zo&toO(IuQ#PJe_E$$ZLqMMHJPY&Ps<6HK6ftN@u}#j5KxwPvzj<-V#m_l0~#66&%% z?N0tSS{lJX%Y9{Koq(ZCn>Kx#Dowk!HwpEe?A*WCyKSixiSGWRf{qm0w zm1{9nRI8Uu>P%G2w0g;Eb!HWuwW&`6%xw}>;#bJVp{iV3tYL(#RaO18;uGK`Qw(Yd zrwu^)q=IA`a@u60h8pUc0aT}>^EFnZ=@gZpajJntXQ1y#-#TM(ApxHL1 zu6NX0Z&Rvz&^0rMN2QakOsZ#gRs_iugHDXo275JhN;MIm>$J(vH|Dg-cDj(JH3(Al zq_DO44LA+U(qW>j>Z(0e1kr5n^Ax24r&kNgQy~p?+TQgw*r(-t^mF~Xho6$q7g=B4 z0nKHB1y9iPj^{Y&rIX4(oxIMZ+k#FP1C@Q`N!Mpnz4(0er+V=xmh(3O<(zVNTE-~_ ztvm3(62Aebw9MgZf2s}W++y@$Fq!yPYBuP^_kF*Lp|;?a?NMKx&t`%OClqxjG}C$% z-}cz+ic{5MA&D)u$x4DRPjoG)e|c~i;Vp;D-Pzi_2a;*HjWFwVAzgzzc6tOTa_ODR zwE@K4j*Ey@?EPR>2)LOnZzz2?>aW6bf5GxjtYUqMP3@{CF;fq4UKQ9cti_+-#t*qz z+gr%OJyiGhaq+$t>b_J9B33*J5qC}NBxqjSKo7{7!91jfQzH&qrE(9}jxC)Apy6rR z9$t^Tyw#A+DlKT-=-|OB;T5$cxVS}9CO`7QCzU$+byJ<5_Yy$eX*H%Hqu&gbw2nCG zw3FXdkCVr+GnT)eQ*S{SwT(?(p1n5&X9Mh(STl@Q`j~=6Ri38`yLY{ zpD!==#Rv~XX^#<7uAK^^n@>l&aOzQQkFUGikoTuWAWBDi&?k&1p>tBsr}O`}_bpAk z<2HKx{EA+tD^)5AxoG0kV#!0rNKQ@*3J&zRbZFD~wF~8@L!oBd7L8TMJ zy-w(I=&gX0(%1B2mqYLE-N&6wl!7QNm+kPgAIS5~{LmUa{+yBu3i)nLe(08I<@z996IHWj5HL*1W`7L$asW}-im$xNRH{`luU z7RhAt@quRNJ&$ZNyEiX3Wh`qXqX}o%tv7vt`bKj*vzCA{OQo!|3+Tx6vy{E{xUOT~ z)i`^**rV3wXR>Q{74iC#oy-I;<5u+)rkh%Dfu6LrPw@PnstYQA zUR~1UI+qjeW~3JFu1VsN>cr7Q1w5@^^L+0wHt?rWhuzHBi!)}4vbkt|y180MMLk=7W29AQs&=iB+dmhP#p5%zDOapCr^KJbUb$;Di;M>}dI=?7L z|F%l|Ks?iLFWb-SmtP$8OP;-U)5y&{CloP)t$8+_Ks5_>6aJEl=H-&OlwlaPYA=Z0EHsS7bm^`&=%hTA%sOJyXmq+LI7J;8e zg^~?vU<5VSGNZEI(hs8EL!W9ZS*4YiE|l8Tab%Dn%hS+Hs4;%9IS0qr91D+>cWwFM0{R_5cg;+yhD|FXWE(a6iOA z`!sjVlHMX4&^oBG?z+mS0WnM1K^e)`9+eoL>nsltRG_x?fqJEdr!$90-+HCPPgT^; z&82~j24n}Oxwh|d{kt6k!@wtz-xF^pF&xWbHqLM}>lo4!J?e+e*s7zOSBuY)>gg8k znU;ZmUG+c!_e+n?=TQ2>f?LxZ3K4$9v5O+=b+b5 zT5BF5+%RAL0Z=jP0vD0$!9A^wTAJ)o_2ma#3m+EmxxAtq3WG&l1Ojn|NMlS`s)58X z6c|W%+HQolEYD((cnS#VY{=LIbHXPR#;#Mi z#e@@nh+idg^Hcc4XMBSavrp45G0f zGN+g)zk-9wp=mKNh{<}dcBceAVkJS!tZojMjj2Cjx(vcQiyuvi-EJexXtnqzTIsbc zQ3BZYWwf*S(H-~z9&s{W{Rjttl<(1a&k1f~9Yb0~yVEm*4zZqKKLWQjj8}`#Ojg_2 z!?c6=x9vTb)6e;fG-N>^jvU_XVPpmQA@`9#0S=PB^+}6P zrED*h5a%E|_YP4xPU9WW-LNu7V=D?A}|>~#D{m0 z-8$z|1NCpelh0N!F6>gd2v3%dQ?f;WJr%O)+KXnxRXV1t(M|)#r%-b!aklT^ zwlk&G;z#vvYjN)e-27s+I{b8iWqSCGR*TPkVm&uADtvyLYGZ)7Yc@B|AL-`C)A?$n z!sn~4`N^ztM%mCEM<+^Z&BIX=qRdee%O}J^r!<*Kq{!0c6pm9t?Fn^pa6e7SSDFgG%DAb2#i0QCX~U42K%x zoB|jvlKTSGoy^sH?&>jV3xxLvAhTmwl_)+iMnLY519*36JburQ_npfIsYN+9&LxVCad=<0p78RA9 zi(iLsB1ri4Rz}K3XgpU>Rhkl?>7ngElS!+?@A!7~+ToMOAA+yfhNybD+NNiJ8%i?7 z^{dc!fvN)EhkD%sc4%c&c`U`I&9RawYdxOscQ-0@Xw_ODOeiM|Ec0Ys{o1J*3zOs+ zOG#ywzAg<3;W8VD( zuBY1`h)RzeIIbVw{th@m)FeV<~M`+ZR30U{cS+(7^?*1%N~mf@IveE?Zc&pclhzA zpv(rN)#Gm_5rYTCVX$*uqyR{}Mia=&OetrnpN= z^z?=L@|tzs;C&u{z}DG;MQ=gbXlrGsQXzPs5yMc)ddRJS>g4 zyCy8%ex#d!Qd+VWmR2fk)@tjM)ntS}(_(9>68AnAmLifIBT5D88W##nJ0iU5SEdxM zZ*3+$ZAjSB5wGAz;}9q5=4XZ-X5#MfYVlL-aNVC-yXY6(&V zWZ8XKPCJY57PsD}kg{sgx5b>-G5>ymOD~jFhoA1OuGy$ke9o(7pf;nvM4-Hb__yV# zBI+&2&OT$gc9-EV@_HgXSq@GqUm1z30k1e!I-V}bHehmPq&7eIq3`$BG}0fgq15Ix{7-T{_i%%{nGFmNuT-_YyKzZL$PBKC}i@z@?P!D6( z!kfkT>`xqcmrgn7!1G%27M(Ea=OwiQ5WaB^!gr3BZ6wgddnmb7+7&7L^_^cR;5!nMvg5F>&cq_WyUd={?jj!ugpY&RcWB z$xMm01b$i1Yu|o7QF_O#eP6;*&><5X;Vd^_PRrpNtr9<_7(0Ej*yoClHoSGHeOg6T z6?hEV(CF~*lMVPe_WCtB0@39hAf~6|qDAL=M)-|Ys~u*R@X6Q*W7UJ_>+86HH=4i; zCE3aLR;o47>}{pO>+9P3WcwH)kCIJvoO8Ahrw&TCPr4}9xUfyQ?SF`ZxgxBBuuas2_A0yW_?I(ui z^kDCVv1;+-#5~Kq+}#GJ>sf60KJuXQQTpil!PY(N)1>fCOblO1C(unlro}27#OF5F zFJ{%)SVv5r6-qb&8(XQcX{fDFwuljKECG1E39GlUh$I_4>4i_@!ZwzR@Ty;#Qg{!# zMSr^OC9+)cDb4^V>E>sKIGHyK?*dU`ErCx*2QGhhaR5<7Qrh>$4TYQ%LCP{!a*(bY zG=#Y|8mgg#A~~&1IIo*P4oXi+r?peHfYskdMTsB1+?m5-DnIYnr24C($>6p@*THj} zQKJCbtwH?G5Uqm2Nr1#=qb3aPo;EPI&`UAW>c|{;?RJcmLu- z`ZSE7(oVyN#X%dvXte|>5oOuu+k@xujdl{>B#Uvct0}7%eUHD$nk(dBaR)-U^a<$deq^rsrSBWV?*ng5z@itdk zsj#i9t&LU>%0^v{1mAoXnp2!r zi7(7qPOHNQ%kJ0n=i7kzX?j?nZBN+RVywfe#BYy?F+qn{OOT#>mQ8R6F1>NuN&G!p zX#*BxlvWGx;^(s$=d$gd!r!dZ_~|Axek6{0_4>ianZP&NL459Z($=zUPgp(?h1VZoK=Z0d}5qdi|>}W zq)CO6@9T0`+Zx*jR%0UazBrSH5ItZ>9a^{Rki(DEC;Fh70u)Vs6xI>xYmc<(SO>#) z?Um$B1z0n8hm-{A*gqfO64kR2(cyQjWeH6f?I1pPUFUKJjq9TC%f5>l?b~-%sqhfj z)~C;k6SB?4dd}lg1p&p9DGWHnqf#L+=B4fkH`eizH>)1rraI@OHhgPNDbxlI^gh5>ZvqN0w$`w?eaOc= zNngdJA;g%6c@n;fiFMOdrp0fe8mfJCHy?{Rh9WqLfK9??Lb2h{cfefvQefQ*-mhr| zHBHbMMXam&O8#i`HyA;aUg_ZP=QPChFpKo$0HlZSHUb&P9@aBjC4NeL69UEW&}#AH zS8K0x$#cE;pc(Bf{+@1rq7Z`cY6^B({Z76_}W~+Wf4h&(Rf{qOCRhhhr%Ek>Hzy38@AJbTDCcrTtt->d$@X zKv`v{%u6d=Ww++j3@ziIG}LrK;?MDkD&b$MIG5wD^1%Bwjo(yFhM@9(!3bQc#*=6? z2zs1D=<-fyYUv3|JaQ~M~hrGB=-|-R9@|FSw&kq#K0yai9=`SD3=sR za&ECBiNSXN^IJYiMBr+w^v3(hVpd=?PHijv-`wQJ?)BRL#Oyc%DUjg$?$ z7N2VZjX)b09eyWRD9a2sE_(f3X2ztLkg316K7GG5ImCC*UIS9dw^0_SU{&wkawM zCBERjIIRvpd*4(8_`Tg9a4Wggc=CuOV;1F9qPJwgBwraNRl=tfH(B*26&h}F10$V< zPp?Pd%9qk=wNp=eVS?rksTQ6FJM~4(mZrUptM`%HZx6Dky;K8tR#A_%;FpZY0_*`} zoCL25Kw7DcgV;QNf94yz?Cu_qAhks`wcQdjavPE?9!o~#XpwAb%OCqtA%e-Ye-v?48E~` z0Y2I>WW0I;o9}0?>CvF?5)AGN4=}(}eNL<=D4T;ZyfohQ2*!@aCHyrO>$Z-{`Igpy=S_2^ah;pfP=ijkLVFmeoMru zMX$%-ERhi*oy8{^+ZnAAKhBZ;%*m8fLX6I-{$Sd6QGOGn`YVg@v7yM^oo9~v_b?;> zg|nxpIq1v1y5*w{8d+96;5Rvu^f&9Y`m3Bo{5mR1{8Zi8GUay$MTg%BGwYfZ(+KewG}`G#+w?o^|=R9 z{Os*@9(de0!&`GolQdG??*o2|^pA66_>FcN|5!rkEnq6Io}h&KDXB+i0n=z_@yUKO zrPadkafMQDmF~fC_~HHL2YhlRgt6-3^R|10w+wywy2cauw!{Dy2F{36kKW>PZwy0k zq|^9uA>?{-f92H@+yVY^bk;?Ub{5~H3(tIARB83_E$lxxzHDi%)A-R3+TOCF^6Cjn zfKS7IN;{7qLTA}B8um-@ZT)~;FK1Qa3$C5h>hSN4{nvhXz^&(^!u!^#xqvy9=yB@p zrOF9}=cG#bbXj-vWmn(ckA6ApEPjl@540jBv|9XV8Mgwzdf*fp?JRz@mG%HLpRj62 zw_X=Q#fuo}Bsxy~Nh!79HSosw!wBUpct6%3y&5LjgZSlhdy`)%WPd(tZb(|%bN}AC z;fx{QE+r_1S?TNgbzfYrJ7ChD*rDR++qDL~!`&?Eq)9M9J@Kxq1EG?!#MIxze78Tp9H?s#&&46_--+&T0Mup z8THVPKtnP;YDgFcqPl5R&oFNmHD>88_-OnWU^z2Pdo(2uI=0fBVlVOe=}=g4EHUb5^(xl5nDpUAX#){IN@|mf!F7c>tzLegJfw*; z?Y#Fo8m)B-S6Y0#?3?HMXTze!XYp;p zXSCY!ZHo`M8FUZt=w1y<7M~X%ovgkX^6kQaQ;>WAX|RL$Wipv^_hgFXr`@mLrAwQQ z3$I7G2(MI^DWy}hR78PU?$l()T(_L?-BUjkJ>s}a_>f56F;8pUuv?UI4afEK^7`Cq z62ols^7>0&6vg@RFh;_48J9%demvxqaBZ|X`2v(riBlT3ji^4i8b3I*#rd}F9eBd3 zS4-gG{pN-vTzMEbK8$7_7mZn4IL!~E%kq-x0cMO|51xJ|=*!VhyEMPfd^rM0yv<7- z7HbYiPlWFF@ux$dWe48|{A5~}^cu&m6H7mS;v|2NfiEflpkx95sfdrDGxQ23iwi+{wp#1i-ps}??_6mXy(eT{oD z=_GoLqV8iFMyc1#aqKyGcK6i+N~r}m%X%0FPARqMJiILUlywlFN9WpCxumQ|jLsid z9PnB$7vRYza6(!eWlh)Z=9UA9B**4bI^@QMmjhgcSN+PAQtCv%IBK<{O&Yh_a#4$e zE;CBg;4(wTHC$dd9=6N4D_ssCDlM+Nf*ORojxPsf+?B?W?usLa;wK5$Uh*Q`bv!PpC`vL`cSiR ztuSS2@x!w1rPQ-?4*PwR zbWLyPR|nglytYITwUP@0#mLC}JJzesCf(>7iN<8*pVpO4!o#EE>(ag_XEBt9S*~KZ+AFNW9gjfFF_f zBG~-B)ALR6N!5oP=_LMI%4bZxu+g#z{+nH>P)n3HnG2Iz;vL%HwWBdTdZ3kpM-u9- zqTHdeVEgZ&Kp8a__*!Po;vb$J7=I}sbKIf@KX(ms^uu!&g9gDjXME%yf4aNK*A=;j zs!f7QN>ifnk7V8E2ZGnMS2IM(m@Cw0mp6GG?sYIEekzAu1YEtX)HTjmHEJuWIxicw zSe~|f7XiWplIydiJqBDeIR}cDi zttqg=Z4HwbZZ$!^bjMC87wP^iBqm-xMM+-yJBIvgnQ}y)-0t25L7AUmGJR9$DN83+ zGaA^STZ!KA0sn*s@_c>2@V0o+sOpWAa$Ke-(pwFxjg%BD(t3DzohhF&*odoWmhN#D z%gdj4-`E^{!zIL*ylGfVokdbuzpn8$JW})f^hR))&b7EuBKe1Jv}X%gq?BrMd5GT+ z>=^MmPRV9gzkgY3gVEEcDcu8iGF;HVcYk+>M!^EhH7Ad#l2KKAAMhbAOqwDAi}UmFCl{Nu?#C9|gyWz=rC14#_N z`(*CH52>|$b1Lw9|L6mmHjyr;-=(HBuUHU%udly-=$KjbBOfMF>#rR0O2vsfK@A>A z>xL&=D9Oxw&Ks%-WBu~_EX}qnVSjZEyGS!lxz}!^Tbr#;$}QA>c6WIoG*Rn+$nuqX zPoM54mh7{$j&56*o1Qz}GZkSHIhSz!E4deRAT~u((zFR!zZ;WxI}p>@D9Xa>NjsWM zZpG+OD;DEQ2tkE!GJk_o98{s^Aty1BUN(evEoY0B?+pwbifZ65)z{EPrV(a>=n?*+ zRWW{aosZA02NE}tuRWr*XiM2e?EAi1nsxtakE0{I!*Ey|gHI~eA1>SG%eK^ag2nxC zb;`DJ|G2Zmw87h>w6C#}MMM7y4x4X|tBb^&>o&MIH@P4J@6BYns^SGPt#!uD992f+ zdVS>0SMn}YnqwKF8a^<9(oIF++31fuay#(JA#QKu1}Llj!)u)}5|}DeDpz*CT)wc$ z0O9G!ZkQ~ja{oF#iQ@P5&*^o;AM{c!Ia{pWRmH~s(VE<4kKzHTbPrN42(ZVeN8~L9 zZK`fq`~pe?A1M4-^;m<)iklX{6|IsfbaM*qNvK>KoW+`fao18yQn_w&>rL4!AYYqD zmRS#7O|6RXj%;?%9kT_F%-e$A^fO!oS*H3LLvXt<+?qB08g^6_ zx}_01ZK3y|_1|LT*#-P1r#Q>zG316=*YmE&P)(b9g4g>$2436?s8(V`x@4V5kXkwo zw6z>JyZL5qG{SPLO>53hN|@^qyn9y_SQr-^NZt5oF?(ipp&G~|{}db4E?95O$hv=Z z_}O(xU%{+>2+u>7mN8Ubw}orGjgun>`=0gI-i*!*J_2}1?X3AYOAAsx*eIw5|8vN- z*IFKQdo5)x5Igke1n34llRYNXd{t9D10niD84@_X1t!R?+ch~Ni9i$)mjVN}10oJX z$e*H6Pwe6BqI2m={DGq^0k=^fae3MI%E(H`mtKu>qqBwtJSq7?k@3mnBkS^iN24VE zD986neQ(Ou$*0coyH?NA=h`hoO1#Jr^D`(yI$zeR%5`QYfkkCccYVVA7^eeW!Z>f6 z3jLw&#xN}=#yNMQbUts0qol+p6pWNA;v2`ou|J*XnS<1Bw7SZt2)yNNN!&l;50)*J zGg_P@6L2F=->)cIeP5)k4ZrAU>@C$N0L$lB<>5l=+o(FiP*;!s(n$Yscl7E@%?9#IvxeICfjm_jxl@RClf<42L+jN z=EMgxmAWkl)Qp7w%nz%5zNKNKm2glF!Yi0i#9G!#UpSLjFhUHnB#_#yI}@K@tlfyx z4PNI~shVevH{Ofu@pTFK2_|$rQP)^@9(n%~ZQ4^CFW|kFu4m}9B-0;!OFR}4nnQ2< z{#_PCmS;n#uH|!n8@+!!&lHW)kY4P0pq{dLa9H4oavECAYM;x>;X)iX#^c{iy~jL6 zQ?&;2+_jN6IXJ<3dKX!}D*a9UCjl!@LMsJh@*lML9x12&<)9wpl_$|zC58@LQ_E+E zm8l^dBPZ4nW_5PV{nKQLoObJs<`taj#0jH-R}s<^mk)nFlC8-bck2;XDH(=KJ!LB9 zz2hPxMMiJL?ZMF6#46}wZ6Rx`9#Ho-FlT|gn;W0%JM-M2MeB-QU4`ynNzFq6udrGw z`|~iLPKAhbY7wpR2&O(1Ia`^>S7c)y`J{bG#f!z=e0z9|;^gD0O66%`?}odL*L)!t z_h6^ZAgf<1;*QE@HT39%b%eZH*T!e-Hu8RUlQ;o6S({Vk7G@~*3OO=E&R^n50cx>! zxN-F55Ug!|z`~Sm9~8oaJI9?D*B{;6w9S|RN3ksYNbHF?d|L1m()zrkOtSN1%%|m7 zCNqT}b4hVhy{xFsoQ!P~Jv02ol`96K#vq|NYjPY1GOQ~+_^dfweVFuIPQJRslSjLr> zE_k-pl+&XuT0)mUVPFiNf$xwL7nzTP-6%}rw`5xoobd>;7ATRTDvT44bwKqc$PR#5WDYO6zlv%-WM))IB61(t8MOb&b2kmLcs7 zi|`!%#OzxZwKWo|rMAk+9sN1m&-kgeSldB7d|v{m5wznivk6Se%ngXctEqCPr6dN@ z0eV|2Nrm4&fMOewt`uEgm-qroqRLCg#qb>AXxbg0isF=I(c!*#)MBN<9x>Z#9bt(fd#HJwzyQl zUD?bwOL0)-O=F1o^$6^S`O>NALW-ALm-RbbasJl6$aw%JenNZXM1fTRhl34~AneWA zDH~WbgIaPV0woqXK69R(my}LQR1C68S^Z){X%ND@$_d_qqezFjkK1;tf0)!5s9oyVq{=^1IrmM6`3E6s*I9|URrABL_JqtSQ~ocz)G*I*GDWnM zk=$%}&*rG_{CK}hKM&sb`q$e&0pqEJ+wn@mUV476K*?>At|Nw| z>yUcyNRI^kqex3syO6#(BOu-y8Ra!4z5cbW#2DIIEvV0-KAGIrSR;;^e^*@D);Epm zkkL}jcMvmnxen2gi|uwTqTo9-s32grkZdo#p$oD6HOFRQ2IPj~E6CNZISjdxWPf?$ z^0$q@RVG?Sv>@&eFxh!6h}fc`rno8)E6Ty$<^2;wccG> zJc7?rYZhpjuU7U<8tPX`FB*ogS5o&uxsFDvt1+y<;dL?at~J&*CQll62p~}@jhn`^ zg#J;{=|93EIAfjDopupV(WkSCQupNwCsG!L%VrjzI82CgxOPEO}W``6=VjQ z@zV;LS(Nv>$&etaA`x)<<}kfh-d#n9x9n=_mhJ@XYoFY*)55fp=wZ7K{OqmyO( zX}(n7XckJnqj@uBl43p081%VkxSZUae(gIMOX{BD14>rL*X{{@K)4Y7y$4z&_N4k{ zCxA5i&iKbrM{2PNj6Lcry7xp*)FEI~w~(YLy1?&7%YV3dvpZ)XfT6f0LfSWHTbI0K z!T!#8!!Z_t84(fQPmBHq)~02LL}!FgXPifkJ})u2H`<|;9>M4o`n!WvmCI&yluuao zhD3;7-;JGdSc!`*3@C<^rz+ns+x4}1yR|5z8`SJ%LVS=p9}nFFekrhxY!)U zIq~xNdt-&S4DmP-9d)|hs7^Q`;=(1q9(ib#l+Q4LtE|yYJ#S5qf!wzQjYL&W{9$)kMq7 zEvT3Xal!HOa#Y=}=VBBnx-fr!&LYywG!gHtZfe+AF3Y+L3Ak+XCjl7(()Y)OsU0)^X#RyDjA%%_ zz`}j{1eYrjO6nKVRhef-?0x1(GKqEcDb{%!W~&GRxP4Se4u7DMTFiwKe*( z0hI{V{i1#eBLa=}$tl6bA@hI z^4RW(3wwp5qr=0uSC6hHo9gRH1XL@(EfAwxu8G z;V9nCnywA&+{TR#IyESzb$5)DGNo%8$V1OhrpIqPnE+_x%Z_HAqKKCb&tb%;E0PI* z&0UH@v8+c(FzJlT8@wW;5wE{(N1C%9A@Gsc5t!dlc)0nnx+qP}nwryL}wrx#o8n->oz2E=6w|n;No>LY1%ZRLu zs2fpLH!@OD1{?wd1Ox;IghDY=2<1p*5cM1KSU1O>=d5o2Kf$;@bDWnyG%;Amp} zx6jIjpd*?3&Cg#f;;0XQt*J+I!^YUrnW7_a;dHi(t{_BYQZT>vrsbcl?Ea!B1 zIPllrRqOTT*U|jy?0AGwB75yE6Qh6tLg&?~z`ug+^PHfZ&!^MpO91yC-(a0y6N3>_(+{cM*!n(i#Q&; z^3KGBq3*xj#Y!jFCz|4OK+y+H46~Y!*W-3dOvDpfYxH@?syfr#_2%W<1Fq#$$-cTy z$a#kZHgbWG3C<@5r?Ut1=fiQxgUt%W)}-ORS)FA?$mS_SReMWkp8EDbL-!{qTqi3; z@ww51^P{ihODMK6k=&hz?@zeaF_GGKV8`GCbn6Ip%I@MsfPOu}N{rLZOwnouE$=m>bCmm^;R#qF4p=3>FLQY_>YLpHd8|e z_6s&=hD_Ecpj@19)Iy2~J7zoQY5d+VtE*d+xAx0|hK16;yW2p|K;|c2 zhnPXNKOX0C0aZvew@LpYtb7hQZ;;c^q2&~|v5!b@--bX&)Y+5vTm({fetO3G1~L*? zHP$24xbP!)1Pffd$xA3tP5+PPUM-m)qY$FPeogxRdK&4Ti%Es?;aJ}d7&Gwf<((35 z)tVY&KBqA~lGmms4<+AW&ORL6Rc3xYuV}E`jk!C?`^X*Z8jGgn0#TbPh9Sf^#;Ei| zIO2kuiQnf(fUBdUt4kv%pMmJpOdZ9$`PJdegsW3yufEuS|Clq!zt7$uk}W*eJFa?Q z{${8mV`|g9ojCunQG2P8*+I^TLEilCn+w2ZC`p)p7f63W%}M8Mg7U}l?ddL7I1bXg@b~l@=+(3s_`KZ~;IFOExPzW<$XHL%nD$IYe>1v$ zqGg3}Uh4*YW9k831w@Av!U3R3L`;C~98Y^iiPm4~|D>fPQ00Wid|#&(Jct@@(>Xa4 z3`l>MDKtMv^h6#_*biN6)I=7#eS|scirx;--XD*8Kh0AJyS+FLIS{RTB-rer#xdjR zvJHA(fb@^(efM_ytFkrNH;u z28?THBK@^Dw+^?94k_1;hH5k#WM=VkjTieP zv+o5a4lDpV0PG*VT=`f5O+)j4In_TVp?xIKnO=K2onttZT9b-r>9#aC&okmq*M$}( zhl^S0@k5ejJ8Yk()s@@5&FC0(#5Sj<)b-obLyAu&rMT#&r`MbfTM2MzB*dqalAJ9| z3Gisf#iyDUoo!1A@EQJpVOn|Z|4S4%#=-T^?c;UGtMdcn`^=aYT?MI`fQxQi6Dc=M zgnoQ>3N?M${1ik+w3fht%TgVwf#7F~dA;o;bVGc`)uF;b^5NvDT4~Ib9%|N=57lyu zWu%Y8eggKsyoE)}gM*m|%ZP)60@6Sla~C|fw7fGIx3szVpB~w;d2(7(bizlct^^G# zpn@dFo61FfZ-$%(ys=@$gPepejb=0gMV9m5!EXqVoLURiKpB!8%3z)IRlODofbKv`+ z%D#8Fh2#@Gnmg>t8EG&^1-zU2@b9LXgh76+X@p^Z_Y-_j@4j6L!~KFc=#5ON@4Oq> zuuxUY5_$LC4=5IqGkC~N;S;?8RENQp_ed^7B)^HgCfNk!uAiWOi99L`&|N>lfxu+} zI_m-IQE2aP2>(R3hqJ*!F<^3;2xW!Fg>o=A$qSEpg+@VbP^qF93~VB|skatLSqd>( zEf6HbW^lociCxC-n_)>@DDOQ;PG%u|p|yS{S-~zACyk>BkI9}~V+r@mn)Zh#GNF6* zge5Ym2vVI)V=Tb_V@L#5j)0uBPNtR(>GcZ@|PG%#UIDU zL?ws841{|tq65=Jx%WGni88;y6d}sKki0~RTVl;EoRbC6QY`0lkl-)^?obFJ zq#wadTqg@8#E~LMKZfKdipT^RA_%yHf00DQgR~JipX0a-BjpVO1tyW`&gT%y@LaBN z+>j>=EQY~>1l&P+i6Rw^Kmh@Q7%>1*HC%9e20BlYG>CzEff1aCC~L{(l>$uygo?F% zAEa?2+aG+8)Ww^~Kgu2V0}W`!{{bleuw1S%<3M&Mrl~R!XyZgFKNti_${WmqDCH9@ z1W+s35YUj{Oe7I=MpP#YTo5t;YYH5H+~Pj4XYl4ag+P)}+bi@zFH@ZYkS~($Hw<@D zETP;;u{)U&Qb`okMc_4>5Cfs~*+SFwBicmF%s?W^Vrn)IDmO8cPu8k=Au^aK=b+dS z;Y~7`s8n&TGf@R}gjO3ev5Kk7fJh(2lk!4LC>rxNHEYj)k^V%D2+&P#q27zx!hM$e zVTI_;moV<6pUCDJq%H3-PiUg((0!UiL;B=-icBXfESICX!F`gUCHkf`MSCE#M1MzX z;2ud^e26mVFtT=`E3va=DzSkvK*|;7om42?NBA4w9jv(rS;-+#9Kr{v%N=z%oZ|0j zI`F)j0`JSzXvA)y+lX1m1oq*ckK7=A0NufTo_axhzY_hpxwpWBK7U2#aZE`;Wphe% zg->kE;gw;C5H!oRQAp2b07rQpFXm{IRf8J|agFqq6({l`Ir)b#-z#n3gTZ zQ93y!YdsV03YSb0j8(@9lHMV03Y*UJy#$7E-=xSTl7TEPt-3@^6qmYOD#Suy6&qyWsPSc`_?PbP zxbd}V1@BKua&jHpjD*;!s}VmfO_Yor zmOd_^1rjX0L9wWyyFr1NfwDp26rs2PxhaKnlL|=d#r5-Hf&~+o9*ZsSNVpo#S~)vy zAvujW_VwGMHcOUD1iH-v?N6Mudv~T=lzX;9Pir$yncXSsUr|2qcCROF{sk6Exw`2nTEBR8%w^;zGgBLTK&xwJGD3o zp*fhxNlw`R`#d{NvPB)c2cUFG6B8wjaONXR7&FgHkOkxXRWcJcLlpjQUr&~uLh$(i>SZQA5Pi37iI;;7RVy%L9TGf^OAzjRfjJd@AX=#F(b_c5q@ znRO`lQE5R!kcgT-?(b-ks@xpe`<;dGDHK1>Zz!wYcZ-1pdGm4Q3bri=hlKqpwQ}Km z>w&!7{s6KUsj>YeT@1Rg)biT|8HJ>2tO5+_ljgh(ybeR|Xj1@9)g5VkT^VHeg{)M} z)N!MXc8pV|+)c8XHJV+@yQ#3>3RN8#lb~PnU+H37=Ym$OV;ubRlr={JD{#N1tpqar zLWQlL{72FBJ-#DYT7UjUGBwveq8gauUXk@rG;d1yCYg07yprB7f&j$!wn2|#=+VJO zu(i@5fCCZWPz+2rF^T&pSeXQY1I+?oNdv+&VphVsl-yzIg^Lhgu>~8AQ;HtJ2X!8p|+rhoQJ|=f#*4nBsTqWV-+m{ z6Q39&;r8W@S(ngD{|K<}rQ;=FGz$4~8zbIKGZR&q!AN&N;x4Y=4dze%Gd;O8*b|7G ziTwkf-C6v6=%1$SUrz1}oj8)L@0D&|2u|X}C|=;<9_YFZHgs5N<6R~IqC&hYRHghx zPhQFffp5N5Ord^D^;j!neoLiFU~hw$*r}wUvX>^63fdH@CH%M~=CQKW#q4=82=-Y3}`e2O=88NWc89NgQzN{S;rI#h7bmhBdAeY1h+(0@C|5H z@C;~CDqwY}QnPSDog`ISB+~AsXjrj=g7K>4`GK~eQz9@yJY_{N6Zn<9p$QA5rzFHN zh1zI|Qi|Ac$oDiUcUmt;_ismsM$CHtou0k=$ohE!+I$Gb-veK*1iEH%EU70Jb2R}{ z3XC=OI14-Pi3F_*b9d`{ICq*~wa<}XqpPc=lvFRGg3AsVoMu$|r<6eh`07H4bOKX2 zk@07%pM{1XZ(?007=CVr6yWmPTHjWm9hqK@*bk`B_gnfus3^-?imsn*d_uPN8tXgU zJ6qF}{yPYr8OW^uKZgzUh&Aq{HLliljJEoUb8$Lqn{TCwNcnJEpBvfiV`n)h=Lg$v z7ub@=deK~3VttRQ$d&=do6-yXWL(v^6hqOnx3EiE#nt>So|phL7{+JWZqd~WDkE;u z*4~?g8@vdsSX*EBCs|g>mi{hMa;&FagFUiTe8_)>X&2FZv2N{oPqf9Zz?n^otvs)> zD$}J>_^5B|OiyfqT7@;Agj{;tcqvUsYs;^&7N;Y-*)G7FO|~sPuQ6od718@ZzTI%I zunXhFj~MwyNu`5PpxjCq?*FxUXSr z9ayO1kYkT}<`f%>dB#4S#j7qjfkjc7Vq~`f00a z*d4S4#JVrl=OS+J(FT&^sI7gp>FIsdYH2Uky~b5Kr%9LOM>($A}M44d5K9+#)-6zx-hWqT8Giuk zIcmoBch{GMZmnCq|60FQ`)F`O07oK=^I<(Hu8=7qi}J+>s2+#kGhj!%KZTk>-#fim zdAHb{?51^Q*5QH;;PF=TXMr%H9r;2Ro_*(kd6cTl2N{fu+p_IVkLJsjH!*f z`jf8je9BHwpyBq}y60hfihcJV!%??ApZ&kWx_X`!w~*tUY(h99`R+SS+V zDiT-!kdQMk-|!bW4IKpc0(mNL*A#SyYwx|My!fnou{?vjJ4JN28+K*12HaOoKeyCU zo@^=@uGZuEuN?&U1N%U|!0oTIrFz=XQ`~LVWw-PM9FE_ta5-hKI*qo*5SLl)Gt-;= ze^z`Vo?lhi?F9CMdhi`nJ>}-HIl3=38c`zV7O>f7N)6A*;+dN-G5<_~y2q=u0b0`I zKQ4<$Sr4RFXJ%W>4$I+QIUF<7twFcT-edAtxIA_s`tw~@d}roQxmoOgBelRSED&?^ z{s)~|Ao3WmG5>7&pUH^pK|Ma>Ggs%Ydh-Df;ZZr9vNOF##(xmee>!AldJT`v;7`~T z)(3S$ZQ)7Q6m)ofhWn@pI5^;OtmK4y`7ARtIpDp1mFG7(TynjX6TbR?Zam|U_3t-Q zzWkrYv&CTk?Gx$}FS4fMT940WkG=6mZ@AfOeQ?VIvDR;Wu-gOi0Kae@T%eNZT?pI( z3D47If%!Qk+{|rZFee1+@u`-_QcyqPy)mCX0#W;?USq}N}6?uyGte}HnnEjGhpeCg3Ti*bLV>|(VUVuva> zfAibf=gG5=fVZ~chOwUT%isA|7DAt)lRFze03(l|~cfVYgH@l&~v`nP-QyEE%gtGoY71neJ< zK0W&r z-)WS&?j_&YV=hVaEB`IuYrUyG?%oeaoSwdsfI0f0%bg8h1|0cd1;6HXKNKl}!IyQaRUd{Eg#3Ziq!^{)z#Zp|totFHsWq_=}=gMQgUqdlOzcs&$FkiKV( z%rY18rGm=etbhAc1jV*XGRWeZ%0zMp4yU824et?}^I`*BWH$%OaHDl%#^k7A{PJV_ zF(>dMhuw)+$@Z}<$;|=I9vxT&jA*zh&*d)3zL!c~rHcm2bQt$&lG;D#QAGPGm(~0E z3=xE(cESxINVe0j3)evGhIZgWA#CX)>yMXTu}C76QBP2o2TuOXf@&BEF0dS3|Dhwn z4W4a6&{nJs1Ql|SX^K8TI#)~C&fY3=mO_Ay!U(4W+p<=x90K9U5U^s80znXNWU$$R zp!EP}G8Oz#jzAeqq?&Smb>i>?7;F!#f+QZcL&6s!Z3nA?fH)p^!TD{0HA0Yt<$iVX zg{YyRP1L1>eQ|T5m7cbDjjba@@lzi<&U=?43 zGJW=gVzq^4Q3Y}B2s%Y7g_SZwYR@xz<$~zkbuGMou=@dMft0-KLvY^yC%At`JnW7l z0y+4svSS}khrZ+NU$eaJ>|T?y?QCD8vTbiphrVsDAF*23-sVZDe)`Z@7dQq2N5*Uk zi2;`A?zpvT437;0vXJgBRHYC%_`y z+2`NW-IV}AAE5_JY~+;$SPb@oIz^`e5<>wc0l6bWXXpF>)s0H#z?P1_zKr|2an1UHoPhS3E@o$s(>No^Z)zmO>fF4w-^rffVXLR&s$@Jc&(~1y@@@ z`6XnTNVA$DZyFpKTxj_dZJt1kysKG@^0yi)stHkfX{x9w#7L;?5LTdI71#Foq?~9|!M`!V?!^7HL{A4xYa7szjh^wCbg?rE7-OerwXCGEH2O zl2xgnlmw*=MQen3nY-AKN?I-gQZ6 zQZ?Fq)vU?Pc_msA+9VC?Bm!=skYtAYRBGj@ldM#;H=-iiK%2@=I!#J(>M$(Lq&bIB zbYgu~s+1DkL1?PzP8M;P%!}?+DRr2=uyiqsEIsDxu_ddNbWl!Ws-+yddCw&aXB2sH z>cB-kqjhOFMO86)r1B9ZTPIHvttf+mO2iZjcD3mF>D9u@CD4Y91`(5|o#o2dSktvp{%HDeasdbFwIGqXs85w=p9nAssjTg)nsf z;QL42CQuY1@%LqC#O5`+AuPBs(v)nIkg+2`M1Ce?yXN%i;QMVK+Cf2n|3_cJR{`I6 z)OUcp=9ZBLaslH_IGh;0m zHWweSmNOE)opRj#0)*U2{{~67$!%og4Gr{n^mOajI$pGn-7RVhd`I3~Ili~|?|=8N zpBiS@yumyz2lR)t`!SOBSMnL;{bUrobWE|NqasYcCMhVGz+18S@tBr&-!a;hdu>=H zXon1o>^Kc58QfX_OS}G7 zBO`~d0#D3P5HWVLRTZ54(WE3T;`EcG?dZ?J0$=SvD3 zN#+YNr<#F}7mS%C2NYchWc+k)BF+zncwEv)s{2v)uR|pgYX*&9!Wmz}^K)RZ-Y;0O ziFY$QVJR`w^VmcgF@T)K{gQ9QsynO^kDUg9K)ktJNkKY{e*NoV!Wqk`~7Ke_4?H0@z{-5fYZlg6NKm{Jp-c!g;p%-s&GacVB(E&Uc;z5s z$7W#kQT)hh;kJ<0mi=mMRV3Zw|m5(l-Oa-2A>M z*#vXMT)4(EKOzH)ztBm@7zJC`9ei_gb~S|0Z#%1{aaQJL#756u@qKTK+M`=Lm>Mt} z@EV9!Fl9`|7(f(EAhqCWK-Fr-O>zTm>S4QKI7O~e^ojY(c1_ff2IYIYw+jhBojZ~2%M(Klo+;YqU> zAv>oP?p`wOp<}l|35bLA&yyfET)G-VOg%$H67}%LdpPwyQ1$sRO(lj!N!7+?#zwSA z%pauqUYND5@U6$82Ob9NoyO21>Yh5?rs>m1aeOg_At=zG$bPH@va4sa2N2#MXb2HDh9|@cb5Sy5aC$Q`LpKY5I8(GWXGlf=L2WN6G zGPg0PS5{F*R-q%R=op_0r@mzWE%@Z;&iVn~n!UT^-l!-bzhIwbNiL#OJK-sjY*d`& z1Jq&0D%6w`B|ZjJV)g+s`5L7i(k2Ecb_+2oU!MKBwZu~m7hYu^(=Li3j4L3f<66C0 z%+RF%_(!#cYC9h3GMQ#8MP)YMHU{(mz`y>JmhDC|q9b8brqR!bs(r{hCjUW>BiR;I z9VmoI_|Z26tGO(5opy2f(>H|c=`3>tAcTC6J%&l$;&iW-}= z;S($sb5!)M9@_R^CI;w_);yQ#9E$~G^DLty?ydPEp%&12t_nrBd%`w1IN|sgM@_bl z#n9Ulq-I&)wdWZKFMg=sRsY**+htx1-Ozr(93N>qEgahJLs}1I)KMTt8Za3b3h7`s zX)2ZQw8#!yR&PS34z%zLnPd0V5&wb>0`rUq^e-OR;l4L8mU7}rEuf*2C@u{QB^%Zc zZD%);7}Jl#OT~3u3l}qLOJep~PcGmw33)>PX~A>Q;a7=%rN0AHKep?tr%nEf6DMxd z8%QmBx-#mo2DJa7lklyB5oX_8yMnP01bDLht6l+$38-0T{@@8zvul$FG;OTED%C9t zSN)O@K8k~~dr>wRUR&#dlHTXktg*sUS{F7l2&pjR&SIuMd08~xU3Y9_*QP#xLDhI+ zuPFz@BOuqWLVO#K4x{&$S)Dxr=nmtTAI1Qlr(o--wr(cm6*CmO4vLW9pCDfE(3gvC zV{l^cpj!;kmsz%Pn9|SSY$?!}YTI3*e5%J*HG(**#1WPr1l3+!z!|S1A}b;;pO(>V z#Hxv^IVHA-oTyij7ytZHHnFpDaH3vL0BV#0Y9#%Hv701&y0?nMjD93*^^ITADPvcs zUP@R{=TbMZ!xubMTp=W@rLwNI8>S+N-a-$3QjKeSpbb-bdb5g(m_|R)y) z7T-!P!@O{*6<_x56LfQneI9GlMsg)o;8i-d>SHYG<`%mD1HAUsn}ndcMkVw~lVNdt zt~Aj51V`!-oqesH0823;m$*#rZv2-Zn0Ps4@uJ+OUb5{dMFTUQMbiRyI3vs zj;&>LEkK+~cK@KW-<(6IB+UCSV)iU&_NHx=y4&{@{w#t!Vd;vj;kF_cH%CtbrK7>m zpuF+sXLgk}G^MnJ?0?o#5^ZdIRTZ^YsC_C5N>^b)q&1c?eJb-AupHGEwKg1tl@>K^ zrNLv2KCqF8w8f>!M3iG+Zm0uP4yzJUIxqba3DQ|%|kM36F$+eB} zN9zbulAUv9e&3p=k}RIqg9I=vY}887ZzL=!6IOn1V$pFQ_=mP1CVA`Yn1~G-vo%mz zBXuA$6q=k-MGpUm$KcvlV2|#qyld^i@y<8?(coPHu^tgRuLg2`T}Fa@M?uL@UJdj* zFreDbJZmb$l&0&H5x1+gwm3E-kQRx^(g|y{fZ>+fIv!azZhf)r*QlwNwoL7l1< zRY+U&sZ)$O!Z7S7aXY`qSne>?HHd!NmDV02mI0AlXhKJIxx0u*7mY=x=2xsQSl2`< z7QC`vg=eFa6pwUMU|egBBoMXWXkvV`2KIIViGzH?O*al@5DcJ?*ct3&ba(iF$^DtUr#rIu;OmQOv_Fzm{{gY+^V^FrU+E zidHPfwU0+JpD}VMqTEuHgPivd*x_0ACHiwz%|FU1p0fd0Bt1 z*A}mVkGOqWa_`erEDPQ}#hC}%fv28hg>!laIlFu^a=;$WhdB0gxoZFMr^*y&yKADKZ7wZL4PDIZF;EO zxi0Ma?QL=Al!*^hBQ$M>+g>6sfdphW-;zS2^< zf2?KePI0*3LVmQ60q@5KLNC`jbdj)T(LMk2mxclMf_q2FyVe}Q4z$9$)$X_hBE#-@ znFa_GlvRasU#a8q>~s#pZ&ajP+*X?>$j@carwW2DnX@xs72@Vc_ zR4=;w{eF~;E3Xq3^e7`ZT>Me9h&QoK2WZ8-#fb6H%~=z?QIvh`7*j{mEzmpr^Y#Z!|$q5?N9up9DMa}8YOmgX?K{kIM+Nt zGdUQCIr<{(Y@Svv>Hx+$`oC+uQFOe6yM2**c6C;Iym;P?{4fE4!`qAHUEC0~uim-+ zf#*ohtT>D1^`-aqk|Z3jfA9;~QRpWSNf0DRxQYS+AUs|SZ>#}yyf(g_ef`>dO#Py~ z313d%PHsOX3ArHqJODE%yCPKs0KSXm%@2nF8555j{S}WjCPB>2iuu5RUvF1;!l0DJ7aFYc;M37J=CIQAjpl)w zBi73c0Q`kUB^&HSRVYLVE%38KnJh(DozWOlkvdtmlRok)y5#iD-|gS-6%?wfD>(9T zm>IBygCU}GXee#zMkU>Yeyc~5TaRJLdFko1TqrSGh&@$gm9(iKyn0_Cs!FgetbZhmf$%!z4V)+^x{^oo=e!grlfWrNuwEuR$d{Ciq^|U*@|ML9x z@t|Pg)}pV^lhI-D%vi$S-K%x0r{^2x^L#`2-Knp4!^i)Z!Qr{yo{4Bcv=76(?GncXDpH@VtN@7x2 zugN(I7tWXPzeyCmszs@1OLi1PPfiMpq)@HlFpf$F2|{@&XCMx~HA~c4(uCYVBP4X@ zBqO8^-*MJoA?_&qsm+@-qwu8_2qBkK^Y7%L2%(u`#E2F3G_vFywN6Q_6&~t0$X{>P z$f8#Mc2HC$BqM~5qLQgY66@{YaqU6|!>N#6?<3ln{-^8)`&kC}@+oR|sz-DaW<{-# zP%(d9SuOzDGI@xCaZ3r;!Usl8B7#5G0B8-{3OX8hFq}xJ>WsU(WgXW#LX@JdujcH(b^tbDwASa6jY0Gu;UX~g>Cux!$C z#>wC$nzwW4amub8WkWm)C$p%8;$2vHAc28`d}&fAO@Al_A828P_*=7NbWSq6y;K77 zc@^sKnW)-p(n7-N=Qvw7%&Wo^=Xq3C}%MU=RRtV3(f^)In=`PPJMFx$Lh)xbx^s{ zC(_N*c_|r8p}^<^?&M)$~N zUPKgh2&>xtZm!L!vbiXB8)oML)g}-LPAxpuiYM9!v>3MXLeWePw}ZV4o3uDk3e)c^}iU89`1OlJZH{^M9wP1;8Jk<{uGg9cqg9MmR|OCOtqqCwXrH%8i(z(ya-h^j%? z5*KdUmC%YG3yG#d*Cr?4+LiE#ADa&Bbj(71(ODU4&~?d~i*_ckF~r)VYtZ$?{fYAo zOl5eCi>yi8qinI^74$~>V+-u;i>D;;3T7kyX@^;ab4;Pxsz#ymCb3^3flXWrtCAFc z6y7Pl#UieQM{f^359?H7;uOR~{<99d2=9~v2n4a>)eIGk)Q`Mn(-i)%X|0s3bb+9O zjY`s4I;7-q5S4-U>6a}ET%}K?3AVMKNgAHQfZMnSu2f5|HwalzLBJ)>3uh5eaC8Yd z13L@G!dcXk6h%PEBkaPXa2DmnN*GYm3fUMD$|{#=trW5iSEF1sgJjAPE>xeoiEbzg zZ{|+SK7yc3R<>?t&1)7<+@kLBODbO(s-oSu!*oJ2Et%uN6k$%xDGDOWLG5m#j4ogK zpj^LztC&`MQKiCIrC@{Dg%tVG)Ef(*>Hpp)?s7NNTOmJ3NfN4eFF|A50y53$5idQ} z-9sTnVHD>QjQPCW(LoilU#O|Voe`b^;{hr(c=?=WUv@D&0$T{y&}Pf?5EL%`cUUdj$k;3o;eje~ zp%g``hyA}d+AiCj9|xfE$za*4$(yaiBO(|ikXT-Y$+Kg6(uBpY)OUGcX|5dCt`8`q z;zn_C(yI8#GIuDDnIT&qTexP#0u zf&mi_Et9yUvJ~jaqxR{Hm1=W)U(g7twDYfJ&O`Kipylx4X=%W3C8~8QJt#s*B%CEK z%IW5S>NZZI@@wW#WL`;+F~`glsH# ziYY8M?WqHqZ2DBA45DF+tI+6&9V>s{CwNjh8X#)G(3RA$cV)a}60}&B;sm%zPj0pP zH~v1=@W=qqOes*aRe(G6kgS-OA+!6)+=HapmY0W5ES1Kk-)ZIdUvG)fyu5k&1QfH& z?MmKZQq@ijnR?G55%xNSfNRx8y5WgGT`GKC6^OCfdF18UVDQQ)AlNvU(MKjPAM-|T+G;0o@>uhRWd3TOtZuZlnfpc8*i(o*(%0@MR0O>8r>Zr848ZjxmYRz^8_f9fSTx zOx*o`{%n|VSB0~GAi)3)xqp2NFdMY82EFsMDw*MgFFSE^mviGoa_1d;vK20b6 zS-ZPVVbeXJuiU+L|H52&6z9<>@aViEAKAcGKTddieo_GA;S1{k$=)e2=knosg%W|O zp+hO>CDZgP-<#~mYd4)|;Gp{*23a^mjtB-)Ov#=R|G~*EOg6;dN$fKcO*a=EXy#qoDh@2g^R=#XBMNowFs}R;`*6tl)tx343(2EH5I{80O zVNtVE39KCt$Eb>xoeg)Y#c$9;;5*TXtCKqGC(Eu*ZG&ROnLKxYFzWS9uuq{298U_w zA80R5>!!$zrrf)rYQ;DV%p3ldU<#45r)Cl~m2=G2Wr~xV`Y|uznrGbo=)6hX!8^ks znfkVMlS&;TvpZdSgtMDohrLAE);;cGeQ>?vjcd2G0${E4j|OIj277slCQC?rj1Amt9=!cL2e@PL2zuDCP>NHxF-`J`)KQiuAZ;B?dV~DWiuJ z^ph0uh5&UbgX1gpS1y)2d)u@-_THkfj1;h85ZXx_-6hy0bnp*{(;vHIZGi_|EQ6ow zd-Ha>Leat$LpABEX361p&`Q+go}7jE+4jSK(x@@fdb@Qg+~RTyNmV3MLU4)2>Ad2& z`?Dr;2nY-5{G}TZYuSjc~$lE`OThv9eU%o_m#iH+Fl=ZrA zd$Nh5zN|)Tln50wC$ts26{j_blUW)Oo`hnn(%kNF5UAHO>eLys<5?lbNYeGn8qjIQ zi5Cr%j=&n=Ex@U#6#cuTRMu~?<2ORZN>caqNmSp!lRXXf@q-uHPNsSq!OB5<#I#=kF!};Zx8(QG4&Q>dk6&I8ZIJYkl~{=jzzpcI zv^jg|zx=1gub$3Q3X8nnDW0#E0+~Q2&07o`#Mpv`(!|`zluaX0aqHPAY2X;n{Kbwwh zv=DGNXGd;8miuuy3z-v%b6ECd47t4iVxvOJ_i0BJ$~Im)95AE11L+_zASj|aNQ78% z=jQxoTinwb7BXxP2ZL$@#Yk%plzEwiGfBa$%~&KIFAxG|b+}x50PAg`zF;fYhw&D$04+1pK!8xXBJxLNVOI(s&>nGth!HS|92*8Mg=XE5%8h(KyR z)}axA`1OO+Bx}Gvg4UKQ0ko8dqaD7|`A(2Y#2x6lbYN{haOe+j$Fhm`xt{C>N zF1}SvKBw!fLN6bonx>S=2b>ILGTP%c5N0W2bx8C+%qjs&ryEz8jCk^eZg@|>sGbg^ zCNwxEnEpI`tRYCJ*!=W$wG00P6=3d6^A77j=4e?_WAt8L5tg$hItkc@cqvGoJjdI9kRq_nR>)^&1&~YKE=3y9JA%>uu|wOW zOe)Yr^TIaPr}OAZ>S_ap>x;f1{P%PAheJIWHLetG;Hx}wEm9-*;3Y^6crB7A4R>G$ zI}12kxKmx?Dk#T}$uJ+sPK#6Lcq74$3h3tqcVK9WGPL4vJL-vQkQ%UBxJKH8(Mk}H zlPOx_SP>y!{@)t;*yno48_Q-K)TSZA^VhCg-mYci$7bR%o;1K>mQialj_5ga4UT&) zPHm3iZYbk!=ntB3eMp!6>o}XPm2RXx#H|j^e-g5w>Th3x7QY)n@H>ER z*%a-soI@nLp#W~E2djavWo+y&Jewl>Uxo2Eay7%%!RMz6Q8=7Y3zSYb_`h0gIU=58 zT%mfE!Tfdid%MX*>O>>*4+^Vqmf#NXtAIq(VsnH|? zTD$a_A_GgWKRC+zQC!6NIEfpKf`?A;M(h}lUP3g0n|8uI965OW zczEzdS#Beo5JK{so_CU;mk{fJp zjxdU9(jE|Th|{)%Vl!Nrs9%dvq*A8kwMo6NyB>YQq}(y&Z;q1WlFq|_3dtq6!SQH` z?T{;3yQxBy&#zzol!}&ipL#fm*(Scio*CS5)z0ls$r=H)vg%2tyi%r+65!SiJX}nB z9MAc$P_;(h!h*=&89HW~Bab*_5}knrALJeO{WFUqAbh2z5>~IK+`VjGmv-^Hc!CzU z`|{xGUBY_|xp+(<&LQ2Y4q8SLwQJfe<;POz2kE z$dhDLRVf9ySx&^m=;;Na=@w?+S zJ^Lk0YB}hcP?aN&CUXk}xrr8@p^xJnF9J_qc$vxQ;GcB9^=v5*$O-2HC|@G)nYVL! zR_-#9$~c>oQ_O5&kE}muU|RZNkeF=|Hp;*<6}deTCzLxH0bK(9{iB636}~N9JW5z^ zNRYVICEm|j;`SYL-Bddz)r#*Wi#h^V6h93mys@X;CkR&JC!vT_-3W}-*7)UhNpA4-zKq5;~KIAFr9nQv_Q%wiz1W z>k*09;C3@zE|~+|+{`>|Y7a!N%*xu=;EGR%_K-4E6yeX*JZx}8 z3NVj*0R8OP1uUKH2`w}#i{4OT^ zTCh)}wpK*=9kV}QMMZ))$!$_5w1T&TpDa>#CiD<}6Ea8T3HlB8y ztdWO5yh(CrDc>;muyIJZUz>=ksYUw_+=+w74|t-6;hp8(E23s#l8W5I=YDjZhf1Xg zZLBIxH`e_QlyEqr&EBMXZK6JS-n5(Fddw8aTfIrk7fyj9J8OBrHum{%?0+kKDc#uD z={eOwETbjmh5-z~NN>8qAE5vYiGiX*Iwe*1X( z=@m+Ghg+)37Chfy=<=cS;8CEDM2My)9xLuIuEa}zL)MQTZ<>y;@YNf;RE{ib`M)-H zLU{eGks1kqiiLT;u2yYqb^UQQ^j|vZddjJEH8_uo$;w@Nt%3<&!+Fs>1QfpO(8dmd zE=+lN9XN-0B`HN`LkrlJz7XKYG84trPR&X=y6ghJyT(d{6(CBQR5)|86A|+em}C|F z$UEwr#XBX6!IJzRh_-(q`u`1q@(+a4|AEl^2V&=65R6aDf&bJR^-rxM4lXl z*8iyV{9P-OIBx7vi(8^QsCA%G05ze-E&A^pcaOg%!T(6y|GLo+ci#qlKYetA1>M+L zG`n$1@JjZdkBs9TcOW$r;+hmXv#rOzMgN%UcHnbjmf$_?J0GdXJLX4hrffDYbY@t$ z^NIQ~RRR*yN$`e)gqa{s_-0BCkdPXr8S!H(&Y|6rLV}kTBn$>=!ZlMq8WlQ|uE+X> z|Cn+IX%b2B4)>l9S%WlTnkiF3LVS>B=#MD_kPt_L*P{1)LTX!=CP^=HZ5=5xEW z$8h6^3i>os{ZwWf^@#t;jv0$9q)jn%&rYZ>SU?$uY>5S=3U90t8~jO0Dqzu z!RBFk%qk_X1aqt{AElL;QjwQ7NlR+`tLOG-&6Br@!}YLUF9hUxUL)8+RG@*b8RhP4 z^xGKa;7uTm0qUo&jQ&)3N;62^9}2KXwBh#k_(e=Rfwjf{sJ_QnSLXf6UGm}M&9Jb7 z%z4*0Q?)UH*Fnys6%xkO>kuh#wzLd|KW9vRpA17j*Ozu0vbHKruwa1zE?d&$}^ z?ZGIFU6n{0`M4Z z&xQrO2=B2ZZ3Yq!HEE-5wX1h68*XuOhOP>_TIaL@jdI%LSgu(vzW#n!>ZK7KMQS^| zHQsq%eNEh1YZmRr<*eiGqCuZ{Qa4|Q=VW;*L|u=SsAIoM=O&3WGmBq;+mjrt_9FbT z-G6GvNzQihM3CRR)_h_C^N+ck&c|fNWPws;TJGJ13eU1gYenVrcs2hPIid6ej_|Lo z{(va%&EEGKkIkAF=E%|(Oa<8!%69H$&too)$J!vI?cP1+%%C3(QvX3$Y- z{a}99WDK6TEJ8*`GNRh*EFS3s<>4=zwh9C!*(VOxBAQ>Si+H9{V}cVStiu=y^&ybj zs!C!%#L*pLT12e?^2m_rY-;OVMME6TR;$|<4}^uH*uSNZ(P&bc##=?eKbQ5W%OG>N z*nF8TB@ZA_(_|?yx6p|wH%_NVpV+Iz{vxaUHAerpa&RA4Mr2Y38d?Ev>%jVNwKMY0 zn#vSbw-GEM6B)3G*(hDzQ6q;*D{?8{Wd1Pu?daA<$S++txDdP6RZe4=_=#=>CG{sP$X z7>Ju2C;~kl39cMtDPAGHCKvG&Pf@j}fnsSp;o3==|7(=`Tn6WDXbvW1;)*u9%^W0# zusjm$W2rjC6sImBM|06;i7;)rhHge}cgnvD3IBkM zMq3u~jDOkRcV6sv^m>b`QkRE*f({MTgz9ZJFCTB8XewM5WvvothLV;nQ#YU8}m~);zhIdd;AdZ_bgELt%Bp;?w<_*{AxPaBgsmyS`;p#jcFc zvx!D?P>>s^yr1+K8xsSQa-pJ7z*AOy}XS5 z($laU98h%cC4OIY7Ly61<;2!DmIq4n#5wQGB|6rxs`{}r6R4&UNjU^nd}f%=zqn#$ zp5|?h#q0dbDyqGKG$puEZ6}C2)upRzfVwGEH6QY}`h2>F{SXm*eZFWA{P7JASPeKE z-zW3*y}X$}v5T*BcfECVaBJ?+_0`lH!gS?uNc{M-^TgOlT$@}Sj>+Z}EAV0u-&Ncrc*3Yl~H84C6<-R2{pG!vm zqPQT+vnUbWfXz7V=ekhwjIjC_LcUy@$GBNXz2xTF;La(Pg8;oe58{|uv+ za)y0ZirjU9&ZLqiOXwL>iu~geok3BF`hFwoBJD!gmBxi8Z#eCQdZuOPowAm_fAPWS?#t{BTheX@@ps<2 zEWangX>a>HT#>N*APf7a8tq;y=yL1|b&pzYax_hbr@re-{gqn%75z^xEO~7Ssd}TA z7o0Ng+Eua}YfCgoN-TGuQeV}-2{q_n0cEfviyk$#8x0qO)DYE~Y)WwY{2GJc?^q=1 zJ&Xpm;3z!-SNG5nTGFZ647$gu zXucBZ4E;iWn_h^$PG(#x&}8^;WRXS@o}9@cO@`ucLZ7m9nEHbgIm-i~y`6ebpv!S9^lQ9!piiM4CcfO`p0QaFO4J!q5lUELO0fG) zv|Oqz2}LR6DU>9#sUn!NC)+E!3eb{?A;CElAIx2fv)mP8RTLN!L(gk3hZM|Ir_Pjw z8+HaWlNuCo$WZT-iFp}iU+rfU9$tfG5;|chw;d|+;>XbXRbrf8fphKRnrokQ2M7QuxIlgZwDEZ)%_WtzqDH zIlsaEep>vD*}%$OA`YgVk*&)if;eiqder%uvT){SQVfX>hX}&B^y*O&h;apCWNUGV zM2$$Tp2dI|9$)A)`D@Gr5|9lvIQkH~;~VX_6u7*#C*cIZ^DTni7r)P7ignz6zPc^P}mzfmL&@KjG`&Wb2m*9S%{}z;+JC`6baBV)P|u z&e&m$)~6RO3{_t@bU%VvQH<}qA8BzN(lzC9LexO9CshB;nUS;;hK{XI6_%gYf9s*O zwD-7#&)&rLKNTk;F(s016a(7i$y^h5PUt(jy;)?hZbo0wQOYkj5vhM@_;6kaO?y)w z`Cn{`;^zCD`1o<@e>YS#dt^frk=+F2uz9>DCWqUV>jq~Pky#4pnVJ#}4ho6qU{!6s zouPM>oeIEPp9&re$_L(U46b^Q@OliMk=dOI;!;L@^(KG;bI-U@|PSihCtuE*Wa^Vzt^TN*h1v8sb={uqjN;7=RD)f*Y7XoE z{8qX1(JAv{XT{T5`j()n-ak58U%gYfkhNS^#%#^AfveSTSq-Ss;9OxKIKxJsK8*_d zogbu+z(QB{o4iJ~IJ^x@8RxT-=E8#H28y^VAJo#=Syx$P60{>0&cMCmt+>)EN}w5E zJ4-|~!=2N`OxHLx{U;adngi>{#-v#c`W8qtqmQB@0o65SRc9E@x>w%4I(>A6oed3u zN*E7h)7*vi^0>12+-)OrkkbGGS+KkRUSP`Nsp8Xv15wEC4IsD6-Cs2<#eyXM29QgkBk3OJM4abt>^8tOtR&hGVHEpSq&|a zX^oU#7xG{;mSXO=QlC5>Pc5rije^D`YFxPG4TS2y7TGMH|27W51J7Q$=!Zv=L@>f> z{eY821*g>}8Ie3YA}1Q2IX>yKPcPb+Lvg^pM;|piYJ*6FS@BKFOv#g>^YI>qV8!|r zqTCY42+st$p=ke@AZ|=&2xoTfo@#k21paK?FBtfC;Z5=YpX0q^A($B_$%ps~#ln7O z!r*5!7+3jJi!Ic&TS6^75^Uj{Sy#b%?|GRbIQ)2bcLrNKCynL%8bPG-dUy7C{h-T8PW{92*GBjOPm~K}>>0oLsLV3)$mRyD^B1SJUgqDPNtx2g zF#^LMU!oqtX4_vUBCt-6zgP??rJ>QCkz||F<3aA9KPH;__yuM&pU^XQLF;%r^r$PwNnjw@YfT!iDKS2|Z$nFS!;%BfqrrtWGaD~V05-98s zJta4SHCgLia1V=+ZR)y}EWcc5JtKwnJ18W0aJOCA3IqO&d<>(!zTW;|1kTq8nN16v zv2=V{)uI+M<2tkNfBzThR{E72r`U}s3M{Daq69*y+xum9_BF!M1YNY z50!Q%c8wNzCN{vR@!}~^n*B-Z?ct3bHj@FGH(Oc@VvHDcexc9<9b=-l6)7KJRIgVC z-Jfpu2P3(a;_Gi2!CeU>6Z9`nr ztGNhr#nsPf@7dQdTJLd$OgM!qN3BRS%nGqMq`EsLzdI(;+%mKlK#TO%LWQFx8+d_P z;;!imyhpCEzk=|E^cNZ8q;rLcbP1e}a7C`LHq?VWCD2^n4sC(S7INJmm)Mwr*A*lq ziBu1;xDRketk5ys2$EY5($|AHMX{-brQsky1{WdjZK;Xs;e}cvuR#fPh0~7}WyOy( z3lHGSJJk|56o5EI*Np4&f?^q^gexj!gD(}8K0}9_cKmbZ=t-}1`MW2Zt7a*Q%|twP%E+cixEh!XN-QXt<1)nNUDFJ#+?3bh=bfZV%CE*W2Blkq6Z$DaHa>n>qr>ghALX8L*e_g>kmOC z-PM@MHA@wW0M#oBXfcTJcwI)2b(~;kiWy22K?7d#oj)w~?VOKl9c7*MJLw|@f4KTv zW8Pi#D4zK%2d(uHpO1ANT89xXSo!Ttm?N7o`)Of!^Unwy4|-3wM&?x?nnIR&{0$5g znBepeQ&xcHTA%PO?Pa;`ik#F<0*ADsITwb_oEDE5tO{a+#8?INz}xKtm^G~9FX-0Q zmK@bD%Xyk|B$8A5;EV>;L{G7Xt)XZ!A}N0UqI)9%sjzye=6@f;9COu0{T&=^mP`>H637rk zr=>odqw!dNdV17dbPZ$@-yktVJErjur&B5KBCT-fJcB!)I!tV@mvxIx+8}OOW08hAZAz3bZL@7c99QR7$X=uNPqTB`fi=6Y}xU#5v9$ z>Bt>OeviW&`}moY)hUFp?2gX%U~TH{^IXRh3Aty`MdvL1&*mH3NQ((=;sD%(Ia$)q zP*?A4FV0w(iIn*gw)z(zzpliYP-`qt%m3Mdi*4?J{E`SX`1$;a?I(rBhMzz|BE9}J zA@3TT5Bp9I4Q(CWoo@|oggD?K=8Zf)&hv&ekW%%n1I+HL04NH^D1Z zgRPM2^s!76<5U5Zn&)3mN=k848`8D}(M~IRqb8so`G^US0AI_~oJ)YVJ@H!#$W;k* zZ18CBks@YaV%JdxBZRQ<1C*;Ia`%M_#~F}MdK=+*^B7Nqe8M9*TTHuWZ(_(~NH1)Q zS_*~LzmAdcW;x1GM_n#v!xNg1XYl-Z;7T;QEc&wxtm(tE2rdTN}X-oc&HVvoS{`^~+* zdMczK z1$;4{F14IFwRxkyHg${2r!bgNxctw5TDGyKoUWQ668L+68ymq$_V+FFJE2JlNCuW46D|E66J10 z%8;Q>vX}}@jDo|UDZ&5AyVfHKkIb!oItQL*QnVCv8Y5#2!3foFA@mkR5f%x@?C+lW z;`7W6Tk=-ol7!h#B}DwaAp)NzKYxxR?d`9P)(D$}eNLWdvYBZ^*m3DI-t0w<$ z2(xzkg?3Il+faU0Y!0eQ>U+RpCT*?@Cn8kkqPpGzJbxx_jVHvvh)0+w1nOXhuv9?~ zXJEL<4OzvkLQ0S5jy=S#BG43`AM1mLOnF%r#OgJNfGF?$i~YmxA0qCk(*FF_CB%8BUfc7Mk8B)elI57+il z+GMRjd2x=JU+2x_%WSJE;)r_PcT9Yj^#S(SzMh8A5KV9MX?ehdk9!fLkdMCMUIJUP9X_qm-V_qVj>iK$g118t2~iC!?2CWUt~7l_ z?2pchBrx=XUqy-#su5D~Xc)ZU$@Trylq}m}KSPp=Ka>P}E%;f!B6R+s{^gd6%Y!Q@ z>KP~}UE>L!iCg0tc*)3;JGav=kBsNg`sq^Yb1^g$TJNs*O5*YACZN6mC?Q3TPtV%2 zo&?P*f-oty$_Q;3f|c?EA{Yw!+MhD%DkOo&>iPlbaeaOVfT)*5wgjW(g#SA4Q>Vn- z11Cz<;hyfu%cY`j@inbMx%_@veZ(+;X>8ndR#U+J|M+WHc2mnWbVi3K$tskRW zw15U#3Mjm|M&Q$OL2qLqshK_HfM{3TM8*fBWF!xuRutw8`G}bjB^UVW&Nc8JGt*Bd z&{F|`dn3#X7xl^=R3p9TrU;ew6-;0d_Iq;hCpu2wH_MhT9F7}_V26l_9y{`w( zh#bkj#KqQaJ{Z0&BpRkMf_Mf#Ci$Puq*vP6BWAbp_$HxEe;L=a2Z;79zj)wqW9d z@W{u4b#KU1-AEoSAZX^x`aB*Z!D!-T2H8CyTR|7>l~Q{fhmdLE*rg)auuhEFVDH2=*L6s+!b4gJq&VvE6`!BL^!{lWx!L`R-U` zqDtl99yDf@jr)LIR^AynQqM5ofAAj=fpNm-Ggs9@8k+fmD4 zNT^^JaxVmrh@Rq!G=qNo<8{UL!wC}vtX&<@P$q@oQ(u9pqK0esovGrrK~#pX>!k6^T31EqGgge~W7$@7@oXKlQk8{UY3|!Z@Mbuxtoe`+_2nL2>wz8e``Hm=f8{e}(K@$&K9%iEzVFP;#ldzUeCsO9x>dEbSV% z$L0o{0NrZW=-P$Ek?`n)9ElsZ2=r#9gVIq9mz_{Ie*e3C*7C zhdIONbn6^ByABFHiHF`fwk_dvqX7QR4JP2GEb`+@x%b(kz{3eBee3jXTU*Hz+VQZ| zxGb9VW%IqW@&eflaP2OXh2ZY|B)$(9`PB_f`XXAn*}ge#uZ!;d)%bnnZd^Lh&JmRy zE2BLhYpm@ZQl>qwRtXEUNMM+ZUNB(6`Fl!!8r}JqFgc}fNe{$(B}YgY$6t{9=y^lM zyrwW6qi)m{9WMoc4AINzl9wN}k8%ih$4}$z|19+4_TL`DWnZzHB35($UG8zF#%~z# z@QK75J1%k#NVbiwrGV$0hW*gwvXyeNHs`C;_R)#(%IwsdBtNw1y$sm)m~M3wdO@86 zT2>aHu&2v<$sYWsUAARkrg7#DH%)A1c^Y+1De{&0rP~_I5`<9}U zdg}ytR`zZ#8QNO|O1-`7}Ew`2k#bLP5*Sd;Ewu^ne!Labx8!T`mjlJ6~a1)H3 z+bvM}nD?OW0>x2>bls1iIEE(o*{(i*N;ynXyju4c zz9OCzN;->{7?n&r&D3vAAzLX|mkl9XIpJ!SED_lEO#xdtS8EV{Iz1o#5nh6b!)i*? zrJ@x^_Q>AWD?0s~1P@!44VT3p!xqk3kW_&`3Af>q+h&NwZ644d!Ton(i8dn?eh^2`1*{c%Q86TJmpNk%q-c+KpC}1fh>2QFHELwRylMYCQ=0I z?-{3U*dXlNg}h%$1qf}vD}Ga&=3=6}8Vo@{T|Tma>Z;?*@8lJq2(3nold2Y^bl%Z> zq-@qm%^18)lBXbd_4m%2xwUnHd3c)&Mq%_Dz*gi8#qx#ykw(&|vgqK0I?JmOFyd}z z?iFDcM4LXGyuT({xID=+d?N66evoQ%pM&KPjVauDD6>u>H0%B;GK^fab0zJFa$lk! zY#vHo;j(q)5Em+gah(h!>m(pf7V=_hFJPL7XfkRTU;-}#5gwX-I-~|%cPwSlrGV9O zXtf})LU*?3y%}|xrrdNnn7*;lYhr*Yq83KZOMz$MFKpO6J$6L-G!AK`QsAbYl*%>k|sh>Klgs ziwk8i#)?U?2@xxr?RczZi$&$;@sQQV^)gFRnT)?=0SbpWTx#Zl^6Ag9r}jk?#ZYAo z&ST#+&CHY2)y&n?wagwq>L2X{+E0hlw+*ze7F)cVC=w{BjjFm#ECPn8j!eCnV+5uo zen()!^0_e|P;~o>ov6ZM56)|^T_+%Wye0w#T@7o?q;R4YSZ{kL`V5O*xse+g>X%W8 zs=D-9ofTNUgH|yovJD?BNGD**yWg%t>Z=K-)(J%Z@9d?<7WvoiOjJ)bH1INP zS@{ftpPq)+AH!QH@ObyFVoqf{;GkL@jQgv-v`1K6=FnxwWK~r`dQzgFo(|SOM_MTG zd+)AdPG@^O4Ak4UJGv~N*7uzcDF}4=)hnZ2U2I{_WCuJzHPGe?fSX=L^#_kzJz2?$Xx&~mR>=4WEla#fdp7`Rq2N2oWK9{ zIXC7-n8FtivS8#K$zRSc5zGFH;)u<6l+GUb-;>(N5Oo zImQWimqXRk*|%M6%WbC?TX0c4@JW(rT~P4!H@XE>^R+jeom$s!xkt6cX2TEr5AD1| z2I#SrybhzNS?23f*&)BY?FZSgR0FP-cWPMf(BRCI1K<4vUY!P~*C(G>P{^oP&>H4? z_Vxo*Gi{4N@A;<|Il7is`N`z91kz+6F-Oqan*da^h0e`ticb@Dj_s(04!cl`mgPPd zl|ff=Z)Ft;yFknFJG`BOgZICY%D0P+98^@6rx!s5?oA{dRJKGNy#J;C4^n+_Cdtg0 z?ZtYRQ+*cwdP;@2I1^>d@!xIuH&|6rW!O~`IGo)9sJqimd-Y7uHnM_i z3DZrc?@fE*4NeTL2)Ub*1#)`+Bj2~Vd{BGOwOR!jnl1JpD%P`;b>0Pxn^o<-W zosUZWU9SHN^6@F4#VM0Fm2~}W%=X0`n)GcEqMy4EZ2Cd(WYvxz}1xtfcQNH=PNyQK24=)pn7Uc_+Lf-u^-!w6r7wZAdFB$5$TG&c@+}&+PcH z|I2ZSKd?Usr}pDj;iK!<)&6pKP@YpH;NuSSxcBXW>pP2=46;KG=)ICk?8mF(KWtq2$Fi(YlGG04-4RtD{oYsoK>V3U@_40>^L$r(>Tck%!FvmuR*6pp17m}M z2eMG`JLNY50PyKH&W|pod-(HF1IMIMSo-op`Ua;Q zHxQ%v`TZr^B;$o`vRiYWlO@RVT}0n`=|rHPbJ8Tkzqa^Tq65F&-?RET-6*5qB;y1B z8wb^*KQMgjER}`8~ECdC@tX$8>N0)}zFR#%%1D2#5|+K(41e6;=J?%q zvEhRXQv$J-Vhn^@X5HOCQd zNKJqo+)JYfLoeeVOQ3AnS*J0L6+I7ZOG5T0zONq8LssV98z0eLOL6a&)!a*UL9N4@ z9}_Y6KsnYWgT8vd4u)6uOfM5Ah5*m+_Y8!mTfoV!55o!vRp6J5=UbCpn3o%PBvrYr z>}CrzwdH!=3WIa3_lxuJ+K=9Mgw@p3L*Ys7k7SiUcUEB@wQQCjDt@Lw|I-5R4O{`g z0+Un6r^}C9IUsk@x&N#GLjko3B9Ef8eY3wJN!YC3xyZJO%xBxC1`-xt``e36j{Ko*x#yS#vQ5Ps&aRU2jC&r58^egx_4JoQ+ZF z9?gK|xxb7)j@1Pezu)ykFQ9(b6zd_Xh&FLpocuO_{me@*VEaLKtM({i@G~fLT;*yM zrl7%K0jg=cC-7ZE5PmDRs~Z6_SjFJ|#8&C|wEq*(02mk7{rdF!@st_&(NbOdK;(<) zi?o~!Zr~^dQ_}r*^6_#UC-i3jAqu=|7J3iXcKX~CkB$E#^R}+R@OB>84J;x3xGvdx z18%*UM?SZh8p%TV)l-AZA>wpY9AatL|MY)*<`#N?TkGeZ98bzQVDY+uXe$uTX_vOJ)+*O0BxUAT7)K226F`|snVCyjWlgs(HRK;G} zMN^s1%&jwOYIAzU^5J2+JXy_R@?XuO6{g_D*Jgg$s^Uyw@&UJ}F>oZ$TYfLY{_pey zP1vjQ;~x*=$i;*x-fP{*gT&z9!ATlI&B7iT1QLXiNpDX~#bmsa36+!?p5*C&%#fiG zu)xKnQcz>mJ%@}@IWgqpHrA6j!y zNfcT>DcEDCQ5 zq{>v1sEj!U0t4k8LF!0Vt`r43dnqRHJQhPo{mj@r>W+EEiINa)SE9W1247`8+0I58EuRQmKz8!5yR`&tbmx9MZT$Y<-z0O}r}*Ui*jv#^_# zaS&0BaFX$5IJ)-F(A0I5eVfvNsW);*`}9JcWsVFBCAS&v*k(c91ryc<0P zb5^n~LVW2^HiXQ6NyV|$$6wl*O30ftl5@-sav!uxXprb#sdW0sg~0{xjbR04``SI( zhTv_ngeuk_*C1IfZA^$sr7veAXpI@xXrqS=)GyCCJvib$^H3q|0A~6D$;v#vi3XGW zreK~+dq<8K*#^lXnkU_ATdn5XE?f*-AyxQo@qM2bEp5c4ioX%oxtP_C&X}|7lO>gdv zh2*skgYvshj4Q&nly*)+ak|Wg!ZzaQX(AMic39^Ow{o5nYIg@o)Tu*|Tl8_@%ZF3o z7v6rhD;{ZJkDO8F_Lu(LQTF*=Sd<6a{5hC6cRHG zBn)I}&34-irv*LK^!DUzv1~~vYUP83%na+>=wU!Zj z)i7^r8*xbMz$FzlyPqyM%o9OD4u7yF{gjT%h>hDEHi+IWEpNuxkN2m!W#;p{iXj;bB zjhRrd6$E3V4F%=Ab_?DWh;4F?+uT#EzuibJ&ZFyZWyYw>`VGEJKrRL08rZs>>cH)n zZZ-ete#(dKw4M;PY#o>-1hptR-3cimy<~ka%n4=?)&f2eFQhWQ_3b&c9BtT{&{Ul0 z8bL)wjPg9}nzvdsz8xh+NDn+TeQhpG)vO5dW;`_cK`y@9WS1*NAfxQ|om_)u*#aHz zrlzY)>Q-6JxF*M0$brzNLnlkhgn(J1xr=v`at(2>6#m9Fw*AvLoI3YhwLH(I4mFQS810F& z2}}_=_6qn&mW1)o0xZqJl|a>UQgus$_;L=oM_!r5n9Kuvs|mEyw4wRZx9yH)YfgO)XZXRGS?LTFW#e zVjZqZx_;PoRWUFr{mJ`c)gqgrD==-JBHye3n0*5zkaotVD&kJXgG0vlP;69q@!gT>~PCQXHxNM$&b$ z5F=_!N^~Q2xCD2qE{*vxS|>qF6=Ldc!bDn@I0HXIVFb_wDvMIQd&gnVMOm5%ZJKa( z0E$B0P6ou#xTd|+qUM3V2Rc)P?Jkg#wXv_<$5WG5&nr$gN-&KxXmt&)KG#T1;_2HW zqAi!;w2W!s(5JxAu=vVzw=-?=!kfOO@sU0 zJI#Hn{^X*uGt(NMc0^6$&v*g3jrC7|R?(CSkfkVZ(Kf;mPeLcfiwdac_yQD1_hv%Z z#_rD*4mW+e^k7f3;CAt6Cs~8P9(p0p27i)}ppGLhx*2mVii(K|>Q=hCkM+n5^yrQy z=0h(e2mLyTUoKzw2giP&(BwtCJTt`$S}Z%^oz%EJa)zK1c~Yi>ux^3uwGz*@fbfkV zu>B^z&WOONSO6pSSw(2q?T6VpSzoHiCy^vQo*YYy{CkyEKz+6$UZtDZN)x)OG*e3r z#d(ZBHCj0UsQQbdjd>8zs9b}OE@MPc8nJnCO1q_2h~DDByA(%$Df(g{gng2OBWu{8 zO0$2|Z&}fq5`at*cB(&r8+c zRHC$B<-{o=L?reqfh<^*A$L7>qQYG1O2V5L5!a-pA76u6-6itOqr_IzOrSIYy&b*p zrKDBea}q+{B!nHiTmWGTf&Sa_0eM6Kv)cayu0T=0QW&zj#4_b-Un-?oMImG^+V`i@ zk;*9s-p6jpS&LFStfQc9wmMzVX;&5%HiH_OlW?D7rGYdAspcx^qMo8+gMpwMwwr02 z#krxk^t4KwR;!c+oJIz%w$8FmSf>Zgx>3HjKuge_>zbTM@qk`fuyz=tj63tINKEu;DuH|!KIr_ zf_@UMf>M!AwRwl(?Niw}Sa#lq&?%F__Yok|VrgdGzgRD`-Li6>&4H@DNoEZDxh&hmp&6Sh`NH_bp}m9mgvRFC=KB(4KuzE zj7^^ql#Wz-fj|MBq`6FqNY9Fe^SP0Xf9!^1l!|=+YbNn+C7c@HC>{A07){JD>0CO( zIv6t#^O5!-QNFW?DD*{$f;KB(G_fsYEV);w_82Yj9C2Qk+2*Sh&QiBnG@hA`RJw*ghq0 zLr^+WtU=5}I*A(-l#Uc@5cBufTB9_iSOZJ1KrvS0Mi`|cMVcY(+raIS==Iqs4JpvL zb*l_1t54qBpj4uTen?-CZY~u$%$%Gbfr?B#yvHp}8M$MkI$N=J?|BiVSl z=^zlJ@+x2Hr8|3Az+5^~m_aInT1(vWp>(2m4S+amp0|ezOLsvwgeAJ`6Eu1C5<%Q} z0$lDi%0Q3&@VH|U5R11e2n0Z#%J*vaD<%_YTzPltB$d+%i+_?S)F&uj+%eY*w{pyB z+vehFEjQiS7e}q%BVs9Gr1i4f-=D9`f{pQdV zqEhkx@eVNpbHBOTmW-+K9jB8_>6ov3Bj=dk+@DOw-o%*jlu^lv0i_~0xCEhy*mf=rspM#_3;=E~ z-Y7LnLwXn-U0{HB8q(YrB_m(ZP;64_WRFX!NEb99CZv)Wd?*?DeuhP{`1bNal!{bP z1@@_d=TBXSP%3iEOKY!sMW^Kel!_E!1{xf(o6aR8N0xrW#V`JqGY5915+?arpTD3 zW@Y}w<;!UBSx;u0)Jse^;2pP zhZ3aP&G}%k+%xtp!~pyfNWY~&LAR6f;Es$3Sws{{AuV*@8Lu(Pc$7t3I@4iu!_5l6G2 zlV&anX=`MnZaI9(?~EIWNE;(F8}NC3`yj=!QXd*fNUeE$I^y9=>dKf> zk^0U;%w1naZCe8sDT2&s(2LqI2Ye}ZP-it4`VpzC#p3-8R#WNANP&v9z3%9$3DM1^ zB1MmxQ7I2mR%+Yc!`!a4^?#rsb-C#2Zo)}3mxL5OMzRG`_?}mwAq9_d&7vnnqbzt> zIe1tWJZxbUl&&fVWlrsyZ%#5n4oZ6$Wx6*&Pl|SN8aa ziIh@1)It(?IS^$-O}m^ys9Nr6<4dIWN^sWq(%)Q~l>MT#>!!Y-1Dtdv;=r|?ozIg$ zPA;B;u}+%W7Y`}3#Q$L^U>1feH4g}$$6Iu|lCi4~ zsMMcujD#pTgI*S+9As+ndP|$Dijmvc$aH%0qi)B5Eq`1L*!ndynUJ220%DkR)z?6q z^6+6f7&(L2nThgAn@xiGn@+j0*!cpRu{2I6ykOzqa6ws@+mwIGeIiB->VwHm_HGr^1dzBmJp?JxO;^XkY`Lg4CYwB!pDPCCpQq@M8N_;MbC&U&O-XGfZNkChUL*YMPz%T`-YH&aw5| zL8thfl8_L3ImRT?*C&^WBC&^>_p?xcv^x$BL=syRAC&w#5X2du9y(a^C7RRFau^rk>Bq`Ur+m9_3i7&;##^`8jmtwOTl(=Dew*`AJa3c~~ z@vF`%S8-sQbv#Ci<*|C~4HTPCd@BMO%N4mCc0m^0l-(1TOjk}WkKHk}R~@?AP%wXf z7^$i*G{G3od;f@N{Qd+dB4zN5NN7e}NQ7RrLSVIOk~fm(aOGPQJ!Lv9PvT+;KiK^a zb}j$X?()g4Jj#ju%P(j+)IkEtl{?7N^BGeYI;f6VknKyJAcHiUJq;vCXeKlj=xSgG z)Bvh-s0UVB3{_DJF2DKW?)9HI$Wp_Tl?ZI&Xt%ekOaT$PXkG7kw$W0ul>(Z17Id}m za_XXze|r%i-4|zCn5Wd+q~H25ovsMb%p6bPo;HiMj$alkSmeGF?#|~mFC2cA%P}puou-Rwg`pRQp-5qXWK$R zlMpt_FNnE&`%j!?Vp$tY8P~M5C}kX_fWv0Ml{ZbKAwN-R+X*6{DU#d^+CeT6dRl-u zt~DxlFVO_Y=Bnq~OYg*YV?tYQcaAe6nla9vhSY&UyNPrlv1(({RG3`K!GPNUBTKA!uW|;}G&0Ae+FNwSX z3Ms5z0+uTDLfFFLPvi|yC=hmQesltEEuiv^M}PF!?Y0^i|AH+Qw1uaNAp51RVWBZm z{@2$>+XygI@Mf-I0hjL1FzrBz^0cF*8!XI#%11y+MSkX-(SSxl1Y2mZ+nmk9`~ogD zTJr{tD=$%6}>qI|^|S~ls@txHct*f$77;A%sc?zDR%!X~VC=js>`$zL{k8bZn*XfzW< zDi#St0+vn44l;?bHd4CP;f)8EdQOM&9+;Z)kIy_2dL*G+9iMq{VMmio5XPWT0=n)% zV6_rRo&9ad(!nm*rnmgrU|4^umH#$2B>9Y!TiJvX`IkHfb4yUJ+(C}H3^bdsHbK&8 z3{rU%b4%d?gzN)APlB~^15lMi%?=lJt9?Na9u07J`cE8W>6DR2(bZ)aMbUv4V}~JV zq@`pl1vE1)=%UI}waSs$>0;1)g9AX19gAitu>dqP$JVkb5cJ$eH`22S?mX`UW1D?2 z)&sKLR92WPRk)#;)Kmtrwf50ofZJyLT@Hq2L}s)3EAs z!ClMreSJ3SDMslP?N1)?@D{)o)vS%#W%60w=90UN1HeT{|JzCignbb8TuCz@-+7tEtZ5MF>bWPd_hlTf$rE z?)Z{Pgl$2uBU*hH5WwY&@>BvyHB*A&+Kk#rz&zgUH_Kh6pMH5WRyZi}w;1(80iLU^ zU-I&eKtc*+s}NBCr&w7e20G0kvvmp@W_DY0gNa>Y|GIVjWf!q3U?9ruyAU+J6f{KPge4TT(SIfxkpYq69 zwk3vmuGE#g9_Ci)@)dDnsTXc?d+i;t<=+aaasRVMGXA|Dv+BBV6P=2YDxg)s{(w718Q*G%L0A$*R6}s}UG_>Cw|` z>nzZ}`IIW9H6a1T%F{kAKSFb|7wbDz^^OSW!o$n0I3347=RMe2Q#rAsrU0#ZJz*iQj98gomqD2t_AiL*^&nPK0)Ek$@J16Z2c3=mU4zFMI=6|& zdXC#fjh_8v)kb0H<6|l^aF65L`PeaZj~K7Q$p-=-0)gVWNC`;qm&CM{IyWgTTFtt* zCu{6k04(ex&4}e)uh@Uy*5Yq?t@12f;+v*$NmGBxCdSZKu=qVQDQ($c@%wU&+EKoc z#cc6waz)Ihz8%N*zs-0woG$9VN&t_>>BXI%uEht9(?{)2%i{Ek?DnoN`$07?H<&x^ zpUP~k4A5sC>Vi`%N)F;p+_MyMaY|uEZo}LNQ;axuhESSW6GRW)tj3B`imbv3N~}c3 z$0ImZ&jqvd&v_gxnqp=ZzffXDo!ZJDue;`zzZrshwIx3#5>0))iQZ;D8M!zpQ(73( zy`|tA!8+)p0*X{d9VClZ5qGco>~GcF7&5GB^N%@6+iXWGL_|)0nkMbxg%|12;*MOR zbS#>$-HN9r7igGx40`eW`Y4<}9IbYpn=~BZ=)38n!#;5c{@z@=44!CX$KIsrENT~= z%Q;3N*rm9JTsSpHN{#iP)aC(&$6Ee5L-!>ElzeqKg%}S~(uPkG$+glmn@z zD<=?<>T4t-c@`8%TomCM`(?@U3Jw{hqoWnlbjJ-pLM47Hg4N@$za6^i8*sQ$$>wlA z;l3h=fJzdF7p{mgVN%GrhfKR?y^d0rk@w-SqnjgGE04tu1j(%P_|qu?3x(Vdaa_Q?ghtl88dn= z!!M^D_!?b59l8YJ%s!AuY;59yKLBF0MPHH)`m`nJUqw8=}YaHjEf$ zB9GvU^c=tv@YTi3qx&U@_uoxKPFQ_qs7f0O#` zb2aVi!%XBUPiPBwmQs+x+CP^LmelOV_aPm1V_ixQAzetZlfz8p$rkAgA2s~MCwIB~ zy=Xri)Kz$f%>|NYa@9=-)m!(c)a`U*+mqp{+llANA~YHV50g=1n1w8A z{?vx8NX#+}?aXeD1 zwDTO?BsCGbUeGZP@~H6_bxddGesiaNK@lj|o%Aeaz&4=IigkbFwqo3!`wbWhbsFh;$2pf4u$cnlBV;fqpOGRT^Xz*Qn{>kp|hc1*X zSd?-O@??6K7FPE99aGLn-k7P2gXe|smO6ZqF3j)6O=Mwz!9m_cR(AwRK`7@VkKU)$ zc%a{EWEY@*P7p86M5Oky2RU4_Oqs|d)?Yjm(+^GOSTCv1?8Vj#r2*Vl=Nx4Esat|r zgPe~%9lo$jC%XwOOZaha;_2m=Og59pdk7aC2;AqoShIBXaAZhj#R#{rfwP=h$HM^p5h#Y zkT}QJJaIIuGa5SU*MrT(k@`{y;!yH=uHc#yRBUd0C z@QJQ~lFt+8gY|ga@!G^4^Kt76aNMEfGaWUnBi`}a#GOG)mwAP_L&@ij&S!*LxO3uh z-PvdF_&jvZ=5Diizs;&1(xKqhIXK`@E-+g7eqEu5)vL=G-{?S$}Uul;N0 zbY*GcW?-$v5jzMA;!yH=;?O3%p_J5aSLBxeM+1A4%G*y$zI^7~IphpvCL`?m-~;jK z15xXPqF1R4aJP=(s^>c$! z5;~XOi*zuMX?W}uRd=>;I!bTSw*Ns1igd+5X82?7;XrIPN@5Anok)eFM4$URXJ@lf z5_*pVN?0V$KxRhF4DUc}HA-S(*qumaqh#J$E%fqBUjox=fh;{Ob>3QpYZgvEPaM`G z@VF}4N*rmo>{=W~K2IFlb@EV8t0c%sSNe8wP!hz+=ZQ1Wdh%U8&BPJTTm*3#`Al)7 zyS~1?!OSasm(Rnve(v)Rc>0Mw2*Us?D{d#b$l|(YnF*RH(Z$<>L84Yw`A#Zj_lzr6 zl~1YXNi#?_Bf^CS%0nJCd+}1)sQPv#H@%Z?vMh|xtoZ7Jex5*1x({+)a>JO&R3A0H zqi{pdooh0iq}yai$%dz-=3_QpaF8d{EUlJqN=HsUGU-XNaIdEz0v>f8r2<;9R;AgX z*}~G1QXSHssG#nku(MP`*}x^w|Gnw3BjQUzKN zt1BtNJRMA(UcypouRvsSzH_3Jmh02eZgM^*EvKGw9e*Kar8?B>n~5qbDIR zgzcB{?C-pi80UlsO*swv9udThT0g9 zRNlL42!=>7Dw!IR@6xg;e8%NW8W*nT47Rma#3pYDD~-UV+qgb3ZY1RNAu%vIVPA|B zfs*ACVQueJc-(s^@)Flu0NN*q!#QseiL6vynP6;Rl{rWvkGWN>soKB3^zK8t`V-il zm@cPWC+KO=8M2AqMgXZqp9uhoW(pv+(egAVbEX%olD02dG)8tqbLDr#s#DTPds<>f zYr&=FUraktqD<|uW5X58R%eeMTsi>_0$9-V5E9K4fSv|yBhW+u@nu>j03@0xfRdWq zdKzvxgT$(&?Mo(&iyJT}R;`lQ+s4X=x3LIYx{xvNfQP#G4|yKG_vzGEWerfs4nBU_ zQ)0FB#L0zJnn?=E_GoP`=Zf|Ee$FZTe`Mm&Zx5AJGY5phLX6b zme*}k)&*Rylvgli#YFQ2(EYW0D_c`iaQS5r1y`AvXr=(yldH`LX!$M<5g=okOlh#| zUNx(F3NGJ=AtqG1>RD*8DQwO(u9knPa})D!dfh|M_ima!DGs1&*_Yxw^FTmlne5YI z7Ts!N@BRIa4y~`3Qq91nCO<=G(1xBa-O%$yq|!Y`1WJ}mL@Ic6=i$pPi7hzTSx6XG zwF#gq2SPd{5A4GehCs96jNH?>==W_~oW6K$N&Pl#27=xB!;&)3$UPBZ3lc%f=9)-^ zRb#d~{9V8YsoA%m3h?+^mmXhxB9bjJB4NH25@DUIAy}=NgrlByGCQOuTX4wiNzkLn z1$%CB$n3EthsW3lG&9+!dsI*pwd_mNjJZ;`u0ir$sb%Z~s7m(P`Fl`JgJVvQEu0|) z)xc9)NHbG4^xdSOnl@gVSDFXx`ZMwcTc}06h+sgTJk>bqmWDgelmUtC17J@=s+4CW zU|`Fn!Ca?jx6nWn%@I(@+bIp3_NMXaCOQQG6z*aWi#XxMJ^!?2tBT?PYTSy-ANSiy zmDGZs@5wS~L@=+Sc-y6HH9i;Ho`6CgYx@~kiF3K;ExhH1n1eKutmj@{NF>i7k1K+s z?VpU2YbkW}=7y5{MftT!LUmB@!s! z`v79qNzer`JlOod;0#^j?_f^ zAFO0%3@3+ehW6q)<++L8t>`%=y_eWMYge=>rvd*$d(NE#d;|8RnZ>=8T!ToWL`Agm zXK0SF1o*kDynDTX8?l4=?5FPlx8nlULFIbUs-K3%6!{wodj)Im##Og`rpPF zsDJyCr+u;EGMj2had|{W=1-BmRO(4=;mq`ed{mwY(^aRSW+Kc|jp-_aV^eMalqbX- zw>lsly;cZfzF zcdqrwE{J4g5sf_VjQ^!=$({EZgYD2uE+>sVyKBx}KTz)aa_)jnj4ip-p1Top2WjMS zH~L??mfTIAJD3I|8hP9e{+Dx0?tFY-5CM0PMuF~{ayK#V#!~K7-Ydd62N6p;BNxvbHc*z!c-%SS1wVhOu7QC1Y*V zq6a0ycBibzDvZSxT-qh{Gu@*22dQRCz}5-gYuG}+Nx`Kj$b1yCC`Ll6c@k)8wd~Ub zJJK{EJk6C)gx%tXSe0th(k*VEhT-iU9}aC=IHW|_Rwu-&l|Vl4B@%=*w@8AC?Gqxb zItiwc^_ui~kuA)<2>2vD-5%z_K(jHMmW@#&QV)AY=RsHq70rWbqFt&HR;`+(gKXiz*t8&$*fgXWTO|E4Ed3dZ zle3|P?n3@rYBq9?jLm#ZH}lJKB-5m>O-UC9*Lox{G=y$mP1WbMUM|V3wMr#q6u+E{ zz-lX>J}CNsr=n>#(!)+L$oqi1DXdtshxWr$a`euzj>`-QQaNeLvZS}cTQWtEUh zUIW<4Pqn$LeQau+^~|n?Eo*Z_U7&GEd7pYs)xI zP4_-_US~IOMI6+=f+7x*Mc7NEoGwOi`8q;P)m~0|Km1`9VN+)6{~1GzR`W%(fsvcODJ`LpSIa1g>gU1A%1)JGUUfx&`b6 z+%nx;5V$Hh3a%v5>(XmXRDg&pHXk~ut5b`VE{VFvD@gohRhO7RPCK~PvLHa4nlZ@j?Y;FsZUm_wQH!AKp#?1ooj(O z{k#z}tS)a^>rHbzfs}Au;%~|-F3<)2yb&5N+R@EpLz7dlnF2BTnF6t=sCNwwx*O(t zr=UKim#BAv4nlZ@j?Z}ly|G2puAx=}3Db5=eLlMihwA&lBjA^}pOT5YnAKrp*QYyg_-FUIn=$w+~sS4Y0BV23V;-e$joW;1-~ljR-c`Jrds?@uY?%*PeoG}-})em3cU0jcAh7DIc3k0C_iuQg1 zJa`xPf@H-Ecy#8x)92W=FHJGv9ziL?x5M9j>VIAelo%C6B`;qX}7TGyay;#cX(i zIY=N=c|$j=v3bY31+`bKtsJG*vSl5mm^aT=XXY(h_NLu9owzuBadJDmDuv(UHE~}U ztectr@shZQRfp+cP%Ao@-(N4kK*vP$TKcWkVTTfg)B`{#>vYk6GjHt5Rj_^f>ILFAi~l0vD^fqyP? z)L;R+lbe>gl)f&#k>y}|N5?daz^AU)jxoL%m~uIKk~k;w@93CjA@(Z$zGNmEPh6Vc zXxuCl&2f!af$!3P4aSdgBaBm;Mfc106^;+}XR6Hhuj;VTd}So-u+`!(6=%H03~w3V zY35$P>*tE?AQmn{Xg79AM2bp?U_JEEzgpo=hi6-F<~Jx{Bggk$Nrm?tkx~fsK{8L% zYi}J@-2?B%zFZdkv;t?vKE9mFUq7k7;^M(s4M^bJ-}z_&F74Z_{iaqLurJz_+grpF zVcREbzo|A7NZpN?1c+*;1k%gF&_Z`2)A6NfV*&!e-cRX}xW?W$n61_G>+oVOZoJG* zYudW1XR!!eBl-F;>5AdOtz4ZUAt z=(%%!vr_VatJQ|9W~fI>uV|BIhc|xXTtjq1wM-j6{qLLIFhK0i)4;WH()$|PIH~4o z!!9e^9>O+P2IQ7eE6HNaKz5whzlZy7r3U5()3VZM<&Fp|PZbp0^>lk}XT0DUCk`%T zjfe(R&#h^25<)S?1_&PNLPX=6{)~#$R-`wqH@r#f4Ub5K9^Z6*29PR-AvA86l6OPf z|8HLs8gMfrv|Me+YQX<2ALU>3pRavdn}#(}$^R=|9fzg>W&QJnKC_`^!N6J;B=yf@ zC0TuO4>&CP`ye*hSo>dD*8eJ{4+$qCt$+<{DrnM`LWx}1cljVvaA}5GrY2jiCRR3Y z8xHh^`)a11S!y&hFsm;g;8|W>(FTUDcnoG%D(2daf#fIGCWn@GvniB-?o=SGS_x=x zu-+DTeB|I#5O=HxB&3?Bo)_lpRdMe?4la3r&3YX|s+khVm29-pj!A8_!-=pf)uy;H z5C>;H@*0l8tKf^)4z{SHWBC}o#yS(BF<8TV(HO8AOoUadCiyIyO5n;RV6_LXp#-dB zbVCyrZYpdMZDJ9vNIh@N7un)QUL0KVMUFKdgH$smkly4PSmP>_+PI1nVO9jOYSqN7 zXe{p6$-$+Y2ovqsiKu3(N4TxJ_KMWh;gu1gFLYt7N;SR58fW9itmRhpei_jkS<(A- z*Vi^P`90e8wWo`ZvY9O1Vp)j{j7U*#`pzDagIJYn`e0|=rnng>2bbQDF{~jgq?)Im z4^~RoH&jow`|&_m`eg(B!TOxd4aY#32WvY>N0Y9?F_3O^jjS=ND_0Y{VddHl^e4+I zhT;~N99)WMmbK)BRP)qBJ0@-oS(~V5?xnp7%?4PN4)83!Clj25+e#AT9bI!xM26gL z{q#UPkrGI8*Suuk`GsZ<2&;1ER%j5q<)4n_pG7X=^Kzll$fCCOhO82SSj>-GLBc&-%+PUzt5fBaglH{?hW(f&%;jTF~_RvHa_r zp!mkY26L_EDu_!j5GWkr*~Hss>g10$Mu-=v+0Ims6d}p zs4`d<@X7&nJ@xQ&8Tw+t^TxYF1+9c=8Twv=to=<6;QTk5hFlyfRQs-9e9g0ZP>FuY zpzdQ`hVHsy487!(n!G-_f%gef9EFr>U3UKHZ^G zFHcW+Fj`)$;^^#fYOy}!2iEUeI9EbK+F^4}EwKJ7%Xx!~Q!7*Y(W(!_Q=+9F#;IjY zhhk8FRo_ihXoZKF=Q0(+UyR?rV?oJQDhF1a zou8MOir)s|qmtg}AF~`);DD-9Fu@7T+v?)32;_ z48vs_1c#T77;QJ13rBT)PMnJJ(@_LxpNiJ1tD6Ct@C=7c#VT}fkZVIH0PWA-72R+X zY)2|w-wY5M51~~Ej7$a@yKI#yxa-atth`xC?VoP+Yp6A{p5quU)7Uw@R9`hNam%ch zp(n@9W&L<7jb!S&zl=JBW-UCOsDx@6{APc427Ale?=qg>Zfr-|bzKb*84n>=2#iby z8hgYlQ*c*fhs*JeRMK+X49ps7@e78_GW9ryGoGxLRDo)K|bWoP7;#ZQlT1`(284x693^t2sXJ+k=Jhj7=>8UNB)LMcK7o!}fhjpNt=eqsyat?_KyE)CsB$3M=@+~t$> zHLW7{eSGdaHzlMj(hbdhf#?Ira9-m*J}3Hh37)Y@;CHHJ@QZznp>f@!n|@^Gv45@Q zUC_J{H)B%J7uy@ctQ=;TY+-o{v6novA1p6X>p4HIAg9-mpOJAt5dp>%EWs{Q-3XQIc67NReHwKp7|5-mnw-$uas z1}9nzkE&oe)k65ikvXoKHt4=1%Df)r5`0QE4IZ|$p}3h-jMe=wh=W@vyy;7}s-(Ku z0~q(_<@9cJAmLj-N$4`S_nF3a5+QB@WO0vsTr#S)@P`(Nc37XwH~~1G;c@fQuA+l* zn0w;V;Uwg4id7|ey9A+mMIU?1hx7Oz*T+5k%Q`?CFr0*JJv?mp%c!QoqkE=f&Fmbc zOB4q%9!^g0W;|tl?R%>)@OGN6hI9RVqmNte{d*%C&Q46V7M{#bPBx9e>AwfRtuyPc zpnz^bX9BaaR%}zTtJxQj_s62hH%HBRc(#{PL36E!3ZrUbtvJo6Yf{CaxQ9UMW5!TZm7UzM^xgMezRS z$~ay;taW^Li|0*Pe+{hPOW%cJ-E;Udtwp$I=`WKh47{5+p_j(6tdK+UJ@uq?iwJnb z==*6kyyJlS{5o`cNEuHV-3=yeLz^S*;xr4v538f-m}VjRyo5SK2lj$!5&VULtniF# zA-wJSP$_ABe|Nat8qN0frb{@99i*6Xz~gz!sHVZg8=-{1%xNmEu>2L2A@Jo42yy3U zBh}~Sp_`-j&)eY=_17VGIwk(g@I$}4fF8C|Wil1Qb3O_G+DGVeeAB5=Rm=G&Vin^1 zsWMymO3{kX=@t?2Q&o>Mu*ntP`iP3i7V^)5RsvkELjKvL+Cvl;s}OxpbFJS41UGYa zH-!g}lsC_NS0FFkH+br4DAhFl)74P^GChs-h_kOCRbf{4XZ7J3lw$0rz%NGaMh?%Y z7Q+wIV3{jpR14vKI#D?kLuujSJB<|^S+IsSthN8nNCI&+T2~-(*_8_T69-ir4Mkh755sjA|M@ z>?lC^%e)15&95J{+4ZaUGj4)|xqa7u8F{O25#Mict?9p;97jK>7Q*|}^?|`tqQ&4- z&sV6FWHtDtX8?{#7J@Ho9k+yK=zDtszY;Bkw|=Hyz;m)i1i+f~F!$YqQNo1;*mNRm z;g}mp(a?Tg;+B+1gXns0&KQ$$9r=2r@PP4&S8oWoTe7{B3+B@OqvZ$F1?OC%I^GWz zAjKRK6*8}u1ifF2DD({KhL7({2Afb^dXK;3tnf@{#kwD@TiNdid%^x8RwV)QJS(SL zIJj5f$jrtLfeFho@eID~h#pjX-cEW}gcMVGmQ3-RFO0Ok}mNCl}o{M?<%Ag5Uf&Y0XU zWVqf>w`%5>^@88y*2@nE&A8u0D#YGvp9wf6S%|I{M-$K&BUZfNx)MH!t~flSS_B`q zR)g0$XQVIO**@H0O6L_z&h%v%I*=nnD#f7wNkRiAc_3rNTI*y^%~`>Xc`pQAohIh= zAddoltzq<-zWnlSbfre-RIR0=^?KM6r~z4TM(W>#qgKC1;V!9hsyRBVy4jVmqBEHa;VGAZcc;^uv&ge=46aR$OB8{l z+J_YD_Di)gh=@!fsA3%!5t$-XEbXx|^kqqB>*PNn zPEe4;!V@e^h4B25&NTdjIdslUa0cc$KbV>QJ zla|y0bX?Z902UG|g5AU)x|zWqr0RfCyI4r7Pz`S74ZY20{g(u9>!fAD7-l9$J;)Sd zPJU83X#bE(5ooAmUGhWNM|dN&g!hDb6=!zG0I)7)YKhz`*7F$iU&t&PacBfdMd%`| z4bL_YByS9`2|MCWexy-*)PaBwNwZc0oq{PrR6wQ(bBh8RTh>XX5R|>KfXEa|A2M&h z0S$EOzf1}7+Fds$*j6*XT7-ZO30o~9XqZG06H+O{+*GQ%>DOqcwt%F*dL>dQ`mh<# zxj(ypnUXxUw6e9`=06B3ewQ>8bbT-xukB7UMW7*z=<#Igt0CFTH0R%iq7U0^%w#=@SM>W;7t6 z*tW@F8EDdi+{M=T!@xj? z6wooYz#R+&OM+`q?^a&UkEAd|KY;+SBIBh*Ivr!o?jN+KwP0H7+t zjf$9tDKvaZP^bJ#$o6{(my*=pAfD@4uU_Ldk&uIlpb!Fp>XeWyf(}fZ!^(S#%}3ut zoH6L&za(rwMnF%96EsnL3Dj-_bzp|wfduQ6vPH}E2}qP;?mX=*CKTL>V2&~9R&SCr z;8M;2Apq!{ur`Wg&K*>wf4Zj}@c2D|OF5Vm0Y|3FFTGjZ7&Z z;g!Owya#+jpw(PCXt?eYO7_l8;P7Qx$tPGJC0|wp56oO72!Gu%_G3T&xhpMm| zL6RfzjYu)(cs$UKFjH()-m7*DbY$xI83ND8BWzP5zYYWOeF?C;~9{Nvd|{3*`q0$ELll!rVq* z(|KPdz2|ETWdv~a$1wUSQ&id#9>ZY6vUNzO5OiPX9GH+wA?6&;O)adV0AwmbkwpPI zMX-D2+;9b(VgZqsBM6lcDn!++>IKrN0d*vk_LXnt&1xP2-!*K) zJvX)O?A1UX2q&c9W=5!<-#ty+)%G8YYz%J|m(l8a`E`uiG(U z^O!R?%IoPcM~{3B(_>NsCW2~~E9z}slW-3|f@&;-RgrSWG*AT#FZY>Qg$W_{RAddr z_q|AVP%`MIXwai)=$Z|B(h^Yw)oj_l4w#IZ!YaZ5gD&pgr-2>_XoXw>2@rNjZL2X) zh;x_OZ;qrZl-Q9jvLk7W(XdizNaV(j?jYSaX%aPYqz{dc$O_H&{DhQ=V~4+fxI}xJ`P- zErA-Aal_TzjnpzIUl5w;HPjSTgn`od1S5@ZcpA2=QiTa2K+Y}ipbF;sq6a?Qr7U=avPkMI5Hfr>||ET>bwwC^*pq|PKKbuw!2 z?<9?|`eF(>&L|$kCNZl*`OegxElwe!Qq*u|Xu?zeq*IPcc~uQi4J(#`+?S)$BplKy zMIG2t$B=p$sd{P8%CZCxk|{+6jP0Of+EGUgbHryILnu1UV)UH*LvdEGdTHOrvb26k zPU~gVf#vnv2uZ~PSG*FzZJFTFD<1QRGh zN2riaIVvvV#B>r-$=Hu&V;|jV8rj$D564)bJHnup(u%0eov|2Za-DQ8V0MA zuWBh3E9e;p5=B$5YRRw&;P!9-%d0)7%Bic_X#s$NiZoo z5>ZNCC{u$n83rX63{itJ;C|(u@yE)L26BEAE`Hu`<>r9uj4_JUxeTNrCH11Fj5@HJ zuS4?9SEXVF8iT%2YZ5A3lMEG}Uv3>hJ^zs5`6blN_6^o%QVB^6G?@N`L6bI}+{FVVrOl2Fkj)q%xx)dK+h&Aj8I`&`o9;$fhVLqzvXtA7j6;{-q|lSbO34$WOj;3rZiK$a9ipd~7^s zT=7c$aGBs*NHrRF{DoJ8re=E|m=`&wM9er(zJCDJ) zFKI3>f=XvTq?3qB)L9mnjFdJ`EG~`daY;lS*GAR|b%=FJPvUiRPMV;moms|FElr#w zZMYLrX=EPLBeP-EPIJZ3OS%2bhJMZ%dKr}n?%&N#7F<9@7yvb;r2hsK+-_F=YEB7z z8WGF`0$?Vj4~7+b%@y8LT6xXP*yjxIDWg(9w|z+&of}X?M?6KB(u<@30$?V(r6}mg zY%?)q5LUAY1E9_cef4fY=MKC%otiI5hzey3{?D{rAfjg&Z^cX=Tg#;gbFbLYFhQJp?d=>lZC!XSjAuZ_;lYSqY~c~dflexU@|Jn z7uPR|o`mi=C{+>Og;l&_PZJ_4cj}Z;X?WKe;ax&y9gvhx5ARV>7(jG-c$YCrc-L!Q zo53d;^} z7yvcpd4L;G@IFA$al-omF+})4!1||7c|=QwWUs&MgOsFCVXciY0BTBax*Jf?Lv4{i zyhWRkF^QM};>28ZnvmDU`E*$L}COw&agF1t~f#r)%e6l8n zdSaZY{y-%I^>p~U+~E(>g7YeLCOiBHDs}ieJ!^`f(yU3ZSyO_09_adb<*_Vj8l<(H zW=WGyPnsgAG-)#FNt1}mI;pK+(sIy&-dR$nLY>lT&M9|AQ6H$o|DGYGZQv1ryr3W|(b)XA{A;Wjd ztUBsMQdcy0m~>{QIguOb>0C<87p289yWhS$=A?WviaIe~Q{Jt(1sxG)(n2YQ2o_4i z`Vd&kLP18H@#gBVE)=S?$;z45FNtF$EsT}=PPM(Gna~|vS!uZp zG2rx1+PIIPI`XR8usreU%YRvzPa3Qk>W;Ca>eQ2q2&yJ0 z7vW1nNuvnKit*HR(<2SRM%#y=22UZ(q&0Z5tce8tab_}QqkS$=wL#6tpi;s8 zG{}V|k{5NnRW|wvtzv_d=$|B1hynDO)I>Ih%G*4f)ZVl*ECN|^Rd^LcCzUygxCms& zRSjSjLOr@Dr!=>+mv`XGngc2uy8~x6Gpe);0wOBJ07jK|Q!|1}`vYz(>V#`SanJ!= z3o_f=LRG9HkR8`FfHe#?u%EIlkD#6y0M%CM6+rA0%GuQFUVB~2Uv}@vMoanG<(Rb2 ztuiXa0JZ0dBOkk7Nc&q2)u<2y&8Vb7iuM*BSl6tSR zpz7_FrG%qX`AnbmNbpQsdv=yH7Dw5p`l37&A1$3S7sE zL5kMkB6Us~>P)YgUY|Wrha@GQWjTq9mi3~XWKPdXBB(ScnbUKUIIjltsuK6K9Xk;0 zD{LrQ+zB~ERPMAXp6u*|)S^=YlbqQ_Pz}lK_JhGn*qNX%nR(&^TQVxdfT(Hils0KlyplaFL?;oI)B==ws8QO$WqTQj%AGo8)G+HcROkArdCFC= z2rPAckWeBj(PvrCI7)?CY{OAy>|;exNzS+mp7gF<40R%?)~^>$Qaf!C6=ED?-vE`` zX~rJOC?$GqkK{PMsDfo1IvcE<<0Dw9w8$~rav8QI6|OjDTRXE=un1(uopW@1T@h30 z{h}2y2IoBKRwdVjklifh(qH(AF*`Hwm%Q~BLFLKh98c?Z2cPwM0;PJe^Wk_Yn8g`#TX-x@8g!DeZaD7%TueGRg2M zCFv7!5yxr?e)7ffRRuHo0)rl&Q zGk&UqaeETD3KoIvd8vy$nU{j9)%qsOsoC{Q+NG&UT1QOV;|xb}t?vEC>Gq`@)r7~w z&fW#oqsE?NOv0ReL5zZ>mD74|l5)RylGf1U zcJ_jFdVDEGb$)%O-%TsrET6H#dt2^$}B6iGsb7`vH{xu~R{dD%OM zliYHhzHG& zAb?Cc>cmk2p;Fv|zfgxrmE+PE)exmbSn`rZ*`DMq6&O0Ye(W>Wk0L5tll3;9N+j{h z*1F!u!}Ka0)%A${)0Zhavy zHKco~C?@o$W_z!$N`3?ay;L=>p}2Z`U#K`EBOr% zI;~vBw2Hk9g3W(>*CfSMqo5j?YT#WbO{u8(8g*X}FT+$|Dwa>F9RDY+A({VEn?Y2e zGGDErncbnX<;hrnv^&RM9l)tMq;kii{6YeK1%5-Mg{#@)vmL-kA z;>=i_ldUs`O5Mnu>_%>QCC#%{+LS*)iE$S7NLm6!Y{1#$2x(9L$*2%xM@@b5FNR9r zE!*ChOIV8Dpc?#u$*=~~D%2ALq9(mddBBpHR5AivSq@Weacw_(&=UCZ`t0^5?*2hgbsutl{*$AhgTNwxhsDr9@}(J;&z~0 z`Iu5EEEM@X#>qq_)3b1#VwVT;IO&XWl2BbY64Y^}Bb|XK@ycxq(kVsNY>*(GL{u_J zMJG*5%#IBb>qMP)(j=qWFmoI%|C>Ux=u+zcWZb=Y7A@q*dR%i+t30KiVa1e74%`r- zQdIWJv$|P|pdpJjS;MI5Z$~eZ#hNNB7&3?LCT#s&wXbd%r&a zDI6f*I^vHDTmSs8U!0moZD*-h-*mB&ojzpI6CtFDF=YEDp{Yd-^~8XvNq1?kloETU zd0_3q5_2>id+{2jXzQ1>bV@?q?UuT*L|&yuMNfHMza;V+Ya}wT-aM(i#$Hdtyy^^I zqe~T@ttBlgbgWecSyZGZ=@Cqlqz@U=8#E{E%g0-+Oa4IaZpW)d5Fz=t4XGCKpVAjv z5nQ&=+D_N1U>(!K7+)D6RF-t=;xm4&qv_$uCRx%Me+-r0hc?M){4y%UfUE3ESOfx% zHK|fk#E?Gy`OBeWp&QSkpH;ou*`WAAy~y zyU(~EAmj4e3@YP(Kn#~ns^m&(8I@K_tK>@Q8_WUatfT)R+=frYJRksO;#7?uu4tS{ zL?aZk*XY_pvvEp9l@ycMC6+(*r!-PveEe}PPAEj+ZRW^d{n67GYB0nWgjcnxi_EhhUx<0bE}^ur6cBnel|j-u)f#UXyAJ^ zEe6x0x?%mX`C&o{Spi2n2;>N

X z)*QiI@9mS)G1sF~EWq|~3Qr%y2Yt*O z!)1Di3wU|cWP(!oFmDT`__XGgov1^&Iw?H7-1L3MQYc>$w0ucuU8BXv5fKQ$bz*I0 z5-d|W;JyqK8_RCtCIb4Jz-aG$F(KmAR~=M>h9>_D#`*LTBvX#M`pF};UcTsqPC2S3 zyh82^QK1r(rd$%MKEfeXe--;sNja)xYV9jgxv^sI6H%d~^rO^=Gq+q9qnM+NW}5wR zu!qUsOxe=ao2(Y9lvRSstGEjQ;ymP z4EJjsPU=uYNXDQinPN~hQjkU&A~z~PBN37&v3+$plxf8*a$ z(1S`j=#sy<88<7iwWz`85Y&@SIcgs;h;OSz4J}Xz>Pe>*)eu|7bjncq48U{}QAz%} zuw)9n11@e^oR9v9__&P-$UnC)ciw@M`Mk_On@F)ZpBL!>!238L1tyy(g(oAQ2(%)z zu zf(~C)C95xB3{J1ck3n7?9su^1VvTZBAJu&v;bI+a)rjKjA@YzEJijC6A_Y;9$bNzk`1z&8kV|By1tB+PA+ zoseHr`khH|p_S)iqWFBZjo32~d)$eXi`|D(PP!XcE_+BV80JZ(Sb8)%rv;R2bHpPA zhOAU_IPZ@%t5WhSRNLjwA^4h7xdW6Me)tCj9DbxD-2n=qdLXl0>kJQtdx-c-kQbr| z1edP}3jZcZp|%7-rHYZFaJ6pLKvYPl997{&1JNl(HJiK;^`ujZs_Ehr(J4gbh8*^U z(iVwwH=G$Wsx#KJ@|$qjCm_~afriwp(pE9Xj+&mn%BVDb4aG*4s1RdEO<%yssCZf6 z9k^~{s=x#E;YIkg#it`zW8C{YdxrzUWxB@;c;PsEb@I@UnQcohUy8*GztN&a1Xm}8 zhmSnYNu1GIp_R00<0ReaZPR8*RZa>j8rNfgtrAq34V3!$Bqt}LLM868bE;MA+ARPc z$sq1a)#_V>Ay7!B6x5NO4@jle_nxVoN(8<@KLJa8SYYYHm04HB( zRgN4kY#}=7;Kh;qHj5X2yIu?w9lTt?3-w&PlRu=;I{o;FknvuacGyL5by9eE={0jr z4_)uXAar6r4x=U?5JylI@o|t&A*$cr#MT%N5yq5^OT+{ayE9%{uK-nRStk}>C3|BT z_2g{lb*x22Q}Ea`ue2%#>RoB_Mzny6F^-+sN~^*c(8<@KI#SHAJLsCh!Wi%u)a7jg!5-N~2X#f*BU5h)<&TNqPLR4RdicNalX_O+4 zl*yCrQb;(>j_TP;eKOEc9#A<-7z6J7l-npofL^@@@kD?gy~dI-#$NR|XM)mvx~O{I zk?To|rO zyA1SnKBr6DoKFp>i}WK9e|MYZ3WW&R@zyD{ABjc*=k10G(Mg1*8G6x$P)9CxEuJ=V z9UI?wgJkbKEhi&vQ?Cvkkl7Nv5;ET7(nhohu1;AVKAxq5OV)Q{5IRwp4p1STQdF~z zBXz+^*UTflDu$YTAzVU5Hn)2?RjXFBw$b=CPX4RJhivV9PAiRa#0fLGGhGI_JIEP1 z_Nh+p!wZ8QX4gQQ#z!0s>Z)zY_YXot1gNyLPe7%7tGL=C5i1k~0lpeNG?&?rPigPmk%NqoWyxUpIXxp`eM_TIVM%2C?f zE)XBnDHVV3=|bFl<>2o#i1&`EL%r;ClQ&u1H3{)aG|iy4nRG&a@z{IcEe@!;YW( ztf>LT7;uIsHBybBo*26~GIgNa+6T5gy)%duRJAuarJ=ZPto(5)0acY+#f*XFU?r>8 z_c-p!QEwfm7jp_wDc7l^y=jx%dOLixZSiWK?BEl^<$5&+|8dT(>(;I8$rl5O}$N{Bkgef|3z*o5Z+AF%mGxT;_+S4(d%)7At zwb;OHv*-|UA`=^cA~N^PlW7cpoUibhSH^O$;V5NWTSh%GHbbk040bS}YJ9tYxY6V& zUmLI(bKYM1nGzhYh>Ab}u1jdMKeA)JH9HzgHkl_WTMA}5ur1{zWs0B>W2?@LK&J4i zR5%I(PEhqhw?Z0%*pzMyod^Ii?KvG0Gqg>IY(mD%8C?V22w3ftS&ckVjORO|Q;HfC zfI(fVpOZj)gC4*Of6obA4uiDG8?s{jsNVW16&5xL)Ng7KigA^n`UP%$LGKy{?*ugbGpna7Kf;t+hVSsiBaNN;?HW zLgiCsM9k*SlxwwWpMO%=v3|6W{Fq9eub4qiu5SZZ$tj;%AyCw!B9Il=p9t2fRr@4v z_Sys%>s7lLSPHJRy}9C=^X*PTst{L)K!$L)PszB@?HX$*sUpw!#Da-^THK||!6imFN|liacK5YDFxMCqyik@Ch0~)E8 zp_gja=1}$tWmvzI$soM3BaC@=1Tj54B9GRni;ev}tX zGRixZOb&w$4^#V@vS5;#vQsG;<;EO_QAQjhDkU?e^(c>QlwI~HU&mHEgM*^U8@ErM z0I10W4UYV)fI9g2C?rz|3KI#CTGWBUVF50!#{+eS;l$BDt?|?;wa-k@nQ=PTbIL%` z>@T!a=yLbzc?OO`(Alr*u>8}?{M1&bfJ`AO;0rN|F$+L#kMe3ReVOtzo`Kp*yO*K`j7Pcs`wE>g1=XYb~dWDD@Jw0jsr2MSd;92 zsu`*k;vTd_0UA###q#bp@_!}J21>OzoE8LW9Hpjk4 zo&toO(IuQ#PJe_E$$ZLqMMHJPY&Ps<6HK6ftN@u}#j5KxwPvzj<-V#m_l0~#66&%% z?N0tSS{lJX%Y9{Koq(ZCn>Kx#Dowk!HwpEe?A*WCyKSixiSGWRf{qm0w zm1{9nRI8Uu>P%G2w0g;Eb!HWuwW&`6%xw}>;#bJVp{iV3tYL(#RaO18;uGK`Qw(Yd zrwu^)q=IA`a@u60h8pUc0aT}>^EFnZ=@gZpajJntXQ1y#-#TM(ApxHL1 zu6NX0Z&Rvz&^0rMN2QakOsZ#gRs_iugHDXo275JhN;MIm>$J(vH|Dg-cDj(JH3(Al zq_DO44LA+U(qW>j>Z(0e1kr5n^Ax24r&kNgQy~p?+TQgw*r(-t^mF~Xho6$q7g=B4 z0nKHB1y9iPj^{Y&rIX4(oxIMZ+k#FP1C@Q`N!Mpnz4(0er+V=xmh(3O<(zVNTE-~_ ztvm3(62Aebw9MgZf2s}W++y@$Fq!yPYBuP^_kF*Lp|;?a?NMKx&t`%OClqxjG}C$% z-}cz+ic{5MA&D)u$x4DRPjoG)e|c~i;Vp;D-Pzi_2a;*HjWFwVAzgzzc6tOTa_ODR zwE@K4j*Ey@?EPR>2)LOnZzz2?>aW6bf5GxjtYUqMP3@{CF;fq4UKQ9cti_+-#t*qz z+gr%OJyiGhaq+$t>b_J9B33*J5qC}NBxqjSKo7{7!91jfQzH&qrE(9}jxC)Apy6rR z9$t^Tyw#A+DlKT-=-|OB;T5$cxVS}9CO`7QCzU$+byJ<5_Yy$eX*H%Hqu&gbw2nCG zw3FXdkCVr+GnT)eQ*S{SwT(?(p1n5&X9Mh(STl@Q`j~=6Ri38`yLY{ zpD!==#Rv~XX^#<7uAK^^n@>l&aOzQQkFUGikoTuWAWBDi&?k&1p>tBsr}O`}_bpAk z<2HKx{EA+tD^)5AxoG0kV#!0rNKQ@*3J&zRbZFD~wF~8@L!oBd7L8TMJ zy-w(I=&gX0(%1B2mqYLE-N&6wl!7QNm+kPgAIS5~{LmUa{+yBu3i)nLe(08I<@z996IHWj5HL*1W`7L$asW}-im$xNRH{`luU z7RhAt@quRNJ&$ZNyEiX3Wh`qXqX}o%tv7vt`bKj*vzCA{OQo!|3+Tx6vy{E{xUOT~ z)i`^**rV3wXR>Q{74iC#oy-I;<5u+)rkh%Dfu6LrPw@PnstYQA zUR~1UI+qjeW~3JFu1VsN>cr7Q1w5@^^L+0wHt?rWhuzHBi!)}4vbkt|y180MMLk=7W29AQs&=iB+dmhP#p5%zDOapCr^KJbUb$;Di;M>}dI=?7L z|F%l|Ks?iLFWb-SmtP$8OP;-U)5y&{CloP)t$8+_Ks5_>6aJEl=H-&OlwlaPYA=Z0EHsS7bm^`&=%hTA%sOJyXmq+LI7J;8e zg^~?vU<5VSGNZEI(hs8EL!W9ZS*4YiE|l8Tab%Dn%hS+Hs4;%9IS0qr91D+>cWwFM0{R_5cg;+yhD|FXWE(a6iOA z`!sjVlHMX4&^oBG?z+mS0WnM1K^e)`9+eoL>nsltRG_x?fqJEdr!$90-+HCPPgT^; z&82~j24n}Oxwh|d{kt6k!@wtz-xF^pF&xWbHqLM}>lo4!J?e+e*s7zOSBuY)>gg8k znU;ZmUG+c!_e+n?=TQ2>f?LxZ3K4$9v5O+=b+b5 zT5BF5+%RAL0Z=jP0vD0$!9A^wTAJ)o_2ma#3m+EmxxAtq3WG&l1Ojn|NMlS`s)58X z6c|W%+HQolEYD((cnS#VY{=LIbHXPR#;#Mi z#e@@nh+idg^Hcc4XMBSavrp45G0f zGN+g)zk-9wp=mKNh{<}dcBceAVkJS!tZojMjj2Cjx(vcQiyuvi-EJexXtnqzTIsbc zQ3BZYWwf*S(H-~z9&s{W{Rjttl<(1a&k1f~9Yb0~yVEm*4zZqKKLWQjj8}`#Ojg_2 z!?c6=x9vTb)6e;fG-N>^jvU_XVPpmQA@`9#0S=PB^+}6P zrED*h5a%E|_YP4xPU9WW-LNu7V=D?A}|>~#D{m0 z-8$z|1NCpelh0N!F6>gd2v3%dQ?f;WJr%O)+KXnxRXV1t(M|)#r%-b!aklT^ zwlk&G;z#vvYjN)e-27s+I{b8iWqSCGR*TPkVm&uADtvyLYGZ)7Yc@B|AL-`C)A?$n z!sn~4`N^ztM%mCEM<+^Z&BIX=qRdee%O}J^r!<*Kq{!0c6pm9t?Fn^pa6e7SSDFgG%DAb2#i0QCX~U42K%x zoB|jvlKTSGoy^sH?&>jV3xxLvAhTmwl_)+iMnLY519*36JburQ_npfIsYN+9&LxVCad=<0p78RA9 zi(iLsB1ri4Rz}K3XgpU>Rhkl?>7ngElS!+?@A!7~+ToMOAA+yfhNybD+NNiJ8%i?7 z^{dc!fvN)EhkD%sc4%c&c`U`I&9RawYdxOscQ-0@Xw_ODOeiM|Ec0Ys{o1J*3zOs+ zOG#ywzAg<3;W8VD( zuBY1`h)RzeIIbVw{th@m)FeV<~M`+ZR30U{cS+(7^?*1%N~mf@IveE?Zc&pclhzA zpv(rN)#Gm_5rYTCVX$*uqyR{}Mia=&OetrnpN= z^z?=L@|tzs;C&u{z}DG;MQ=gbXlrGsQXzPs5yMc)ddRJS>g4 zyCy8%ex#d!Qd+VWmR2fk)@tjM)ntS}(_(9>68AnAmLifIBT5D88W##nJ0iU5SEdxM zZ*3+$ZAjSB5wGAz;}9q5=4XZ-X5#MfYVlL-aNVC-yXY6(&V zWZ8XKPCJY57PsD}kg{sgx5b>-G5>ymOD~jFhoA1OuGy$ke9o(7pf;nvM4-Hb__yV# zBI+&2&OT$gc9-EV@_HgXSq@GqUm1z30k1e!I-V}bHehmPq&7eIq3`$BG}0fgq15Ix{7-T{_i%%{nGFmNuT-_YyKzZL$PBKC}i@z@?P!D6( z!kfkT>`xqcmrgn7!1G%27M(Ea=OwiQ5WaB^!gr3BZ6wgddnmb7+7&7L^_^cR;5!nMvg5F>&cq_WyUd={?jj!ugpY&RcWB z$xMm01b$i1Yu|o7QF_O#eP6;*&><5X;Vd^_PRrpNtr9<_7(0Ej*yoClHoSGHeOg6T z6?hEV(CF~*lMVPe_WCtB0@39hAf~6|qDAL=M)-|Ys~u*R@X6Q*W7UJ_>+86HH=4i; zCE3aLR;o47>}{pO>+9P3WcwH)kCIJvoO8Ahrw&TCPr4}9xUfyQ?SF`ZxgxBBuuas2_A0yW_?I(ui z^kDCVv1;+-#5~Kq+}#GJ>sf60KJuXQQTpil!PY(N)1>fCOblO1C(unlro}27#OF5F zFJ{%)SVv5r6-qb&8(XQcX{fDFwuljKECG1E39GlUh$I_4>4i_@!ZwzR@Ty;#Qg{!# zMSr^OC9+)cDb4^V>E>sKIGHyK?*dU`ErCx*2QGhhaR5<7Qrh>$4TYQ%LCP{!a*(bY zG=#Y|8mgg#A~~&1IIo*P4oXi+r?peHfYskdMTsB1+?m5-DnIYnr24C($>6p@*THj} zQKJCbtwH?G5Uqm2Nr1#=qb3aPo;EPI&`UAW>c|{;?RJcmLu- z`ZSE7(oVyN#X%dvXte|>5oOuu+k@xujdl{>B#Uvct0}7%eUHD$nk(dBaR)-U^a<$deq^rsrSBWV?*ng5z@itdk zsj#i9t&LU>%0^v{1mAoXnp2!r zi7(7qPOHNQ%kJ0n=i7kzX?j?nZBN+RVywfe#BYy?F+qn{OOT#>mQ8R6F1>NuN&G!p zX#*BxlvWGx;^(s$=d$gd!r!dZ_~|Axek6{0_4>ianZP&NL459Z($=zUPgp(?h1VZoK=Z0d}5qdi|>}W zq)CO6@9T0`+Zx*jR%0UazBrSH5ItZ>9a^{Rki(DEC;Fh70u)Vs6xI>xYmc<(SO>#) z?Um$B1z0n8hm-{A*gqfO64kR2(cyQjWeH6f?I1pPUFUKJjq9TC%f5>l?b~-%sqhfj z)~C;k6SB?4dd}lg1p&p9DGWHnqf#L+=B4fkH`eizH>)1rraI@OHhgPNDbxlI^gh5>ZvqN0w$`w?eaOc= zNngdJA;g%6c@n;fiFMOdrp0fe8mfJCHy?{Rh9WqLfK9??Lb2h{cfefvQefQ*-mhr| zHBHbMMXam&O8#i`HyA;aUg_ZP=QPChFpKo$0HlZSHUb&P9@aBjC4NeL69UEW&}#AH zS8K0x$#cE;pc(Bf{+@1rq7Z`cY6^B({Z76_}W~+Wf4h&(Rf{qOCRhhhr%Ek>Hzy38@AJbTDCcrTtt->d$@X zKv`v{%u6d=Ww++j3@ziIG}LrK;?MDkD&b$MIG5wD^1%Bwjo(yFhM@9(!3bQc#*=6? z2zs1D=<-fyYUv3|JaQ~M~hrGB=-|-R9@|FSw&kq#K0yai9=`SD3=sR za&ECBiNSXN^IJYiMBr+w^v3(hVpd=?PHijv-`wQJ?)BRL#Oyc%DUjg$?$ z7N2VZjX)b09eyWRD9a2sE_(f3X2ztLkg316K7GG5ImCC*UIS9dw^0_SU{&wkawM zCBERjIIRvpd*4(8_`Tg9a4Wggc=CuOV;1F9qPJwgBwraNRl=tfH(B*26&h}F10$V< zPp?Pd%9qk=wNp=eVS?rksTQ6FJM~4(mZrUptM`%HZx6Dky;K8tR#A_%;FpZY0_*`} zoCL25Kw7DcgV;QNf94yz?Cu_qAhks`wcQdjavPE?9!o~#XpwAb%OCqtA%e-Ye-v?48E~` z0Y2I>WW0I;o9}0?>CvF?5)AGN4=}(}eNL<=D4T;ZyfohQ2*!@aCHyrO>$Z-{`Igpy=S_2^ah;pfP=ijkLVFmeoMru zMX$%-ERhi*oy8{^+ZnAAKhBZ;%*m8fLX6I-{$Sd6QGOGn`YVg@v7yM^oo9~v_b?;> zg|nxpIq1v1y5*w{8d+96;5Rvu^f&9Y`m3Bo{5mR1{8Zi8GUay$MTg%BGwYfZ(+KewG}`G#+w?o^|=R9 z{Os*@9(de0!&`GolQdG??*o2|^pA66_>FcN|5!rkEnq6Io}h&KDXB+i0n=z_@yUKO zrPadkafMQDmF~fC_~HHL2YhlRgt6-3^R|10w+wywy2cauw!{Dy2F{36kKW>PZwy0k zq|^9uA>?{-f92H@+yVY^bk;?Ub{5~H3(tIARB83_E$lxxzHDi%)A-R3+TOCF^6Cjn zfKS7IN;{7qLTA}B8um-@ZT)~;FK1Qa3$C5h>hSN4{nvhXz^&(^!u!^#xqvy9=yB@p zrOF9}=cG#bbXj-vWmn(ckA6ApEPjl@540jBv|9XV8Mgwzdf*fp?JRz@mG%HLpRj62 zw_X=Q#fuo}Bsxy~Nh!79HSosw!wBUpct6%3y&5LjgZSlhdy`)%WPd(tZb(|%bN}AC z;fx{QE+r_1S?TNgbzfYrJ7ChD*rDR++qDL~!`&?Eq)9M9J@Kxq1EG?!#MIxze78Tp9H?s#&&46_--+&T0Mup z8THVPKtnP;YDgFcqPl5R&oFNmHD>88_-OnWU^z2Pdo(2uI=0fBVlVOe=}=g4EHUb5^(xl5nDpUAX#){IN@|mf!F7c>tzLegJfw*; z?Y#Fo8m)B-S6Y0#?3?HMXTze!XYp;p zXSCY!ZHo`M8FUZt=w1y<7M~X%ovgkX^6kQaQ;>WAX|RL$Wipv^_hgFXr`@mLrAwQQ z3$I7G2(MI^DWy}hR78PU?$l()T(_L?-BUjkJ>s}a_>f56F;8pUuv?UI4afEK^7`Cq z62ols^7>0&6vg@RFh;_48J9%demvxqaBZ|X`2v(riBlT3ji^4i8b3I*#rd}F9eBd3 zS4-gG{pN-vTzMEbK8$7_7mZn4IL!~E%kq-x0cMO|51xJ|=*!VhyEMPfd^rM0yv<7- z7HbYiPlWFF@ux$dWe48|{A5~}^cu&m6H7mS;v|2NfiEflpkx95sfdrDGxQ23iwi+{wp#1i-ps}??_6mXy(eT{oD z=_GoLqV8iFMyc1#aqKyGcK6i+N~r}m%X%0FPARqMJiILUlywlFN9WpCxumQ|jLsid z9PnB$7vRYza6(!eWlh)Z=9UA9B**4bI^@QMmjhgcSN+PAQtCv%IBK<{O&Yh_a#4$e zE;CBg;4(wTHC$dd9=6N4D_ssCDlM+Nf*ORojxPsf+?B?W?usLa;wK5$Uh*Q`bv!PpC`vL`cSiR ztuSS2@x!w1rPQ-?4*PwR zbWLyPR|nglytYITwUP@0#mLC}JJzesCf(>7iN<8*pVpO4!o#EE>(ag_XEBt9S*~$N~%8{qFtozF*yMrh2BTt4>!>KV3EFkvT(mL4;09PN+bO z@-BnHdW>;p*P7%&{*bd|D{|n(QEz_Aa}TI-JCdInhAYM+W|7seL!H49>Xs|2 zKKwEy5Lj~g@?YAc42FC_^0;vWVu1>Hx#~Kr+Jl z;;K{lTzc)K8iSbFo`6zQ`s2Ja@djxW>xJ-_Y)JfE0xdSHBc?nFx8OZ$rWo67O*K>Z zPUXHJ+$$TX9bZq%>}Hf~yo8YC$|m|`p=5BT;PL4_Qj!6wKl5DKk)#c?ex0yJtz>=A zL;W|{hjy}JsUWvsxAcpRWMs1Ith)?YX_kjMM^Qy=mne(CPnSAp9`{WO$%o%!U(Db? z&!VI9zSO`z&Cg<(el7=TU&?s?_`*Dh>yVqx9k&V!b8S#(&&Qlv8({EMw+{;X8BXISoOLRHA9{k#K`s(-yTiAG;dVwRIK-T5ghv1v3A}md&Eta*pG2N2DMS|Sc zhOKR*!CVg)oHD}x)Bd&^Wu)sbePFSQ5Ff`+cEIK1fd6URoGzSqt64cCrlXey}!O#ZquO!J@0hw3(K9|2?h#OxR3R(WAr zMwsfmJ;QSRP3-M9fAS5mKa%u$er76Au};oe&C1}e^pf=dC}o2cEd%kvaYCRic^(s0IoE5(Ki&P8AC#C55{Ij2#TG);`A-^PTT;GFV%IVsy3eAfC?2jR+CBDrUI zNZ;%)$$wPit)#(5{@39lZf3|`MO)*Il^T2s5gGN-GOG0)gJ47E^&y6wEl!5@Di4nr za@@~nKf8n};^dRFTXz36G3@x;9Epo2ihofVj)=|I94tJfPc_lB$%tHaut_y@ZaF$4 z+N0}~IG5i@!N&Um$ylt9tO`LGsns~w*V*;?h|i@sNF$gx8>{r{DN1$6HM-I3pJd)k z)kolm)_liTO*CMKq^`Fd2_8YF258*%YQUtHDpYOZ+?%Bam=5Ih>GXk9-fS~(oHkQt zC3|-9P$7PhS6Y`70^WuB%hq^HOnN)wuj14(c_^)_R7d~QB}Ve7#Nf0Y@o+wv&xdXLJdye}3Cg{fz2U-Y4qkG#b;E!n2=^LJCa>o_#6} zb`Pm{P9HNT99lG2{nCR^rE2mCqz61Z{-ACed_qkUNxDt{7EGg1-Xm{;S*(^HtlShJ z4zB(gE=11g#WPJ=xVVX~z3p(<{sydIR*iJ4_^ahcvjlB?3{K>!V)vCv#0EDOoz3rm zfeiMWk*KiKO20@1nmAg zZ|P5~Leek>&FnCCh%&RWrXW8xJvg0Heov27ACS4Nze-UAmi5;%%Rv5KP@gsy`M!k3 z!+f>}{$3M05#9=1AnJQk0mOuXM<1o#7$^fJ5Q~H3}sJQW=U7?fVQ0~8yQQf>N zb?M4kSZSVA)g;{x9qg#Y3;$zQ5{7@Ud{<&U5VnT+Hv)W-?odyzA~(h4npya1(Xdj=a&qA0{Mcv%L{0X zPLDIMigUIqawL@?_pP;E`I<<=qQxyC2#f3?v7BUvvyv$P9w814(F@ma&hZ35i9XNo;h}~bIaYBiGeGMtoqWp;R zoz}d|hXQ_Q1y;U0kT+9|h8L+Kg!M5ZOse?qZPAMffLbZsvr42#6C>|otf6XtyEIaz zi=$X0t<`8C_2iVy1sot06H?yy7+ZJS^GJ$^5^BKiW-@XRZ|r2qwJ z_gJbLMzWglyqamJu_XpHBJO-NB)R+oeTF0x)@5FwQ@^&l=gJtP^{U4@B_6;og{it8 zn+fT|ueV*@b6$VU|AX~6dRqwPt$ZC5`mRW?BbjhQfi*@agbHWnX!kXe4#^~kG4rI8$TUhVZGcJ z&#y7$BsTz?*iyZREu|b(1F8yq(w1mCXb_)3bMLeo6rX5R6|0@_C|oeQ1y*8O-UWKJ z2?yU{u`7-T6Lmw;$qHR2XKSm_r!4b|-!C6$Swg}^rru1KNY1di*ND|pfq?ho88`K+ zuXi@MtR)T0@z&wHeL^zrdn2Yz=so26k&J=@W;c=z#9*uq^w}gqFK+v<(B;a(+Tjla z8BM#r#s*~Ps{XWRcV~CG)tBP?=1CLkp(IPIF`dB|j$eNR8{f4S^0c*t|5*E|KbPH- zj#?+_hvLfAx!Eu;=EivhTa9B!B*rzDs*gRty;Fi?YO(neKJ~P*4np|#(}*=bj&4g< z2YGX!icgY6m0*@PhM`kN=XjZKrzGQ(#v=qxcsooJa}c50Nkn49*h6Cx1RD+Qkkr@H zHaaOd$aXJe?-(Qr(JIKrz8IOonjOnvLbk*_=i+ZcliJ{lQ6c8SrDGKX@0dGNUEBWelYZ^xy%qF;YxR2Q6}+>=L=9WdG_f6;sAg%;(Fb0R2$)^UzJigV-FI0n1FjY~fikP2I`-9ACw6$B<3 z%eLEp{k*(wXC%@n&q};-y2x|)JAO=7>L>j`ci*`0bsMSZ$_35&3$g{_aM`e1(}}6a zpo(o}J7f&Pa{AL5{jHLrudhP>FDYpZzUVOm0yKIWX~mDd+f)dh{S%hiRk}WLB_x!W z_o4ac+rvU+sca^q`t>|Q70+viUZqd+j(8~v2Mj!(hmKoEAU|tp!_x+xo%wnjJ|=F` z9#&y_OhtK^2b^8ays) z88sdF=0MJMwuVKb)@J@B&TyZ(g$jHB971I?rE;p>DElPUW?109G~l;F;cZBgiJ-3Z z*6exQ+2rFQRphgU8u^U}XA~KCx+dNZ{VdB_y>s>BOnr^S-$K~P6TSCkF~u5>`Nn># zVZ4^gaj*hz1=4hLU9csOt+D*Tm;ko}Fb3pj_DJ^V4P- z_4qPzA$?HJkny>@)XdmKRHCAvl=t-x5mX)-)Lw*i_ZgnGT4*`6kp4>~gI}iP$upG( z8VsY$p7p&!Z6QRN<{!rX@TBD_9oO6c-~({xJ6KKXJ6YU>gv;OTbEF#7%0*k_Df2tR+V&ar;yn{ zE|UI2^CF1H@YhX~Gkd95h-CVgR-^AMd=B&6ky}O=h0W2)(*_Kq$5^o12EG10l~Ls;(m(RoB5= zVU0Hn_)kSzjLbdX{VlSuKvy@jF81@zp#(udV;Qq1h3r&Zdu=(_=Hj>9lBVvN%~pOz z=`1TwJv%0#ykJC!Lkist9@Y;U6-e)C}!>F z-btyxqArdq&G&FO{A}N22t?)jnZEVDT%U9tbrZ%V%bezlgoW&KhzYt3IgjGEC(Dl;yXN8P zWh2_m?#kgfAH(}fFnc0?nXPbc+1_e`gF0=B;|?gQUY0&tVzC#%KoPcJ z`gO0b=c|&^QzC$n_au-4`!qo%QsBcf6JWQwW5zlAnq$b%ff9D#(Gv0cccDBe(^O&L zT;PP=C`w=NdN4$RKAGH>%*e3jUd!e;_S0F15G`9P34FiCpaWL5O6(%TKT@+v>jXbG z)`>ajnDhsjQHn%_GtUR{6f?yXXqm1lQupU5i#r#Kecxxr-1TAfhcrpyw}izB^k9#c zvDUME5>UzZ@@UF>YeKU`PEo=THqjZ^=@0 zyyj}VZ*z#9?K~lDM_mbr92k}qG?R1}I{YqAOMJLhA~Bf2Cg4viN|noOc$l6|nw8sM zqnq_;JR{G}oZwAfAm4vJ4D`aHCzRH2JN3o19C_t^Nk^^TbH3p7pTTTAXCRyl7hqbcE>&LSo?#WA%zyvT7&i{zq&Icndtshet8=~2425z_4L+_54 zWFO#!j}PPB53CQz{M`@d*VdtT+qI@~oj>;wkGF^2_g69Chnw{W1UU5WlJT+Z(d6L( z%*p<^Wc~0kENjtuKTOE6w0H-v1wS70gKzrtLvOBcBY&KM@9)>YF@ekIMbq}yAFnbV z5%cSz<6w30{hn-%>`*sy{Sjd+YoJ|QBtUu$^nR%GLOC+XS6yZs84vkaosn|;NFZJ%pBx5Tv%jy$?VMfRNCNG}v&b6gpLv?9 za@>AQ5_5Aqh%v7X8}MxCw8A6}bYP*h=#LJrD$f$%Q{+%$2`+sRFPWDM(aE-YGcjiy zLC}yBXG?Djfs#ZbJK;YS4-S9=42_XfcZ&FaA2L}Tkbi7Sm)i(s7u#yYRH;J`a zSU2wOjVA=D+CDsUI677^h23uxgT{O{K3*B|K{BBvK=xjPh$f7991~J8i#6{-iU?`8 zppp5;Bj#nr`WSn{QHC$54z(+;X_)!uCbd8dKS>5-}5&8!~S$>U= zwJJ*iUmohep)HhNqglE-zngC%tJTebd&`)wXwU}Yv{tpS%eKQ74C*x~kyyurdi$*x zA=5CYbKHMSnh>a9WG{Q5E`Xyb5=+N?YbowWVR*1`jS(&8R3AOuYy zHoRjQz{h1>=t#h?=8!jgKGY^AiW80Qs}Z-eB5I2BH(*pH))DES@|kX<5}XTVL@+(5n>5KD&qI@v@@S_G3LCufH}7i&GSg{j!5-RB9XKL2t$ zz63%m(0Fpf4ia+X6cFa642qUg(tXd0(mF8C+3`zAC0Y)_T=d!ute0H$mQ#;nO8L~$ z08wbnso1=r43jDYF4ma~R^}ZQRD`c8zK^Q?IMC_&%igv(L&W{ehgodMnvGt0~7htc5}q69e>-#yOjxIIj&TC@U}+l<)?7jD&j}_4*}VE@6&* zbb*}+p4>#ju55%IJK8>t>^^z*;0wW-*SMjT~lkt)m?#ZwyST6To$uv5Ic9 z?(J+ba};Zoa`b!f61incb3orpWUHLeFPRkGg8Mq2pjT;*(ZR4Y?mWb>*jH{hB8VI6 z70g~@y%3?z6;;DPHajwQ4%;_YPCA0#E1d#X~ezhTLL!Songkz%>_d4hJ zxxDxeNpJA#JNqlYuLeR5A3TQ_f3e=JPBH6T zfzdA=0XrlNbBJyPp~B27z_uyir=!AGk=Rm$-$Q9n@2nDN=9f&%XV%x89jjwCmsg;i zqlohRqGe&%ex9Dc?^a)}W~~O+*|XODHn9_Im4WJrgb?#T=YL08o8q5zbr4t~Z@Psi zRRXi6m399TV}I~GKrMYP26pf&G?p$~xTA9CmOb=WO@4dn3`L;R;4zHp^Atv%;(Q&` zvr-GVZ0&A<%H*z(tCiD&REWx?E41u*Zvm3xMAj))8(A?b@BeUV;ZO@mh2+@8PxWeX zRidxFQMtwAT_WmQ)1j>CtTws1d56&c}*lBz2w|S29;70x4H_Qd(hsNqM z_5RtRfznufw5@5SLP{k3H(#E7U|3h)`!6wz@=k&UZ1bbt;79$paXZ98uxHiDmTPgl z$%~LdQT>z`LUjCPP@-YKU=Z|AxV6b))aKCquT|`qI|tw28Xgg_eK%KP!4(s5^SN|j zS}7e0_&&-KeQG}FZol`l8z~K(qfVEsa$a5R`%vL`(XusecO6q)hmby48bjZ(?;T5u zU$wu_Uda7QD*30+sMXY;!Fhz!lrgjr&0lch>ecoS&&DAYTna{+D2jHK9(D}!q10YD z+`)Fn+-^#5NsG{t|4oxFxF^H2M4N22nV&ZO9lC=HR;Nb1ksht{ob}Y7fi?b*cZ~gwIKQ49Vj(4W5x0#)*h%aN`<~cL?Q@8VZYO#0!$A8VPquqepZE z-&+b_US3=jJiB}rXP~LUohB@Dj;kREhsTkph8?9YY{6KFG*dKFZ~_0!M@Z1p@Mw)# zz6&)E2s!|OkGlH=xc@Vuv{`N3NG7VDv!HwhAXevsQ!LQVTo7j{(UyZbGn$5VsO#=6 zD5H0y?K$E)M&>8KU8V67=5LoQrl@U9+kVxL?=#XD$$M?H*eZ5<1{F?^$bPLR20`ie z%iH%%(`)xyab>_yO*_JEB%eAYsJdu)@^^Y3#vuVs^r>OQ(-=_#L1_etL=iOR$@E{L zP?FtXZX)GzA+3*S*j&{w4KQ$#jUdcEZjrJckxzlD-*L#v#B(D0x)RX#NFuud>I{0C zXipdc|Btt^>%|&gkAHiKL4gLa8o>L%ybblV|2qDg#n}P>js9P(?;ofjYRvyed%yiR z`hO9Of6z~<{y#M6-{}9fY5qZj7|{M}3;i4Uzs&m&@)3pnFJtRzK1DGg0N^R=1C%9A@Gsc5t!dlc)0nnx+qP}nwryL}wrx#o8n->oz2E=6w|n;No>LY1%ZRLu zs2fpLH!@OD1{?wd1Ox;IghDY=2<1p*5cM1KSU1O>=d5o2Kf$;@bDWnyG%;Amp} zx6jIjpd*?3&Cg#f;;0XQt*J+I!^YUrnW7_a;dHi(t{_BYQZT>vrsbcl?Ea!B1 zIPllrRqOTT*U|jy?0AGwB75yE6Qh6tLg&?~z`ug+^PHfZ&!^MpO91yC-(a0y6N3>_(+{cM*!n(i#Q&; z^3KGBq3*xj#Y!jFCz|4OK+y+H46~Y!*W-3dOvDpfYxH@?syfr#_2%W<1Fq#$$-cTy z$a#kZHgbWG3C<@5r?Ut1=fiQxgUt%W)}-ORS)FA?$mS_SReMWkp8EDbL-!{qTqi3; z@ww51^P{ihODMK6k=&hz?@zeaF_GGKV8`GCbn6Ip%I@MsfPOu}N{rLZOwnouE$=m>bCmm^;R#qF4p=3>FLQY_>YLpHd8|e z_6s&=hD_Ecpj@19)Iy2~J7zoQY5d+VtE*d+xAx0|hK16;yW2p|K;|c2 zhnPXNKOX0C0aZvew@LpYtb7hQZ;;c^q2&~|v5!b@--bX&)Y+5vTm({fetO3G1~L*? zHP$24xbP!)1Pffd$xA3tP5+PPUM-m)qY$FPeogxRdK&4Ti%Es?;aJ}d7&Gwf<((35 z)tVY&KBqA~lGmms4<+AW&ORL6Rc3xYuV}E`jk!C?`^X*Z8jGgn0#TbPh9Sf^#;Ei| zIO2kuiQnf(fUBdUt4kv%pMmJpOdZ9$`PJdegsW3yufEuS|Clq!zt7$uk}W*eJFa?Q z{${8mV`|g9ojCunQG2P8*+I^TLEilCn+w2ZC`p)p7f63W%}M8Mg7U}l?ddL7I1bXg@b~l@=+(3s_`KZ~;IFOExPzW<$XHL%nD$IYe>1v$ zqGg3}Uh4*YW9k831w@Av!U3R3L`;C~98Y^iiPm4~|D>fPQ00Wid|#&(Jct@@(>Xa4 z3`l>MDKtMv^h6#_*biN6)I=7#eS|scirx;--XD*8Kh0AJyS+FLIS{RTB-rer#xdjR zvJHA(fb@^(efM_ytFkrNH;u z28?THBK@^Dw+^?94k_1;hH5k#WM=VkjTieP zv+o5a4lDpV0PG*VT=`f5O+)j4In_TVp?xIKnO=K2onttZT9b-r>9#aC&okmq*M$}( zhl^S0@k5ejJ8Yk()s@@5&FC0(#5Sj<)b-obLyAu&rMT#&r`MbfTM2MzB*dqalAJ9| z3Gisf#iyDUoo!1A@EQJpVOn|Z|4S4%#=-T^?c;UGtMdcn`^=aYT?MI`fQxQi6Dc=M zgnoQ>3N?M${1ik+w3fht%TgVwf#7F~dA;o;bVGc`)uF;b^5NvDT4~Ib9%|N=57lyu zWu%Y8eggKsyoE)}gM*m|%ZP)60@6Sla~C|fw7fGIx3szVpB~w;d2(7(bizlct^^G# zpn@dFo61FfZ-$%(ys=@$gPepejb=0gMV9m5!EXqVoLURiKpB!8%3z)IRlODofbKv`+ z%D#8Fh2#@Gnmg>t8EG&^1-zU2@b9LXgh76+X@p^Z_Y-_j@4j6L!~KFc=#5ON@4Oq> zuuxUY5_$LC4=5IqGkC~N;S;?8RENQp_ed^7B)^HgCfNk!uAiWOi99L`&|N>lfxu+} zI_m-IQE2aP2>(R3hqJ*!F<^3;2xW!Fg>o=A$qSEpg+@VbP^qF93~VB|skatLSqd>( zEf6HbW^lociCxC-n_)>@DDOQ;PG%u|p|yS{S-~zACyk>BkI9}~V+r@mn)Zh#GNF6* zge5Ym2vVI)V=Tb_V@L#5j)0uBPNtR(>GcZ@|PG%#UIDU zL?ws841{|tq65=Jx%WGni88;y6d}sKki0~RTVl;EoRbC6QY`0lkl-)^?obFJ zq#wadTqg@8#E~LMKZfKdipT^RA_%yHf00DQgR~JipX0a-BjpVO1tyW`&gT%y@LaBN z+>j>=EQY~>1l&P+i6Rw^Kmh@Q7%>1*HC%9e20BlYG>CzEff1aCC~L{(l>$uygo?F% zAEa?2+aG+8)Ww^~Kgu2V0}W`!{{bleuw1S%<3M&Mrl~R!XyZgFKNti_${WmqDCH9@ z1W+s35YUj{Oe7I=MpP#YTo5t;YYH5H+~Pj4XYl4ag+P)}+bi@zFH@ZYkS~($Hw<@D zETP;;u{)U&Qb`okMc_4>5Cfs~*+SFwBicmF%s?W^Vrn)IDmO8cPu8k=Au^aK=b+dS z;Y~7`s8n&TGf@R}gjO3ev5Kk7fJh(2lk!4LC>rxNHEYj)k^V%D2+&P#q27zx!hM$e zVTI_;moV<6pUCDJq%H3-PiUg((0!UiL;B=-icBXfESICX!F`gUCHkf`MSCE#M1MzX z;2ud^e26mVFtT=`E3va=DzSkvK*|;7om42?NBA4w9jv(rS;-+#9Kr{v%N=z%oZ|0j zI`F)j0`JSzXvA)y+lX1m1oq*ckK7=A0NufTo_axhzY_hpxwpWBK7U2#aZE`;Wphe% zg->kE;gw;C5H!oRQAp2b07rQpFXm{IRf8J|agFqq6({l`Ir)b#-z#n3gTZ zQ93y!YdsV03YSb0j8(@9lHMV03Y*UJy#$7E-=xSTl7TEPt-3@^6qmYOD#Suy6&qyWsPSc`_?PbP zxbd}V1@BKua&jHpjD*;!s}VmfO_Yor zmOd_^1rjX0L9wWyyFr1NfwDp26rs2PxhaKnlL|=d#r5-Hf&~+o9*ZsSNVpo#S~)vy zAvujW_VwGMHcOUD1iH-v?N6Mudv~T=lzX;9Pir$yncXSsUr|2qcCROF{sk6Exw`2nTEBR8%w^;zGgBLTK&xwJGD3o zp*fhxNlw`R`#d{NvPB)c2cUFG6B8wjaONXR7&FgHkOkxXRWcJcLlpjQUr&~uLh$(i>SZQA5Pi37iI;;7RVy%L9TGf^OAzjRfjJd@AX=#F(b_c5q@ znRO`lQE5R!kcgT-?(b-ks@xpe`<;dGDHK1>Zz!wYcZ-1pdGm4Q3bri=hlKqpwQ}Km z>w&!7{s6KUsj>YeT@1Rg)biT|8HJ>2tO5+_ljgh(ybeR|Xj1@9)g5VkT^VHeg{)M} z)N!MXc8pV|+)c8XHJV+@yQ#3>3RN8#lb~PnU+H37=Ym$OV;ubRlr={JD{#N1tpqar zLWQlL{72FBJ-#DYT7UjUGBwveq8gauUXk@rG;d1yCYg07yprB7f&j$!wn2|#=+VJO zu(i@5fCCZWPz+2rF^T&pSeXQY1I+?oNdv+&VphVsl-yzIg^Lhgu>~8AQ;HtJ2X!8p|+rhoQJ|=f#*4nBsTqWV-+m{ z6Q39&;r8W@S(ngD{|K<}rQ;=FGz$4~8zbIKGZR&q!AN&N;x4Y=4dze%Gd;O8*b|7G ziTwkf-C6v6=%1$SUrz1}oj8)L@0D&|2u|X}C|=;<9_YFZHgs5N<6R~IqC&hYRHghx zPhQFffp5N5Ord^D^;j!neoLiFU~hw$*r}wUvX>^63fdH@CH%M~=CQKW#q4=82=-Y3}`e2O=88NWc89NgQzN{S;rI#h7bmhBdAeY1h+(0@C|5H z@C;~CDqwY}QnPSDog`ISB+~AsXjrj=g7K>4`GK~eQz9@yJY_{N6Zn<9p$QA5rzFHN zh1zI|Qi|Ac$oDiUcUmt;_ismsM$CHtou0k=$ohE!+I$Gb-veK*1iEH%EU70Jb2R}{ z3XC=OI14-Pi3F_*b9d`{ICq*~wa<}XqpPc=lvFRGg3AsVoMu$|r<6eh`07H4bOKX2 zk@07%pM{1XZ(?007=CVr6yWmPTHjWm9hqK@*bk`B_gnfus3^-?imsn*d_uPN8tXgU zJ6qF}{yPYr8OW^uKZgzUh&Aq{HLliljJEoUb8$Lqn{TCwNcnJEpBvfiV`n)h=Lg$v z7ub@=deK~3VttRQ$d&=do6-yXWL(v^6hqOnx3EiE#nt>So|phL7{+JWZqd~WDkE;u z*4~?g8@vdsSX*EBCs|g>mi{hMa;&FagFUiTe8_)>X&2FZv2N{oPqf9Zz?n^otvs)> zD$}J>_^5B|OiyfqT7@;Agj{;tcqvUsYs;^&7N;Y-*)G7FO|~sPuQ6od718@ZzTI%I zunXhFj~MwyNu`5PpxjCq?*FxUXSr z9ayO1kYkT}<`f%>dB#4S#j7qjfkjc7Vq~`f00a z*d4S4#JVrl=OS+J(FT&^sI7gp>FIsdYH2Uky~b5Kr%9LOM>($A}M44d5K9+#)-6zx-hWqT8Giuk zIcmoBch{GMZmnCq|60FQ`)F`O07oK=^I<(Hu8=7qi}J+>s2+#kGhj!%KZTk>-#fim zdAHb{?51^Q*5QH;;PF=TXMr%H9r;2Ro_*(kd6cTl2N{fu+p_IVkLJsjH!*f z`jf8je9BHwpyBq}y60hfihcJV!%??ApZ&kWx_X`!w~*tUY(h99`R+SS+V zDiT-!kdQMk-|!bW4IKpc0(mNL*A#SyYwx|My!fnou{?vjJ4JN28+K*12HaOoKeyCU zo@^=@uGZuEuN?&U1N%U|!0oTIrFz=XQ`~LVWw-PM9FE_ta5-hKI*qo*5SLl)Gt-;= ze^z`Vo?lhi?F9CMdhi`nJ>}-HIl3=38c`zV7O>f7N)6A*;+dN-G5<_~y2q=u0b0`I zKQ4<$Sr4RFXJ%W>4$I+QIUF<7twFcT-edAtxIA_s`tw~@d}roQxmoOgBelRSED&?^ z{s)~|Ao3WmG5>7&pUH^pK|Ma>Ggs%Ydh-Df;ZZr9vNOF##(xmee>!AldJT`v;7`~T z)(3S$ZQ)7Q6m)ofhWn@pI5^;OtmK4y`7ARtIpDp1mFG7(TynjX6TbR?Zam|U_3t-Q zzWkrYv&CTk?Gx$}FS4fMT940WkG=6mZ@AfOeQ?VIvDR;Wu-gOi0Kae@T%eNZT?pI( z3D47If%!Qk+{|rZFee1+@u`-_QcyqPy)mCX0#W;?USq}N}6?uyGte}HnnEjGhpeCg3Ti*bLV>|(VUVuva> zfAibf=gG5=fVZ~chOwUT%isA|7DAt)lRFze03(l|~cfVYgH@l&~v`nP-QyEE%gtGoY71neJ< zK0W&r z-)WS&?j_&YV=hVaEB`IuYrUyG?%oeaoSwdsfI0f0%bg8h1|0cd1;6HXKNKl}!IyQaRUd{Eg#3Ziq!^{)z#Zp|totFHsWq_=}=gMQgUqdlOzcs&$FkiKV( z%rY18rGm=etbhAc1jV*XGRWeZ%0zMp4yU824et?}^I`*BWH$%OaHDl%#^k7A{PJV_ zF(>dMhuw)+$@Z}<$;|=I9vxT&jA*zh&*d)3zL!c~rHcm2bQt$&lG;D#QAGPGm(~0E z3=xE(cESxINVe0j3)evGhIZgWA#CX)>yMXTu}C76QBP2o2TuOXf@&BEF0dS3|Dhwn z4W4a6&{nJs1Ql|SX^K8TI#)~C&fY3=mO_Ay!U(4W+p<=x90K9U5U^s80znXNWU$$R zp!EP}G8Oz#jzAeqq?&Smb>i>?7;F!#f+QZcL&6s!Z3nA?fH)p^!TD{0HA0Yt<$iVX zg{YyRP1L1>eQ|T5m7cbDjjba@@lzi<&U=?43 zGJW=gVzq^4Q3Y}B2s%Y7g_SZwYR@xz<$~zkbuGMou=@dMft0-KLvY^yC%At`JnW7l z0y+4svSS}khrZ+NU$eaJ>|T?y?QCD8vTbiphrVsDAF*23-sVZDe)`Z@7dQq2N5*Uk zi2;`A?zpvT437;0vXJgBRHYC%_`y z+2`NW-IV}AAE5_JY~+;$SPb@oIz^`e5<>wc0l6bWXXpF>)s0H#z?P1_zKr|2an1UHoPhS3E@o$s(>No^Z)zmO>fF4w-^rffVXLR&s$@Jc&(~1y@@@ z`6XnTNVA$DZyFpKTxj_dZJt1kysKG@^0yi)stHkfX{x9w#7L;?5LTdI71#Foq?~9|!M`!V?!^7HL{A4xYa7szjh^wCbg?rE7-OerwXCGEH2O zl2xgnlmw*=MQen3nY-AKN?I-gQZ6 zQZ?Fq)vU?Pc_msA+9VC?Bm!=skYtAYRBGj@ldM#;H=-iiK%2@=I!#J(>M$(Lq&bIB zbYgu~s+1DkL1?PzP8M;P%!}?+DRr2=uyiqsEIsDxu_ddNbWl!Ws-+yddCw&aXB2sH z>cB-kqjhOFMO86)r1B9ZTPIHvttf+mO2iZjcD3mF>D9u@CD4Y91`(5|o#o2dSktvp{%HDeasdbFwIGqXs85w=p9nAssjTg)nsf z;QL42CQuY1@%LqC#O5`+AuPBs(v)nIkg+2`M1Ce?yXN%i;QMVK+Cf2n|3_cJR{`I6 z)OUcp=9ZBLaslH_IGh;0m zHWweSmNOE)opRj#0)*U2{{~67$!%og4Gr{n^mOajI$pGn-7RVhd`I3~Ili~|?|=8N zpBiS@yumyz2lR)t`!SOBSMnL;{bUrobWE|NqasYcCMhVGz+18S@tBr&-!a;hdu>=H zXon1o>^Kc58QfX_OS}G7 zBO`~d0#D3P5HWVLRTZ54(WE3T;`EcG?dZ?J0$=SvD3 zN#+YNr<#F}7mS%C2NYchWc+k)BF+zncwEv)s{2v)uR|pgYX*&9!Wmz}^K)RZ-Y;0O ziFY$QVJR`w^VmcgF@T)K{gQ9QsynO^kDUg9K)ktJNkKY{e*NoV!Wqk`~7Ke_4?H0@z{-5fYZlg6NKm{Jp-c!g;p%-s&GacVB(E&Uc;z5s z$7W#kQT)hh;kJ<0mi=mMRV3Zw|m5(l-Oa-2A>M z*#vXMT)4(EKOzH)ztBm@7zJC`9ei_gb~S|0Z#%1{aaQJL#756u@qKTK+M`=Lm>Mt} z@EV9!Fl9`|7(f(EAhqCWK-Fr-O>zTm>S4QKI7O~e^ojY(c1_ff2IYIYw+jhBojZ~2%M(Klo+;YqU> zAv>oP?p`wOp<}l|35bLA&yyfET)G-VOg%$H67}%LdpPwyQ1$sRO(lj!N!7+?#zwSA z%pauqUYND5@U6$82Ob9NoyO21>Yh5?rs>m1aeOg_At=zG$bPH@va4sa2N2#MXb2HDh9|@cb5Sy5aC$Q`LpKY5I8(GWXGlf=L2WN6G zGPg0PS5{F*R-q%R=op_0r@mzWE%@Z;&iVn~n!UT^-l!-bzhIwbNiL#OJK-sjY*d`& z1Jq&0D%6w`B|ZjJV)g+s`5L7i(k2Ecb_+2oU!MKBwZu~m7hYu^(=Li3j4L3f<66C0 z%+RF%_(!#cYC9h3GMQ#8MP)YMHU{(mz`y>JmhDC|q9b8brqR!bs(r{hCjUW>BiR;I z9VmoI_|Z26tGO(5opy2f(>H|c=`3>tAcTC6J%&l$;&iW-}= z;S($sb5!)M9@_R^CI;w_);yQ#9E$~G^DLty?ydPEp%&12t_nrBd%`w1IN|sgM@_bl z#n9Ulq-I&)wdWZKFMg=sRsY**+htx1-Ozr(93N>qEgahJLs}1I)KMTt8Za3b3h7`s zX)2ZQw8#!yR&PS34z%zLnPd0V5&wb>0`rUq^e-OR;l4L8mU7}rEuf*2C@u{QB^%Zc zZD%);7}Jl#OT~3u3l}qLOJep~PcGmw33)>PX~A>Q;a7=%rN0AHKep?tr%nEf6DMxd z8%QmBx-#mo2DJa7lklyB5oX_8yMnP01bDLht6l+$38-0T{@@8zvul$FG;OTED%C9t zSN)O@K8k~~dr>wRUR&#dlHTXktg*sUS{F7l2&pjR&SIuMd08~xU3Y9_*QP#xLDhI+ zuPFz@BOuqWLVO#K4x{&$S)Dxr=nmtTAI1Qlr(o--wr(cm6*CmO4vLW9pCDfE(3gvC zV{l^cpj!;kmsz%Pn9|SSY$?!}YTI3*e5%J*HG(**#1WPr1l3+!z!|S1A}b;;pO(>V z#Hxv^IVHA-oTyij7ytZHHnFpDaH3vL0BV#0Y9#%Hv701&y0?nMjD93*^^ITADPvcs zUP@R{=TbMZ!xubMTp=W@rLwNI8>S+N-a-$3QjKeSpbb-bdb5g(m_|R)y) z7T-!P!@O{*6<_x56LfQneI9GlMsg)o;8i-d>SHYG<`%mD1HAUsn}ndcMkVw~lVNdt zt~Aj51V`!-oqesH0823;m$*#rZv2-Zn0Ps4@uJ+OUb5{dMFTUQMbiRyI3vs zj;&>LEkK+~cK@KW-<(6IB+UCSV)iU&_NHx=y4&{@{w#t!Vd;vj;kF_cH%CtbrK7>m zpuF+sXLgk}G^MnJ?0?o#5^ZdIRTZ^YsC_C5N>^b)q&1c?eJb-AupHGEwKg1tl@>K^ zrNLv2KCqF8w8f>!M3iG+Zm0uP4yzJUIxqba3DQ|%|kM36F$+eB} zN9zbulAUv9e&3p=k}RIqg9I=vY}887ZzL=!6IOn1V$pFQ_=mP1CVA`Yn1~G-vo%mz zBXuA$6q=k-MGpUm$KcvlV2|#qyld^i@y<8?(coPHu^tgRuLg2`T}Fa@M?uL@UJdj* zFreDbJZmb$l&0&H5x1+gwm3E-kQRx^(g|y{fZ>+fIv!azZhf)r*QlwNwoL7l1< zRY+U&sZ)$O!Z7S7aXY`qSne>?HHd!NmDV02mI0AlXhKJIxx0u*7mY=x=2xsQSl2`< z7QC`vg=eFa6pwUMU|egBBoMXWXkvV`2KIIViGzH?O*al@5DcJ?*ct3&ba(iF$^DtUr#rIu;OmQOv_Fzm{{gY+^V^FrU+E zidHPfwU0+JpD}VMqTEuHgPivd*x_0ACHiwz%|FU1p0fd0Bt1 z*A}mVkGOqWa_`erEDPQ}#hC}%fv28hg>!laIlFu^a=;$WhdB0gxoZFMr^*y&yKADKZ7wZL4PDIZF;EO zxi0Ma?QL=Al!*^hBQ$M>+g>6sfdphW-;zS2^< zf2?KePI0*3LVmQ60q@5KLNC`jbdj)T(LMk2mxclMf_q2FyVe}Q4z$9$)$X_hBE#-@ znFa_GlvRasU#a8q>~s#pZ&ajP+*X?>$j@carwW2DnX@xs72@Vc_ zR4=;w{eF~;E3Xq3^e7`ZT>Me9h&QoK2WZ8-#fb6H%~=z?QIvh`7*j{mEzmpr^Y#Z!|$q5?N9up9DMa}8YOmgX?K{kIM+Nt zGdUQCIr<{(Y@Svv>Hx+$`oC+uQFOe6yM2**c6C;Iym;P?{4fE4!`qAHUEC0~uim-+ zf#*ohtT>D1^`-aqk|Z3jfA9;~QRpWSNf0DRxQYS+AUs|SZ>#}yyf(g_ef`>dO#Py~ z313d%PHsOX3ArHqJODE%yCPKs0KSXm%@2nF8555j{S}WjCPB>2iuu5RUvF1;!l0DJ7aFYc;M37J=CIQAjpl)w zBi73c0Q`kUB^&HSRVYLVE%38KnJh(DozWOlkvdtmlRok)y5#iD-|gS-6%?wfD>(9T zm>IBygCU}GXee#zMkU>Yeyc~5TaRJLdFko1TqrSGh&@$gm9(iKyn0_Cs!FgetbZhmf$%!z4V)+^x{^oo=e!grlfWrNuwEuR$d{Ciq^|U*@|ML9x z@t|Pg)}pV^lhI-D%vi$S-K%x0r{^2x^L#`2-Knp4!^i)Z!Qr{yo{4Bcv=76(?GncXDpH@VtN@7x2 zugN(I7tWXPzeyCmszs@1OLi1PPfiMpq)@HlFpf$F2|{@&XCMx~HA~c4(uCYVBP4X@ zBqO8^-*MJoA?_&qsm+@-qwu8_2qBkK^Y7%L2%(u`#E2F3G_vFywN6Q_6&~t0$X{>P z$f8#Mc2HC$BqM~5qLQgY66@{YaqU6|!>N#6?<3ln{-^8)`&kC}@+oR|sz-DaW<{-# zP%(d9SuOzDGI@xCaZ3r;!Usl8B7#5G0B8-{3OX8hFq}xJ>WsU(WgXW#LX@JdujcH(b^tbDwASa6jY0Gu;UX~g>Cux!$C z#>wC$nzwW4amub8WkWm)C$p%8;$2vHAc28`d}&fAO@Al_A828P_*=7NbWSq6y;K77 zc@^sKnW)-p(n7-N=Qvw7%&Wo^=Xq3C}%MU=RRtV3(f^)In=`PPJMFx$Lh)xbx^s{ zC(_N*c_|r8p}^<^?&M)$~N zUPKgh2&>xtZm!L!vbiXB8)oML)g}-LPAxpuiYM9!v>3MXLeWePw}ZV4o3uDk3e)c^}iU89`1OlJZH{^M9wP1;8Jk<{uGg9cqg9MmR|OCOtqqCwXrH%8i(z(ya-h^j%? z5*KdUmC%YG3yG#d*Cr?4+LiE#ADa&Bbj(71(ODU4&~?d~i*_ckF~r)VYtZ$?{fYAo zOl5eCi>yi8qinI^74$~>V+-u;i>D;;3T7kyX@^;ab4;Pxsz#ymCb3^3flXWrtCAFc z6y7Pl#UieQM{f^359?H7;uOR~{<99d2=9~v2n4a>)eIGk)Q`Mn(-i)%X|0s3bb+9O zjY`s4I;7-q5S4-U>6a}ET%}K?3AVMKNgAHQfZMnSu2f5|HwalzLBJ)>3uh5eaC8Yd z13L@G!dcXk6h%PEBkaPXa2DmnN*GYm3fUMD$|{#=trW5iSEF1sgJjAPE>xeoiEbzg zZ{|+SK7yc3R<>?t&1)7<+@kLBODbO(s-oSu!*oJ2Et%uN6k$%xDGDOWLG5m#j4ogK zpj^LztC&`MQKiCIrC@{Dg%tVG)Ef(*>Hpp)?s7NNTOmJ3NfN4eFF|A50y53$5idQ} z-9sTnVHD>QjQPCW(LoilU#O|Voe`b^;{hr(c=?=WUv@D&0$T{y&}Pf?5EL%`cUUdj$k;3o;eje~ zp%g``hyA}d+AiCj9|xfE$za*4$(yaiBO(|ikXT-Y$+Kg6(uBpY)OUGcX|5dCt`8`q z;zn_C(yI8#GIuDDnIT&qTexP#0u zf&mi_Et9yUvJ~jaqxR{Hm1=W)U(g7twDYfJ&O`Kipylx4X=%W3C8~8QJt#s*B%CEK z%IW5S>NZZI@@wW#WL`;+F~`glsH# ziYY8M?WqHqZ2DBA45DF+tI+6&9V>s{CwNjh8X#)G(3RA$cV)a}60}&B;sm%zPj0pP zH~v1=@W=qqOes*aRe(G6kgS-OA+!6)+=HapmY0W5ES1Kk-)ZIdUvG)fyu5k&1QfH& z?MmKZQq@ijnR?G55%xNSfNRx8y5WgGT`GKC6^OCfdF18UVDQQ)AlNvU(MKjPAM-|T+G;0o@>uhRWd3TOtZuZlnfpc8*i(o*(%0@MR0O>8r>Zr848ZjxmYRz^8_f9fSTx zOx*o`{%n|VSB0~GAi)3)xqp2NFdMY82EFsMDw*MgFFSE^mviGoa_1d;vK20b6 zS-ZPVVbeXJuiU+L|H52&6z9<>@aViEAKAcGKTddieo_GA;S1{k$=)e2=knosg%W|O zp+hO>CDZgP-<#~mYd4)|;Gp{*23a^mjtB-)Ov#=R|G~*EOg6;dN$fKcO*a=EXy#qoDh@2g^R=#XBMNowFs}R;`*6tl)tx343(2EH5I{80O zVNtVE39KCt$Eb>xoeg)Y#c$9;;5*TXtCKqGC(Eu*ZG&ROnLKxYFzWS9uuq{298U_w zA80R5>!!$zrrf)rYQ;DV%p3ldU<#45r)Cl~m2=G2Wr~xV`Y|uznrGbo=)6hX!8^ks znfkVMlS&;TvpZdSgtMDohrLAE);;cGeQ>?vjcd2G0${E4j|OIj277slCQC?rj1Amt9=!cL2e@PL2zuDCP>NHxF-`J`)KQiuAZ;B?dV~DWiuJ z^ph0uh5&UbgX1gpS1y)2d)u@-_THkfj1;h85ZXx_-6hy0bnp*{(;vHIZGi_|EQ6ow zd-Ha>Leat$LpABEX361p&`Q+go}7jE+4jSK(x@@fdb@Qg+~RTyNmV3MLU4)2>Ad2& z`?Dr;2nY-5{G}TZYuSjc~$lE`OThv9eU%o_m#iH+Fl=ZrA zd$Nh5zN|)Tln50wC$ts26{j_blUW)Oo`hnn(%kNF5UAHO>eLys<5?lbNYeGn8qjIQ zi5Cr%j=&n=Ex@U#6#cuTRMu~?<2ORZN>caqNmSp!lRXXf@q-uHPNsSq!OB5<#I#=kF!};Zx8(QG4&Q>dk6&I8ZIJYkl~{=jzzpcI zv^jg|zx=1gub$3Q3X8nnDW0#E0+~Q2&07o`#Mpv`(!|`zluaX0aqHPAY2X;n{Kbwwh zv=DGNXGd;8miuuy3z-v%b6ECd47t4iVxvOJ_i0BJ$~Im)95AE11L+_zASj|aNQ78% z=jQxoTinwb7BXxP2ZL$@#Yk%plzEwiGfBa$%~&KIFAxG|b+}x50PAg`zF;fYhw&D$04+1pK!8xXBJxLNVOI(s&>nGth!HS|92*8Mg=XE5%8h(KyR z)}axA`1OO+Bx}Gvg4UKQ0ko8dqaD7|`A(2Y#2x6lbYN{haOe+j$Fhm`xt{C>N zF1}SvKBw!fLN6bonx>S=2b>ILGTP%c5N0W2bx8C+%qjs&ryEz8jCk^eZg@|>sGbg^ zCNwxEnEpI`tRYCJ*!=W$wG00P6=3d6^A77j=4e?_WAt8L5tg$hItkc@cqvGoJjdI9kRq_nR>)^&1&~YKE=3y9JA%>uu|wOW zOe)Yr^TIaPr}OAZ>S_ap>x;f1{P%PAheJIWHLetG;Hx}wEm9-*;3Y^6crB7A4R>G$ zI}12kxKmx?Dk#T}$uJ+sPK#6Lcq74$3h3tqcVK9WGPL4vJL-vQkQ%UBxJKH8(Mk}H zlPOx_SP>y!{@)t;*yno48_Q-K)TSZA^VhCg-mYci$7bR%o;1K>mQialj_5ga4UT&) zPHm3iZYbk!=ntB3eMp!6>o}XPm2RXx#H|j^e-g5w>Th3x7QY)n@H>ER z*%a-soI@nLp#W~E2djavWo+y&Jewl>Uxo2Eay7%%!RMz6Q8=7Y3zSYb_`h0gIU=58 zT%mfE!Tfdid%MX*>O>>*4+^Vqmf#NXtAIq(VsnH|? zTD$a_A_GgWKRC+zQC!6NIEfpKf`?A;M(h}lUP3g0n|8uI965OW zczEzdS#Beo5JK{so_CU;mk{fJp zjxdU9(jE|Th|{)%Vl!Nrs9%dvq*A8kwMo6NyB>YQq}(y&Z;q1WlFq|_3dtq6!SQH` z?T{;3yQxBy&#zzol!}&ipL#fm*(Scio*CS5)z0ls$r=H)vg%2tyi%r+65!SiJX}nB z9MAc$P_;(h!h*=&89HW~Bab*_5}knrALJeO{WFUqAbh2z5>~IK+`VjGmv-^Hc!CzU z`|{xGUBY_|xp+(<&LQ2Y4q8SLwQJfe<;POz2kE z$dhDLRVf9ySx&^m=;;Na=@w?+S zJ^Lk0YB}hcP?aN&CUXk}xrr8@p^xJnF9J_qc$vxQ;GcB9^=v5*$O-2HC|@G)nYVL! zR_-#9$~c>oQ_O5&kE}muU|RZNkeF=|Hp;*<6}deTCzLxH0bK(9{iB636}~N9JW5z^ zNRYVICEm|j;`SYL-Bddz)r#*Wi#h^V6h93mys@X;CkR&JC!vT_-3W}-*7)UhNpA4-zKq5;~KIAFr9nQv_Q%wiz1W z>k*09;C3@zE|~+|+{`>|Y7a!N%*xu=;EGR%_K-4E6yeX*JZx}8 z3NVj*0R8OP1uUKH2`w}#i{4OT^ zTCh)}wpK*=9kV}QMMZ))$!$_5w1T&TpDa>#CiD<}6Ea8T3HlB8y ztdWO5yh(CrDc>;muyIJZUz>=ksYUw_+=+w74|t-6;hp8(E23s#l8W5I=YDjZhf1Xg zZLBIxH`e_QlyEqr&EBMXZK6JS-n5(Fddw8aTfIrk7fyj9J8OBrHum{%?0+kKDc#uD z={eOwETbjmh5-z~NN>8qAE5vYiGiX*Iwe*1X( z=@m+Ghg+)37Chfy=<=cS;8CEDM2My)9xLuIuEa}zL)MQTZ<>y;@YNf;RE{ib`M)-H zLU{eGks1kqiiLT;u2yYqb^UQQ^j|vZddjJEH8_uo$;w@Nt%3<&!+Fs>1QfpO(8dmd zE=+lN9XN-0B`HN`LkrlJz7XKYG84trPR&X=y6ghJyT(d{6(CBQR5)|86A|+em}C|F z$UEwr#XBX6!IJzRh_-(q`u`1q@(+a4|AEl^2V&=65R6aDf&bJR^-rxM4lXl z*8iyV{9P-OIBx7vi(8^QsCA%G05ze-E&A^pcaOg%!T(6y|GLo+ci#qlKYetA1>M+L zG`n$1@JjZdkBs9TcOW$r;+hmXv#rOzMgN%UcHnbjmf$_?J0GdXJLX4hrffDYbY@t$ z^NIQ~RRR*yN$`e)gqa{s_-0BCkdPXr8S!H(&Y|6rLV}kTBn$>=!ZlMq8WlQ|uE+X> z|Cn+IX%b2B4)>l9S%WlTnkiF3LVS>B=#MD_kPt_L*P{1)LTX!=CP^=HZ5=5xEW z$8h6^3i>os{ZwWf^@#t;jv0$9q)jn%&rYZ>SU?$uY>5S=3U90t8~jO0Dqzu z!RBFk%qk_X1aqt{AElL;QjwQ7NlR+`tLOG-&6Br@!}YLUF9hUxUL)8+RG@*b8RhP4 z^xGKa;7uTm0qUo&jQ&)3N;62^9}2KXwBh#k_(e=Rfwjf{sJ_QnSLXf6UGm}M&9Jb7 z%z4*0Q?)UH*Fnys6%xkO>kuh#wzLd|KW9vRpA17j*Ozu0vbHKruwa1zE?d&$}^ z?ZGIFU6n{0`M4Z z&xQrO2=B2ZZ3Yq!HEE-5wX1h68*XuOhOP>_TIaL@jdI%LSgu(vzW#n!>ZK7KMQS^| zHQsq%eNEh1YZmRr<*eiGqCuZ{Qa4|Q=VW;*L|u=SsAIoM=O&3WGmBq;+mjrt_9FbT z-G6GvNzQihM3CRR)_h_C^N+ck&c|fNWPws;TJGJ13eU1gYenVrcs2hPIid6ej_|Lo z{(va%&EEGKkIkAF=E%|(Oa<8!%69H$&too)$J!vI?cP1+%%C3(QvX3$Y- z{a}99WDK6TEJ8*`GNRh*EFS3s<>4=zwh9C!*(VOxBAQ>Si+H9{V}cVStiu=y^&ybj zs!C!%#L*pLT12e?^2m_rY-;OVMME6TR;$|<4}^uH*uSNZ(P&bc##=?eKbQ5W%OG>N z*nF8TB@ZA_(_|?yx6p|wH%_NVpV+Iz{vxaUHAerpa&RA4Mr2Y38d?Ev>%jVNwKMY0 zn#vSbw-GEM6B)3G*(hDzQ6q;*D{?8{Wd1Pu?daA<$S++txDdP6RZe4=_=#=>CG{sP$X z7>Ju2C;~kl39cMtDPAGHCKvG&Pf@j}fnsSp;o3==|7(=`Tn6WDXbvW1;)*u9%^W0# zusjm$W2rjC6sImBM|06;i7;)rhHge}cgnvD3IBkM zMq3u~jDOkRcV6sv^m>b`QkRE*f({MTgz9ZJFCTB8XewM5WvvothLV;nQ#YU8}m~);zhIdd;AdZ_bgELt%Bp;?w<_*{AxPaBgsmyS`;p#jcFc zvx!D?P>>s^yr1+K8xsSQa-pJ7z*AOy}XS5 z($laU98h%cC4OIY7Ly61<;2!DmIq4n#5wQGB|6rxs`{}r6R4&UNjU^nd}f%=zqn#$ zp5|?h#q0dbDyqGKG$puEZ6}C2)upRzfVwGEH6QY}`h2>F{SXm*eZFWA{P7JASPeKE z-zW3*y}X$}v5T*BcfECVaBJ?+_0`lH!gS?uNc{M-^TgOlT$@}Sj>+Z}EAV0u-&Ncrc*3Yl~H84C6<-R2{pG!vm zqPQT+vnUbWfXz7V=ekhwjIjC_LcUy@$GBNXz2xTF;La(Pg8;oe58{|uv+ za)y0ZirjU9&ZLqiOXwL>iu~geok3BF`hFwoBJD!gmBxi8Z#eCQdZuOPowAm_fAPWS?#t{BTheX@@ps<2 zEWangX>a>HT#>N*APf7a8tq;y=yL1|b&pzYax_hbr@re-{gqn%75z^xEO~7Ssd}TA z7o0Ng+Eua}YfCgoN-TGuQeV}-2{q_n0cEfviyk$#8x0qO)DYE~Y)WwY{2GJc?^q=1 zJ&Xpm;3z!-SNG5nTGFZ647$gu zXucBZ4E;iWn_h^$PG(#x&}8^;WRXS@o}9@cO@`ucLZ7m9nEHbgIm-i~y`6ebpv!S9^lQ9!piiM4CcfO`p0QaFO4J!q5lUELO0fG) zv|Oqz2}LR6DU>9#sUn!NC)+E!3eb{?A;CElAIx2fv)mP8RTLN!L(gk3hZM|Ir_Pjw z8+HaWlNuCo$WZT-iFp}iU+rfU9$tfG5;|chw;d|+;>XbXRbrf8fphKRnrokQ2M7QuxIlgZwDEZ)%_WtzqDH zIlsaEep>vD*}%$OA`YgVk*&)if;eiqder%uvT){SQVfX>hX}&B^y*O&h;apCWNUGV zM2$$Tp2dI|9$)A)`D@Gr5|9lvIQkH~;~VX_6u7*#C*cIZ^DTni7r)P7ignz6zPc^P}mzfmL&@KjG`&Wb2m*9S%{}z;+JC`6baBV)P|u z&e&m$)~6RO3{_t@bU%VvQH<}qA8BzN(lzC9LexO9CshB;nUS;;hK{XI6_%gYf9s*O zwD-7#&)&rLKNTk;F(s016a(7i$y^h5PUt(jy;)?hZbo0wQOYkj5vhM@_;6kaO?y)w z`Cn{`;^zCD`1o<@e>YS#dt^frk=+F2uz9>DCWqUV>jq~Pky#4pnVJ#}4ho6qU{!6s zouPM>oeIEPp9&re$_L(U46b^Q@OliMk=dOI;!;L@^(KG;bI-U@|PSihCtuE*Wa^Vzt^TN*h1v8sb={uqjN;7=RD)f*Y7XoE z{8qX1(JAv{XT{T5`j()n-ak58U%gYfkhNS^#%#^AfveSTSq-Ss;9OxKIKxJsK8*_d zogbu+z(QB{o4iJ~IJ^x@8RxT-=E8#H28y^VAJo#=Syx$P60{>0&cMCmt+>)EN}w5E zJ4-|~!=2N`OxHLx{U;adngi>{#-v#c`W8qtqmQB@0o65SRc9E@x>w%4I(>A6oed3u zN*E7h)7*vi^0>12+-)OrkkbGGS+KkRUSP`Nsp8Xv15wEC4IsD6-Cs2<#eyXM29QgkBk3OJM4abt>^8tOtR&hGVHEpSq&|a zX^oU#7xG{;mSXO=QlC5>Pc5rije^D`YFxPG4TS2y7TGMH|27W51J7Q$=!Zv=L@>f> z{eY821*g>}8Ie3YA}1Q2IX>yKPcPb+Lvg^pM;|piYJ*6FS@BKFOv#g>^YI>qV8!|r zqTCY42+st$p=ke@AZ|=&2xoTfo@#k21paK?FBtfC;Z5=YpX0q^A($B_$%ps~#ln7O z!r*5!7+3jJi!Ic&TS6^75^Uj{Sy#b%?|GRbIQ)2bcLrNKCynL%8bPG-dUy7C{h-T8PW{92*GBjOPm~K}>>0oLsLV3)$mRyD^B1SJUgqDPNtx2g zF#^LMU!oqtX4_vUBCt-6zgP??rJ>QCkz||F<3aA9KPH;__yuM&pU^XQLF;%r^r$PwNnjw@YfT!iDKS2|Z$nFS!;%BfqrrtWGaD~V05-98s zJta4SHCgLia1V=+ZR)y}EWcc5JtKwnJ18W0aJOCA3IqO&d<>(!zTW;|1kTq8nN16v zv2=V{)uI+M<2tkNfBzThR{E72r`U}s3M{Daq69*y+xum9_BF!M1YNY z50!Q%c8wNzCN{vR@!}~^n*B-Z?ct3bHj@FGH(Oc@VvHDcexc9<9b=-l6)7KJRIgVC z-Jfpu2P3(a;_Gi2!CeU>6Z9`nr ztGNhr#nsPf@7dQdTJLd$OgM!qN3BRS%nGqMq`EsLzdI(;+%mKlK#TO%LWQFx8+d_P z;;!imyhpCEzk=|E^cNZ8q;rLcbP1e}a7C`LHq?VWCD2^n4sC(S7INJmm)Mwr*A*lq ziBu1;xDRketk5ys2$EY5($|AHMX{-brQsky1{WdjZK;Xs;e}cvuR#fPh0~7}WyOy( z3lHGSJJk|56o5EI*Np4&f?^q^gexj!gD(}8K0}9_cKmbZ=t-}1`MW2Zt7a*Q%|twP%E+cixEh!XN-QXt<1)nNUDFJ#+?3bh=bfZV%CE*W2Blkq6Z$DaHa>n>qr>ghALX8L*e_g>kmOC z-PM@MHA@wW0M#oBXfcTJcwI)2b(~;kiWy22K?7d#oj)w~?VOKl9c7*MJLw|@f4KTv zW8Pi#D4zK%2d(uHpO1ANT89xXSo!Ttm?N7o`)Of!^Unwy4|-3wM&?x?nnIR&{0$5g znBepeQ&xcHTA%PO?Pa;`ik#F<0*ADsITwb_oEDE5tO{a+#8?INz}xKtm^G~9FX-0Q zmK@bD%Xyk|B$8A5;EV>;L{G7Xt)XZ!A}N0UqI)9%sjzye=6@f;9COu0{T&=^mP`>H637rk zr=>odqw!dNdV17dbPZ$@-yktVJErjur&B5KBCT-fJcB!)I!tV@mvxIx+8}OOW08hAZAz3bZL@7c99QR7$X=uNPqTB`fi=6Y}xU#5v9$ z>Bt>OeviW&`}moY)hUFp?2gX%U~TH{^IXRh3Aty`MdvL1&*mH3NQ((=;sD%(Ia$)q zP*?A4FV0w(iIn*gw)z(zzpliYP-`qt%m3Mdi*4?J{E`SX`1$;a?I(rBhMzz|BE9}J zA@3TT5Bp9I4Q(CWoo@|oggD?K=8Zf)&hv&ekW%%n1I+HL04NH^D1Z zgRPM2^s!76<5U5Zn&)3mN=k848`8D}(M~IRqb8so`G^US0AI_~oJ)YVJ@H!#$W;k* zZ18CBks@YaV%JdxBZRQ<1C*;Ia`%M_#~F}MdK=+*^B7Nqe8M9*TTHuWZ(_(~NH1)Q zS_*~LzmAdcW;x1GM_n#v!xNg1XYl-Z;7T;QEc&wxtm(tE2rdTN}X-oc&HVvoS{`^~+* zdMczK z1$;4{F14IFwRxkyHg${2r!bgNxctw5TDGyKoUWQ668L+68ymq$_V+FFJE2JlNCuW46D|E66J10 z%8;Q>vX}}@jDo|UDZ&5AyVfHKkIb!oItQL*QnVCv8Y5#2!3foFA@mkR5f%x@?C+lW z;`7W6Tk=-ol7!h#B}DwaAp)NzKYxxR?d`9P)(D$}eNLWdvYBZ^*m3DI-t0w<$ z2(xzkg?3Il+faU0Y!0eQ>U+RpCT*?@Cn8kkqPpGzJbxx_jVHvvh)0+w1nOXhuv9?~ zXJEL<4OzvkLQ0S5jy=S#BG43`AM1mLOnF%r#OgJNfGF?$i~YmxA0qCk(*FF_CB%8BUfc7Mk8B)elI57+il z+GMRjd2x=JU+2x_%WSJE;)r_PcT9Yj^#S(SzMh8A5KV9MX?ehdk9!fLkdMCMUIJUP9X_qm-V_qVj>iK$g118t2~iC!?2CWUt~7l_ z?2pchBrx=XUqy-#su5D~Xc)ZU$@Trylq}m}KSPp=Ka>P}E%;f!B6R+s{^gd6%Y!Q@ z>KP~}UE>L!iCg0tc*)3;JGav=kBsNg`sq^Yb1^g$TJNs*O5*YACZN6mC?Q3TPtV%2 zo&?P*f-oty$_Q;3f|c?EA{Yw!+MhD%DkOo&>iPlbaeaOVfT)*5wgjW(g#SA4Q>Vn- z11Cz<;hyfu%cY`j@inbMx%_@veZ(+;X>8ndR#U+J|M+WHc2mnWbVi3K$tskRW zw15U#3Mjm|M&Q$OL2qLqshK_HfM{3TM8*fBWF!xuRutw8`G}bjB^UVW&Nc8JGt*Bd z&{F|`dn3#X7xl^=R3p9TrU;ew6-;0d_Iq;hCpu2wH_MhT9F7}_V26l_9y{`w( zh#bkj#KqQaJ{Z0&BpRkMf_Mf#Ci$Puq*vP6BWAbp_$HxEe;L=a2Z;79zj)wqW9d z@W{u4b#KU1-AEoSAZX^x`aB*Z!D!-T2H8CyTR|7>l~Q{fhmdLE*rg)auuhEFVDH2=*L6s+!b4gJq&VvE6`!BL^!{lWx!L`R-U` zqDtl99yDf@jr)LIR^AynQqM5ofAAj=fpNm-Ggs9@8k+fmD4 zNT^^JaxVmrh@Rq!G=qNo<8{UL!wC}vtX&<@P$q@oQ(u9pqK0esovGrrK~#pX>!k6^T31EqGgge~W7$@7@oXKlQk8{UY3|!Z@Mbuxtoe`+_2nL2>wz8e``Hm=f8{e}(K@$&K9%iEzVFP;#ldzUeCsO9x>dEbSV% z$L0o{0NrZW=-P$Ek?`n)9ElsZ2=r#9gVIq9mz_{Ie*e3C*7C zhdIONbn6^ByABFHiHF`fwk_dvqX7QR4JP2GEb`+@x%b(kz{3eBee3jXTU*Hz+VQZ| zxGb9VW%IqW@&eflaP2OXh2ZY|B)$(9`PB_f`XXAn*}ge#uZ!;d)%bnnZd^Lh&JmRy zE2BLhYpm@ZQl>qwRtXEUNMM+ZUNB(6`Fl!!8r}JqFgc}fNe{$(B}YgY$6t{9=y^lM zyrwW6qi)m{9WMoc4AINzl9wN}k8%ih$4}$z|19+4_TL`DWnZzHB35($UG8zF#%~z# z@QK75J1%k#NVbiwrGV$0hW*gwvXyeNHs`C;_R)#(%IwsdBtNw1y$sm)m~M3wdO@86 zT2>aHu&2v<$sYWsUAARkrg7#DH%)A1c^Y+1De{&0rP~_I5`<9}U zdg}ytR`zZ#8QNO|O1-`7}Ew`2k#bLP5*Sd;Ewu^ne!Labx8!T`mjlJ6~a1)H3 z+bvM}nD?OW0>x2>bls1iIEE(o*{(i*N;ynXyju4c zz9OCzN;->{7?n&r&D3vAAzLX|mkl9XIpJ!SED_lEO#xdtS8EV{Iz1o#5nh6b!)i*? zrJ@x^_Q>AWD?0s~1P@!44VT3p!xqk3kW_&`3Af>q+h&NwZ644d!Ton(i8dn?eh^2`1*{c%Q86TJmpNk%q-c+KpC}1fh>2QFHELwRylMYCQ=0I z?-{3U*dXlNg}h%$1qf}vD}Ga&=3=6}8Vo@{T|Tma>Z;?*@8lJq2(3nold2Y^bl%Z> zq-@qm%^18)lBXbd_4m%2xwUnHd3c)&Mq%_Dz*gi8#qx#ykw(&|vgqK0I?JmOFyd}z z?iFDcM4LXGyuT({xID=+d?N66evoQ%pM&KPjVauDD6>u>H0%B;GK^fab0zJFa$lk! zY#vHo;j(q)5Em+gah(h!>m(pf7V=_hFJPL7XfkRTU;-}#5gwX-I-~|%cPwSlrGV9O zXtf})LU*?3y%}|xrrdNnn7*;lYhr*Yq83KZOMz$MFKpO6J$6L-G!AK`QsAbYl*%>k|sh>Klgs ziwk8i#)?U?2@xxr?RczZi$&$;@sQQV^)gFRnT)?=0SbpWTx#Zl^6Ag9r}jk?#ZYAo z&ST#+&CHY2)y&n?wagwq>L2X{+E0hlw+*ze7F)cVC=w{BjjFm#ECPn8j!eCnV+5uo zen()!^0_e|P;~o>ov6ZM56)|^T_+%Wye0w#T@7o?q;R4YSZ{kL`V5O*xse+g>X%W8 zs=D-9ofTNUgH|yovJD?BNGD**yWg%t>Z=K-)(J%Z@9d?<7WvoiOjJ)bH1INP zS@{ftpPq)+AH!QH@ObyFVoqf{;GkL@jQgv-v`1K6=FnxwWK~r`dQzgFo(|SOM_MTG zd+)AdPG@^O4Ak4UJGv~N*7uzcDF}4=)hnZ2U2I{_WCuJzHPGe?fSX=L^#_kzJz2?$Xx&~mR>=4WEla#fdp7`Rq2N2oWK9{ zIXC7-n8FtivS8#K$zRSc5zGFH;)u<6l+GUb-;>(N5Oo zImQWimqXRk*|%M6%WbC?TX0c4@JW(rT~P4!H@XE>^R+jeom$s!xkt6cX2TEr5AD1| z2I#SrybhzNS?23f*&)BY?FZSgR0FP-cWPMf(BRCI1K<4vUY!P~*C(G>P{^oP&>H4? z_Vxo*Gi{4N@A;<|Il7is`N`z91kz+6F-Oqan*da^h0e`ticb@Dj_s(04!cl`mgPPd zl|ff=Z)Ft;yFknFJG`BOgZICY%D0P+98^@6rx!s5?oA{dRJKGNy#J;C4^n+_Cdtg0 z?ZtYRQ+*cwdP;@2I1^>d@!xIuH&|6rW!O~`IGo)9sJqimd-Y7uHnM_i z3DZrc?@fE*4NeTL2)Ub*1#)`+Bj2~Vd{BGOwOR!jnl1JpD%P`;b>0Pxn^o<-W zosUZWU9SHN^6@F4#VM0Fm2~}W%=X0`n)GcEqMy4EZ2Cd(WYvxz}1xtfcQNH=PNyQK24=)pn7Uc_+Lf-u^-!w6r7wZAdFB$5$TG&c@+}&+PcH z|I2ZSKd?Usr}pDj;iK!<)&6pKP@YpH;NuSSxcBXW>pP2=46;KG=)ICk?8mF(KWtq2$Fi(YlGG04-4RtD{oYsoK>V3U@_40>^L$r(>Tck%!FvmuR*6pp17m}M z2eMG`JLNY50PyKH&W|pod-(HF1IMIMSo-op`Ua;Q zHxQ%v`TZr^B;$o`vRiYWlO@RVT}0n`=|rHPbJ8Tkzqa^Tq65F&-?RET-6*5qB;y1B z8wb^*KQMgjER}`8~ECdC@tX$8>N0)}zFR#%%1D2#5|+K(41e6;=J?%q zvEhRXQv$J-Vhn^@X5HOCQd zNKJqo+)JYfLoeeVOQ3AnS*J0L6+I7ZOG5T0zONq8LssV98z0eLOL6a&)!a*UL9N4@ z9}_Y6KsnYWgT8vd4u)6uOfM5Ah5*m+_Y8!mTfoV!55o!vRp6J5=UbCpn3o%PBvrYr z>}CrzwdH!=3WIa3_lxuJ+K=9Mgw@p3L*Ys7k7SiUcUEB@wQQCjDt@Lw|I-5R4O{`g z0+Un6r^}C9IUsk@x&N#GLjko3B9Ef8eY3wJN!YC3xyZJO%xBxC1`-xt``e36j{Ko*x#yS#vQ5Ps&aRU2jC&r58^egx_4JoQ+ZF z9?gK|xxb7)j@1Pezu)ykFQ9(b6zd_Xh&FLpocuO_{me@*VEaLKtM({i@G~fLT;*yM zrl7%K0jg=cC-7ZE5PmDRs~Z6_SjFJ|#8&C|wEq*(02mk7{rdF!@st_&(NbOdK;(<) zi?o~!Zr~^dQ_}r*^6_#UC-i3jAqu=|7J3iXcKX~CkB$E#^R}+R@OB>84J;x3xGvdx z18%*UM?SZh8p%TV)l-AZA>wpY9AatL|MY)*<`#N?TkGeZ98bzQVDY+uXe$uTX_vOJ)+*O0BxUAT7)K226F`|snVCyjWlgs(HRK;G} zMN^s1%&jwOYIAzU^5J2+JXy_R@?XuO6{g_D*Jgg$s^Uyw@&UJ}F>oZ$TYfLY{_pey zP1vjQ;~x*=$i;*x-fP{*gT&z9!ATlI&B7iT1QLXiNpDX~#bmsa36+!?p5*C&%#fiG zu)xKnQcz>mJ%@}@IWgqpHrA6j!y zNfcT>DcEDCQ5 zq{>v1sEj!U0t4k8LF!0Vt`r43dnqRHJQhPo{mj@r>W+EEiINa)SE9W1247`8+0I58EuRQmKz8!5yR`&tbmx9MZT$Y<-z0O}r}*Ui*jv#^_# zaS&0BaFX$5IJ)-F(A0I5eVfvNsW);*`}9JcWsVFBCAS&v*k(c91ryc<0P zb5^n~LVW2^HiXQ6NyV|$$6wl*O30ftl5@-sav!uxXprb#sdW0sg~0{xjbR04``SI( zhTv_ngeuk_*C1IfZA^$sr7veAXpI@xXrqS=)GyCCJvib$^H3q|0A~6D$;v#vi3XGW zreK~+dq<8K*#^lXnkU_ATdn5XE?f*-AyxQo@qM2bEp5c4ioX%oxtP_C&X}|7lO>gdv zh2*skgYvshj4Q&nly*)+ak|Wg!ZzaQX(AMic39^Ow{o5nYIg@o)Tu*|Tl8_@%ZF3o z7v6rhD;{ZJkDO8F_Lu(LQTF*=Sd<6a{5hC6cRHG zBn)I}&34-irv*LK^!DUzv1~~vYUP83%na+>=wU!Zj z)i7^r8*xbMz$FzlyPqyM%o9OD4u7yF{gjT%h>hDEHi+IWEpNuxkN2m!W#;p{iXj;bB zjhRrd6$E3V4F%=Ab_?DWh;4F?+uT#EzuibJ&ZFyZWyYw>`VGEJKrRL08rZs>>cH)n zZZ-ete#(dKw4M;PY#o>-1hptR-3cimy<~ka%n4=?)&f2eFQhWQ_3b&c9BtT{&{Ul0 z8bL)wjPg9}nzvdsz8xh+NDn+TeQhpG)vO5dW;`_cK`y@9WS1*NAfxQ|om_)u*#aHz zrlzY)>Q-6JxF*M0$brzNLnlkhgn(J1xr=v`at(2>6#m9Fw*AvLoI3YhwLH(I4mFQS810F& z2}}_=_6qn&mW1)o0xZqJl|a>UQgus$_;L=oM_!r5n9Kuvs|mEyw4wRZx9yH)YfgO)XZXRGS?LTFW#e zVjZqZx_;PoRWUFr{mJ`c)gqgrD==-JBHye3n0*5zkaotVD&kJXgG0vlP;69q@!gT>~PCQXHxNM$&b$ z5F=_!N^~Q2xCD2qE{*vxS|>qF6=Ldc!bDn@I0HXIVFb_wDvMIQd&gnVMOm5%ZJKa( z0E$B0P6ou#xTd|+qUM3V2Rc)P?Jkg#wXv_<$5WG5&nr$gN-&KxXmt&)KG#T1;_2HW zqAi!;w2W!s(5JxAu=vVzw=-?=!kfOO@sU0 zJI#Hn{^X*uGt(NMc0^6$&v*g3jrC7|R?(CSkfkVZ(Kf;mPeLcfiwdac_yQD1_hv%Z z#_rD*4mW+e^k7f3;CAt6Cs~8P9(p0p27i)}ppGLhx*2mVii(K|>Q=hCkM+n5^yrQy z=0h(e2mLyTUoKzw2giP&(BwtCJTt`$S}Z%^oz%EJa)zK1c~Yi>ux^3uwGz*@fbfkV zu>B^z&WOONSO6pSSw(2q?T6VpSzoHiCy^vQo*YYy{CkyEKz+6$UZtDZN)x)OG*e3r z#d(ZBHCj0UsQQbdjd>8zs9b}OE@MPc8nJnCO1q_2h~DDByA(%$Df(g{gng2OBWu{8 zO0$2|Z&}fq5`at*cB(&r8+c zRHC$B<-{o=L?reqfh<^*A$L7>qQYG1O2V5L5!a-pA76u6-6itOqr_IzOrSIYy&b*p zrKDBea}q+{B!nHiTmWGTf&Sa_0eM6Kv)cayu0T=0QW&zj#4_b-Un-?oMImG^+V`i@ zk;*9s-p6jpS&LFStfQc9wmMzVX;&5%HiH_OlW?D7rGYdAspcx^qMo8+gMpwMwwr02 z#krxk^t4KwR;!c+oJIz%w$8FmSf>Zgx>3HjKuge_>zbTM@qk`fuyz=tj63tINKEu;DuH|!KIr_ zf_@UMf>M!AwRwl(?Niw}Sa#lq&?%F__Yok|VrgdGzgRD`-Li6>&4H@DNoEZDxh&hmp&6Sh`NH_bp}m9mgvRFC=KB(4KuzE zj7^^ql#Wz-fj|MBq`6FqNY9Fe^SP0Xf9!^1l!|=+YbNn+C7c@HC>{A07){JD>0CO( zIv6t#^O5!-QNFW?DD*{$f;KB(G_fsYEV);w_82Yj9C2Qk+2*Sh&QiBnG@hA`RJw*ghq0 zLr^+WtU=5}I*A(-l#Uc@5cBufTB9_iSOZJ1KrvS0Mi`|cMVcY(+raIS==Iqs4JpvL zb*l_1t54qBpj4uTen?-CZY~u$%$%Gbfr?B#yvHp}8M$MkI$N=J?|BiVSl z=^zlJ@+x2Hr8|3Az+5^~m_aInT1(vWp>(2m4S+amp0|ezOLsvwgeAJ`6Eu1C5<%Q} z0$lDi%0Q3&@VH|U5R11e2n0Z#%J*vaD<%_YTzPltB$d+%i+_?S)F&uj+%eY*w{pyB z+vehFEjQiS7e}q%BVs9Gr1i4f-=D9`f{pQdV zqEhkx@eVNpbHBOTmW-+K9jB8_>6ov3Bj=dk+@DOw-o%*jlu^lv0i_~0xCEhy*mf=rspM#_3;=E~ z-Y7LnLwXn-U0{HB8q(YrB_m(ZP;64_WRFX!NEb99CZv)Wd?*?DeuhP{`1bNal!{bP z1@@_d=TBXSP%3iEOKY!sMW^Kel!_E!1{xf(o6aR8N0xrW#V`JqGY5915+?arpTD3 zW@Y}w<;!UBSx;u0)Jse^;2pP zhZ3aP&G}%k+%xtp!~pyfNWY~&LAR6f;Es$3Sws{{AuV*@8Lu(Pc$7t3I@4iu!_5l6G2 zlV&anX=`MnZaI9(?~EIWNE;(F8}NC3`yj=!QXd*fNUeE$I^y9=>dKf> zk^0U;%w1naZCe8sDT2&s(2LqI2Ye}ZP-it4`VpzC#p3-8R#WNANP&v9z3%9$3DM1^ zB1MmxQ7I2mR%+Yc!`!a4^?#rsb-C#2Zo)}3mxL5OMzRG`_?}mwAq9_d&7vnnqbzt> zIe1tWJZxbUl&&fVWlrsyZ%#5n4oZ6$Wx6*&Pl|SN8aa ziIh@1)It(?IS^$-O}m^ys9Nr6<4dIWN^sWq(%)Q~l>MT#>!!Y-1Dtdv;=r|?ozIg$ zPA;B;u}+%W7Y`}3#Q$L^U>1feH4g}$$6Iu|lCi4~ zsMMcujD#pTgI*S+9As+ndP|$Dijmvc$aH%0qi)B5Eq`1L*!ndynUJ220%DkR)z?6q z^6+6f7&(L2nThgAn@xiGn@+j0*!cpRu{2I6ykOzqa6ws@+mwIGeIiB->VwHm_HGr^1dzBmJp?JxO;^XkY`Lg4CYwB!pDPCCpQq@M8N_;MbC&U&O-XGfZNkChUL*YMPz%T`-YH&aw5| zL8thfl8_L3ImRT?*C&^WBC&^>_p?xcv^x$BL=syRAC&w#5X2du9y(a^C7RRFau^rk>Bq`Ur+m9_3i7&;##^`8jmtwOTl(=Dew*`AJa3c~~ z@vF`%S8-sQbv#Ci<*|C~4HTPCd@BMO%N4mCc0m^0l-(1TOjk}WkKHk}R~@?AP%wXf z7^$i*G{G3od;f@N{Qd+dB4zN5NN7e}NQ7RrLSVIOk~fm(aOGPQJ!Lv9PvT+;KiK^a zb}j$X?()g4Jj#ju%P(j+)IkEtl{?7N^BGeYI;f6VknKyJAcHiUJq;vCXeKlj=xSgG z)Bvh-s0UVB3{_DJF2DKW?)9HI$Wp_Tl?ZI&Xt%ekOaT$PXkG7kw$W0ul>(Z17Id}m za_XXze|r%i-4|zCn5Wd+q~H25ovsMb%p6bPo;HiMj$alkSmeGF?#|~mFC2cA%P}puou-Rwg`pRQp-5qXWK$R zlMpt_FNnE&`%j!?Vp$tY8P~M5C}kX_fWv0Ml{ZbKAwN-R+X*6{DU#d^+CeT6dRl-u zt~DxlFVO_Y=Bnq~OYg*YV?tYQcaAe6nla9vhSY&UyNPrlv1(({RG3`K!GPNUBTKA!uW|;}G&0Ae+FNwSX z3Ms5z0+uTDLfFFLPvi|yC=hmQesltEEuiv^M}PF!?Y0^i|AH+Qw1uaNAp51RVWBZm z{@2$>+XygI@Mf-I0hjL1FzrBz^0cF*8!XI#%11y+MSkX-(SSxl1Y2mZ+nmk9`~ogD zTJr{tD=$%6}>qI|^|S~ls@txHct*f$77;A%sc?zDR%!X~VC=js>`$zL{k8bZn*XfzW< zDi#St0+vn44l;?bHd4CP;f)8EdQOM&9+;Z)kIy_2dL*G+9iMq{VMmio5XPWT0=n)% zV6_rRo&9ad(!nm*rnmgrU|4^umH#$2B>9Y!TiJvX`IkHfb4yUJ+(C}H3^bdsHbK&8 z3{rU%b4%d?gzN)APlB~^15lMi%?=lJt9?Na9u07J`cE8W>6DR2(bZ)aMbUv4V}~JV zq@`pl1vE1)=%UI}waSs$>0;1)g9AX19gAitu>dqP$JVkb5cJ$eH`22S?mX`UW1D?2 z)&sKLR92WPRk)#;)Kmtrwf50ofZJyLT@Hq2L}s)3EAs z!ClMreSJ3SDMslP?N1)?@D{)o)vS%#W%60w=90UN1HeT{|JzCignbb8TuCz@-+7tEtZ5MF>bWPd_hlTf$rE z?)Z{Pgl$2uBU*hH5WwY&@>BvyHB*A&+Kk#rz&zgUH_Kh6pMH5WRyZi}w;1(80iLU^ zU-I&eKtc*+s}NBCr&w7e20G0kvvmp@W_DY0gNa>Y|GIVjWf!q3U?9ruyAU+J6f{KPge4TT(SIfxkpYq69 zwk3vmuGE#g9_Ci)@)dDnsTXc?d+i;t<=+aaasRVMGXA|Dv+BBV6P=2YDxg)s{(w718Q*G%L0A$*R6}s}UG_>Cw|` z>nzZ}`IIW9H6a1T%F{kAKSFb|7wbDz^^OSW!o$n0I3347=RMe2Q#rAsrU0#ZJz*iQj98gomqD2t_AiL*^&nPK0)Ek$@J16Z2c3=mU4zFMI=6|& zdXC#fjh_8v)kb0H<6|l^aF65L`PeaZj~K7Q$p-=-0)gVWNC`;qm&CM{IyWgTTFtt* zCu{6k04(ex&4}e)uh@Uy*5Yq?t@12f;+v*$NmGBxCdSZKu=qVQDQ($c@%wU&+EKoc z#cc6waz)Ihz8%N*zs-0woG$9VN&t_>>BXI%uEht9(?{)2%i{Ek?DnoN`$07?H<&x^ zpUP~k4A5sC>Vi`%N)F;p+_MyMaY|uEZo}LNQ;axuhESSW6GRW)tj3B`imbv3N~}c3 z$0ImZ&jqvd&v_gxnqp=ZzffXDo!ZJDue;`zzZrshwIx3#5>0))iQZ;D8M!zpQ(73( zy`|tA!8+)p0*X{d9VClZ5qGco>~GcF7&5GB^N%@6+iXWGL_|)0nkMbxg%|12;*MOR zbS#>$-HN9r7igGx40`eW`Y4<}9IbYpn=~BZ=)38n!#;5c{@z@=44!CX$KIsrENT~= z%Q;3N*rm9JTsSpHN{#iP)aC(&$6Ee5L-!>ElzeqKg%}S~(uPkG$+glmn@z zD<=?<>T4t-c@`8%TomCM`(?@U3Jw{hqoWnlbjJ-pLM47Hg4N@$za6^i8*sQ$$>wlA z;l3h=fJzdF7p{mgVN%GrhfKR?y^d0rk@w-SqnjgGE04tu1j(%P_|qu?3x(Vdaa_Q?ghtl88dn= z!!M^D_!?b59l8YJ%s!AuY;59yKLBF0MPHH)`m`nJUqw8=}YaHjEf$ zB9GvU^c=tv@YTi3qx&U@_uoxKPFQ_qs7f0O#` zb2aVi!%XBUPiPBwmQs+x+CP^LmelOV_aPm1V_ixQAzetZlfz8p$rkAgA2s~MCwIB~ zy=Xri)Kz$f%>|NYa@9=-)m!(c)a`U*+mqp{+llANA~YHV50g=1n1w8A z{?vx8NX#+}?aXeD1 zwDTO?BsCGbUeGZP@~H6_bxddGesiaNK@lj|o%Aeaz&4=IigkbFwqo3!`wbWhbsFh;$2pf4u$cnlBV;fqpOGRT^Xz*Qn{>kp|hc1*X zSd?-O@??6K7FPE99aGLn-k7P2gXe|smO6ZqF3j)6O=Mwz!9m_cR(AwRK`7@VkKU)$ zc%a{EWEY@*P7p86M5Oky2RU4_Oqs|d)?Yjm(+^GOSTCv1?8Vj#r2*Vl=Nx4Esat|r zgPe~%9lo$jC%XwOOZaha;_2m=Og59pdk7aC2;AqoShIBXaAZhj#R#{rfwP=h$HM^p5h#Y zkT}QJJaIIuGa5SU*MrT(k@`{y;!yH=uHc#yRBUd0C z@QJQ~lFt+8gY|ga@!G^4^Kt76aNMEfGaWUnBi`}a#GOG)mwAP_L&@ij&S!*LxO3uh z-PvdF_&jvZ=5Diizs;&1(xKqhIXK`@E-+g7eqEu5)vL=G-{?S$}Uul;N0 zbY*GcW?-$v5jzMA;!yH=;?O3%p_J5aSLBxeM+1A4%G*y$zI^7~IphpvCL`?m-~;jK z15xXPqF1R4aJP=(s^>c$! z5;~XOi*zuMX?W}uRd=>;I!bTSw*Ns1igd+5X82?7;XrIPN@5Anok)eFM4$URXJ@lf z5_*pVN?0V$KxRhF4DUc}HA-S(*qumaqh#J$E%fqBUjox=fh;{Ob>3QpYZgvEPaM`G z@VF}4N*rmo>{=W~K2IFlb@EV8t0c%sSNe8wP!hz+=ZQ1Wdh%U8&BPJTTm*3#`Al)7 zyS~1?!OSasm(Rnve(v)Rc>0Mw2*Us?D{d#b$l|(YnF*RH(Z$<>L84Yw`A#Zj_lzr6 zl~1YXNi#?_Bf^CS%0nJCd+}1)sQPv#H@%Z?vMh|xtoZ7Jex5*1x({+)a>JO&R3A0H zqi{pdooh0iq}yai$%dz-=3_QpaF8d{EUlJqN=HsUGU-XNaIdEz0v>f8r2<;9R;AgX z*}~G1QXSHssG#nku(MP`*}x^w|Gnw3BjQUzKN zt1BtNJRMA(UcypouRvsSzH_3Jmh02eZgM^*EvKGw9e*Kar8?B>n~5qbDIR zgzcB{?C-pi80UlsO*swv9udThT0g9 zRNlL42!=>7Dw!IR@6xg;e8%NW8W*nT47Rma#3pYDD~-UV+qgb3ZY1RNAu%vIVPA|B zfs*ACVQueJc-(s^@)Flu0NN*q!#QseiL6vynP6;Rl{rWvkGWN>soKB3^zK8t`V-il zm@cPWC+KO=8M2AqMgXZqp9uhoW(pv+(egAVbEX%olD02dG)8tqbLDr#s#DTPds<>f zYr&=FUraktqD<|uW5X58R%eeMTsi>_0$9-V5E9K4fSv|yBhW+u@nu>j03@0xfRdWq zdKzvxgT$(&?Mo(&iyJT}R;`lQ+s4X=x3LIYx{xvNfQP#G4|yKG_vzGEWerfs4nBU_ zQ)0FB#L0zJnn?=E_GoP`=Zf|Ee$FZTe`Mm&Zx5AJGY5phLX6b zme*}k)&*Rylvgli#YFQ2(EYW0D_c`iaQS5r1y`AvXr=(yldH`LX!$M<5g=okOlh#| zUNx(F3NGJ=AtqG1>RD*8DQwO(u9knPa})D!dfh|M_ima!DGs1&*_Yxw^FTmlne5YI z7Ts!N@BRIa4y~`3Qq91nCO<=G(1xBa-O%$yq|!Y`1WJ}mL@Ic6=i$pPi7hzTSx6XG zwF#gq2SPd{5A4GehCs96jNH?>==W_~oW6K$N&Pl#27=xB!;&)3$UPBZ3lc%f=9)-^ zRb#d~{9V8YsoA%m3h?+^mmXhxB9bjJB4NH25@DUIAy}=NgrlByGCQOuTX4wiNzkLn z1$%CB$n3EthsW3lG&9+!dsI*pwd_mNjJZ;`u0ir$sb%Z~s7m(P`Fl`JgJVvQEu0|) z)xc9)NHbG4^xdSOnl@gVSDFXx`ZMwcTc}06h+sgTJk>bqmWDgelmUtC17J@=s+4CW zU|`Fn!Ca?jx6nWn%@I(@+bIp3_NMXaCOQQG6z*aWi#XxMJ^!?2tBT?PYTSy-ANSiy zmDGZs@5wS~L@=+Sc-y6HH9i;Ho`6CgYx@~kiF3K;ExhH1n1eKutmj@{NF>i7k1K+s z?VpU2YbkW}=7y5{MftT!LUmB@!s! z`v79qNzer`JlOod;0#^j?_f^ zAFO0%3@3+ehW6q)<++L8t>`%=y_eWMYge=>rvd*$d(NE#d;|8RnZ>=8T!ToWL`Agm zXK0SF1o*kDynDTX8?l4=?5FPlx8nlULFIbUs-K3%6!{wodj)Im##Og`rpPF zsDJyCr+u;EGMj2had|{W=1-BmRO(4=;mq`ed{mwY(^aRSW+Kc|jp-_aV^eMalqbX- zw>lsly;cZfzF zcdqrwE{J4g5sf_VjQ^!=$({EZgYD2uE+>sVyKBx}KTz)aa_)jnj4ip-p1Top2WjMS zH~L??mfTIAJD3I|8hP9e{+Dx0?tFY-5CM0PMuF~{ayK#V#!~K7-Ydd62N6p;BNxvbHc*z!c-%SS1wVhOu7QC1Y*V zq6a0ycBibzDvZSxT-qh{Gu@*22dQRCz}5-gYuG}+Nx`Kj$b1yCC`Ll6c@k)8wd~Ub zJJK{EJk6C)gx%tXSe0th(k*VEhT-iU9}aC=IHW|_Rwu-&l|Vl4B@%=*w@8AC?Gqxb zItiwc^_ui~kuA)<2>2vD-5%z_K(jHMmW@#&QV)AY=RsHq70rWbqFt&HR;`+(gKXiz*t8&$*fgXWTO|E4Ed3dZ zle3|P?n3@rYBq9?jLm#ZH}lJKB-5m>O-UC9*Lox{G=y$mP1WbMUM|V3wMr#q6u+E{ zz-lX>J}CNsr=n>#(!)+L$oqi1DXdtshxWr$a`euzj>`-QQaNeLvZS}cTQWtEUh zUIW<4Pqn$LeQau+^~|n?Eo*Z_U7&GEd7pYs)xI zP4_-_US~IOMI6+=f+7x*Mc7NEoGwOi`8q;P)m~0|Km1`9VN+)6{~1GzR`W%(fsvcODJ`LpSIa1g>gU1A%1)JGUUfx&`b6 z+%nx;5V$Hh3a%v5>(XmXRDg&pHXk~ut5b`VE{VFvD@gohRhO7RPCK~PvLHa4nlZ@j?Y;FsZUm_wQH!AKp#?1ooj(O z{k#z}tS)a^>rHbzfs}Au;%~|-F3<)2yb&5N+R@EpLz7dlnF2BTnF6t=sCNwwx*O(t zr=UKim#BAv4nlZ@j?Z}ly|G2puAx=}3Db5=eLlMihwA&lBjA^}pOT5YnAKrp*QYyg_-FUIn=$w+~sS4Y0BV23V;-e$joW;1-~ljR-c`Jrds?@uY?%*PeoG}-})em3cU0jcAh7DIc3k0C_iuQg1 zJa`xPf@H-Ecy#8x)92W=FHJGv9ziL?x5M9j>VIAelo%C6B`;qX}7TGyay;#cX(i zIY=N=c|$j=v3bY31+`bKtsJG*vSl5mm^aT=XXY(h_NLu9owzuBadJDmDuv(UHE~}U ztectr@shZQRfp+cP%Ao@-(N4kK*vP$TKcWkVTTfg)B`{#>vYk6GjHt5Rj_^f>ILFAi~l0vD^fqyP? z)L;R+lbe>gl)f&#k>y}|N5?daz^AU)jxoL%m~uIKk~k;w@93CjA@(Z$zGNmEPh6Vc zXxuCl&2f!af$!3P4aSdgBaBm;Mfc106^;+}XR6Hhuj;VTd}So-u+`!(6=%H03~w3V zY35$P>*tE?AQmn{Xg79AM2bp?U_JEEzgpo=hi6-F<~Jx{Bggk$Nrm?tkx~fsK{8L% zYi}J@-2?B%zFZdkv;t?vKE9mFUq7k7;^M(s4M^bJ-}z_&F74Z_{iaqLurJz_+grpF zVcREbzo|A7NZpN?1c+*;1k%gF&_Z`2)A6NfV*&!e-cRX}xW?W$n61_G>+oVOZoJG* zYudW1XR!!eBl-F;>5AdOtz4ZUAt z=(%%!vr_VatJQ|9W~fI>uV|BIhc|xXTtjq1wM-j6{qLLIFhK0i)4;WH()$|PIH~4o z!!9e^9>O+P2IQ7eE6HNaKz5whzlZy7r3U5()3VZM<&Fp|PZbp0^>lk}XT0DUCk`%T zjfe(R&#h^25<)S?1_&PNLPX=6{)~#$R-`wqH@r#f4Ub5K9^Z6*29PR-AvA86l6OPf z|8HLs8gMfrv|Me+YQX<2ALU>3pRavdn}#(}$^R=|9fzg>W&QJnKC_`^!N6J;B=yf@ zC0TuO4>&CP`ye*hSo>dD*8eJ{4+$qCt$+<{DrnM`LWx}1cljVvaA}5GrY2jiCRR3Y z8xHh^`)a11S!y&hFsm;g;8|W>(FTUDcnoG%D(2daf#fIGCWn@GvniB-?o=SGS_x=x zu-+DTeB|I#5O=HxB&3?Bo)_lpRdMe?4la3r&3YX|s+khVm29-pj!A8_!-=pf)uy;H z5C>;H@*0l8tKf^)4z{SHWBC}o#yS(BF<8TV(HO8AOoUadCiyIyO5n;RV6_LXp#-dB zbVCyrZYpdMZDJ9vNIh@N7un)QUL0KVMUFKdgH$smkly4PSmP>_+PI1nVO9jOYSqN7 zXe{p6$-$+Y2ovqsiKu3(N4TxJ_KMWh;gu1gFLYt7N;SR58fW9itmRhpei_jkS<(A- z*Vi^P`90e8wWo`ZvY9O1Vp)j{j7U*#`pzDagIJYn`e0|=rnng>2bbQDF{~jgq?)Im z4^~RoH&jow`|&_m`eg(B!TOxd4aY#32WvY>N0Y9?F_3O^jjS=ND_0Y{VddHl^e4+I zhT;~N99)WMmbK)BRP)qBJ0@-oS(~V5?xnp7%?4PN4)83!Clj25+e#AT9bI!xM26gL z{q#UPkrGI8*Suuk`GsZ<2&;1ER%j5q<)4n_pG7X=^Kzll$fCCOhO82SSj>-GLBc&-%+PUzt5fBaglH{?hW(f&%;jTF~_RvHa_r zp!mkY26L_EDu_!j5GWkr*~Hss>g10$Mu-=v+0Ims6d}p zs4`d<@X7&nJ@xQ&8Tw+t^TxYF1+9c=8Twv=to=<6;QTk5hFlyfRQs-9e9g0ZP>FuY zpzdQ`hVHsy487!(n!G-_f%gef9EFr>U3UKHZ^G zFHcW+Fj`)$;^^#fYOy}!2iEUeI9EbK+F^4}EwKJ7%Xx!~Q!7*Y(W(!_Q=+9F#;IjY zhhk8FRo_ihXoZKF=Q0(+UyR?rV?oJQDhF1a zou8MOir)s|qmtg}AF~`);DD-9Fu@7T+v?)32;_ z48vs_1c#T77;QJ13rBT)PMnJJ(@_LxpNiJ1tD6Ct@C=7c#VT}fkZVIH0PWA-72R+X zY)2|w-wY5M51~~Ej7$a@yKI#yxa-atth`xC?VoP+Yp6A{p5quU)7Uw@R9`hNam%ch zp(n@9W&L<7jb!S&zl=JBW-UCOsDx@6{APc427Ale?=qg>Zfr-|bzKb*84n>=2#iby z8hgYlQ*c*fhs*JeRMK+X49ps7@e78_GW9ryGoGxLRDo)K|bWoP7;#ZQlT1`(284x693^t2sXJ+k=Jhj7=>8UNB)LMcK7o!}fhjpNt=eqsyat?_KyE)CsB$3M=@+~t$> zHLW7{eSGdaHzlMj(hbdhf#?Ira9-m*J}3Hh37)Y@;CHHJ@QZznp>f@!n|@^Gv45@Q zUC_J{H)B%J7uy@ctQ=;TY+-o{v6novA1p6X>p4HIAg9-mpOJAt5dp>%EWs{Q-3XQIc67NReHwKp7|5-mnw-$uas z1}9nzkE&oe)k65ikvXoKHt4=1%Df)r5`0QE4IZ|$p}3h-jMe=wh=W@vyy;7}s-(Ku z0~q(_<@9cJAmLj-N$4`S_nF3a5+QB@WO0vsTr#S)@P`(Nc37XwH~~1G;c@fQuA+l* zn0w;V;Uwg4id7|ey9A+mMIU?1hx7Oz*T+5k%Q`?CFr0*JJv?mp%c!QoqkE=f&Fmbc zOB4q%9!^g0W;|tl?R%>)@OGN6hI9RVqmNte{d*%C&Q46V7M{#bPBx9e>AwfRtuyPc zpnz^bX9BaaR%}zTtJxQj_s62hH%HBRc(#{PL36E!3ZrUbtvJo6Yf{CaxQ9UMW5!TZm7UzM^xgMezRS z$~ay;taW^Li|0*Pe+{hPOW%cJ-E;Udtwp$I=`WKh47{5+p_j(6tdK+UJ@uq?iwJnb z==*6kyyJlS{5o`cNEuHV-3=yeLz^S*;xr4v538f-m}VjRyo5SK2lj$!5&VULtniF# zA-wJSP$_ABe|Nat8qN0frb{@99i*6Xz~gz!sHVZg8=-{1%xNmEu>2L2A@Jo42yy3U zBh}~Sp_`-j&)eY=_17VGIwk(g@I$}4fF8C|Wil1Qb3O_G+DGVeeAB5=Rm=G&Vin^1 zsWMymO3{kX=@t?2Q&o>Mu*ntP`iP3i7V^)5RsvkELjKvL+Cvl;s}OxpbFJS41UGYa zH-!g}lsC_NS0FFkH+br4DAhFl)74P^GChs-h_kOCRbf{4XZ7J3lw$0rz%NGaMh?%Y z7Q+wIV3{jpR14vKI#D?kLuujSJB<|^S+IsSthN8nNCI&+T2~-(*_8_T69-ir4Mkh755sjA|M@ z>?lC^%e)15&95J{+4ZaUGj4)|xqa7u8F{O25#Mict?9p;97jK>7Q*|}^?|`tqQ&4- z&sV6FWHtDtX8?{#7J@Ho9k+yK=zDtszY;Bkw|=Hyz;m)i1i+f~F!$YqQNo1;*mNRm z;g}mp(a?Tg;+B+1gXns0&KQ$$9r=2r@PP4&S8oWoTe7{B3+B@OqvZ$F1?OC%I^GWz zAjKRK6*8}u1ifF2DD({KhL7({2Afb^dXK;3tnf@{#kwD@TiNdid%^x8RwV)QJS(SL zIJj5f$jrtLfeFho@eID~h#pjX-cEW}gcMVGmQ3-RFO0Ok}mNCl}o{M?<%Ag5Uf&Y0XU zWVqf>w`%5>^@88y*2@nE&A8u0D#YGvp9wf6S%|I{M-$K&BUZfNx)MH!t~flSS_B`q zR)g0$XQVIO**@H0O6L_z&h%v%I*=nnD#f7wNkRiAc_3rNTI*y^%~`>Xc`pQAohIh= zAddoltzq<-zWnlSbfre-RIR0=^?KM6r~z4TM(W>#qgKC1;V!9hsyRBVy4jVmqBEHa;VGAZcc;^uv&ge=46aR$OB8{l z+J_YD_Di)gh=@!fsA3%!5t$-XEbXx|^kqqB>*PNn zPEe4;!V@e^h4B25&NTdjIdslUa0cc$KbV>QJ zla|y0bX?Z902UG|g5AU)x|zWqr0RfCyI4r7Pz`S74ZY20{g(u9>!fAD7-l9$J;)Sd zPJU83X#bE(5ooAmUGhWNM|dN&g!hDb6=!zG0I)7)YKhz`*7F$iU&t&PacBfdMd%`| z4bL_YByS9`2|MCWexy-*)PaBwNwZc0oq{PrR6wQ(bBh8RTh>XX5R|>KfXEa|A2M&h z0S$EOzf1}7+Fds$*j6*XT7-ZO30o~9XqZG06H+O{+*GQ%>DOqcwt%F*dL>dQ`mh<# zxj(ypnUXxUw6e9`=06B3ewQ>8bbT-xukB7UMW7*z=<#Igt0CFTH0R%iq7U0^%w#=@SM>W;7t6 z*tW@F8EDdi+{M=T!@xj? z6wooYz#R+&OM+`q?^a&UkEAd|KY;+SBIBh*Ivr!o?jN+KwP0H7+t zjf$9tDKvaZP^bJ#$o6{(my*=pAfD@4uU_Ldk&uIlpb!Fp>XeWyf(}fZ!^(S#%}3ut zoH6L&za(rwMnF%96EsnL3Dj-_bzp|wfduQ6vPH}E2}qP;?mX=*CKTL>V2&~9R&SCr z;8M;2Apq!{ur`Wg&K*>wf4Zj}@c2D|OF5Vm0Y|3FFTGjZ7&Z z;g!Owya#+jpw(PCXt?eYO7_l8;P7Qx$tPGJC0|wp56oO72!Gu%_G3T&xhpMm| zL6RfzjYu)(cs$UKFjH()-m7*DbY$xI83ND8BWzP5zYYWOeF?C;~9{Nvd|{3*`q0$ELll!rVq* z(|KPdz2|ETWdv~a$1wUSQ&id#9>ZY6vUNzO5OiPX9GH+wA?6&;O)adV0AwmbkwpPI zMX-D2+;9b(VgZqsBM6lcDn!++>IKrN0d*vk_LXnt&1xP2-!*K) zJvX)O?A1UX2q&c9W=5!<-#ty+)%G8YYz%J|m(l8a`E`uiG(U z^O!R?%IoPcM~{3B(_>NsCW2~~E9z}slW-3|f@&;-RgrSWG*AT#FZY>Qg$W_{RAddr z_q|AVP%`MIXwai)=$Z|B(h^Yw)oj_l4w#IZ!YaZ5gD&pgr-2>_XoXw>2@rNjZL2X) zh;x_OZ;qrZl-Q9jvLk7W(XdizNaV(j?jYSaX%aPYqz{dc$O_H&{DhQ=V~4+fxI}xJ`P- zErA-Aal_TzjnpzIUl5w;HPjSTgn`od1S5@ZcpA2=QiTa2K+Y}ipbF;sq6a?Qr7U=avPkMI5Hfr>||ET>bwwC^*pq|PKKbuw!2 z?<9?|`eF(>&L|$kCNZl*`OegxElwe!Qq*u|Xu?zeq*IPcc~uQi4J(#`+?S)$BplKy zMIG2t$B=p$sd{P8%CZCxk|{+6jP0Of+EGUgbHryILnu1UV)UH*LvdEGdTHOrvb26k zPU~gVf#vnv2uZ~PSG*FzZJFTFD<1QRGh zN2riaIVvvV#B>r-$=Hu&V;|jV8rj$D564)bJHnup(u%0eov|2Za-DQ8V0MA zuWBh3E9e;p5=B$5YRRw&;P!9-%d0)7%Bic_X#s$NiZoo z5>ZNCC{u$n83rX63{itJ;C|(u@yE)L26BEAE`Hu`<>r9uj4_JUxeTNrCH11Fj5@HJ zuS4?9SEXVF8iT%2YZ5A3lMEG}Uv3>hJ^zs5`6blN_6^o%QVB^6G?@N`L6bI}+{FVVrOl2Fkj)q%xx)dK+h&Aj8I`&`o9;$fhVLqzvXtA7j6;{-q|lSbO34$WOj;3rZiK$a9ipd~7^s zT=7c$aGBs*NHrRF{DoJ8re=E|m=`&wM9er(zJCDJ) zFKI3>f=XvTq?3qB)L9mnjFdJ`EG~`daY;lS*GAR|b%=FJPvUiRPMV;moms|FElr#w zZMYLrX=EPLBeP-EPIJZ3OS%2bhJMZ%dKr}n?%&N#7F<9@7yvb;r2hsK+-_F=YEB7z z8WGF`0$?Vj4~7+b%@y8LT6xXP*yjxIDWg(9w|z+&of}X?M?6KB(u<@30$?V(r6}mg zY%?)q5LUAY1E9_cef4fY=MKC%otiI5hzey3{?D{rAfjg&Z^cX=Tg#;gbFbLYFhQJp?d=>lZC!XSjAuZ_;lYSqY~c~dflexU@|Jn z7uPR|o`mi=C{+>Og;l&_PZJ_4cj}Z;X?WKe;ax&y9gvhx5ARV>7(jG-c$YCrc-L!Q zo53d;^} z7yvcpd4L;G@IFA$al-omF+})4!1||7c|=QwWUs&MgOsFCVXciY0BTBax*Jf?Lv4{i zyhWRkF^QM};>28ZnvmDU`E*$L}COw&agF1t~f#r)%e6l8n zdSaZY{y-%I^>p~U+~E(>g7YeLCOiBHDs}ieJ!^`f(yU3ZSyO_09_adb<*_Vj8l<(H zW=WGyPnsgAG-)#FNt1}mI;pK+(sIy&-dR$nLY>lT&M9|AQ6H$o|DGYGZQv1ryr3W|(b)XA{A;Wjd ztUBsMQdcy0m~>{QIguOb>0C<87p289yWhS$=A?WviaIe~Q{Jt(1sxG)(n2YQ2o_4i z`Vd&kLP18H@#gBVE)=S?$;z45FNtF$EsT}=PPM(Gna~|vS!uZp zG2rx1+PIIPI`XR8usreU%YRvzPa3Qk>W;Ca>eQ2q2&yJ0 z7vW1nNuvnKit*HR(<2SRM%#y=22UZ(q&0Z5tce8tab_}QqkS$=wL#6tpi;s8 zG{}V|k{5NnRW|wvtzv_d=$|B1hynDO)I>Ih%G*4f)ZVl*ECN|^Rd^LcCzUygxCms& zRSjSjLOr@Dr!=>+mv`XGngc2uy8~x6Gpe);0wOBJ07jK|Q!|1}`vYz(>V#`SanJ!= z3o_f=LRG9HkR8`FfHe#?u%EIlkD#6y0M%CM6+rA0%GuQFUVB~2Uv}@vMoanG<(Rb2 ztuiXa0JZ0dBOkk7Nc&q2)u<2y&8Vb7iuM*BSl6tSR zpz7_FrG%qX`AnbmNbpQsdv=yH7Dw5p`l37&A1$3S7sE zL5kMkB6Us~>P)YgUY|Wrha@GQWjTq9mi3~XWKPdXBB(ScnbUKUIIjltsuK6K9Xk;0 zD{LrQ+zB~ERPMAXp6u*|)S^=YlbqQ_Pz}lK_JhGn*qNX%nR(&^TQVxdfT(Hils0KlyplaFL?;oI)B==ws8QO$WqTQj%AGo8)G+HcROkArdCFC= z2rPAckWeBj(PvrCI7)?CY{OAy>|;exNzS+mp7gF<40R%?)~^>$Qaf!C6=ED?-vE`` zX~rJOC?$GqkK{PMsDfo1IvcE<<0Dw9w8$~rav8QI6|OjDTRXE=un1(uopW@1T@h30 z{h}2y2IoBKRwdVjklifh(qH(AF*`Hwm%Q~BLFLKh98c?Z2cPwM0;PJe^Wk_Yn8g`#TX-x@8g!DeZaD7%TueGRg2M zCFv7!5yxr?e)7ffRRuHo0)rl&Q zGk&UqaeETD3KoIvd8vy$nU{j9)%qsOsoC{Q+NG&UT1QOV;|xb}t?vEC>Gq`@)r7~w z&fW#oqsE?NOv0ReL5zZ>mD74|l5)RylGf1U zcJ_jFdVDEGb$)%O-%TsrET6H#dt2^$}B6iGsb7`vH{xu~R{dD%OM zliYHhzHG& zAb?Cc>cmk2p;Fv|zfgxrmE+PE)exmbSn`rZ*`DMq6&O0Ye(W>Wk0L5tll3;9N+j{h z*1F!u!}Ka0)%A${)0Zhavy zHKco~C?@o$W_z!$N`3?ay;L=>p}2Z`U#K`EBOr% zI;~vBw2Hk9g3W(>*CfSMqo5j?YT#WbO{u8(8g*X}FT+$|Dwa>F9RDY+A({VEn?Y2e zGGDErncbnX<;hrnv^&RM9l)tMq;kii{6YeK1%5-Mg{#@)vmL-kA z;>=i_ldUs`O5Mnu>_%>QCC#%{+LS*)iE$S7NLm6!Y{1#$2x(9L$*2%xM@@b5FNR9r zE!*ChOIV8Dpc?#u$*=~~D%2ALq9(mddBBpHR5AivSq@Weacw_(&=UCZ`t0^5?*2hgbsutl{*$AhgTNwxhsDr9@}(J;&z~0 z`Iu5EEEM@X#>qq_)3b1#VwVT;IO&XWl2BbY64Y^}Bb|XK@ycxq(kVsNY>*(GL{u_J zMJG*5%#IBb>qMP)(j=qWFmoI%|C>Ux=u+zcWZb=Y7A@q*dR%i+t30KiVa1e74%`r- zQdIWJv$|P|pdpJjS;MI5Z$~eZ#hNNB7&3?LCT#s&wXbd%r&a zDI6f*I^vHDTmSs8U!0moZD*-h-*mB&ojzpI6CtFDF=YEDp{Yd-^~8XvNq1?kloETU zd0_3q5_2>id+{2jXzQ1>bV@?q?UuT*L|&yuMNfHMza;V+Ya}wT-aM(i#$Hdtyy^^I zqe~T@ttBlgbgWecSyZGZ=@Cqlqz@U=8#E{E%g0-+Oa4IaZpW)d5Fz=t4XGCKpVAjv z5nQ&=+D_N1U>(!K7+)D6RF-t=;xm4&qv_$uCRx%Me+-r0hc?M){4y%UfUE3ESOfx% zHK|fk#E?Gy`OBeWp&QSkpH;ou*`WAAy~y zyU(~EAmj4e3@YP(Kn#~ns^m&(8I@K_tK>@Q8_WUatfT)R+=frYJRksO;#7?uu4tS{ zL?aZk*XY_pvvEp9l@ycMC6+(*r!-PveEe}PPAEj+ZRW^d{n67GYB0nWgjcnxi_EhhUx<0bE}^ur6cBnel|j-u)f#UXyAJ^ zEe6x0x?%mX`C&o{Spi2n2;>N

X z)*QiI@9mS)G1sF~EWq|~3Qr%y2Yt*O z!)1Di3wU|cWP(!oFmDT`__XGgov1^&Iw?H7-1L3MQYc>$w0ucuU8BXv5fKQ$bz*I0 z5-d|W;JyqK8_RCtCIb4Jz-aG$F(KmAR~=M>h9>_D#`*LTBvX#M`pF};UcTsqPC2S3 zyh82^QK1r(rd$%MKEfeXe--;sNja)xYV9jgxv^sI6H%d~^rO^=Gq+q9qnM+NW}5wR zu!qUsOxe=ao2(Y9lvRSstGEjQ;ymP z4EJjsPU=uYNXDQinPN~hQjkU&A~z~PBN37&v3+$plxf8*a$ z(1S`j=#sy<88<7iwWz`85Y&@SIcgs;h;OSz4J}Xz>Pe>*)eu|7bjncq48U{}QAz%} zuw)9n11@e^oR9v9__&P-$UnC)ciw@M`Mk_On@F)ZpBL!>!238L1tyy(g(oAQ2(%)z zu zf(~C)C95xB3{J1ck3n7?9su^1VvTZBAJu&v;bI+a)rjKjA@YzEJijC6A_Y;9$bNzk`1z&8kV|By1tB+PA+ zoseHr`khH|p_S)iqWFBZjo32~d)$eXi`|D(PP!XcE_+BV80JZ(Sb8)%rv;R2bHpPA zhOAU_IPZ@%t5WhSRNLjwA^4h7xdW6Me)tCj9DbxD-2n=qdLXl0>kJQtdx-c-kQbr| z1edP}3jZcZp|%7-rHYZFaJ6pLKvYPl997{&1JNl(HJiK;^`ujZs_Ehr(J4gbh8*^U z(iVwwH=G$Wsx#KJ@|$qjCm_~afriwp(pE9Xj+&mn%BVDb4aG*4s1RdEO<%yssCZf6 z9k^~{s=x#E;YIkg#it`zW8C{YdxrzUWxB@;c;PsEb@I@UnQcohUy8*GztN&a1Xm}8 zhmSnYNu1GIp_R00<0ReaZPR8*RZa>j8rNfgtrAq34V3!$Bqt}LLM868bE;MA+ARPc z$sq1a)#_V>Ay7!B6x5NO4@jle_nxVoN(8<@KLJa8SYYYHm04HB( zRgN4kY#}=7;Kh;qHj5X2yIu?w9lTt?3-w&PlRu=;I{o;FknvuacGyL5by9eE={0jr z4_)uXAar6r4x=U?5JylI@o|t&A*$cr#MT%N5yq5^OT+{ayE9%{uK-nRStk}>C3|BT z_2g{lb*x22Q}Ea`ue2%#>RoB_Mzny6F^-+sN~^*c(8<@KI#SHAJLsCh!Wi%u)a7jg!5-N~2X#f*BU5h)<&TNqPLR4RdicNalX_O+4 zl*yCrQb;(>j_TP;eKOEc9#A<-7z6J7l-npofL^@@@kD?gy~dI-#$NR|XM)mvx~O{I zk?To|rO zyA1SnKBr6DoKFp>i}WK9e|MYZ3WW&R@zyD{ABjc*=k10G(Mg1*8G6x$P)9CxEuJ=V z9UI?wgJkbKEhi&vQ?Cvkkl7Nv5;ET7(nhohu1;AVKAxq5OV)Q{5IRwp4p1STQdF~z zBXz+^*UTflDu$YTAzVU5Hn)2?RjXFBw$b=CPX4RJhivV9PAiRa#0fLGGhGI_JIEP1 z_Nh+p!wZ8QX4gQQ#z!0s>Z)zY_YXot1gNyLPe7%7tGL=C5i1k~0lpeNG?&?rPigPmk%NqoWyxUpIXxp`eM_TIVM%2C?f zE)XBnDHVV3=|bFl<>2o#i1&`EL%r;ClQ&u1H3{)aG|iy4nRG&a@z{IcEe@!;YW( ztf>LT7;uIsHBybBo*26~GIgNa+6T5gy)%duRJAuarJ=ZPto(5)0acY+#f*XFU?r>8 z_c-p!QEwfm7jp_wDc7l^y=jx%dOLixZSiWK?BEl^<$5&+|8dT(>(;I8$rl5O}$N{Bkgef|3z*o5Z+AF%mGxT;_+S4(d%)7At zwb;OHv*-|UA`=^cA~N^PlW7cpoUibhSH^O$;V5NWTSh%GHbbk040bS}YJ9tYxY6V& zUmLI(bKYM1nGzhYh>Ab}u1jdMKeA)JH9HzgHkl_WTMA}5ur1{zWs0B>W2?@LK&J4i zR5%I(PEhqhw?Z0%*pzMyod^Ii?KvG0Gqg>IY(mD%8C?V22w3ftS&ckVjORO|Q;HfC zfI(fVpOZj)gC4*Of6obA4uiDG8?s{jsNVW16&5xL)Ng7KigA^n`UP%$LGKy{?*ugbGpna7Kf;t+hVSsiBaNN;?HW zLgiCsM9k*SlxwwWpMO%=v3|6W{Fq9eub4qiu5SZZ$tj;%AyCw!B9Il=p9t2fRr@4v z_Sys%>s7lLSPHJRy}9C=^X*PTst{L)K!$L)PszB@?HX$*sUpw!#Da-^THK||!6imFN|liacK5YDFxMCqyik@Ch0~)E8 zp_gja=1}$tWmvzI$soM3BaC@=1Tj54B9GRni;ev}tX zGRixZOb&w$4^#V@vS5;#vQsG;<;EO_QAQjhDkU?e^(c>QlwI~HU&mHEgM*^U8@ErM z0I10W4UYV)fI9g2C?rz|3KI#CTGWBUVF50!#{+eS;l$BDt?|?;wa-k@nQ=PTbIL%` z>@T!a=yLbzc?OO`(Alr*u>8}?{M1&bfJ`AO;0rN|F$+L#kMe3ReVOtzo`Kp*yO*K`j7Pcs`wE>g1=XYb~dWDD@Jw0jsr2MSd;92 zsu`*k;vTd_0UA###q#bp@_!}J21>OzoE8LW9Hpjk4 zo&toO(IuQ#PJe_E$$ZLqMMHJPY&Ps<6HK6ftN@u}#j5KxwPvzj<-V#m_l0~#66&%% z?N0tSS{lJX%Y9{Koq(ZCn>Kx#Dowk!HwpEe?A*WCyKSixiSGWRf{qm0w zm1{9nRI8Uu>P%G2w0g;Eb!HWuwW&`6%xw}>;#bJVp{iV3tYL(#RaO18;uGK`Qw(Yd zrwu^)q=IA`a@u60h8pUc0aT}>^EFnZ=@gZpajJntXQ1y#-#TM(ApxHL1 zu6NX0Z&Rvz&^0rMN2QakOsZ#gRs_iugHDXo275JhN;MIm>$J(vH|Dg-cDj(JH3(Al zq_DO44LA+U(qW>j>Z(0e1kr5n^Ax24r&kNgQy~p?+TQgw*r(-t^mF~Xho6$q7g=B4 z0nKHB1y9iPj^{Y&rIX4(oxIMZ+k#FP1C@Q`N!Mpnz4(0er+V=xmh(3O<(zVNTE-~_ ztvm3(62Aebw9MgZf2s}W++y@$Fq!yPYBuP^_kF*Lp|;?a?NMKx&t`%OClqxjG}C$% z-}cz+ic{5MA&D)u$x4DRPjoG)e|c~i;Vp;D-Pzi_2a;*HjWFwVAzgzzc6tOTa_ODR zwE@K4j*Ey@?EPR>2)LOnZzz2?>aW6bf5GxjtYUqMP3@{CF;fq4UKQ9cti_+-#t*qz z+gr%OJyiGhaq+$t>b_J9B33*J5qC}NBxqjSKo7{7!91jfQzH&qrE(9}jxC)Apy6rR z9$t^Tyw#A+DlKT-=-|OB;T5$cxVS}9CO`7QCzU$+byJ<5_Yy$eX*H%Hqu&gbw2nCG zw3FXdkCVr+GnT)eQ*S{SwT(?(p1n5&X9Mh(STl@Q`j~=6Ri38`yLY{ zpD!==#Rv~XX^#<7uAK^^n@>l&aOzQQkFUGikoTuWAWBDi&?k&1p>tBsr}O`}_bpAk z<2HKx{EA+tD^)5AxoG0kV#!0rNKQ@*3J&zRbZFD~wF~8@L!oBd7L8TMJ zy-w(I=&gX0(%1B2mqYLE-N&6wl!7QNm+kPgAIS5~{LmUa{+yBu3i)nLe(08I<@z996IHWj5HL*1W`7L$asW}-im$xNRH{`luU z7RhAt@quRNJ&$ZNyEiX3Wh`qXqX}o%tv7vt`bKj*vzCA{OQo!|3+Tx6vy{E{xUOT~ z)i`^**rV3wXR>Q{74iC#oy-I;<5u+)rkh%Dfu6LrPw@PnstYQA zUR~1UI+qjeW~3JFu1VsN>cr7Q1w5@^^L+0wHt?rWhuzHBi!)}4vbkt|y180MMLk=7W29AQs&=iB+dmhP#p5%zDOapCr^KJbUb$;Di;M>}dI=?7L z|F%l|Ks?iLFWb-SmtP$8OP;-U)5y&{CloP)t$8+_Ks5_>6aJEl=H-&OlwlaPYA=Z0EHsS7bm^`&=%hTA%sOJyXmq+LI7J;8e zg^~?vU<5VSGNZEI(hs8EL!W9ZS*4YiE|l8Tab%Dn%hS+Hs4;%9IS0qr91D+>cWwFM0{R_5cg;+yhD|FXWE(a6iOA z`!sjVlHMX4&^oBG?z+mS0WnM1K^e)`9+eoL>nsltRG_x?fqJEdr!$90-+HCPPgT^; z&82~j24n}Oxwh|d{kt6k!@wtz-xF^pF&xWbHqLM}>lo4!J?e+e*s7zOSBuY)>gg8k znU;ZmUG+c!_e+n?=TQ2>f?LxZ3K4$9v5O+=b+b5 zT5BF5+%RAL0Z=jP0vD0$!9A^wTAJ)o_2ma#3m+EmxxAtq3WG&l1Ojn|NMlS`s)58X z6c|W%+HQolEYD((cnS#VY{=LIbHXPR#;#Mi z#e@@nh+idg^Hcc4XMBSavrp45G0f zGN+g)zk-9wp=mKNh{<}dcBceAVkJS!tZojMjj2Cjx(vcQiyuvi-EJexXtnqzTIsbc zQ3BZYWwf*S(H-~z9&s{W{Rjttl<(1a&k1f~9Yb0~yVEm*4zZqKKLWQjj8}`#Ojg_2 z!?c6=x9vTb)6e;fG-N>^jvU_XVPpmQA@`9#0S=PB^+}6P zrED*h5a%E|_YP4xPU9WW-LNu7V=D?A}|>~#D{m0 z-8$z|1NCpelh0N!F6>gd2v3%dQ?f;WJr%O)+KXnxRXV1t(M|)#r%-b!aklT^ zwlk&G;z#vvYjN)e-27s+I{b8iWqSCGR*TPkVm&uADtvyLYGZ)7Yc@B|AL-`C)A?$n z!sn~4`N^ztM%mCEM<+^Z&BIX=qRdee%O}J^r!<*Kq{!0c6pm9t?Fn^pa6e7SSDFgG%DAb2#i0QCX~U42K%x zoB|jvlKTSGoy^sH?&>jV3xxLvAhTmwl_)+iMnLY519*36JburQ_npfIsYN+9&LxVCad=<0p78RA9 zi(iLsB1ri4Rz}K3XgpU>Rhkl?>7ngElS!+?@A!7~+ToMOAA+yfhNybD+NNiJ8%i?7 z^{dc!fvN)EhkD%sc4%c&c`U`I&9RawYdxOscQ-0@Xw_ODOeiM|Ec0Ys{o1J*3zOs+ zOG#ywzAg<3;W8VD( zuBY1`h)RzeIIbVw{th@m)FeV<~M`+ZR30U{cS+(7^?*1%N~mf@IveE?Zc&pclhzA zpv(rN)#Gm_5rYTCVX$*uqyR{}Mia=&OetrnpN= z^z?=L@|tzs;C&u{z}DG;MQ=gbXlrGsQXzPs5yMc)ddRJS>g4 zyCy8%ex#d!Qd+VWmR2fk)@tjM)ntS}(_(9>68AnAmLifIBT5D88W##nJ0iU5SEdxM zZ*3+$ZAjSB5wGAz;}9q5=4XZ-X5#MfYVlL-aNVC-yXY6(&V zWZ8XKPCJY57PsD}kg{sgx5b>-G5>ymOD~jFhoA1OuGy$ke9o(7pf;nvM4-Hb__yV# zBI+&2&OT$gc9-EV@_HgXSq@GqUm1z30k1e!I-V}bHehmPq&7eIq3`$BG}0fgq15Ix{7-T{_i%%{nGFmNuT-_YyKzZL$PBKC}i@z@?P!D6( z!kfkT>`xqcmrgn7!1G%27M(Ea=OwiQ5WaB^!gr3BZ6wgddnmb7+7&7L^_^cR;5!nMvg5F>&cq_WyUd={?jj!ugpY&RcWB z$xMm01b$i1Yu|o7QF_O#eP6;*&><5X;Vd^_PRrpNtr9<_7(0Ej*yoClHoSGHeOg6T z6?hEV(CF~*lMVPe_WCtB0@39hAf~6|qDAL=M)-|Ys~u*R@X6Q*W7UJ_>+86HH=4i; zCE3aLR;o47>}{pO>+9P3WcwH)kCIJvoO8Ahrw&TCPr4}9xUfyQ?SF`ZxgxBBuuas2_A0yW_?I(ui z^kDCVv1;+-#5~Kq+}#GJ>sf60KJuXQQTpil!PY(N)1>fCOblO1C(unlro}27#OF5F zFJ{%)SVv5r6-qb&8(XQcX{fDFwuljKECG1E39GlUh$I_4>4i_@!ZwzR@Ty;#Qg{!# zMSr^OC9+)cDb4^V>E>sKIGHyK?*dU`ErCx*2QGhhaR5<7Qrh>$4TYQ%LCP{!a*(bY zG=#Y|8mgg#A~~&1IIo*P4oXi+r?peHfYskdMTsB1+?m5-DnIYnr24C($>6p@*THj} zQKJCbtwH?G5Uqm2Nr1#=qb3aPo;EPI&`UAW>c|{;?RJcmLu- z`ZSE7(oVyN#X%dvXte|>5oOuu+k@xujdl{>B#Uvct0}7%eUHD$nk(dBaR)-U^a<$deq^rsrSBWV?*ng5z@itdk zsj#i9t&LU>%0^v{1mAoXnp2!r zi7(7qPOHNQ%kJ0n=i7kzX?j?nZBN+RVywfe#BYy?F+qn{OOT#>mQ8R6F1>NuN&G!p zX#*BxlvWGx;^(s$=d$gd!r!dZ_~|Axek6{0_4>ianZP&NL459Z($=zUPgp(?h1VZoK=Z0d}5qdi|>}W zq)CO6@9T0`+Zx*jR%0UazBrSH5ItZ>9a^{Rki(DEC;Fh70u)Vs6xI>xYmc<(SO>#) z?Um$B1z0n8hm-{A*gqfO64kR2(cyQjWeH6f?I1pPUFUKJjq9TC%f5>l?b~-%sqhfj z)~C;k6SB?4dd}lg1p&p9DGWHnqf#L+=B4fkH`eizH>)1rraI@OHhgPNDbxlI^gh5>ZvqN0w$`w?eaOc= zNngdJA;g%6c@n;fiFMOdrp0fe8mfJCHy?{Rh9WqLfK9??Lb2h{cfefvQefQ*-mhr| zHBHbMMXam&O8#i`HyA;aUg_ZP=QPChFpKo$0HlZSHUb&P9@aBjC4NeL69UEW&}#AH zS8K0x$#cE;pc(Bf{+@1rq7Z`cY6^B({Z76_}W~+Wf4h&(Rf{qOCRhhhr%Ek>Hzy38@AJbTDCcrTtt->d$@X zKv`v{%u6d=Ww++j3@ziIG}LrK;?MDkD&b$MIG5wD^1%Bwjo(yFhM@9(!3bQc#*=6? z2zs1D=<-fyYUv3|JaQ~M~hrGB=-|-R9@|FSw&kq#K0yai9=`SD3=sR za&ECBiNSXN^IJYiMBr+w^v3(hVpd=?PHijv-`wQJ?)BRL#Oyc%DUjg$?$ z7N2VZjX)b09eyWRD9a2sE_(f3X2ztLkg316K7GG5ImCC*UIS9dw^0_SU{&wkawM zCBERjIIRvpd*4(8_`Tg9a4Wggc=CuOV;1F9qPJwgBwraNRl=tfH(B*26&h}F10$V< zPp?Pd%9qk=wNp=eVS?rksTQ6FJM~4(mZrUptM`%HZx6Dky;K8tR#A_%;FpZY0_*`} zoCL25Kw7DcgV;QNf94yz?Cu_qAhks`wcQdjavPE?9!o~#XpwAb%OCqtA%e-Ye-v?48E~` z0Y2I>WW0I;o9}0?>CvF?5)AGN4=}(}eNL<=D4T;ZyfohQ2*!@aCHyrO>$Z-{`Igpy=S_2^ah;pfP=ijkLVFmeoMru zMX$%-ERhi*oy8{^+ZnAAKhBZ;%*m8fLX6I-{$Sd6QGOGn`YVg@v7yM^oo9~v_b?;> zg|nxpIq1v1y5*w{8d+96;5Rvu^f&9Y`m3Bo{5mR1{8Zi8GUay$MTg%BGwYfZ(+KewG}`G#+w?o^|=R9 z{Os*@9(de0!&`GolQdG??*o2|^pA66_>FcN|5!rkEnq6Io}h&KDXB+i0n=z_@yUKO zrPadkafMQDmF~fC_~HHL2YhlRgt6-3^R|10w+wywy2cauw!{Dy2F{36kKW>PZwy0k zq|^9uA>?{-f92H@+yVY^bk;?Ub{5~H3(tIARB83_E$lxxzHDi%)A-R3+TOCF^6Cjn zfKS7IN;{7qLTA}B8um-@ZT)~;FK1Qa3$C5h>hSN4{nvhXz^&(^!u!^#xqvy9=yB@p zrOF9}=cG#bbXj-vWmn(ckA6ApEPjl@540jBv|9XV8Mgwzdf*fp?JRz@mG%HLpRj62 zw_X=Q#fuo}Bsxy~Nh!79HSosw!wBUpct6%3y&5LjgZSlhdy`)%WPd(tZb(|%bN}AC z;fx{QE+r_1S?TNgbzfYrJ7ChD*rDR++qDL~!`&?Eq)9M9J@Kxq1EG?!#MIxze78Tp9H?s#&&46_--+&T0Mup z8THVPKtnP;YDgFcqPl5R&oFNmHD>88_-OnWU^z2Pdo(2uI=0fBVlVOe=}=g4EHUb5^(xl5nDpUAX#){IN@|mf!F7c>tzLegJfw*; z?Y#Fo8m)B-S6Y0#?3?HMXTze!XYp;p zXSCY!ZHo`M8FUZt=w1y<7M~X%ovgkX^6kQaQ;>WAX|RL$Wipv^_hgFXr`@mLrAwQQ z3$I7G2(MI^DWy}hR78PU?$l()T(_L?-BUjkJ>s}a_>f56F;8pUuv?UI4afEK^7`Cq z62ols^7>0&6vg@RFh;_48J9%demvxqaBZ|X`2v(riBlT3ji^4i8b3I*#rd}F9eBd3 zS4-gG{pN-vTzMEbK8$7_7mZn4IL!~E%kq-x0cMO|51xJ|=*!VhyEMPfd^rM0yv<7- z7HbYiPlWFF@ux$dWe48|{A5~}^cu&m6H7mS;v|2NfiEflpkx95sfdrDGxQ23iwi+{wp#1i-ps}??_6mXy(eT{oD z=_GoLqV8iFMyc1#aqKyGcK6i+N~r}m%X%0FPARqMJiILUlywlFN9WpCxumQ|jLsid z9PnB$7vRYza6(!eWlh)Z=9UA9B**4bI^@QMmjhgcSN+PAQtCv%IBK<{O&Yh_a#4$e zE;CBg;4(wTHC$dd9=6N4D_ssCDlM+Nf*ORojxPsf+?B?W?usLa;wK5$Uh*Q`bv!PpC`vL`cSiR ztuSS2@x!w1rPQ-?4*PwR zbWLyPR|nglytYITwUP@0#mLC}JJzesCf(>7iN<8*pVpO4!o#EE>(ag_XEBt9S*~$N~%8{qFtozF*yMrh2BTt4>!>KV3EFkvT(mL4;09PN+bO z@-BnHdW>;p*P7%&{*bd|D{|n(QEz_Aa}TI-JCdInhAYM+W|7seL!H49>Xs|2 zKKwEy5Lj~g@?YAc42FC_^0;vWVu1>Hx#~Kr+Jl z;;K{lTzc)K8iSbFo`6zQ`s2Ja@djxW>xJ-_Y)JfE0xdSHBc?nFx8OZ$rWo67O*K>Z zPUXHJ+$$TX9bZq%>}Hf~yo8YC$|m|`p=5BT;PL4_Qj!6wKl5DKk)#c?ex0yJtz>=A zL;W|{hjy}JsUWvsxAcpRWMs1Ith)?YX_kjMM^Qy=mne(CPnSAp9`{WO$%o%!U(Db? z&!VI9zSO`z&Cg<(el7=TU&?s?_`*Dh>yVqx9k&V!b8S#(&&Qlv8({EMw+{;X8BXISoOLRHA9{k#K`s(-yTiAG;dVwRIK-T5ghv1v3A}md&Eta*pG2N2DMS|Sc zhOKR*!CVg)oHD}x)Bd&^Wu)sbePFSQ5Ff`+cEIK1fd6URoGzSqt64cCrlXey}!O#ZquO!J@0hw3(K9|2?h#OxR3R(WAr zMwsfmJ;QSRP3-M9fAS5mKa%u$er76Au};oe&C1}e^pf=dC}o2cEd%kvaYCRic^(s0IoE5(Ki&P8AC#C55{Ij2#TG);`A-^PTT;GFV%IVsy3eAfC?2jR+CBDrUI zNZ;%)$$wPit)#(5{@39lZf3|`MO)*Il^T2s5gGN-GOG0)gJ47E^&y6wEl!5@Di4nr za@@~nKf8n};^dRFTXz36G3@x;9Epo2ihofVj)=|I94tJfPc_lB$%tHaut_y@ZaF$4 z+N0}~IG5i@!N&Um$ylt9tO`LGsns~w*V*;?h|i@sNF$gx8>{r{DN1$6HM-I3pJd)k z)kolm)_liTO*CMKq^`Fd2_8YF258*%YQUtHDpYOZ+?%Bam=5Ih>GXk9-fS~(oHkQt zC3|-9P$7PhS6Y`70^WuB%hq^HOnN)wuj14(c_^)_R7d~QB}Ve7#Nf0Y@o+wv&xdXLJdye}3Cg{fz2U-Y4qkG#b;E!n2=^LJCa>o_#6} zb`Pm{P9HNT99lG2{nCR^rE2mCqz61Z{-ACed_qkUNxDt{7EGg1-Xm{;S*(^HtlShJ z4zB(gE=11g#WPJ=xVVX~z3p(<{sydIR*iJ4_^ahcvjlB?3{K>!V)vCv#0EDOoz3rm zfeiMWk*KiKO20@1nmAg zZ|P5~Leek>&FnCCh%&RWrXW8xJvg0Heov27ACS4Nze-UAmi5;%%Rv5KP@gsy`M!k3 z!+f>}{$3M05#9=1AnJQk0mOuXM<1o#7$^fJ5Q~H3}sJQW=U7?fVQ0~8yQQf>N zb?M4kSZSVA)g;{x9qg#Y3;$zQ5{7@Ud{<&U5VnT+Hv)W-?odyzA~(h4npya1(Xdj=a&qA0{Mcv%L{0X zPLDIMigUIqawL@?_pP;E`I<<=qQxyC2#f3?v7BUvvyv$P9w814(F@ma&hZ35i9XNo;h}~bIaYBiGeGMtoqWp;R zoz}d|hXQ_Q1y;U0kT+9|h8L+Kg!M5ZOse?qZPAMffLbZsvr42#6C>|otf6XtyEIaz zi=$X0t<`8C_2iVy1sot06H?yy7+ZJS^GJ$^5^BKiW-@XRZ|r2qwJ z_gJbLMzWglyqamJu_XpHBJO-NB)R+oeTF0x)@5FwQ@^&l=gJtP^{U4@B_6;og{it8 zn+fT|ueV*@b6$VU|AX~6dRqwPt$ZC5`mRW?BbjhQfi*@agbHWnX!kXe4#^~kG4rI8$TUhVZGcJ z&#y7$BsTz?*iyZREu|b(1F8yq(w1mCXb_)3bMLeo6rX5R6|0@_C|oeQ1y*8O-UWKJ z2?yU{u`7-T6Lmw;$qHR2XKSm_r!4b|-!C6$Swg}^rru1KNY1di*ND|pfq?ho88`K+ zuXi@MtR)T0@z&wHeL^zrdn2Yz=so26k&J=@W;c=z#9*uq^w}gqFK+v<(B;a(+Tjla z8BM#r#s*~Ps{XWRcV~CG)tBP?=1CLkp(IPIF`dB|j$eNR8{f4S^0c*t|5*E|KbPH- zj#?+_hvLfAx!Eu;=EivhTa9B!B*rzDs*gRty;Fi?YO(neKJ~P*4np|#(}*=bj&4g< z2YGX!icgY6m0*@PhM`kN=XjZKrzGQ(#v=qxcsooJa}c50Nkn49*h6Cx1RD+Qkkr@H zHaaOd$aXJe?-(Qr(JIKrz8IOonjOnvLbk*_=i+ZcliJ{lQ6c8SrDGKX@0dGNUEBWelYZ^xy%qF;YxR2Q6}+>=L=9WdG_f6;sAg%;(Fb0R2$)^UzJigV-FI0n1FjY~fikP2I`-9ACw6$B<3 z%eLEp{k*(wXC%@n&q};-y2x|)JAO=7>L>j`ci*`0bsMSZ$_35&3$g{_aM`e1(}}6a zpo(o}J7f&Pa{AL5{jHLrudhP>FDYpZzUVOm0yKIWX~mDd+f)dh{S%hiRk}WLB_x!W z_o4ac+rvU+sca^q`t>|Q70+viUZqd+j(8~v2Mj!(hmKoEAU|tp!_x+xo%wnjJ|=F` z9#&y_OhtK^2b^8ays) z88sdF=0MJMwuVKb)@J@B&TyZ(g$jHB971I?rE;p>DElPUW?109G~l;F;cZBgiJ-3Z z*6exQ+2rFQRphgU8u^U}XA~KCx+dNZ{VdB_y>s>BOnr^S-$K~P6TSCkF~u5>`Nn># zVZ4^gaj*hz1=4hLU9csOt+D*Tm;ko}Fb3pj_DJ^V4P- z_4qPzA$?HJkny>@)XdmKRHCAvl=t-x5mX)-)Lw*i_ZgnGT4*`6kp4>~gI}iP$upG( z8VsY$p7p&!Z6QRN<{!rX@TBD_9oO6c-~({xJ6KKXJ6YU>gv;OTbEF#7%0*k_Df2tR+V&ar;yn{ zE|UI2^CF1H@YhX~Gkd95h-CVgR-^AMd=B&6ky}O=h0W2)(*_Kq$5^o12EG10l~Ls;(m(RoB5= zVU0Hn_)kSzjLbdX{VlSuKvy@jF81@zp#(udV;Qq1h3r&Zdu=(_=Hj>9lBVvN%~pOz z=`1TwJv%0#ykJC!Lkist9@Y;U6-e)C}!>F z-btyxqArdq&G&FO{A}N22t?)jnZEVDT%U9tbrZ%V%bezlgoW&KhzYt3IgjGEC(Dl;yXN8P zWh2_m?#kgfAH(}fFnc0?nXPbc+1_e`gF0=B;|?gQUY0&tVzC#%KoPcJ z`gO0b=c|&^QzC$n_au-4`!qo%QsBcf6JWQwW5zlAnq$b%ff9D#(Gv0cccDBe(^O&L zT;PP=C`w=NdN4$RKAGH>%*e3jUd!e;_S0F15G`9P34FiCpaWL5O6(%TKT@+v>jXbG z)`>ajnDhsjQHn%_GtUR{6f?yXXqm1lQupU5i#r#Kecxxr-1TAfhcrpyw}izB^k9#c zvDUME5>UzZ@@UF>YeKU`PEo=THqjZ^=@0 zyyj}VZ*z#9?K~lDM_mbr92k}qG?R1}I{YqAOMJLhA~Bf2Cg4viN|noOc$l6|nw8sM zqnq_;JR{G}oZwAfAm4vJ4D`aHCzRH2JN3o19C_t^Nk^^TbH3p7pTTTAXCRyl7hqbcE>&LSo?#WA%zyvT7&i{zq&Icndtshet8=~2425z_4L+_54 zWFO#!j}PPB53CQz{M`@d*VdtT+qI@~oj>;wkGF^2_g69Chnw{W1UU5WlJT+Z(d6L( z%*p<^Wc~0kENjtuKTOE6w0H-v1wS70gKzrtLvOBcBY&KM@9)>YF@ekIMbq}yAFnbV z5%cSz<6w30{hn-%>`*sy{Sjd+YoJ|QBtUu$^nR%GLOC+XS6yZs84vkaosn|;NFZJ%pBx5Tv%jy$?VMfRNCNG}v&b6gpLv?9 za@>AQ5_5Aqh%v7X8}MxCw8A6}bYP*h=#LJrD$f$%Q{+%$2`+sRFPWDM(aE-YGcjiy zLC}yBXG?Djfs#ZbJK;YS4-S9=42_XfcZ&FaA2L}Tkbi7Sm)i(s7u#yYRH;J`a zSU2wOjVA=D+CDsUI677^h23uxgT{O{K3*B|K{BBvK=xjPh$f7991~J8i#6{-iU?`8 zppp5;Bj#nr`WSn{QHC$54z(+;X_)!uCbd8dKS>5-}5&8!~S$>U= zwJJ*iUmohep)HhNqglE-zngC%tJTebd&`)wXwU}Yv{tpS%eKQ74C*x~kyyurdi$*x zA=5CYbKHMSnh>a9WG{Q5E`Xyb5=+N?YbowWVR*1`jS(&8R3AOuYy zHoRjQz{h1>=t#h?=8!jgKGY^AiW80Qs}Z-eB5I2BH(*pH))DES@|kX<5}XTVL@+(5n>5KD&qI@v@@S_G3LCufH}7i&GSg{j!5-RB9XKL2t$ zz63%m(0Fpf4ia+X6cFa642qUg(tXd0(mF8C+3`zAC0Y)_T=d!ute0H$mQ#;nO8L~$ z08wbnso1=r43jDYF4ma~R^}ZQRD`c8zK^Q?IMC_&%igv(L&W{ehgodMnvGt0~7htc5}q69e>-#yOjxIIj&TC@U}+l<)?7jD&j}_4*}VE@6&* zbb*}+p4>#ju55%IJK8>t>^^z*;0wW-*SMjT~lkt)m?#ZwyST6To$uv5Ic9 z?(J+ba};Zoa`b!f61incb3orpWUHLeFPRkGg8Mq2pjT;*(ZR4Y?mWb>*jH{hB8VI6 z70g~@y%3?z6;;DPHajwQ4%;_YPCA0#E1d#X~ezhTLL!Songkz%>_d4hJ zxxDxeNpJA#JNqlYuLeR5A3TQ_f3e=JPBH6T zfzdA=0XrlNbBJyPp~B27z_uyir=!AGk=Rm$-$Q9n@2nDN=9f&%XV%x89jjwCmsg;i zqlohRqGe&%ex9Dc?^a)}W~~O+*|XODHn9_Im4WJrgb?#T=YL08o8q5zbr4t~Z@Psi zRRXi6m399TV}I~GKrMYP26pf&G?p$~xTA9CmOb=WO@4dn3`L;R;4zHp^Atv%;(Q&` zvr-GVZ0&A<%H*z(tCiD&REWx?E41u*Zvm3xMAj))8(A?b@BeUV;ZO@mh2+@8PxWeX zRidxFQMtwAT_WmQ)1j>CtTws1d56&c}*lBz2w|S29;70x4H_Qd(hsNqM z_5RtRfznufw5@5SLP{k3H(#E7U|3h)`!6wz@=k&UZ1bbt;79$paXZ98uxHiDmTPgl z$%~LdQT>z`LUjCPP@-YKU=Z|AxV6b))aKCquT|`qI|tw28Xgg_eK%KP!4(s5^SN|j zS}7e0_&&-KeQG}FZol`l8z~K(qfVEsa$a5R`%vL`(XusecO6q)hmby48bjZ(?;T5u zU$wu_Uda7QD*30+sMXY;!Fhz!lrgjr&0lch>ecoS&&DAYTna{+D2jHK9(D}!q10YD z+`)Fn+-^#5NsG{t|4oxFxF^H2M4N22nV&ZO9lC=HR;Nb1ksht{ob}Y7fi?b*cZ~gwIKQ49Vj(4W5x0#)*h%aN`<~cL?Q@8VZYO#0!$A8VPquqepZE z-&+b_US3=jJiB}rXP~LUohB@Dj;kREhsTkph8?9YY{6KFG*dKFZ~_0!M@Z1p@Mw)# zz6&)E2s!|OkGlH=xc@Vuv{`N3NG7VDv!HwhAXevsQ!LQVTo7j{(UyZbGn$5VsO#=6 zD5H0y?K$E)M&>8KU8V67=5LoQrl@U9+kVxL?=#XD$$M?H*eZ5<1{F?^$bPLR20`ie z%iH%%(`)xyab>_yO*_JEB%eAYsJdu)@^^Y3#vuVs^r>OQ(-=_#L1_etL=iOR$@E{L zP?FtXZX)GzA+3*S*j&{w4KQ$#jUdcEZjrJckxzlD-*L#v#B(D0x)RX#NFuud>I{0C zXipdc|Btt^>%|&gkAHiKL4gLa8o>L%ybblV|2qDg#n}P>js9P(?;ofjYRvyed%yiR z`hO9Of6z~<{y#M6-{}9fY5qZj7|{M}3;i4Uzs&m&@)3pnFJtRzK1DGg0N^R=