Actually fix orientation

This commit is contained in:
Zane Kaminski 2022-04-19 08:17:26 -04:00
parent 2cc20199f0
commit 00407817ec
16 changed files with 441 additions and 415 deletions

Binary file not shown.

View File

@ -3858,8 +3858,8 @@
)
(footprint "stdpads:PLCC-32" (layer "F.Cu")
(tedit 625EA602) (tstamp 00000000-0000-0000-0000-000061aa2f48)
(at 135.89 76.2 180)
(tedit 625EA7E2) (tstamp 00000000-0000-0000-0000-000061aa2f48)
(at 135.89 76.2)
(descr "PLCC, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc0015.pdf), generated with kicad-footprint-generator ipc_plcc_jLead_generator.py")
(tags "PLCC LCC")
(property "LCSC Part" "C72257")
@ -3871,122 +3871,122 @@
(attr smd)
(fp_text reference "U10" (at 0 0 90) (layer "F.Fab")
(effects (font (size 0.8128 0.8128) (thickness 0.2032)))
(tstamp c653365e-cbb5-4db8-ab74-878773700149)
(tstamp a5562137-ad29-4684-ae20-e2aa5e74ad47)
)
(fp_text value "39SF040" (at -1.27 0 90) (layer "F.Fab")
(fp_text value "39SF040" (at 1.27 0 90) (layer "F.Fab")
(effects (font (size 0.8128 0.8128) (thickness 0.2032)))
(tstamp 48b6a5f9-bcfb-4382-bbba-6173d7649b56)
(tstamp d0bec7c8-49ff-44f2-ba44-d45628789110)
)
(fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS")
(effects (font (size 0.8128 0.8128) (thickness 0.2032)))
(tstamp e3690fcf-f471-4493-9dd8-b97c3d32be46)
(tstamp ea6101d3-9094-4569-869b-cd2d16388b91)
)
(fp_line (start 5.922782 -5.825) (end 5.64 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp 4ca612c9-4acf-4dfd-bc12-12a65a998b79))
(fp_line (start 7.095 -4.37) (end 7.095 -4.652782) (layer "F.SilkS") (width 0.1524) (tstamp 60846f4b-d916-44c5-baff-b51bd5cb6890))
(fp_line (start -7.095 5.825) (end -5.64 5.825) (layer "F.SilkS") (width 0.1524) (tstamp 745788e3-8e5e-47b4-a055-478b80293622))
(fp_line (start -7.095 -4.37) (end -7.095 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp 753f2be2-cb4e-4c56-a7c6-20ae657a3e05))
(fp_line (start 7.095 4.37) (end 7.095 5.825) (layer "F.SilkS") (width 0.1524) (tstamp 925eb802-8a66-4d80-b68a-e63d1743046f))
(fp_line (start -7.095 -5.825) (end -5.64 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp 963c1c0a-3163-4b74-b173-ce0e6f96d50b))
(fp_line (start -7.095 4.37) (end -7.095 5.825) (layer "F.SilkS") (width 0.1524) (tstamp 97f88a9f-9104-4ac4-8b7d-d0327c6684ee))
(fp_line (start 7.095 5.825) (end 5.64 5.825) (layer "F.SilkS") (width 0.1524) (tstamp a0bdc510-5e0e-4323-946f-86695bb77d82))
(fp_line (start 7.095 -4.652782) (end 5.922782 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp e4e9a9cd-894c-43a6-bbd2-9190e99d71d4))
(fp_line (start -7.23 4.36) (end -7.23 5.96) (layer "F.CrtYd") (width 0.05) (tstamp 018d70e9-0e19-40ca-ba1c-69234bcaa9c2))
(fp_line (start -7.23 5.96) (end -5.63 5.96) (layer "F.CrtYd") (width 0.05) (tstamp 0699057d-1473-4c77-9be5-ae0616578372))
(fp_line (start -7.23 -4.36) (end -7.23 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp 0fefdabe-2535-4581-a5be-dc8e12b6c346))
(fp_line (start -5.63 -5.96) (end -5.63 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp 11a783a9-b1cb-45d5-8774-3c61ec5b013f))
(fp_line (start -7.23 -5.96) (end -5.63 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp 1261e817-5cb3-4392-a40c-3c9c6557d72c))
(fp_line (start 5.63 -5.96) (end 5.63 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp 1779721a-5ea5-4b33-b844-81b6e05c9814))
(fp_line (start 5.63 -6.55) (end 0 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp 29c97724-6428-4a44-b233-58cd5ffb5613))
(fp_line (start -7.82 0) (end -7.82 4.36) (layer "F.CrtYd") (width 0.05) (tstamp 2b407ee2-cc8c-4257-aef7-ec095a1c76d3))
(fp_line (start 5.95 -5.96) (end 5.63 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp 31a5c00d-d2d6-448e-9d0d-91f797013647))
(fp_line (start -7.82 4.36) (end -7.23 4.36) (layer "F.CrtYd") (width 0.05) (tstamp 460c3a78-66da-4cd6-9d78-0021e1a65b60))
(fp_line (start -5.63 -6.55) (end 0 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp 493e505d-3190-4b78-8dd4-99a74352788d))
(fp_line (start -7.82 0) (end -7.82 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp 51af9b84-5a27-406f-bbf2-07777c39ba80))
(fp_line (start 7.82 0) (end 7.82 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp 56ea3991-9f50-4717-ab4c-ad05079e522b))
(fp_line (start 7.23 -4.36) (end 7.23 -4.68) (layer "F.CrtYd") (width 0.05) (tstamp 61fdc753-2cae-429a-bed0-2a0da4272404))
(fp_line (start 7.23 -4.68) (end 5.95 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp 6c316d0b-e625-40c5-bed8-c1f53263b679))
(fp_line (start 7.82 4.36) (end 7.23 4.36) (layer "F.CrtYd") (width 0.05) (tstamp 6f1fc998-4524-4851-b2ed-b404f50e5508))
(fp_line (start 7.23 5.96) (end 5.63 5.96) (layer "F.CrtYd") (width 0.05) (tstamp 7db3d6cf-4a2f-46ba-9425-65fc01e9ded0))
(fp_line (start 5.63 5.96) (end 5.63 6.55) (layer "F.CrtYd") (width 0.05) (tstamp 8ef7f5c8-00d3-400e-b5fa-54b1159f5249))
(fp_line (start 7.82 -4.36) (end 7.23 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp 90b8a596-67a5-43be-802f-327815d0ce4d))
(fp_line (start 7.82 0) (end 7.82 4.36) (layer "F.CrtYd") (width 0.05) (tstamp 917d1f8f-80dc-4603-94dd-599a2dccc83f))
(fp_line (start 5.63 6.55) (end 0 6.55) (layer "F.CrtYd") (width 0.05) (tstamp 9af76e78-8041-4a6b-a609-2ac1acb3ce0e))
(fp_line (start -5.63 5.96) (end -5.63 6.55) (layer "F.CrtYd") (width 0.05) (tstamp 9d83aab4-cdff-40c5-ad4d-529a0d3598b5))
(fp_line (start -5.63 6.55) (end 0 6.55) (layer "F.CrtYd") (width 0.05) (tstamp 9fe37b99-7065-4a3b-a03f-89e9118945a2))
(fp_line (start -7.82 -4.36) (end -7.23 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp a196ebc3-e962-4cb4-8f2e-5187f7740e85))
(fp_line (start 7.23 4.36) (end 7.23 5.96) (layer "F.CrtYd") (width 0.05) (tstamp a841030d-01cd-4f0c-8b47-1defff3429a7))
(fp_line (start 6.985 -0.5) (end 6.277893 0) (layer "F.Fab") (width 0.1) (tstamp 0d85b5a7-c0fb-4a43-96f9-81b4c259f7f2))
(fp_line (start 6.985 0.5) (end 6.985 5.715) (layer "F.Fab") (width 0.1) (tstamp 909ba2a6-9cfe-4280-855c-f1e297cfcf38))
(fp_line (start 6.277893 0) (end 6.985 0.5) (layer "F.Fab") (width 0.1) (tstamp bd3b6a99-8d25-4ae5-b609-4ead373bc373))
(fp_line (start -6.985 -5.715) (end 5.845 -5.715) (layer "F.Fab") (width 0.1) (tstamp c77c89a4-c669-46e3-8d2a-1bc278d48761))
(fp_line (start 5.845 -5.715) (end 6.985 -4.575) (layer "F.Fab") (width 0.1) (tstamp d5727c87-2ad4-4ee2-9858-33970de7c372))
(fp_line (start 6.985 -4.575) (end 6.985 -0.5) (layer "F.Fab") (width 0.1) (tstamp e38c8fd2-07ea-4d96-ac8b-d069a023f3e7))
(fp_line (start 6.985 5.715) (end -6.985 5.715) (layer "F.Fab") (width 0.1) (tstamp f305ee9c-c607-4995-bae9-6b5e75e0ded2))
(fp_line (start -6.985 5.715) (end -6.985 -5.715) (layer "F.Fab") (width 0.1) (tstamp fa455195-fb9f-401d-b5d9-551d59758648))
(pad "1" smd roundrect (at 6.8375 0 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 137 "/Buf/AccA15") (pinfunction "A18") (pintype "input") (tstamp ed7cd936-261a-4920-8d2c-0c09c30f8d0e))
(pad "2" smd roundrect (at 6.8375 -1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 107 "/Buf/AccA9") (pinfunction "A16") (pintype "input") (tstamp c84e7029-d308-415f-a561-600322f00478))
(pad "3" smd roundrect (at 6.8375 -2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 136 "/Buf/AccA14") (pinfunction "A15") (pintype "input") (tstamp 9e5fd781-2eb0-4886-bab8-885f6c1d2646))
(pad "4" smd roundrect (at 6.8375 -3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 120 "/Buf/AccA11") (pinfunction "A12") (pintype "input") (tstamp 38b9d484-3666-4140-937f-96ee1c268967))
(pad "5" smd roundrect (at 5.08 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 113 "/Buf/AccA10") (pinfunction "A7") (pintype "input") (tstamp df579bdd-2ec2-49a1-b604-022eb86c0942))
(pad "6" smd roundrect (at 3.81 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 177 "/Buf/AccA1") (pinfunction "A6") (pintype "input") (tstamp 746a134b-b9f8-45da-9383-67c0d7361bb1))
(pad "7" smd roundrect (at 2.54 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 178 "/Buf/AccA2") (pinfunction "A5") (pintype "input") (tstamp ee3bd01e-c6b7-4ef3-abb5-82248873c44a))
(pad "8" smd roundrect (at 1.27 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 179 "/Buf/AccA3") (pinfunction "A4") (pintype "input") (tstamp 75c8da58-691a-49a7-8762-ab8bd8093c39))
(pad "9" smd roundrect (at 0 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 188 "/Buf/AccA4") (pinfunction "A3") (pintype "input") (tstamp f0476922-409d-4b84-af47-3289eaa65170))
(pad "10" smd roundrect (at -1.27 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 103 "/Buf/AccA5") (pinfunction "A2") (pintype "input") (tstamp 4162c551-02d4-46b5-9519-25abd43a496a))
(pad "11" smd roundrect (at -2.54 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 104 "/Buf/AccA6") (pinfunction "A1") (pintype "input") (tstamp 6957fe23-b15a-4680-b945-5cfcf96a051f))
(pad "12" smd roundrect (at -3.81 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 105 "/Buf/AccA7") (pinfunction "A0") (pintype "input") (tstamp 7d1e74f2-7537-41d6-8c55-3d96b45cb3f2))
(pad "13" smd roundrect (at -5.08 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "GND") (pinfunction "D0") (pintype "bidirectional") (tstamp fe40dae2-6fdb-4e8e-a154-60f5042f205c))
(pad "14" smd roundrect (at -6.8375 -3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 166 "/Buf/AccD1") (pinfunction "D1") (pintype "bidirectional") (tstamp 823c3c36-6fca-4467-aa24-2f0a28ec0e0d))
(pad "15" smd roundrect (at -6.8375 -2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 167 "/Buf/AccD2") (pinfunction "D2") (pintype "bidirectional") (tstamp 3ee6e42d-1613-42df-855e-c4955aa78549))
(pad "16" smd roundrect (at -6.8375 -1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a4bf63f5-6b22-4218-8590-003d7669df52))
(pad "17" smd roundrect (at -6.8375 0 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 165 "/Buf/AccD3") (pinfunction "D3") (pintype "bidirectional") (tstamp 53a79869-4283-4101-a015-456c3129a0c2))
(pad "18" smd roundrect (at -6.8375 1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 168 "/Buf/AccD4") (pinfunction "D4") (pintype "bidirectional") (tstamp 21d8d84a-db44-4364-9034-0e827c43e7c1))
(pad "19" smd roundrect (at -6.8375 2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 164 "/Buf/AccD5") (pinfunction "D5") (pintype "bidirectional") (tstamp 97ed0bd3-7d2e-4366-a6ad-d8e264a8ea4f))
(pad "20" smd roundrect (at -6.8375 3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 162 "/Buf/AccD6") (pinfunction "D6") (pintype "bidirectional") (tstamp 0adab810-b4e7-455f-b474-984f7c96cbbb))
(pad "21" smd roundrect (at -5.08 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 163 "/Buf/AccD7") (pinfunction "D7") (pintype "bidirectional") (tstamp 363b4594-1401-4f1a-991b-ed9e0487313b))
(pad "22" smd roundrect (at -3.81 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 129 "/Control/ROM~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp e07c68f6-7867-443a-9fc9-b7bca22cac76))
(pad "23" smd roundrect (at -2.54 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 126 "/Buf/AccA12") (pinfunction "A10") (pintype "input") (tstamp 6e4111fe-6a8b-4a37-8322-3cd012fd9641))
(pad "24" smd roundrect (at -1.27 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 127 "/Control/~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 38473e85-dc3f-464c-bf2c-eb4b79aea2af))
(pad "25" smd roundrect (at 0 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 134 "/Buf/AccA13") (pinfunction "A11") (pintype "input") (tstamp 65d1002b-4162-43ff-b3d3-6273c8915d85))
(pad "26" smd roundrect (at 1.27 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 138 "/Buf/AccA16") (pinfunction "A9") (pintype "input") (tstamp e8f23be4-048a-43ca-83db-b6275b52ebc7))
(pad "27" smd roundrect (at 2.54 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 139 "/Buf/AccA17") (pinfunction "A8") (pintype "input") (tstamp 098ba194-53d9-46a2-9dd1-a9b11d35e117))
(pad "28" smd roundrect (at 3.81 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 116 "/Control/RA8") (pinfunction "A13") (pintype "input") (tstamp 6769aa86-6c37-4b59-8282-9eb6a1722003))
(pad "29" smd roundrect (at 5.08 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 111 "/Control/RA11") (pinfunction "A14") (pintype "input") (tstamp 23e8504e-72da-4194-9898-065700460ebc))
(pad "30" smd roundrect (at 6.8375 3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 106 "/Buf/AccA8") (pinfunction "A17") (pintype "input") (tstamp af00d957-c3ba-4d8e-b1a0-731ebbe140ab))
(pad "31" smd roundrect (at 6.8375 2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 130 "/Control/ROM~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp d4b85c7c-0597-40c2-85e7-459fb02158d6))
(pad "32" smd roundrect (at 6.8375 1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp 38a7304c-26b5-441c-a1a6-deaf9d4f776a))
(fp_line (start -7.095 -5.825) (end -5.64 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp 6d3928f5-6b62-4b02-af8c-5d559c95275b))
(fp_line (start 7.095 -4.37) (end 7.095 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp 84a9bb77-f831-4c51-bdec-b65af596ff8d))
(fp_line (start -7.095 4.652782) (end -5.922782 5.825) (layer "F.SilkS") (width 0.1524) (tstamp 9355d1c4-7d3c-4640-91be-ea3257ec7613))
(fp_line (start 7.095 4.37) (end 7.095 5.825) (layer "F.SilkS") (width 0.1524) (tstamp a17bd27a-203e-495e-b587-e4e5b0b51da3))
(fp_line (start 7.095 -5.825) (end 5.64 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp a8078b63-a9b8-43d5-ab12-fbfc234e713c))
(fp_line (start -7.095 -4.37) (end -7.095 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp aebab318-efd1-4f3e-9ac3-2eb961ca3957))
(fp_line (start -5.922782 5.825) (end -5.64 5.825) (layer "F.SilkS") (width 0.1524) (tstamp b7e9ba58-e421-4c2d-a185-5ae056f52d71))
(fp_line (start 7.095 5.825) (end 5.64 5.825) (layer "F.SilkS") (width 0.1524) (tstamp c81d93aa-9175-48d8-ac83-b5adbb0f80f5))
(fp_line (start -7.095 4.37) (end -7.095 4.652782) (layer "F.SilkS") (width 0.1524) (tstamp fefa8234-558b-466e-8dfc-5688a4bdf69f))
(fp_line (start -5.95 5.96) (end -5.63 5.96) (layer "F.CrtYd") (width 0.05) (tstamp 0678a877-671a-4f5c-a55a-27c4e2d5d088))
(fp_line (start -5.63 -6.55) (end 0 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp 0c212df9-6cd4-4c6c-8451-1da41e006dca))
(fp_line (start 7.82 4.36) (end 7.23 4.36) (layer "F.CrtYd") (width 0.05) (tstamp 2681db22-9391-4499-8938-dc7b8f7ce163))
(fp_line (start 7.82 -4.36) (end 7.23 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp 2c182e8d-e59e-44ac-8fb7-d37d4d20a0d1))
(fp_line (start -7.23 4.36) (end -7.23 4.68) (layer "F.CrtYd") (width 0.05) (tstamp 35c88956-c0fc-45dc-b516-a644c24044f2))
(fp_line (start -7.23 4.68) (end -5.95 5.96) (layer "F.CrtYd") (width 0.05) (tstamp 37db3102-b7a0-40ff-a344-9153ecfd5029))
(fp_line (start 5.63 5.96) (end 5.63 6.55) (layer "F.CrtYd") (width 0.05) (tstamp 3c01ad17-b6c2-4f18-ab0f-82e12ebbc511))
(fp_line (start -7.23 -5.96) (end -5.63 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp 498fa9a2-f5d2-4899-abfe-d5a3d30cc782))
(fp_line (start -5.63 5.96) (end -5.63 6.55) (layer "F.CrtYd") (width 0.05) (tstamp 54e6ed62-f071-43b1-8487-8ff5035263e3))
(fp_line (start 7.82 0) (end 7.82 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp 616b32a3-af37-4987-821c-de34cf5b902a))
(fp_line (start 7.23 -5.96) (end 5.63 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp 6e97c552-06a7-4e55-9f9c-c0d89504f5e8))
(fp_line (start -7.82 0) (end -7.82 4.36) (layer "F.CrtYd") (width 0.05) (tstamp 7334ad0f-2204-463a-a3eb-6950b1655d52))
(fp_line (start -7.82 4.36) (end -7.23 4.36) (layer "F.CrtYd") (width 0.05) (tstamp 88b15836-8a95-4001-bb77-db0f6ede050e))
(fp_line (start 5.63 -5.96) (end 5.63 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp 90148cdd-e424-453e-9dbb-09bac755dd8d))
(fp_line (start -7.82 -4.36) (end -7.23 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp 93571e1e-53b4-4f61-9f86-4578247c76ce))
(fp_line (start 7.23 4.36) (end 7.23 5.96) (layer "F.CrtYd") (width 0.05) (tstamp a0a4dbcf-b222-4542-bfa9-e164b52d229d))
(fp_line (start -7.23 -4.36) (end -7.23 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp a90927aa-5e0f-4e35-a79d-d76c3a2cb4d9))
(fp_line (start 5.63 6.55) (end 0 6.55) (layer "F.CrtYd") (width 0.05) (tstamp bd15b774-9372-4c0a-b2c9-8c6818860167))
(fp_line (start -5.63 6.55) (end 0 6.55) (layer "F.CrtYd") (width 0.05) (tstamp c10d6f68-c142-481d-84ca-82b6c3f8c5ca))
(fp_line (start 5.63 -6.55) (end 0 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp c51de39d-fa75-4ec5-90f8-9c16896bd560))
(fp_line (start 7.23 -4.36) (end 7.23 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp c9bf4826-4354-451b-aac9-b35106cbe31e))
(fp_line (start 7.23 5.96) (end 5.63 5.96) (layer "F.CrtYd") (width 0.05) (tstamp e3303627-bdb8-418a-b224-ee6b806de9b4))
(fp_line (start 7.82 0) (end 7.82 4.36) (layer "F.CrtYd") (width 0.05) (tstamp e9021f4f-101f-42ee-a164-81f328dab414))
(fp_line (start -5.63 -5.96) (end -5.63 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp eb3fcfc7-0a54-44a2-94ee-9f9521f1a466))
(fp_line (start -7.82 0) (end -7.82 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp f95679f3-bd41-4445-8f3a-b7b13f8ee088))
(fp_line (start -6.985 0.5) (end -6.277893 0) (layer "F.Fab") (width 0.1) (tstamp 1ec6c13d-6d1d-40ea-80a8-07b322ea6a75))
(fp_line (start -5.845 5.715) (end -6.985 4.575) (layer "F.Fab") (width 0.1) (tstamp 35eb5d72-bc2b-4f29-845d-117eefae5dcc))
(fp_line (start -6.985 -5.715) (end 6.985 -5.715) (layer "F.Fab") (width 0.1) (tstamp 59781025-9aed-4765-a1ae-485c61fdc460))
(fp_line (start -6.985 -0.5) (end -6.985 -5.715) (layer "F.Fab") (width 0.1) (tstamp 7a01877d-d5a0-4a9b-90e3-71ed37b776c9))
(fp_line (start -6.277893 0) (end -6.985 -0.5) (layer "F.Fab") (width 0.1) (tstamp 8e9261af-ee77-4b89-bd1b-45893b1911b4))
(fp_line (start -6.985 4.575) (end -6.985 0.5) (layer "F.Fab") (width 0.1) (tstamp 9b9b9da6-1721-455c-9aed-ee7775c01d88))
(fp_line (start 6.985 5.715) (end -5.845 5.715) (layer "F.Fab") (width 0.1) (tstamp bdef4c27-75a4-4874-aa81-d2317865be52))
(fp_line (start 6.985 -5.715) (end 6.985 5.715) (layer "F.Fab") (width 0.1) (tstamp e149ed54-d3a3-4f77-a455-ede80f9a9a0b))
(pad "1" smd roundrect (at -6.8375 0 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 137 "/Buf/AccA15") (pinfunction "A18") (pintype "input") (tstamp 26e7cf6f-3f33-44e7-8fba-e346a3981293))
(pad "2" smd roundrect (at -6.8375 1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 107 "/Buf/AccA9") (pinfunction "A16") (pintype "input") (tstamp 56cb52b3-3497-4178-bc1f-72d61e80717f))
(pad "3" smd roundrect (at -6.8375 2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 136 "/Buf/AccA14") (pinfunction "A15") (pintype "input") (tstamp e4241cc4-31fb-4270-84af-fe0b22a68459))
(pad "4" smd roundrect (at -6.8375 3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 120 "/Buf/AccA11") (pinfunction "A12") (pintype "input") (tstamp 7853aa1d-fb16-441f-8b2b-fdd75adde4b7))
(pad "5" smd roundrect (at -5.08 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 113 "/Buf/AccA10") (pinfunction "A7") (pintype "input") (tstamp b0c57853-abfa-46f7-a60d-27cf71dfdd4a))
(pad "6" smd roundrect (at -3.81 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 177 "/Buf/AccA1") (pinfunction "A6") (pintype "input") (tstamp 3b625d1e-6cf2-4695-acf1-30e6694e3148))
(pad "7" smd roundrect (at -2.54 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 178 "/Buf/AccA2") (pinfunction "A5") (pintype "input") (tstamp 75bed226-4086-497d-a4c1-3c5d2638e41a))
(pad "8" smd roundrect (at -1.27 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 179 "/Buf/AccA3") (pinfunction "A4") (pintype "input") (tstamp 4afba2c4-c987-477b-a14c-4fd9373989a7))
(pad "9" smd roundrect (at 0 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 188 "/Buf/AccA4") (pinfunction "A3") (pintype "input") (tstamp 18c337b7-ef7e-402c-831a-d15f82f3d817))
(pad "10" smd roundrect (at 1.27 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 103 "/Buf/AccA5") (pinfunction "A2") (pintype "input") (tstamp 0d4ccc0b-d809-4449-8065-488621a133c9))
(pad "11" smd roundrect (at 2.54 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 104 "/Buf/AccA6") (pinfunction "A1") (pintype "input") (tstamp 13887ad8-ac9d-4d63-85a9-3affea284df6))
(pad "12" smd roundrect (at 3.81 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 105 "/Buf/AccA7") (pinfunction "A0") (pintype "input") (tstamp c2b88f6d-61e3-4d79-9203-15604a30d404))
(pad "13" smd roundrect (at 5.08 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "GND") (pinfunction "D0") (pintype "bidirectional") (tstamp 857ffac7-6061-4736-bed1-2883089d1135))
(pad "14" smd roundrect (at 6.8375 3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 166 "/Buf/AccD1") (pinfunction "D1") (pintype "bidirectional") (tstamp 9ee8768d-a631-47c0-af34-641079b4293e))
(pad "15" smd roundrect (at 6.8375 2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 167 "/Buf/AccD2") (pinfunction "D2") (pintype "bidirectional") (tstamp 956c547c-f966-49b4-a3b6-b65cdae5ac98))
(pad "16" smd roundrect (at 6.8375 1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp a3fca4cb-2a2f-4704-b6e3-45677e1235bd))
(pad "17" smd roundrect (at 6.8375 0 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 165 "/Buf/AccD3") (pinfunction "D3") (pintype "bidirectional") (tstamp 5d694c8d-c41c-479e-8236-cc60b37c07ff))
(pad "18" smd roundrect (at 6.8375 -1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 168 "/Buf/AccD4") (pinfunction "D4") (pintype "bidirectional") (tstamp 73b425f8-9c01-4db5-9b7f-366f3becdee6))
(pad "19" smd roundrect (at 6.8375 -2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 164 "/Buf/AccD5") (pinfunction "D5") (pintype "bidirectional") (tstamp bb47fa8c-9a6c-468f-9a08-0cc040c2a1c1))
(pad "20" smd roundrect (at 6.8375 -3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 162 "/Buf/AccD6") (pinfunction "D6") (pintype "bidirectional") (tstamp 0f71ad6e-b45b-4bff-83a4-50f242738372))
(pad "21" smd roundrect (at 5.08 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 163 "/Buf/AccD7") (pinfunction "D7") (pintype "bidirectional") (tstamp 5b244189-045e-4731-b57d-5c9549b179de))
(pad "22" smd roundrect (at 3.81 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 129 "/Control/ROM~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp dde18ac3-fb0b-445e-a756-c95780f9fe92))
(pad "23" smd roundrect (at 2.54 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 126 "/Buf/AccA12") (pinfunction "A10") (pintype "input") (tstamp 66aea435-9d59-4810-bc66-41da808fe8ab))
(pad "24" smd roundrect (at 1.27 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 127 "/Control/~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp e172c202-5d20-49ab-b7f9-33072eac7cc2))
(pad "25" smd roundrect (at 0 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 134 "/Buf/AccA13") (pinfunction "A11") (pintype "input") (tstamp c77dc6d8-c404-433c-a2ea-b1b8ae138b14))
(pad "26" smd roundrect (at -1.27 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 138 "/Buf/AccA16") (pinfunction "A9") (pintype "input") (tstamp fcd6d32b-684a-4335-911d-e972cfd31427))
(pad "27" smd roundrect (at -2.54 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 139 "/Buf/AccA17") (pinfunction "A8") (pintype "input") (tstamp 842fe2f8-7816-49cd-9cb1-d67f9cd2d32b))
(pad "28" smd roundrect (at -3.81 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 116 "/Control/RA8") (pinfunction "A13") (pintype "input") (tstamp 499ec476-5a0d-477b-9a1b-2e1803131930))
(pad "29" smd roundrect (at -5.08 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 111 "/Control/RA11") (pinfunction "A14") (pintype "input") (tstamp 85bd51a3-146b-4761-bc80-b2ab18acdc22))
(pad "30" smd roundrect (at -6.8375 -3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 106 "/Buf/AccA8") (pinfunction "A17") (pintype "input") (tstamp cbdd87ac-0b2b-43e4-8ba6-5e95bd04a021))
(pad "31" smd roundrect (at -6.8375 -2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 130 "/Control/ROM~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 4772434b-1bca-4c3f-bf31-c1847f0549a8))
(pad "32" smd roundrect (at -6.8375 -1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp e9cfb3ac-0cdf-421c-b61b-321fdbced981))
(model "${KIPRJMOD}/../stdpads.3dshapes/PLCC-32.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -3995,8 +3995,8 @@
)
(footprint "stdpads:PLCC-32" (layer "F.Cu")
(tedit 625EA602) (tstamp 00000000-0000-0000-0000-000061aa2f96)
(at 161.29 76.2 180)
(tedit 625EA7E2) (tstamp 00000000-0000-0000-0000-000061aa2f96)
(at 161.29 76.2)
(descr "PLCC, 32 Pin (http://ww1.microchip.com/downloads/en/DeviceDoc/doc0015.pdf), generated with kicad-footprint-generator ipc_plcc_jLead_generator.py")
(tags "PLCC LCC")
(property "LCSC Part" "C72257")
@ -4008,122 +4008,122 @@
(attr smd)
(fp_text reference "U11" (at 0 0 90) (layer "F.Fab")
(effects (font (size 0.8128 0.8128) (thickness 0.2032)))
(tstamp 5f91ca81-1f2f-4b6b-bae4-186d667fe005)
(tstamp e036dc27-6f24-4978-9973-3e29584577de)
)
(fp_text value "39SF040" (at -1.27 0 90) (layer "F.Fab")
(fp_text value "39SF040" (at 1.27 0 90) (layer "F.Fab")
(effects (font (size 0.8128 0.8128) (thickness 0.2032)))
(tstamp ae4254d4-0829-4530-92f4-07e26a03c21c)
(tstamp 6915dd0a-8bb1-46d4-a2fe-02541de28463)
)
(fp_text user "${REFERENCE}" (at 0 0 90) (layer "F.SilkS")
(effects (font (size 0.8128 0.8128) (thickness 0.2032)))
(tstamp 8e858a24-4730-4b80-9bbc-a78d159becd4)
(tstamp 0e64024c-9a14-4ac8-b5e9-9d854b240eee)
)
(fp_line (start 7.095 -4.652782) (end 5.922782 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp 0f96c805-2250-4d63-9d98-1a2845288272))
(fp_line (start 7.095 4.37) (end 7.095 5.825) (layer "F.SilkS") (width 0.1524) (tstamp 7ad4a384-a6ac-436d-b074-43d41279b74c))
(fp_line (start 7.095 -4.37) (end 7.095 -4.652782) (layer "F.SilkS") (width 0.1524) (tstamp 7b42fc73-c9bf-4371-80a7-8eb29386306a))
(fp_line (start -7.095 -4.37) (end -7.095 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp b48803e3-e532-4a6a-9b90-d37084eb7a27))
(fp_line (start 7.095 5.825) (end 5.64 5.825) (layer "F.SilkS") (width 0.1524) (tstamp c4f98d67-6592-44c9-8100-d58cc39b8037))
(fp_line (start -7.095 -5.825) (end -5.64 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp e2acea50-7e7d-4818-a4cc-247aeabc00f7))
(fp_line (start 5.922782 -5.825) (end 5.64 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp e4d563ab-e0d0-4ac4-a1be-1036f6b02864))
(fp_line (start -7.095 5.825) (end -5.64 5.825) (layer "F.SilkS") (width 0.1524) (tstamp f9ed3b68-da82-4cc1-82ff-dd13ba5666dc))
(fp_line (start -7.095 4.37) (end -7.095 5.825) (layer "F.SilkS") (width 0.1524) (tstamp fdfb56a7-4896-44f1-ae6a-76124af142d7))
(fp_line (start -7.23 -4.36) (end -7.23 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp 059989b0-e23d-4c48-beb1-818d11ec4c47))
(fp_line (start -5.63 6.55) (end 0 6.55) (layer "F.CrtYd") (width 0.05) (tstamp 52ca09a9-77ab-4c54-a260-696d6678947e))
(fp_line (start 7.23 4.36) (end 7.23 5.96) (layer "F.CrtYd") (width 0.05) (tstamp 5a523265-8bdf-4688-93ec-8ebc0d721670))
(fp_line (start 7.82 -4.36) (end 7.23 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp 6359607d-85e1-4b4a-924f-709e50a6ed4b))
(fp_line (start 7.23 -4.36) (end 7.23 -4.68) (layer "F.CrtYd") (width 0.05) (tstamp 6c8fe69f-4817-4ea3-b729-bb37a7d1551f))
(fp_line (start -7.82 -4.36) (end -7.23 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp 712b01f3-c531-4b5a-b5a6-8df1e32997cc))
(fp_line (start -5.63 -5.96) (end -5.63 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp 7e9db89d-84d0-4cb5-aadf-221e6c248b9f))
(fp_line (start -7.82 4.36) (end -7.23 4.36) (layer "F.CrtYd") (width 0.05) (tstamp 7fe01ef8-6f5f-468c-bfc1-e904b517635f))
(fp_line (start 5.63 5.96) (end 5.63 6.55) (layer "F.CrtYd") (width 0.05) (tstamp 8de21372-a980-499c-b710-540bfe82895c))
(fp_line (start -5.63 5.96) (end -5.63 6.55) (layer "F.CrtYd") (width 0.05) (tstamp 9204f77c-220b-45a0-8094-b9a492bd9016))
(fp_line (start -7.23 -5.96) (end -5.63 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp 999939fe-571c-43a4-b12a-2d07f646a617))
(fp_line (start 7.23 5.96) (end 5.63 5.96) (layer "F.CrtYd") (width 0.05) (tstamp 9ad14298-eec1-4283-a11a-e4c887866d8f))
(fp_line (start -5.63 -6.55) (end 0 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp aa1524a2-7fa3-40a0-b442-e85bd11b3530))
(fp_line (start -7.82 0) (end -7.82 4.36) (layer "F.CrtYd") (width 0.05) (tstamp b362d2a9-b32e-4034-98c6-1bfdcbe4a5be))
(fp_line (start 7.82 4.36) (end 7.23 4.36) (layer "F.CrtYd") (width 0.05) (tstamp b72d9995-07a7-435f-951d-b54d5ba0ab11))
(fp_line (start 5.63 -6.55) (end 0 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp ba3f563e-4827-4d3c-be49-54133f2a999b))
(fp_line (start 7.82 0) (end 7.82 4.36) (layer "F.CrtYd") (width 0.05) (tstamp cb1f21fa-ca88-48b2-9a3c-28a40afc08c5))
(fp_line (start 5.95 -5.96) (end 5.63 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp cfee4b40-bf89-4f4d-814f-f2fc3e978b54))
(fp_line (start 5.63 6.55) (end 0 6.55) (layer "F.CrtYd") (width 0.05) (tstamp d151fc8b-f6d8-44d3-9844-cd7f0a2a2fd0))
(fp_line (start 7.82 0) (end 7.82 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp d9dfe572-3df1-4e69-89b6-7ea71c479a5a))
(fp_line (start -7.23 4.36) (end -7.23 5.96) (layer "F.CrtYd") (width 0.05) (tstamp e0865ab7-e95c-4d84-b2ee-1110789115aa))
(fp_line (start 5.63 -5.96) (end 5.63 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp f01d4cb6-3561-437b-b240-90da0d1b1326))
(fp_line (start -7.23 5.96) (end -5.63 5.96) (layer "F.CrtYd") (width 0.05) (tstamp f0437b9d-d098-457b-9b42-babbf1dd62ca))
(fp_line (start -7.82 0) (end -7.82 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp f8cb6c5f-bca0-44c0-8ef1-10dc18fc3351))
(fp_line (start 7.23 -4.68) (end 5.95 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp fa10298a-0515-451c-b008-2ee44deb5f05))
(fp_line (start 6.985 5.715) (end -6.985 5.715) (layer "F.Fab") (width 0.1) (tstamp 1320aa74-6000-47ba-90a8-cc942337fb69))
(fp_line (start -6.985 -5.715) (end 5.845 -5.715) (layer "F.Fab") (width 0.1) (tstamp 65f30497-d24e-4469-b71d-49474a3d3330))
(fp_line (start 6.985 -0.5) (end 6.277893 0) (layer "F.Fab") (width 0.1) (tstamp 715a54c2-23a7-4656-aeb0-ff8272f1d767))
(fp_line (start 6.277893 0) (end 6.985 0.5) (layer "F.Fab") (width 0.1) (tstamp 81c92e64-4d50-4075-8216-9b400f6a7f19))
(fp_line (start 5.845 -5.715) (end 6.985 -4.575) (layer "F.Fab") (width 0.1) (tstamp a51a0e90-ec16-46c2-9a31-0d64dd11144c))
(fp_line (start -6.985 5.715) (end -6.985 -5.715) (layer "F.Fab") (width 0.1) (tstamp b05de6a4-ac22-4bc4-9297-27067b3ea1f2))
(fp_line (start 6.985 -4.575) (end 6.985 -0.5) (layer "F.Fab") (width 0.1) (tstamp babec341-54b9-4d85-a424-0555bd349194))
(fp_line (start 6.985 0.5) (end 6.985 5.715) (layer "F.Fab") (width 0.1) (tstamp fa7f30a0-ae3d-4e6b-8941-8bdb6f35eb2d))
(pad "1" smd roundrect (at 6.8375 0 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 107 "/Buf/AccA9") (pinfunction "A18") (pintype "input") (tstamp ab3eb26d-b336-44d0-ab1c-750877dd9c33))
(pad "2" smd roundrect (at 6.8375 -1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 177 "/Buf/AccA1") (pinfunction "A16") (pintype "input") (tstamp 31092ca9-4169-40f8-aca6-77c10996868f))
(pad "3" smd roundrect (at 6.8375 -2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 179 "/Buf/AccA3") (pinfunction "A15") (pintype "input") (tstamp bba5eae3-b40b-43b4-a346-c9169118f2c7))
(pad "4" smd roundrect (at 6.8375 -3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 105 "/Buf/AccA7") (pinfunction "A12") (pintype "input") (tstamp f6db338a-b544-40f9-9692-f8ebf5b7cf4d))
(pad "5" smd roundrect (at 5.08 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 104 "/Buf/AccA6") (pinfunction "A7") (pintype "input") (tstamp 457d7621-9db2-4580-a4f9-f2babe348603))
(pad "6" smd roundrect (at 3.81 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 103 "/Buf/AccA5") (pinfunction "A6") (pintype "input") (tstamp 9870282e-7964-4f95-9346-022468810a6b))
(pad "7" smd roundrect (at 2.54 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 188 "/Buf/AccA4") (pinfunction "A5") (pintype "input") (tstamp 5e5312b0-cb84-4a81-a82b-2fe233ba42b0))
(pad "8" smd roundrect (at 1.27 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 178 "/Buf/AccA2") (pinfunction "A4") (pintype "input") (tstamp 70826a39-069d-4eea-8fab-c14084f03f2d))
(pad "9" smd roundrect (at 0 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 113 "/Buf/AccA10") (pinfunction "A3") (pintype "input") (tstamp 68c4f21a-b760-4d5d-a09e-c9369ad5dc6f))
(pad "10" smd roundrect (at -1.27 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 120 "/Buf/AccA11") (pinfunction "A2") (pintype "input") (tstamp 518ec3b7-3f87-431d-85db-9341ba09fc9e))
(pad "11" smd roundrect (at -2.54 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 136 "/Buf/AccA14") (pinfunction "A1") (pintype "input") (tstamp 66c11067-6c1b-4ee5-8944-96b5185900f0))
(pad "12" smd roundrect (at -3.81 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 137 "/Buf/AccA15") (pinfunction "A0") (pintype "input") (tstamp e5f69e35-8e44-4bfe-b2b0-7134d2b47c71))
(pad "13" smd roundrect (at -5.08 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 174 "/Buf/AccD8") (pinfunction "D0") (pintype "bidirectional") (tstamp 54da6d2e-80cb-47bc-b21c-a02359069df6))
(pad "14" smd roundrect (at -6.8375 -3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 173 "/Buf/AccD9") (pinfunction "D1") (pintype "bidirectional") (tstamp 0499fd6b-ab30-49d5-a27a-21a047e0024f))
(pad "15" smd roundrect (at -6.8375 -2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 175 "/Buf/AccD10") (pinfunction "D2") (pintype "bidirectional") (tstamp 3217d2ef-9ee3-42bb-8566-fc76374377dc))
(pad "16" smd roundrect (at -6.8375 -1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp be73cb45-9d7d-45eb-a8f1-4320691c3e92))
(pad "17" smd roundrect (at -6.8375 0 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 172 "/Buf/AccD11") (pinfunction "D3") (pintype "bidirectional") (tstamp ac1b8622-c163-4dcc-b1f0-ded06e77e172))
(pad "18" smd roundrect (at -6.8375 1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 176 "/Buf/AccD12") (pinfunction "D4") (pintype "bidirectional") (tstamp 351d64b7-431c-4b26-bc5b-bca51b07f2ae))
(pad "19" smd roundrect (at -6.8375 2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 171 "/Buf/AccD13") (pinfunction "D5") (pintype "bidirectional") (tstamp 6dcb61a9-27f4-42c2-b7f2-66a643f3617b))
(pad "20" smd roundrect (at -6.8375 3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 169 "/Buf/AccD14") (pinfunction "D6") (pintype "bidirectional") (tstamp f8099348-b466-4fd7-8fd0-8b078cfab016))
(pad "21" smd roundrect (at -5.08 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 170 "/Buf/AccD15") (pinfunction "D7") (pintype "bidirectional") (tstamp d6acb968-7fed-4fc7-8707-36b09a5e5320))
(pad "22" smd roundrect (at -3.81 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 129 "/Control/ROM~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp 9dcc6b25-fa9c-4074-9ce9-abb66bcbf72c))
(pad "23" smd roundrect (at -2.54 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 106 "/Buf/AccA8") (pinfunction "A10") (pintype "input") (tstamp 5d01dae9-bea0-41c9-bf85-48c6ebb38766))
(pad "24" smd roundrect (at -1.27 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 127 "/Control/~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 967db358-63c5-4273-8f02-cdda8e7df9e5))
(pad "25" smd roundrect (at 0 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 111 "/Control/RA11") (pinfunction "A11") (pintype "input") (tstamp dddb2321-0502-4a0e-b929-d323a8a7c30d))
(pad "26" smd roundrect (at 1.27 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 116 "/Control/RA8") (pinfunction "A9") (pintype "input") (tstamp 381507af-1831-494e-bcb2-d025f5e10a1f))
(pad "27" smd roundrect (at 2.54 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 139 "/Buf/AccA17") (pinfunction "A8") (pintype "input") (tstamp 545a070e-6acd-40f9-94e4-e1cee5632ad3))
(pad "28" smd roundrect (at 3.81 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 138 "/Buf/AccA16") (pinfunction "A13") (pintype "input") (tstamp e6a90cee-577e-4afc-b36a-f205971aa867))
(pad "29" smd roundrect (at 5.08 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 134 "/Buf/AccA13") (pinfunction "A14") (pintype "input") (tstamp 35fb8104-a568-49bc-bd44-9dbe9b446152))
(pad "30" smd roundrect (at 6.8375 3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 126 "/Buf/AccA12") (pinfunction "A17") (pintype "input") (tstamp 35f08968-527a-41ae-a873-04a038999604))
(pad "31" smd roundrect (at 6.8375 2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 130 "/Control/ROM~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp 48764053-d51d-4fac-99b0-a255c6aabf9b))
(pad "32" smd roundrect (at 6.8375 1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp 60b972c7-4dc7-4bf2-8f33-6d852c55dc05))
(fp_line (start -5.922782 5.825) (end -5.64 5.825) (layer "F.SilkS") (width 0.1524) (tstamp 04fa27f4-2422-4cee-b571-1e79c67f5977))
(fp_line (start 7.095 -5.825) (end 5.64 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp 12a60f28-9834-473b-a173-8b630cf25ea4))
(fp_line (start 7.095 4.37) (end 7.095 5.825) (layer "F.SilkS") (width 0.1524) (tstamp 64dda870-a438-4216-b986-8f48d6f8f8b4))
(fp_line (start -7.095 -4.37) (end -7.095 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp 6974cb49-62ce-47ba-abc6-ee6d6a1df77b))
(fp_line (start 7.095 -4.37) (end 7.095 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp 748ea05b-bcdb-4acb-851b-243d0797b35a))
(fp_line (start -7.095 4.37) (end -7.095 4.652782) (layer "F.SilkS") (width 0.1524) (tstamp 7954962a-2394-4875-bf27-ac7a6591c461))
(fp_line (start -7.095 -5.825) (end -5.64 -5.825) (layer "F.SilkS") (width 0.1524) (tstamp 961517ae-059f-4dd9-84a1-d99e51eae9f0))
(fp_line (start -7.095 4.652782) (end -5.922782 5.825) (layer "F.SilkS") (width 0.1524) (tstamp b9704708-22b0-4dd1-932f-b6d642c2086c))
(fp_line (start 7.095 5.825) (end 5.64 5.825) (layer "F.SilkS") (width 0.1524) (tstamp cb6a46da-8ddd-46e9-a83e-d971b4ee577a))
(fp_line (start -7.23 -4.36) (end -7.23 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp 00db602d-a604-4234-8d7b-4f13df3dac55))
(fp_line (start 7.82 0) (end 7.82 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp 0b5797b4-6107-4094-ae26-7846671149b9))
(fp_line (start 5.63 6.55) (end 0 6.55) (layer "F.CrtYd") (width 0.05) (tstamp 100190d5-1d1a-4463-b2e5-bb34f3ce1b20))
(fp_line (start 5.63 -5.96) (end 5.63 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp 33117ce1-b142-4296-aebc-f7eb3f951ed5))
(fp_line (start -7.82 4.36) (end -7.23 4.36) (layer "F.CrtYd") (width 0.05) (tstamp 45a8f14a-6660-418d-8d72-4026215cc76b))
(fp_line (start -7.23 4.68) (end -5.95 5.96) (layer "F.CrtYd") (width 0.05) (tstamp 4cea5bb7-3965-4872-b04e-693d26f67b41))
(fp_line (start -5.63 6.55) (end 0 6.55) (layer "F.CrtYd") (width 0.05) (tstamp 4f3c7961-3059-4fcf-b71d-2602416c9be4))
(fp_line (start 7.23 5.96) (end 5.63 5.96) (layer "F.CrtYd") (width 0.05) (tstamp 7d3b133f-2754-4452-995d-27190b8d5b7b))
(fp_line (start -5.95 5.96) (end -5.63 5.96) (layer "F.CrtYd") (width 0.05) (tstamp 9c4bb891-2a81-490f-b46e-b81040e98285))
(fp_line (start 7.82 -4.36) (end 7.23 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp a280c10b-6313-42a9-8aa8-70d24217235d))
(fp_line (start 7.23 -5.96) (end 5.63 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp a3f49271-46f8-47a7-ab6f-fac623af5d59))
(fp_line (start 5.63 -6.55) (end 0 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp a51354f1-bb06-4130-b1b9-df95b2ae90ea))
(fp_line (start 7.82 0) (end 7.82 4.36) (layer "F.CrtYd") (width 0.05) (tstamp a60fc433-3431-4876-9c78-864a4f850ff4))
(fp_line (start -7.23 -5.96) (end -5.63 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp a64aff81-0220-4492-ae19-1b4d2406ce2b))
(fp_line (start -7.82 -4.36) (end -7.23 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp a828cdf7-c702-4354-9fd7-a3eb3e5c65d3))
(fp_line (start 7.23 -4.36) (end 7.23 -5.96) (layer "F.CrtYd") (width 0.05) (tstamp ada140d0-d28a-46a7-a293-62f9e965e8c7))
(fp_line (start -7.82 0) (end -7.82 4.36) (layer "F.CrtYd") (width 0.05) (tstamp b0e46c50-37ce-46fe-b7cb-245ad20cdd3e))
(fp_line (start -5.63 5.96) (end -5.63 6.55) (layer "F.CrtYd") (width 0.05) (tstamp ba368433-b8f4-4991-83db-affabeb51046))
(fp_line (start 7.23 4.36) (end 7.23 5.96) (layer "F.CrtYd") (width 0.05) (tstamp c2240a18-159d-448c-975e-7bedc7280985))
(fp_line (start -5.63 -5.96) (end -5.63 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp d07d63d9-ed81-407d-8a77-9105e9d4a231))
(fp_line (start -7.82 0) (end -7.82 -4.36) (layer "F.CrtYd") (width 0.05) (tstamp d1199b8b-0179-4211-b22a-9fbe3f2f7aa8))
(fp_line (start -5.63 -6.55) (end 0 -6.55) (layer "F.CrtYd") (width 0.05) (tstamp d480ce12-1e87-4fff-8e88-57ec40bbf2fd))
(fp_line (start 7.82 4.36) (end 7.23 4.36) (layer "F.CrtYd") (width 0.05) (tstamp e68bca3d-7341-44ee-b99f-bf1a40b771d8))
(fp_line (start -7.23 4.36) (end -7.23 4.68) (layer "F.CrtYd") (width 0.05) (tstamp ec9b0902-8d42-4afc-8f12-cf0c9da8d089))
(fp_line (start 5.63 5.96) (end 5.63 6.55) (layer "F.CrtYd") (width 0.05) (tstamp fd6414f6-e6c9-41b1-a362-7cb1e8a94e6e))
(fp_line (start -6.277893 0) (end -6.985 -0.5) (layer "F.Fab") (width 0.1) (tstamp 0a3dd85f-bd3d-4b4b-89f8-4e4bcd5c22b4))
(fp_line (start 6.985 5.715) (end -5.845 5.715) (layer "F.Fab") (width 0.1) (tstamp 4f5da6c2-49d3-4207-8747-801e7ce89946))
(fp_line (start -5.845 5.715) (end -6.985 4.575) (layer "F.Fab") (width 0.1) (tstamp 501b9d0c-1f8e-45d1-ac54-18678f397169))
(fp_line (start -6.985 -5.715) (end 6.985 -5.715) (layer "F.Fab") (width 0.1) (tstamp 6bfee57b-9b5c-41d6-8d55-fd50b7908285))
(fp_line (start 6.985 -5.715) (end 6.985 5.715) (layer "F.Fab") (width 0.1) (tstamp 83de1e85-e5b3-4245-99c4-4e798706fd31))
(fp_line (start -6.985 0.5) (end -6.277893 0) (layer "F.Fab") (width 0.1) (tstamp b67bef3e-2460-423f-b845-45b3ecf9dc8b))
(fp_line (start -6.985 4.575) (end -6.985 0.5) (layer "F.Fab") (width 0.1) (tstamp b80ca6a3-be49-4f2e-a524-0346ef850be8))
(fp_line (start -6.985 -0.5) (end -6.985 -5.715) (layer "F.Fab") (width 0.1) (tstamp f57ea913-6166-43bf-b8e9-01b35178c076))
(pad "1" smd roundrect (at -6.8375 0 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 107 "/Buf/AccA9") (pinfunction "A18") (pintype "input") (tstamp eeaf9e31-793b-4807-8c61-092f1c94b690))
(pad "2" smd roundrect (at -6.8375 1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 177 "/Buf/AccA1") (pinfunction "A16") (pintype "input") (tstamp 11454c18-e3ec-4c6a-8ea9-d9db48ff11cf))
(pad "3" smd roundrect (at -6.8375 2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 179 "/Buf/AccA3") (pinfunction "A15") (pintype "input") (tstamp df56e99c-9a47-4e3c-a1e5-8e9905f86176))
(pad "4" smd roundrect (at -6.8375 3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 105 "/Buf/AccA7") (pinfunction "A12") (pintype "input") (tstamp d96f4a6a-3d9c-4f0f-86e4-0b65a8755d40))
(pad "5" smd roundrect (at -5.08 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 104 "/Buf/AccA6") (pinfunction "A7") (pintype "input") (tstamp 431b85ca-0e0d-4958-a8cc-4249dceb0e0b))
(pad "6" smd roundrect (at -3.81 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 103 "/Buf/AccA5") (pinfunction "A6") (pintype "input") (tstamp d5f132da-ab9c-455f-bdea-6c1b99dbf56e))
(pad "7" smd roundrect (at -2.54 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 188 "/Buf/AccA4") (pinfunction "A5") (pintype "input") (tstamp c38d52fe-9df3-4e46-978e-0554d978c6cd))
(pad "8" smd roundrect (at -1.27 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 178 "/Buf/AccA2") (pinfunction "A4") (pintype "input") (tstamp 3c698c77-c9f0-4989-b460-4e0f1911d8bb))
(pad "9" smd roundrect (at 0 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 113 "/Buf/AccA10") (pinfunction "A3") (pintype "input") (tstamp 3bae4808-c77b-423e-a1fe-78e80a5add76))
(pad "10" smd roundrect (at 1.27 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 120 "/Buf/AccA11") (pinfunction "A2") (pintype "input") (tstamp 377bfe9d-cb54-4e0b-a3cf-f985dfd1d1e2))
(pad "11" smd roundrect (at 2.54 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 136 "/Buf/AccA14") (pinfunction "A1") (pintype "input") (tstamp f0997604-16d3-41d2-90bf-1cf6d2e9973a))
(pad "12" smd roundrect (at 3.81 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 137 "/Buf/AccA15") (pinfunction "A0") (pintype "input") (tstamp 1b8da04f-95e3-4321-9bee-54762fddfa42))
(pad "13" smd roundrect (at 5.08 5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 174 "/Buf/AccD8") (pinfunction "D0") (pintype "bidirectional") (tstamp b044d12e-250f-4d0c-9c8d-6e0302af4639))
(pad "14" smd roundrect (at 6.8375 3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 173 "/Buf/AccD9") (pinfunction "D1") (pintype "bidirectional") (tstamp b0ac5091-036b-4278-8be3-f656b413582b))
(pad "15" smd roundrect (at 6.8375 2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 175 "/Buf/AccD10") (pinfunction "D2") (pintype "bidirectional") (tstamp df5c6cba-be37-401e-a875-9ac0219b6220))
(pad "16" smd roundrect (at 6.8375 1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 1 "GND") (pinfunction "GND") (pintype "power_in") (tstamp e7d48cce-b666-4867-a9c2-12bd33756d89))
(pad "17" smd roundrect (at 6.8375 0 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 172 "/Buf/AccD11") (pinfunction "D3") (pintype "bidirectional") (tstamp 2797b018-bc1e-41bd-9729-2612c69f1044))
(pad "18" smd roundrect (at 6.8375 -1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 176 "/Buf/AccD12") (pinfunction "D4") (pintype "bidirectional") (tstamp 3ba81d99-a10e-485b-8cfa-390d44ecce7b))
(pad "19" smd roundrect (at 6.8375 -2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 171 "/Buf/AccD13") (pinfunction "D5") (pintype "bidirectional") (tstamp 3108606b-66f4-4f5d-8ace-58fd64e2c1c1))
(pad "20" smd roundrect (at 6.8375 -3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 169 "/Buf/AccD14") (pinfunction "D6") (pintype "bidirectional") (tstamp 39f96993-b7da-497f-84b0-1beb53c195c3))
(pad "21" smd roundrect (at 5.08 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 170 "/Buf/AccD15") (pinfunction "D7") (pintype "bidirectional") (tstamp 1fca1245-18d9-45e5-8039-b5819c27b714))
(pad "22" smd roundrect (at 3.81 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 129 "/Control/ROM~{CS}") (pinfunction "~{CS}") (pintype "input") (tstamp 4055453e-b993-472f-99d5-07d631488aa6))
(pad "23" smd roundrect (at 2.54 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 106 "/Buf/AccA8") (pinfunction "A10") (pintype "input") (tstamp b4646353-19ba-4c9b-915b-881a6ff5708c))
(pad "24" smd roundrect (at 1.27 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 127 "/Control/~{OE}") (pinfunction "~{OE}") (pintype "input") (tstamp 648a5cbd-69e6-465b-a50f-9a6f6e3f9211))
(pad "25" smd roundrect (at 0 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 111 "/Control/RA11") (pinfunction "A11") (pintype "input") (tstamp a7d6c3ad-eec6-4695-a04c-6d820b14f868))
(pad "26" smd roundrect (at -1.27 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 116 "/Control/RA8") (pinfunction "A9") (pintype "input") (tstamp e08ad618-82f7-432d-9ed5-3540ac6e4e4d))
(pad "27" smd roundrect (at -2.54 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 139 "/Buf/AccA17") (pinfunction "A8") (pintype "input") (tstamp 0e016f19-7aae-4a87-95ef-21b5b5383f54))
(pad "28" smd roundrect (at -3.81 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 138 "/Buf/AccA16") (pinfunction "A13") (pintype "input") (tstamp 5d26db8c-c502-49b6-9a0a-76e10e4be0a1))
(pad "29" smd roundrect (at -5.08 -5.5625 90) (size 1.475 0.6) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 134 "/Buf/AccA13") (pinfunction "A14") (pintype "input") (tstamp 1c798c4b-a687-4ebc-b04a-97efc17fd6a3))
(pad "30" smd roundrect (at -6.8375 -3.81 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 126 "/Buf/AccA12") (pinfunction "A17") (pintype "input") (tstamp 86e95cc4-1de7-4402-bea1-358cf7cf4ab7))
(pad "31" smd roundrect (at -6.8375 -2.54 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 130 "/Control/ROM~{WE}") (pinfunction "~{WE}") (pintype "input") (tstamp f81b3903-476a-436c-b91f-233156b0a9ea))
(pad "32" smd roundrect (at -6.8375 -1.27 90) (size 0.6 1.475) (layers "F.Cu" "F.Paste" "F.Mask") (roundrect_rratio 0.25)
(net 2 "+5V") (pinfunction "VCC") (pintype "power_in") (tstamp 9782d436-a1cb-4be5-8d8b-a1130a9b4639))
(model "${KIPRJMOD}/../stdpads.3dshapes/PLCC-32.wrl"
(offset (xyz 0 0 0))
(scale (xyz 1 1 1))
@ -13011,7 +13011,7 @@
(segment (start 181.05 112.55) (end 180.25 112.55) (width 0.5) (layer "F.Cu") (net 1) (tstamp f91af5c6-f772-414f-ab9b-e32fc7825614))
(segment (start 172.2755 49.784) (end 141.859 49.784) (width 0.15) (layer "F.Cu") (net 1) (tstamp fa199e75-9a1c-47c6-99e6-ac31cb4ae0ae))
(via (at 194.2465 44.0055) (size 0.8) (drill 0.4) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1892))
(via (at 167.64 71.12) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1896))
(via (at 167.64 71.12) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 00000000-0000-0000-0000-000061aa1896))
(via (at 137.16 63.246) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1897))
(via (at 137.922 58.42) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1899))
(via (at 165.354 108.585) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa189a))
@ -13154,9 +13154,9 @@
(via (at 145.669 74.2315) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1add))
(via (at 139.065 75.311) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ade))
(via (at 163.83 68.2625) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1adf))
(via (at 162.56 69.4055) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ae1))
(via (at 163.83 69.4055) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ae2))
(via (at 165.1 69.4055) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ae3))
(via (at 162.56 69.4055) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ae1))
(via (at 163.83 69.4055) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ae2))
(via (at 165.1 69.4055) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ae3))
(via (at 165.1 67.5005) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1ae4))
(via (at 135.509 100.711) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1aee))
(via (at 161.671 96.901) (size 0.6) (drill 0.3) (layers "F.Cu" "B.Cu") (net 1) (tstamp 00000000-0000-0000-0000-000061aa1af1))
@ -13655,7 +13655,7 @@
(via (at 104.013 107.188) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b956653e-fe0e-4e3b-9cb6-4b7baa28edb6))
(via (at 187.325 128.778) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp b9bb3b58-2f58-4c0e-a3fa-acb3f812b642))
(via (at 141.6685 42.1005) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ba3d1a6a-aee7-42ab-8fc4-101901b61a32))
(via (at 155.067 70.6755) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp ba923e1d-10cc-488e-be02-3d1767d90c29))
(via (at 155.067 70.6755) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (free) (net 1) (tstamp ba923e1d-10cc-488e-be02-3d1767d90c29))
(via (at 110.25 113.35) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp bada7cb8-d437-4529-99d3-646c2ba32281))
(via (at 201.549 139.192) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp bc899fb9-7f46-4d6c-9279-26acd4105f51))
(via (at 104.013 112.268) (size 0.5) (drill 0.2) (layers "F.Cu" "B.Cu") (net 1) (tstamp bd9b36e1-7e0d-4f10-af5d-b2b32ba5e419))

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-04-19T08:09:19-04:00*
G04 #@! TF.CreationDate,2022-04-19T08:16:37-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L4,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:09:19*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:16:37*
%MOMM*%
%LPD*%
G01*
@ -60,25 +60,25 @@ G04 #@! TA.AperFunction,ViaPad*
%ADD19C,1.270000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD20C,0.600000*%
%ADD20C,0.150000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD21C,0.800000*%
%ADD21C,0.600000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD22C,1.000000*%
%ADD22C,0.800000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD23C,1.524000*%
%ADD23C,1.000000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD24C,1.270000*%
%ADD24C,1.524000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD25C,0.500000*%
%ADD25C,1.270000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD26C,0.150000*%
%ADD26C,0.500000*%
G04 #@! TD*
G04 #@! TA.AperFunction,Conductor*
%ADD27C,0.450000*%
@ -563,6 +563,8 @@ X150495000Y-57658000D03*
X146685000Y-57658000D03*
D15*
X125250000Y-115650000D03*
X189950000Y-91900000D03*
X178550000Y-82800000D03*
X197550000Y-63900000D03*
X192850000Y-119050000D03*
X194250000Y-116250000D03*
@ -1458,8 +1460,6 @@ X192150000Y-89950000D03*
X177750000Y-80850000D03*
X192150000Y-91200000D03*
X177750000Y-82150000D03*
X189950000Y-91900000D03*
X178550000Y-82800000D03*
X189950000Y-90600000D03*
X178550000Y-81500000D03*
X189950000Y-89300000D03*
@ -1520,6 +1520,15 @@ X105600500Y-114998500D03*
X106553000Y-120523000D03*
X113600000Y-108100000D03*
D20*
X186900000Y-83400000D02*
X186300000Y-82800000D01*
X186900000Y-88850000D02*
X186900000Y-83400000D01*
X186300000Y-82800000D02*
X178550000Y-82800000D01*
X189950000Y-91900000D02*
X186900000Y-88850000D01*
D21*
X132700000Y-91500000D02*
X132700000Y-89550000D01*
X126350000Y-87300000D02*
@ -1530,10 +1539,10 @@ X127400000Y-90150000D02*
X127400000Y-88350000D01*
X124350000Y-85350000D02*
X125150000Y-85350000D01*
D21*
D22*
X128450000Y-89400000D02*
X132000000Y-89400000D01*
D20*
D21*
X132700000Y-89550000D02*
X131650000Y-88500000D01*
X125150000Y-85350000D02*
@ -1608,10 +1617,10 @@ X127400000Y-88400000D02*
X127400000Y-88500000D01*
X124350000Y-85350000D02*
X127400000Y-88400000D01*
D22*
D23*
X123700000Y-85350000D02*
X124850000Y-85350000D01*
D23*
D24*
X117665500Y-72962809D02*
X116652691Y-71950000D01*
X117665500Y-73914000D02*
@ -1624,7 +1633,7 @@ X116652691Y-71950000D02*
X116652691Y-71243809D01*
X116652691Y-71950000D02*
X116750000Y-71852691D01*
D22*
D23*
X116750000Y-69315500D02*
X114046000Y-66611500D01*
X116750000Y-71852691D02*
@ -1649,55 +1658,55 @@ X121375000Y-56900000D02*
X121850000Y-56900000D01*
X168021000Y-51562000D02*
X161544000Y-45085000D01*
D20*
D21*
X182000000Y-53300000D02*
X182450000Y-53750000D01*
X186182000Y-55880000D02*
X182630000Y-55880000D01*
D22*
D23*
X114046000Y-64229000D02*
X115687500Y-62587500D01*
D24*
D25*
X119300000Y-69302691D02*
X117665500Y-70937191D01*
D22*
D23*
X114046000Y-66611500D02*
X114046000Y-64229000D01*
X121850000Y-56900000D02*
X122700000Y-57750000D01*
D20*
D21*
X181450000Y-54750000D02*
X182050000Y-54750000D01*
X183900000Y-55150000D02*
X184700000Y-55150000D01*
D25*
D26*
X121850000Y-56900000D02*
X121400000Y-56450000D01*
D20*
D21*
X130650000Y-89500000D02*
X132650000Y-91500000D01*
X185137000Y-49530000D02*
X185850000Y-50243000D01*
X182700000Y-54000000D02*
X182000000Y-53300000D01*
D25*
D26*
X106553000Y-108047000D02*
X108000000Y-106600000D01*
D20*
D21*
X181000000Y-55347000D02*
X181000000Y-53750000D01*
D22*
D23*
X120000000Y-102350000D02*
X119200000Y-101550000D01*
D25*
D26*
X107378500Y-111252000D02*
X106553000Y-110426500D01*
D22*
D23*
X121850000Y-56900000D02*
X121000000Y-57750000D01*
X115687500Y-62587500D02*
X121375000Y-56900000D01*
D20*
D21*
X182050000Y-54750000D02*
X182700000Y-54750000D01*
X185850000Y-54000000D02*
@ -1720,74 +1729,74 @@ X186002000Y-55700000D02*
X186182000Y-55880000D01*
X180350000Y-53150000D02*
X176630000Y-53150000D01*
D25*
D26*
X121206000Y-56444000D02*
X121800000Y-55850000D01*
D20*
D21*
X183900000Y-55150000D02*
X184825000Y-54225000D01*
X182750000Y-54050000D02*
X184200000Y-54050000D01*
D22*
D23*
X120000000Y-102950000D02*
X120000000Y-102350000D01*
D20*
D21*
X184200000Y-54050000D02*
X185000000Y-53250000D01*
X177927000Y-53340000D02*
X176440000Y-53340000D01*
D22*
D23*
X131826000Y-45085000D02*
X121850000Y-55061000D01*
D20*
D21*
X181420000Y-53150000D02*
X180350000Y-53150000D01*
X177927000Y-53340000D02*
X178393000Y-53340000D01*
X181610000Y-53340000D02*
X180975000Y-53340000D01*
D22*
D23*
X171577000Y-51562000D02*
X168021000Y-51562000D01*
D20*
D21*
X183450000Y-54750000D02*
X183500000Y-54750000D01*
X182000000Y-53300000D02*
X183450000Y-54750000D01*
D25*
D26*
X116332000Y-52070000D02*
X120706000Y-56444000D01*
X121800000Y-55850000D02*
X121850000Y-55850000D01*
D20*
D21*
X186563000Y-49530000D02*
X183896000Y-49530000D01*
X130850000Y-89500000D02*
X130300000Y-89500000D01*
X182450000Y-54350000D02*
X182050000Y-54750000D01*
D22*
D23*
X173355000Y-53340000D02*
X171577000Y-51562000D01*
D20*
D21*
X185700000Y-55100000D02*
X184825000Y-54225000D01*
D25*
D26*
X121162000Y-56900000D02*
X121850000Y-56900000D01*
D20*
D21*
X185850000Y-50243000D02*
X186563000Y-49530000D01*
D25*
D26*
X121000000Y-57850000D02*
X121000000Y-56738000D01*
D22*
D23*
X122700000Y-57850000D02*
X121000000Y-57850000D01*
D25*
D26*
X108077000Y-111252000D02*
X106553000Y-111252000D01*
D20*
D21*
X185850000Y-53050000D02*
X186400000Y-52500000D01*
X186563000Y-49530000D02*
@ -1800,18 +1809,18 @@ X181325000Y-55150000D02*
X181350000Y-55150000D01*
X185850000Y-55100000D02*
X185700000Y-55100000D01*
D25*
D26*
X121400000Y-56450000D02*
X120712000Y-56450000D01*
D20*
D21*
X132650000Y-91500000D02*
X130600000Y-89450000D01*
X128025000Y-89925000D02*
X127600000Y-90350000D01*
D25*
D26*
X120706000Y-56444000D02*
X121206000Y-56444000D01*
D20*
D21*
X180500000Y-53300000D02*
X182000000Y-53300000D01*
X178943000Y-53340000D02*
@ -1820,26 +1829,26 @@ X185000000Y-53250000D02*
X185000000Y-50634000D01*
X180467000Y-54283000D02*
X181410000Y-53340000D01*
D25*
D26*
X108000000Y-106600000D02*
X108700000Y-106600000D01*
D20*
D21*
X180467000Y-55880000D02*
X177927000Y-53340000D01*
X180467000Y-55880000D02*
X180595000Y-55880000D01*
D25*
D26*
X108077000Y-111252000D02*
X107378500Y-111252000D01*
D20*
D21*
X180467000Y-55880000D02*
X180467000Y-54864000D01*
X129625000Y-89925000D02*
X130050000Y-89500000D01*
D24*
D25*
X117665500Y-70937191D02*
X117665500Y-73914000D01*
D20*
D21*
X180467000Y-54229000D02*
X180276500Y-54038500D01*
X179578000Y-53340000D02*
@ -1862,41 +1871,41 @@ X129050000Y-88500000D02*
X130050000Y-89500000D01*
X180276500Y-54038500D02*
X180738500Y-54038500D01*
D25*
D26*
X121000000Y-56738000D02*
X120706000Y-56444000D01*
D22*
D23*
X122700000Y-57750000D02*
X122700000Y-57850000D01*
D25*
D26*
X106553000Y-110426500D02*
X106553000Y-108047000D01*
D20*
D21*
X180350000Y-53150000D02*
X181850000Y-53150000D01*
X180467000Y-55880000D02*
X180467000Y-54283000D01*
D22*
D23*
X114046000Y-64704000D02*
X121850000Y-56900000D01*
D20*
D21*
X185550000Y-50543000D02*
X186563000Y-49530000D01*
D25*
D26*
X120712000Y-56450000D02*
X120706000Y-56444000D01*
D20*
D21*
X181350000Y-55150000D02*
X181900000Y-55150000D01*
X185452000Y-55150000D02*
X186182000Y-55880000D01*
D22*
D23*
X115687500Y-62587500D02*
X115400000Y-62875000D01*
D25*
D26*
X106553000Y-111252000D02*
X106553000Y-110426500D01*
D20*
D21*
X130050000Y-89500000D02*
X130650000Y-89500000D01*
X180276500Y-55689500D02*
@ -1907,20 +1916,20 @@ X180276500Y-55223500D02*
X180276500Y-55689500D01*
X180276500Y-54038500D02*
X180276500Y-55223500D01*
D25*
D26*
X120712000Y-57138000D02*
X120712000Y-56450000D01*
D20*
D21*
X129300000Y-88500000D02*
X127400000Y-88500000D01*
X181000000Y-53750000D02*
X181410000Y-53340000D01*
D22*
D23*
X114046000Y-66611500D02*
X114046000Y-64704000D01*
X119200000Y-101550000D02*
X119200000Y-96750000D01*
D20*
D21*
X184700000Y-55150000D02*
X185850000Y-54000000D01*
X186563000Y-52337000D02*
@ -1929,20 +1938,20 @@ X183896000Y-49530000D02*
X185137000Y-49530000D01*
X182000000Y-53300000D02*
X182750000Y-54050000D01*
D25*
D26*
X120706000Y-56444000D02*
X121162000Y-56900000D01*
D20*
D21*
X182450000Y-55700000D02*
X186002000Y-55700000D01*
X181350000Y-55150000D02*
X185452000Y-55150000D01*
X183896000Y-49530000D02*
X184537000Y-49530000D01*
D22*
D23*
X121000000Y-57750000D02*
X121000000Y-57850000D01*
D20*
D21*
X132700000Y-91500000D02*
X132650000Y-91500000D01*
X181900000Y-55150000D02*
@ -1951,16 +1960,16 @@ X129200000Y-90350000D02*
X129625000Y-89925000D01*
X184537000Y-49530000D02*
X185550000Y-50543000D01*
D22*
D23*
X161544000Y-45085000D02*
X131826000Y-45085000D01*
D20*
D21*
X129625000Y-89925000D02*
X128025000Y-89925000D01*
D22*
D23*
X121850000Y-55061000D02*
X121850000Y-55850000D01*
D20*
D21*
X183500000Y-54750000D02*
X185000000Y-53250000D01*
X178943000Y-53340000D02*
@ -1971,10 +1980,10 @@ X179641500Y-54038500D02*
X180276500Y-54038500D01*
X181850000Y-53150000D02*
X182000000Y-53300000D01*
D22*
D23*
X176440000Y-53340000D02*
X178943000Y-53340000D01*
D20*
D21*
X130050000Y-89500000D02*
X130850000Y-89500000D01*
X130600000Y-89450000D02*
@ -1997,24 +2006,24 @@ X130300000Y-89500000D02*
X129300000Y-88500000D01*
X185850000Y-55100000D02*
X185850000Y-55548000D01*
D22*
D23*
X119300000Y-69302691D02*
X116737191Y-69302691D01*
D20*
D21*
X127600000Y-90350000D02*
X129200000Y-90350000D01*
D22*
D23*
X176440000Y-53340000D02*
X173355000Y-53340000D01*
X121850000Y-56900000D02*
X121850000Y-55850000D01*
D20*
D21*
X186400000Y-52500000D02*
X186400000Y-49693000D01*
D22*
D23*
X115400000Y-62875000D02*
X115400000Y-64450000D01*
D20*
D21*
X127400000Y-88500000D02*
X129050000Y-88500000D01*
X180467000Y-54864000D02*
@ -2025,10 +2034,10 @@ X185850000Y-53650000D02*
X185850000Y-53050000D01*
X180975000Y-53340000D02*
X180276500Y-54038500D01*
D22*
D23*
X116737191Y-69302691D02*
X114046000Y-66611500D01*
D26*
D20*
X167195500Y-114495500D02*
X164999750Y-114495500D01*
X164999750Y-114495500D02*
@ -2555,13 +2564,13 @@ X175800000Y-43700000D02*
X175950000Y-43850000D01*
X165493000Y-43700000D02*
X175800000Y-43700000D01*
D25*
D26*
X192400000Y-39800000D02*
X195350000Y-39800000D01*
D27*
X197675500Y-47525250D02*
X197675500Y-40425500D01*
D25*
D26*
X197050000Y-39800000D02*
X195350000Y-39800000D01*
D27*
@ -2569,18 +2578,18 @@ X193350000Y-51850750D02*
X197675500Y-47525250D01*
X193350000Y-56450000D02*
X193350000Y-51850750D01*
D25*
D26*
X185000000Y-43700000D02*
X188500000Y-43700000D01*
D27*
X197675500Y-40425500D02*
X197050000Y-39800000D01*
D25*
D26*
X184550000Y-43250000D02*
X185000000Y-43700000D01*
X188500000Y-43700000D02*
X192400000Y-39800000D01*
D26*
D20*
X108000000Y-112600000D02*
X106553000Y-114047000D01*
X110950000Y-111500000D02*
@ -3819,14 +3828,6 @@ X186100000Y-82150000D02*
X187200000Y-83250000D01*
X177750000Y-82150000D02*
X186100000Y-82150000D01*
X186900000Y-83400000D02*
X186300000Y-82800000D01*
X186900000Y-88850000D02*
X186900000Y-83400000D01*
X186300000Y-82800000D02*
X178550000Y-82800000D01*
X189950000Y-91900000D02*
X186900000Y-88850000D01*
X185900000Y-81500000D02*
X178550000Y-81500000D01*
X187500000Y-83100000D02*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-04-19T08:09:20-04:00*
G04 #@! TF.CreationDate,2022-04-19T08:16:38-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Bot*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:09:20*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:16:38*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-04-19T08:09:20-04:00*
G04 #@! TF.CreationDate,2022-04-19T08:16:38-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:09:20*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:16:38*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-04-19T08:09:20-04:00*
G04 #@! TF.CreationDate,2022-04-19T08:16:38-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:09:20*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:16:38*
%MOMM*%
%LPD*%
G01*

View File

@ -1,11 +1,11 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-04-19T08:09:20-04:00*
G04 #@! TF.CreationDate,2022-04-19T08:16:38-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:09:20*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:16:38*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-04-19T08:09:19-04:00*
G04 #@! TF.CreationDate,2022-04-19T08:16:37-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L1,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:09:19*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:16:37*
%MOMM*%
%LPD*%
G01*
@ -1496,6 +1496,8 @@ X150495000Y-57658000D03*
X146685000Y-57658000D03*
D49*
X125250000Y-115650000D03*
X189950000Y-91900000D03*
X178550000Y-82800000D03*
X197550000Y-63900000D03*
X192850000Y-119050000D03*
X194250000Y-116250000D03*
@ -2391,8 +2393,6 @@ X192150000Y-89950000D03*
X177750000Y-80850000D03*
X192150000Y-91200000D03*
X177750000Y-82150000D03*
X189950000Y-91900000D03*
X178550000Y-82800000D03*
X189950000Y-90600000D03*
X178550000Y-81500000D03*
X189950000Y-89300000D03*
@ -3021,6 +3021,17 @@ X110450000Y-108600000D01*
D58*
X125250000Y-116762500D02*
X125250000Y-115650000D01*
D59*
X191050000Y-91900000D02*
X189950000Y-91900000D01*
X176650000Y-82800000D02*
X178550000Y-82800000D01*
X140970000Y-81762500D02*
X140970000Y-82931000D01*
X140970000Y-82931000D02*
X143560000Y-85521000D01*
X143560000Y-85521000D02*
X143560000Y-91627500D01*
D55*
X174250000Y-92000000D02*
X174250000Y-92950000D01*
@ -3080,6 +3091,10 @@ X146812000Y-47625000D01*
D56*
X110099974Y-49393974D02*
X109601000Y-48895000D01*
D59*
X132715000Y-72390000D02*
X132715000Y-69278500D01*
D56*
X109601000Y-48895000D02*
X109601000Y-48514000D01*
D57*
@ -3124,6 +3139,9 @@ X169950000Y-46050000D01*
D55*
X145845000Y-47625000D02*
X145845000Y-46658000D01*
D59*
X139065000Y-63373000D02*
X139065000Y-61341000D01*
D56*
X111896025Y-49412025D02*
X112522000Y-50038000D01*
@ -3133,6 +3151,10 @@ X187579000Y-48030000D02*
X186856500Y-48030000D01*
X186856500Y-48030000D02*
X186690000Y-48196500D01*
D59*
X141859000Y-49784000D02*
X138430000Y-53213000D01*
D56*
X194300000Y-110800000D02*
X193500000Y-110800000D01*
X190300000Y-54600000D02*
@ -3172,9 +3194,15 @@ X187579000Y-48030000D02*
X188301500Y-48030000D01*
X190300000Y-53900000D02*
X190300000Y-53200000D01*
D59*
X178550000Y-82800000D02*
X180550000Y-80800000D01*
D57*
X176650000Y-114000000D02*
X174600000Y-114000000D01*
D59*
X181250000Y-68650000D02*
X176300000Y-63700000D01*
D55*
X149450000Y-67850000D02*
X149450000Y-68800000D01*
@ -3184,6 +3212,10 @@ X170000000Y-43200000D01*
D56*
X125500000Y-119500000D02*
X125500000Y-118750000D01*
D59*
X138430000Y-53213000D02*
X138430000Y-58928000D01*
D56*
X188450000Y-41150000D02*
X187750000Y-41150000D01*
D64*
@ -3192,8 +3224,16 @@ X181950000Y-41162500D01*
D56*
X121850000Y-59200000D02*
X121150000Y-59200000D01*
D59*
X133159500Y-68834000D02*
X133604000Y-68834000D01*
D56*
X175550000Y-41050000D02*
X174750000Y-41050000D01*
D59*
X181250000Y-74850000D02*
X181250000Y-68650000D01*
D56*
X117750000Y-119600000D02*
X117650000Y-119600000D01*
D57*
@ -3205,12 +3245,19 @@ X188750000Y-112550000D01*
D55*
X151335000Y-47625000D02*
X151335000Y-48592000D01*
D59*
X139065000Y-59563000D02*
X139065000Y-61341000D01*
D56*
X181050000Y-103450000D02*
X180250000Y-103450000D01*
D57*
X171150000Y-48400000D02*
X170050000Y-48400000D01*
D59*
X180550000Y-77950000D02*
X180350000Y-77750000D01*
D57*
X171150000Y-48400000D02*
X172250000Y-48400000D01*
D56*
@ -3250,33 +3297,63 @@ X178700000Y-114000000D01*
D56*
X181050000Y-94350000D02*
X180250000Y-94350000D01*
D59*
X176300000Y-53808500D02*
X172275500Y-49784000D01*
X180350000Y-75750000D02*
X181250000Y-74850000D01*
D55*
X149450000Y-66900000D02*
X149250000Y-66700000D01*
D59*
X138430000Y-58928000D02*
X139065000Y-59563000D01*
D58*
X193350000Y-58850000D02*
X193350000Y-59750000D01*
D59*
X133604000Y-68834000D02*
X139065000Y-63373000D01*
D55*
X131572000Y-99871000D02*
X131572000Y-100800000D01*
D59*
X180350000Y-77750000D02*
X180350000Y-75750000D01*
D57*
X171150000Y-45800000D02*
X170200000Y-45800000D01*
D59*
X176300000Y-63700000D02*
X176300000Y-53808500D01*
D56*
X189550000Y-103450000D02*
X188750000Y-103450000D01*
D64*
X181950000Y-42187500D02*
X181950000Y-43212500D01*
D59*
X132715000Y-69278500D02*
X133159500Y-68834000D01*
X180550000Y-80800000D02*
X180550000Y-77950000D01*
D56*
X190300000Y-53900000D02*
X189500000Y-53900000D01*
D60*
X120822614Y-64522614D02*
X118800000Y-62500000D01*
D59*
X140970000Y-81762500D02*
X140970000Y-80645000D01*
X140970000Y-80645000D02*
X132715000Y-72390000D01*
D56*
X181050000Y-112550000D02*
X180250000Y-112550000D01*
D59*
X172275500Y-49784000D02*
X141859000Y-49784000D01*
D55*
X172085000Y-54991000D02*
X173037500Y-54991000D01*
@ -6332,58 +6409,6 @@ X176000000Y-53953000D02*
X176000000Y-63850000D01*
X139065000Y-53213000D02*
X142176500Y-50101500D01*
X191050000Y-91900000D02*
X189950000Y-91900000D01*
X176650000Y-82800000D02*
X178550000Y-82800000D01*
X140970000Y-81762500D02*
X140970000Y-82931000D01*
X140970000Y-82931000D02*
X143560000Y-85521000D01*
X143560000Y-85521000D02*
X143560000Y-91627500D01*
X132715000Y-72390000D02*
X132715000Y-69278500D01*
X139065000Y-63373000D02*
X139065000Y-61341000D01*
X141859000Y-49784000D02*
X138430000Y-53213000D01*
X178550000Y-82800000D02*
X180550000Y-80800000D01*
X181250000Y-68650000D02*
X176300000Y-63700000D01*
X138430000Y-53213000D02*
X138430000Y-58928000D01*
X133159500Y-68834000D02*
X133604000Y-68834000D01*
X181250000Y-74850000D02*
X181250000Y-68650000D01*
X139065000Y-59563000D02*
X139065000Y-61341000D01*
X180550000Y-77950000D02*
X180350000Y-77750000D01*
X176300000Y-53808500D02*
X172275500Y-49784000D01*
X180350000Y-75750000D02*
X181250000Y-74850000D01*
X138430000Y-58928000D02*
X139065000Y-59563000D01*
X133604000Y-68834000D02*
X139065000Y-63373000D01*
X180350000Y-77750000D02*
X180350000Y-75750000D01*
X176300000Y-63700000D02*
X176300000Y-53808500D01*
X132715000Y-69278500D02*
X133159500Y-68834000D01*
X180550000Y-80800000D02*
X180550000Y-77950000D01*
X140970000Y-81762500D02*
X140970000Y-80645000D01*
X140970000Y-80645000D02*
X132715000Y-72390000D01*
X172275500Y-49784000D02*
X141859000Y-49784000D01*
X191050000Y-90600000D02*
X189950000Y-90600000D01*
X176650000Y-81500000D02*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-04-19T08:09:20-04:00*
G04 #@! TF.CreationDate,2022-04-19T08:16:38-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Soldermask,Top*
G04 #@! TF.FilePolarity,Negative*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:09:20*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:16:38*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-04-19T08:09:20-04:00*
G04 #@! TF.CreationDate,2022-04-19T08:16:37-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:09:20*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:16:37*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-04-19T08:09:20-04:00*
G04 #@! TF.CreationDate,2022-04-19T08:16:38-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:09:20*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:16:38*
%MOMM*%
%LPD*%
G01*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-04-19T08:09:19-04:00*
G04 #@! TF.CreationDate,2022-04-19T08:16:37-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L2,Inr*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:09:19*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:16:37*
%MOMM*%
%LPD*%
G01*
@ -539,6 +539,8 @@ X150495000Y-57658000D03*
X146685000Y-57658000D03*
D15*
X125250000Y-115650000D03*
X189950000Y-91900000D03*
X178550000Y-82800000D03*
X197550000Y-63900000D03*
X192850000Y-119050000D03*
X194250000Y-116250000D03*
@ -1434,8 +1436,6 @@ X192150000Y-89950000D03*
X177750000Y-80850000D03*
X192150000Y-91200000D03*
X177750000Y-82150000D03*
X189950000Y-91900000D03*
X178550000Y-82800000D03*
X189950000Y-90600000D03*
X178550000Y-81500000D03*
X189950000Y-89300000D03*

View File

@ -1,12 +1,12 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,(6.0.2-0)*
G04 #@! TF.CreationDate,2022-04-19T08:09:19-04:00*
G04 #@! TF.CreationDate,2022-04-19T08:16:37-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,rev?*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Copper,L3,Inr*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:09:19*
G04 Created by KiCad (PCBNEW (6.0.2-0)) date 2022-04-19 08:16:37*
%MOMM*%
%LPD*%
G01*
@ -539,6 +539,8 @@ X150495000Y-57658000D03*
X146685000Y-57658000D03*
D15*
X125250000Y-115650000D03*
X189950000Y-91900000D03*
X178550000Y-82800000D03*
X197550000Y-63900000D03*
X192850000Y-119050000D03*
X194250000Y-116250000D03*
@ -1434,8 +1436,6 @@ X192150000Y-89950000D03*
X177750000Y-80850000D03*
X192150000Y-91200000D03*
X177750000Y-82150000D03*
X189950000Y-91900000D03*
X178550000Y-82800000D03*
X189950000Y-90600000D03*
X178550000Y-81500000D03*
X189950000Y-89300000D03*

View File

@ -1,6 +1,6 @@
%!PS-Adobe-3.0
%%Creator: PCBNEW
%%CreationDate: Tue Apr 19 08:09:23 2022
%%CreationDate: Tue Apr 19 08:16:40 2022
%%Title: ()
%%Pages: 1
%%PageOrder: Ascend

View File

@ -81,8 +81,8 @@ Ref,Val,Package,MidX,MidY,Rotation,Side
"U7","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",174.100000,-45.475000,-90.000000,top
"U8","KM48C2100","SOJ-28_300mil",135.890000,-58.166000,-90.000000,top
"U9","KM48C2100","SOJ-28_300mil",161.290000,-58.166000,-90.000000,top
"U10","39SF040","PLCC-32",135.890000,-76.200000,180.000000,top
"U11","39SF040","PLCC-32",161.290000,-76.200000,180.000000,top
"U10","39SF040","PLCC-32",135.890000,-76.200000,0.000000,top
"U11","39SF040","PLCC-32",161.290000,-76.200000,0.000000,top
"U12","CH340G","SOIC-16_3.9mm",182.753000,-48.895000,90.000000,top
"U13","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",188.100000,-116.925000,-90.000000,top
"U14","MC68HC000FN20","PLCC-68",148.590000,-103.251000,0.000000,top

1 Ref Val Package MidX MidY Rotation Side
81 U7 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 174.100000 -45.475000 -90.000000 top
82 U8 KM48C2100 SOJ-28_300mil 135.890000 -58.166000 -90.000000 top
83 U9 KM48C2100 SOJ-28_300mil 161.290000 -58.166000 -90.000000 top
84 U10 39SF040 PLCC-32 135.890000 -76.200000 180.000000 0.000000 top
85 U11 39SF040 PLCC-32 161.290000 -76.200000 180.000000 0.000000 top
86 U12 CH340G SOIC-16_3.9mm 182.753000 -48.895000 90.000000 top
87 U13 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 188.100000 -116.925000 -90.000000 top
88 U14 MC68HC000FN20 PLCC-68 148.590000 -103.251000 0.000000 top

View File

@ -1,7 +1,7 @@
M48
; DRILL file {KiCad (6.0.2-0)} date Tuesday, April 19, 2022 at 08:09:22 AM
; DRILL file {KiCad (6.0.2-0)} date Tuesday, April 19, 2022 at 08:16:39 AM
; FORMAT={-:-/ absolute / inch / decimal}
; #@! TF.CreationDate,2022-04-19T08:09:22-04:00
; #@! TF.CreationDate,2022-04-19T08:16:39-04:00
; #@! TF.GenerationSoftware,Kicad,Pcbnew,(6.0.2-0)
; #@! TF.FileFunction,MixedPlating,1,4
FMAT,2